blob: 3422fdb2f80841e0af6a511224c89127f4ce0361 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_proj_example ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 300000 300000 ) ;
ROW ROW_0 unit 5760 13320 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_1 unit 5760 16650 N DO 601 BY 1 STEP 480 0
;
ROW ROW_2 unit 5760 19980 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_3 unit 5760 23310 N DO 601 BY 1 STEP 480 0
;
ROW ROW_4 unit 5760 26640 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_5 unit 5760 29970 N DO 601 BY 1 STEP 480 0
;
ROW ROW_6 unit 5760 33300 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_7 unit 5760 36630 N DO 601 BY 1 STEP 480 0
;
ROW ROW_8 unit 5760 39960 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_9 unit 5760 43290 N DO 601 BY 1 STEP 480 0
;
ROW ROW_10 unit 5760 46620 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_11 unit 5760 49950 N DO 601 BY 1 STEP 480 0
;
ROW ROW_12 unit 5760 53280 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_13 unit 5760 56610 N DO 601 BY 1 STEP 480 0
;
ROW ROW_14 unit 5760 59940 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_15 unit 5760 63270 N DO 601 BY 1 STEP 480 0
;
ROW ROW_16 unit 5760 66600 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_17 unit 5760 69930 N DO 601 BY 1 STEP 480 0
;
ROW ROW_18 unit 5760 73260 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_19 unit 5760 76590 N DO 601 BY 1 STEP 480 0
;
ROW ROW_20 unit 5760 79920 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_21 unit 5760 83250 N DO 601 BY 1 STEP 480 0
;
ROW ROW_22 unit 5760 86580 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_23 unit 5760 89910 N DO 601 BY 1 STEP 480 0
;
ROW ROW_24 unit 5760 93240 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_25 unit 5760 96570 N DO 601 BY 1 STEP 480 0
;
ROW ROW_26 unit 5760 99900 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_27 unit 5760 103230 N DO 601 BY 1 STEP 480 0
;
ROW ROW_28 unit 5760 106560 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_29 unit 5760 109890 N DO 601 BY 1 STEP 480 0
;
ROW ROW_30 unit 5760 113220 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_31 unit 5760 116550 N DO 601 BY 1 STEP 480 0
;
ROW ROW_32 unit 5760 119880 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_33 unit 5760 123210 N DO 601 BY 1 STEP 480 0
;
ROW ROW_34 unit 5760 126540 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_35 unit 5760 129870 N DO 601 BY 1 STEP 480 0
;
ROW ROW_36 unit 5760 133200 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_37 unit 5760 136530 N DO 601 BY 1 STEP 480 0
;
ROW ROW_38 unit 5760 139860 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_39 unit 5760 143190 N DO 601 BY 1 STEP 480 0
;
ROW ROW_40 unit 5760 146520 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_41 unit 5760 149850 N DO 601 BY 1 STEP 480 0
;
ROW ROW_42 unit 5760 153180 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_43 unit 5760 156510 N DO 601 BY 1 STEP 480 0
;
ROW ROW_44 unit 5760 159840 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_45 unit 5760 163170 N DO 601 BY 1 STEP 480 0
;
ROW ROW_46 unit 5760 166500 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_47 unit 5760 169830 N DO 601 BY 1 STEP 480 0
;
ROW ROW_48 unit 5760 173160 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_49 unit 5760 176490 N DO 601 BY 1 STEP 480 0
;
ROW ROW_50 unit 5760 179820 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_51 unit 5760 183150 N DO 601 BY 1 STEP 480 0
;
ROW ROW_52 unit 5760 186480 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_53 unit 5760 189810 N DO 601 BY 1 STEP 480 0
;
ROW ROW_54 unit 5760 193140 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_55 unit 5760 196470 N DO 601 BY 1 STEP 480 0
;
ROW ROW_56 unit 5760 199800 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_57 unit 5760 203130 N DO 601 BY 1 STEP 480 0
;
ROW ROW_58 unit 5760 206460 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_59 unit 5760 209790 N DO 601 BY 1 STEP 480 0
;
ROW ROW_60 unit 5760 213120 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_61 unit 5760 216450 N DO 601 BY 1 STEP 480 0
;
ROW ROW_62 unit 5760 219780 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_63 unit 5760 223110 N DO 601 BY 1 STEP 480 0
;
ROW ROW_64 unit 5760 226440 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_65 unit 5760 229770 N DO 601 BY 1 STEP 480 0
;
ROW ROW_66 unit 5760 233100 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_67 unit 5760 236430 N DO 601 BY 1 STEP 480 0
;
ROW ROW_68 unit 5760 239760 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_69 unit 5760 243090 N DO 601 BY 1 STEP 480 0
;
ROW ROW_70 unit 5760 246420 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_71 unit 5760 249750 N DO 601 BY 1 STEP 480 0
;
ROW ROW_72 unit 5760 253080 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_73 unit 5760 256410 N DO 601 BY 1 STEP 480 0
;
ROW ROW_74 unit 5760 259740 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_75 unit 5760 263070 N DO 601 BY 1 STEP 480 0
;
ROW ROW_76 unit 5760 266400 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_77 unit 5760 269730 N DO 601 BY 1 STEP 480 0
;
ROW ROW_78 unit 5760 273060 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_79 unit 5760 276390 N DO 601 BY 1 STEP 480 0
;
ROW ROW_80 unit 5760 279720 FS DO 601 BY 1 STEP 480 0
;
ROW ROW_81 unit 5760 283050 N DO 601 BY 1 STEP 480 0
;
TRACKS X 240 DO 625 STEP 480 LAYER li1 ;
TRACKS Y 240 DO 625 STEP 480 LAYER li1 ;
TRACKS X 185 DO 811 STEP 370 LAYER met1 ;
TRACKS Y 185 DO 811 STEP 370 LAYER met1 ;
TRACKS X 240 DO 625 STEP 480 LAYER met2 ;
TRACKS Y 240 DO 625 STEP 480 LAYER met2 ;
TRACKS X 370 DO 405 STEP 740 LAYER met3 ;
TRACKS Y 370 DO 405 STEP 740 LAYER met3 ;
TRACKS X 480 DO 313 STEP 960 LAYER met4 ;
TRACKS Y 480 DO 313 STEP 960 LAYER met4 ;
TRACKS X 1665 DO 90 STEP 3330 LAYER met5 ;
TRACKS Y 1665 DO 90 STEP 3330 LAYER met5 ;
VIAS 5 ;
- via2_FR
+ RECT met2 ( -140 -185 ) ( 140 185 )
+ RECT via2 ( -100 -100 ) ( 100 100 )
+ RECT met3 ( -165 -165 ) ( 165 165 )
;
- via4_FR
+ RECT met4 ( -590 -590 ) ( 590 590 )
+ RECT via4 ( -400 -400 ) ( 400 400 )
+ RECT met5 ( -710 -710 ) ( 710 710 )
;
- via_1600x480
+ VIARULE M1M2_PR
+ CUTSIZE 150 150
+ LAYERS met1 via met2
+ CUTSPACING 170 170
+ ENCLOSURE 245 165 55 165
+ ROWCOL 1 4
;
- via2_1600x480
+ VIARULE M2M3_PR
+ CUTSIZE 200 200
+ LAYERS met2 via2 met3
+ CUTSPACING 200 200
+ ENCLOSURE 40 140 100 65
+ ROWCOL 1 4
;
- via3_1600x480
+ VIARULE M3M4_PR
+ CUTSIZE 200 200
+ LAYERS met3 via3 met4
+ CUTSPACING 200 200
+ ENCLOSURE 100 60 100 140
+ ROWCOL 1 4
;
END VIAS
COMPONENTS 9346 ;
- AND2X1 AND2X1 + PLACED ( 38400 23310 ) N ;
- AND2X2 AND2X2 + PLACED ( 38400 29970 ) N ;
- AOI21X1 AOI21X1 + PLACED ( 38400 36630 ) N ;
- AOI22X1 AOI22X1 + PLACED ( 38400 43290 ) N ;
- BUFX2 BUFX2 + PLACED ( 38400 49950 ) N ;
- BUFX4 BUFX4 + PLACED ( 38400 56610 ) N ;
- CLKBUF1 CLKBUF1 + PLACED ( 38400 63270 ) N ;
- INV INV + PLACED ( 38400 69930 ) N ;
- INVX1 INVX1 + PLACED ( 38400 76590 ) N ;
- INVX2 INVX2 + PLACED ( 38400 83250 ) N ;
- INVX4 INVX4 + PLACED ( 38400 89910 ) N ;
- INVX8 INVX8 + PLACED ( 38400 96570 ) N ;
- MUX2X1 MUX2X1 + PLACED ( 38400 103230 ) N ;
- NAND2X1 NAND2X1 + PLACED ( 38400 109890 ) N ;
- NAND3X1 NAND3X1 + FIXED ( 38400 116550 ) N ;
- OR2X1 OR2X1 + FIXED ( 38400 123210 ) N ;
- OR2X2 OR2X2 + FIXED ( 38400 129870 ) N ;
- XNOR2X1 XNOR2X1 + FIXED ( 38400 136530 ) N ;
- XOR2X1 XOR2X1 + FIXED ( 38400 143190 ) N ;
- _000_ sky130_fd_sc_ls__conb_1 + PLACED ( 180000 66600 ) FS ;
- _001_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 179820 ) FS ;
- _002_ sky130_fd_sc_ls__conb_1 + PLACED ( 241920 153180 ) FS ;
- _003_ sky130_fd_sc_ls__conb_1 + PLACED ( 84480 139860 ) S ;
- _004_ sky130_fd_sc_ls__conb_1 + PLACED ( 8640 63270 ) FN ;
- _005_ sky130_fd_sc_ls__conb_1 + PLACED ( 26880 43290 ) FN ;
- _006_ sky130_fd_sc_ls__conb_1 + PLACED ( 206400 183150 ) N ;
- _007_ sky130_fd_sc_ls__conb_1 + PLACED ( 113760 109890 ) FN ;
- _008_ sky130_fd_sc_ls__conb_1 + PLACED ( 92640 139860 ) S ;
- _009_ sky130_fd_sc_ls__conb_1 + PLACED ( 203520 109890 ) FN ;
- _010_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 256410 ) N ;
- _011_ sky130_fd_sc_ls__conb_1 + PLACED ( 55200 209790 ) N ;
- _012_ sky130_fd_sc_ls__conb_1 + PLACED ( 122400 169830 ) N ;
- _013_ sky130_fd_sc_ls__conb_1 + PLACED ( 187680 86580 ) S ;
- _014_ sky130_fd_sc_ls__conb_1 + PLACED ( 21120 63270 ) FN ;
- _015_ sky130_fd_sc_ls__conb_1 + PLACED ( 177120 13320 ) FS ;
- _016_ sky130_fd_sc_ls__conb_1 + PLACED ( 79200 13320 ) FS ;
- _017_ sky130_fd_sc_ls__conb_1 + PLACED ( 232800 136530 ) N ;
- _018_ sky130_fd_sc_ls__conb_1 + PLACED ( 122400 229770 ) N ;
- _019_ sky130_fd_sc_ls__conb_1 + PLACED ( 207360 129870 ) N ;
- _020_ sky130_fd_sc_ls__conb_1 + PLACED ( 117600 279720 ) FS ;
- _021_ sky130_fd_sc_ls__conb_1 + PLACED ( 35520 119880 ) S ;
- _022_ sky130_fd_sc_ls__conb_1 + PLACED ( 275040 236430 ) N ;
- _023_ sky130_fd_sc_ls__conb_1 + PLACED ( 65760 276390 ) N ;
- _024_ sky130_fd_sc_ls__conb_1 + PLACED ( 170400 56610 ) FN ;
- _025_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 56610 ) FN ;
- _026_ sky130_fd_sc_ls__conb_1 + PLACED ( 34080 273060 ) FS ;
- _027_ sky130_fd_sc_ls__conb_1 + PLACED ( 275040 209790 ) N ;
- _028_ sky130_fd_sc_ls__conb_1 + PLACED ( 80160 56610 ) N ;
- _029_ sky130_fd_sc_ls__conb_1 + PLACED ( 281280 63270 ) FN ;
- _030_ sky130_fd_sc_ls__conb_1 + PLACED ( 158400 146520 ) FS ;
- _031_ sky130_fd_sc_ls__conb_1 + PLACED ( 216480 79920 ) FS ;
- _032_ sky130_fd_sc_ls__conb_1 + PLACED ( 104640 273060 ) FS ;
- _033_ sky130_fd_sc_ls__conb_1 + PLACED ( 38400 59940 ) FS ;
- _034_ sky130_fd_sc_ls__conb_1 + PLACED ( 96000 83250 ) N ;
- _035_ sky130_fd_sc_ls__conb_1 + PLACED ( 81600 149850 ) N ;
- _036_ sky130_fd_sc_ls__conb_1 + PLACED ( 231360 29970 ) FN ;
- _037_ sky130_fd_sc_ls__conb_1 + PLACED ( 120960 103230 ) FN ;
- _038_ sky130_fd_sc_ls__conb_1 + PLACED ( 267360 113220 ) S ;
- _039_ sky130_fd_sc_ls__conb_1 + PLACED ( 192000 86580 ) S ;
- _040_ sky130_fd_sc_ls__conb_1 + PLACED ( 216960 126540 ) S ;
- _041_ sky130_fd_sc_ls__conb_1 + PLACED ( 155040 69930 ) FN ;
- _042_ sky130_fd_sc_ls__conb_1 + PLACED ( 114240 36630 ) FN ;
- _043_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 193140 ) FS ;
- _044_ sky130_fd_sc_ls__conb_1 + PLACED ( 79200 253080 ) FS ;
- _045_ sky130_fd_sc_ls__conb_1 + PLACED ( 169440 99900 ) S ;
- _046_ sky130_fd_sc_ls__conb_1 + PLACED ( 140640 73260 ) S ;
- _047_ sky130_fd_sc_ls__conb_1 + PLACED ( 287520 146520 ) S ;
- _048_ sky130_fd_sc_ls__conb_1 + PLACED ( 236640 109890 ) FN ;
- _049_ sky130_fd_sc_ls__conb_1 + PLACED ( 158400 203130 ) N ;
- _050_ sky130_fd_sc_ls__conb_1 + PLACED ( 139200 119880 ) FS ;
- _051_ sky130_fd_sc_ls__conb_1 + PLACED ( 219840 176490 ) N ;
- _052_ sky130_fd_sc_ls__conb_1 + PLACED ( 163200 66600 ) FS ;
- _053_ sky130_fd_sc_ls__conb_1 + PLACED ( 209760 66600 ) FS ;
- _054_ sky130_fd_sc_ls__conb_1 + PLACED ( 184800 176490 ) N ;
- _055_ sky130_fd_sc_ls__conb_1 + PLACED ( 87360 63270 ) N ;
- _056_ sky130_fd_sc_ls__conb_1 + PLACED ( 7680 96570 ) N ;
- _057_ sky130_fd_sc_ls__conb_1 + PLACED ( 21600 66600 ) FS ;
- _058_ sky130_fd_sc_ls__conb_1 + PLACED ( 230880 13320 ) FS ;
- _059_ sky130_fd_sc_ls__conb_1 + PLACED ( 94080 146520 ) FS ;
- _060_ sky130_fd_sc_ls__conb_1 + PLACED ( 246720 213120 ) FS ;
- _061_ sky130_fd_sc_ls__conb_1 + PLACED ( 184800 139860 ) FS ;
- _062_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 39960 ) FS ;
- _063_ sky130_fd_sc_ls__conb_1 + PLACED ( 278880 276390 ) FN ;
- _064_ sky130_fd_sc_ls__conb_1 + PLACED ( 15840 59940 ) FS ;
- _065_ sky130_fd_sc_ls__conb_1 + PLACED ( 25440 99900 ) FS ;
- _066_ sky130_fd_sc_ls__conb_1 + PLACED ( 259200 213120 ) S ;
- _067_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 173160 ) S ;
- _068_ sky130_fd_sc_ls__conb_1 + PLACED ( 190560 13320 ) FS ;
- _069_ sky130_fd_sc_ls__conb_1 + PLACED ( 137280 253080 ) S ;
- _070_ sky130_fd_sc_ls__conb_1 + PLACED ( 180480 276390 ) FN ;
- _071_ sky130_fd_sc_ls__conb_1 + PLACED ( 174240 186480 ) S ;
- _072_ sky130_fd_sc_ls__conb_1 + PLACED ( 179040 83250 ) N ;
- _073_ sky130_fd_sc_ls__conb_1 + PLACED ( 178080 203130 ) FN ;
- _074_ sky130_fd_sc_ls__conb_1 + PLACED ( 235200 89910 ) N ;
- _075_ sky130_fd_sc_ls__conb_1 + PLACED ( 142560 266400 ) S ;
- _076_ sky130_fd_sc_ls__conb_1 + PLACED ( 232800 46620 ) FS ;
- _077_ sky130_fd_sc_ls__conb_1 + PLACED ( 214080 193140 ) S ;
- _078_ sky130_fd_sc_ls__conb_1 + PLACED ( 191040 206460 ) S ;
- _079_ sky130_fd_sc_ls__conb_1 + PLACED ( 107040 73260 ) FS ;
- _080_ sky130_fd_sc_ls__conb_1 + PLACED ( 29760 139860 ) FS ;
- _081_ sky130_fd_sc_ls__conb_1 + PLACED ( 96000 96570 ) N ;
- _082_ sky130_fd_sc_ls__conb_1 + PLACED ( 19200 203130 ) N ;
- _083_ sky130_fd_sc_ls__conb_1 + PLACED ( 224160 116550 ) N ;
- _084_ sky130_fd_sc_ls__conb_1 + PLACED ( 273120 66600 ) FS ;
- _085_ sky130_fd_sc_ls__conb_1 + PLACED ( 49440 66600 ) FS ;
- _086_ sky130_fd_sc_ls__conb_1 + PLACED ( 240480 59940 ) FS ;
- _087_ sky130_fd_sc_ls__conb_1 + PLACED ( 192960 129870 ) N ;
- _088_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 196470 ) FN ;
- _089_ sky130_fd_sc_ls__conb_1 + PLACED ( 230400 49950 ) N ;
- _090_ sky130_fd_sc_ls__conb_1 + PLACED ( 174240 69930 ) N ;
- _091_ sky130_fd_sc_ls__conb_1 + PLACED ( 81120 209790 ) N ;
- _092_ sky130_fd_sc_ls__conb_1 + PLACED ( 55200 103230 ) N ;
- _093_ sky130_fd_sc_ls__conb_1 + PLACED ( 198240 99900 ) FS ;
- _094_ sky130_fd_sc_ls__conb_1 + PLACED ( 64800 156510 ) N ;
- _095_ sky130_fd_sc_ls__conb_1 + PLACED ( 288000 69930 ) N ;
- _096_ sky130_fd_sc_ls__conb_1 + PLACED ( 157440 196470 ) N ;
- _097_ sky130_fd_sc_ls__conb_1 + PLACED ( 260160 223110 ) FN ;
- _098_ sky130_fd_sc_ls__conb_1 + PLACED ( 240000 203130 ) FN ;
- _099_ sky130_fd_sc_ls__conb_1 + PLACED ( 117120 243090 ) N ;
- _100_ sky130_fd_sc_ls__conb_1 + PLACED ( 36000 263070 ) N ;
- _101_ sky130_fd_sc_ls__conb_1 + PLACED ( 253440 39960 ) FS ;
- _102_ sky130_fd_sc_ls__conb_1 + PLACED ( 271680 226440 ) S ;
- _103_ sky130_fd_sc_ls__conb_1 + PLACED ( 36000 146520 ) FS ;
- _104_ sky130_fd_sc_ls__conb_1 + PLACED ( 146880 79920 ) FS ;
- _105_ sky130_fd_sc_ls__conb_1 + PLACED ( 100320 36630 ) N ;
- _106_ sky130_fd_sc_ls__conb_1 + PLACED ( 168480 233100 ) S ;
- _107_ sky130_fd_sc_ls__conb_1 + PLACED ( 217440 13320 ) FS ;
- _108_ sky130_fd_sc_ls__conb_1 + PLACED ( 259680 56610 ) N ;
- _109_ sky130_fd_sc_ls__conb_1 + PLACED ( 108000 213120 ) FS ;
- _110_ sky130_fd_sc_ls__conb_1 + PLACED ( 12960 69930 ) N ;
- _111_ sky130_fd_sc_ls__conb_1 + PLACED ( 47520 96570 ) N ;
- _112_ sky130_fd_sc_ls__conb_1 + PLACED ( 56160 213120 ) FS ;
- _113_ sky130_fd_sc_ls__conb_1 + PLACED ( 253440 126540 ) FS ;
- _114_ sky130_fd_sc_ls__conb_1 + PLACED ( 222720 229770 ) FN ;
- _115_ sky130_fd_sc_ls__conb_1 + PLACED ( 112800 19980 ) FS ;
- _116_ sky130_fd_sc_ls__conb_1 + PLACED ( 272640 53280 ) FS ;
- _117_ sky130_fd_sc_ls__conb_1 + PLACED ( 265920 206460 ) S ;
- _118_ sky130_fd_sc_ls__conb_1 + PLACED ( 158880 273060 ) FS ;
- _119_ sky130_fd_sc_ls__conb_1 + PLACED ( 173280 269730 ) N ;
- _120_ sky130_fd_sc_ls__conb_1 + PLACED ( 271200 219780 ) S ;
- _121_ sky130_fd_sc_ls__conb_1 + PLACED ( 34560 89910 ) N ;
- _122_ sky130_fd_sc_ls__conb_1 + PLACED ( 109920 13320 ) FS ;
- _123_ sky130_fd_sc_ls__conb_1 + PLACED ( 135360 219780 ) FS ;
- _124_ sky130_fd_sc_ls__conb_1 + PLACED ( 72000 176490 ) N ;
- _125_ sky130_fd_sc_ls__conb_1 + PLACED ( 127200 219780 ) FS ;
- _126_ sky130_fd_sc_ls__conb_1 + PLACED ( 48000 233100 ) FS ;
- _127_ sky130_fd_sc_ls__conb_1 + PLACED ( 134880 39960 ) FS ;
- _128_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 246420 ) FS ;
- _129_ sky130_fd_sc_ls__conb_1 + PLACED ( 245760 203130 ) FN ;
- _130_ sky130_fd_sc_ls__conb_1 + PLACED ( 124800 76590 ) N ;
- _131_ sky130_fd_sc_ls__conb_1 + PLACED ( 42240 99900 ) FS ;
- _132_ sky130_fd_sc_ls__conb_1 + PLACED ( 102720 66600 ) FS ;
- _133_ sky130_fd_sc_ls__conb_1 + PLACED ( 245760 116550 ) N ;
- _134_ sky130_fd_sc_ls__conb_1 + PLACED ( 87360 276390 ) N ;
- _135_ sky130_fd_sc_ls__conb_1 + PLACED ( 17280 209790 ) N ;
- _136_ sky130_fd_sc_ls__conb_1 + PLACED ( 271680 159840 ) FS ;
- _137_ sky130_fd_sc_ls__conb_1 + PLACED ( 123360 13320 ) FS ;
- _138_ sky130_fd_sc_ls__conb_1 + PLACED ( 75840 253080 ) FS ;
- _139_ sky130_fd_sc_ls__conb_1 + PLACED ( 223200 226440 ) FS ;
- _140_ sky130_fd_sc_ls__conb_1 + PLACED ( 207360 276390 ) N ;
- _141_ sky130_fd_sc_ls__conb_1 + PLACED ( 219360 229770 ) N ;
- _142_ sky130_fd_sc_ls__conb_1 + PLACED ( 273600 203130 ) N ;
- _143_ sky130_fd_sc_ls__conb_1 + PLACED ( 76320 59940 ) FS ;
- _144_ sky130_fd_sc_ls__conb_1 + PLACED ( 27840 276390 ) N ;
- _145_ sky130_fd_sc_ls__conb_1 + PLACED ( 154080 219780 ) FS ;
- _146_ sky130_fd_sc_ls__conb_1 + PLACED ( 102720 203130 ) N ;
- _147_ sky130_fd_sc_ls__conb_1 + PLACED ( 207360 119880 ) FS ;
- _148_ sky130_fd_sc_ls__conb_1 + PLACED ( 106560 239760 ) FS ;
- _149_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 53280 ) FS ;
- _150_ sky130_fd_sc_ls__conb_1 + PLACED ( 232800 173160 ) FS ;
- _151_ sky130_fd_sc_ls__conb_1 + PLACED ( 219360 149850 ) N ;
- _152_ sky130_fd_sc_ls__conb_1 + PLACED ( 197760 236430 ) N ;
- _153_ sky130_fd_sc_ls__conb_1 + PLACED ( 236160 46620 ) FS ;
- _154_ sky130_fd_sc_ls__conb_1 + PLACED ( 90240 213120 ) FS ;
- _155_ sky130_fd_sc_ls__conb_1 + PLACED ( 16800 189810 ) N ;
- _156_ sky130_fd_sc_ls__conb_1 + PLACED ( 96960 123210 ) N ;
- _157_ sky130_fd_sc_ls__conb_1 + PLACED ( 176640 43290 ) N ;
- _158_ sky130_fd_sc_ls__conb_1 + PLACED ( 175680 209790 ) N ;
- _159_ sky130_fd_sc_ls__conb_1 + PLACED ( 147360 143190 ) N ;
- _160_ sky130_fd_sc_ls__conb_1 + PLACED ( 276000 26640 ) FS ;
- _161_ sky130_fd_sc_ls__conb_1 + PLACED ( 136800 13320 ) FS ;
- _162_ sky130_fd_sc_ls__conb_1 + PLACED ( 288000 89910 ) N ;
- _163_ sky130_fd_sc_ls__conb_1 + PLACED ( 21600 119880 ) FS ;
- _164_ sky130_fd_sc_ls__conb_1 + PLACED ( 277440 269730 ) FN ;
- _165_ sky130_fd_sc_ls__conb_1 + PLACED ( 54720 109890 ) N ;
- _166_ sky130_fd_sc_ls__conb_1 + PLACED ( 121440 273060 ) FS ;
- _167_ sky130_fd_sc_ls__conb_1 + PLACED ( 48000 153180 ) FS ;
- _168_ sky130_fd_sc_ls__conb_1 + PLACED ( 256320 56610 ) N ;
- _169_ sky130_fd_sc_ls__conb_1 + PLACED ( 34560 233100 ) FS ;
- _170_ sky130_fd_sc_ls__conb_1 + PLACED ( 285600 73260 ) FS ;
- _171_ sky130_fd_sc_ls__conb_1 + PLACED ( 172800 53280 ) FS ;
- _172_ sky130_fd_sc_ls__conb_1 + PLACED ( 168960 39960 ) FS ;
- _173_ sky130_fd_sc_ls__conb_1 + PLACED ( 166080 113220 ) FS ;
- _174_ sky130_fd_sc_ls__conb_1 + PLACED ( 137280 266400 ) FS ;
- _175_ sky130_fd_sc_ls__conb_1 + PLACED ( 221760 136530 ) N ;
- _176_ sky130_fd_sc_ls__conb_1 + PLACED ( 129600 196470 ) N ;
- _177_ sky130_fd_sc_ls__conb_1 + PLACED ( 288960 23310 ) N ;
- _178_ sky130_fd_sc_ls__conb_1 + PLACED ( 93600 166500 ) FS ;
- _179_ sky130_fd_sc_ls__conb_1 + PLACED ( 168960 83250 ) N ;
- _180_ sky130_fd_sc_ls__conb_1 + PLACED ( 66240 199800 ) FS ;
- _181_ sky130_fd_sc_ls__conb_1 + PLACED ( 92640 36630 ) N ;
- _182_ sky130_fd_sc_ls__conb_1 + PLACED ( 214560 59940 ) FS ;
- _183_ sky130_fd_sc_ls__conb_1 + PLACED ( 150240 13320 ) FS ;
- _184_ sky130_fd_sc_ls__conb_1 + PLACED ( 112320 39960 ) FS ;
- _185_ sky130_fd_sc_ls__conb_1 + PLACED ( 273600 79920 ) FS ;
- _186_ sky130_fd_sc_ls__conb_1 + PLACED ( 66720 93240 ) FS ;
- _187_ sky130_fd_sc_ls__conb_1 + PLACED ( 121440 239760 ) S ;
- _188_ sky130_fd_sc_ls__conb_1 + PLACED ( 65280 209790 ) FN ;
- _189_ sky130_fd_sc_ls__conb_1 + PLACED ( 213120 176490 ) FN ;
- _190_ sky130_fd_sc_ls__conb_1 + PLACED ( 232800 73260 ) FS ;
- _191_ sky130_fd_sc_ls__conb_1 + PLACED ( 88320 163170 ) N ;
- _192_ sky130_fd_sc_ls__conb_1 + PLACED ( 159840 106560 ) FS ;
- _193_ sky130_fd_sc_ls__conb_1 + PLACED ( 84480 253080 ) S ;
- _194_ sky130_fd_sc_ls__conb_1 + PLACED ( 34560 83250 ) N ;
- _195_ sky130_fd_sc_ls__conb_1 + PLACED ( 142080 183150 ) FN ;
- _196_ sky130_fd_sc_ls__conb_1 + PLACED ( 163680 13320 ) FS ;
- _197_ sky130_fd_sc_ls__conb_1 + PLACED ( 96480 13320 ) FS ;
- _198_ sky130_fd_sc_ls__conb_1 + PLACED ( 273120 229770 ) FN ;
- _199_ sky130_fd_sc_ls__conb_1 + PLACED ( 103680 209790 ) FN ;
- _200_ sky130_fd_sc_ls__conb_1 + PLACED ( 90240 26640 ) FS ;
- _201_ sky130_fd_sc_ls__conb_1 + PLACED ( 13440 259740 ) FS ;
- _202_ sky130_fd_sc_ls__conb_1 + PLACED ( 166560 56610 ) N ;
- _203_ sky130_fd_sc_ls__conb_1 + PLACED ( 271200 206460 ) S ;
- _204_ sky130_fd_sc_ls__conb_1 + PLACED ( 60960 249750 ) FN ;
- _205_ sky130_fd_sc_ls__conb_1 + PLACED ( 206400 19980 ) S ;
- _206_ sky130_fd_sc_ls__conb_1 + PLACED ( 45600 209790 ) N ;
- _207_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 143190 ) N ;
- _208_ sky130_fd_sc_ls__conb_1 + PLACED ( 87360 229770 ) FN ;
- _209_ sky130_fd_sc_ls__conb_1 + PLACED ( 187200 79920 ) FS ;
- _210_ sky130_fd_sc_ls__conb_1 + PLACED ( 166560 109890 ) N ;
- _211_ sky130_fd_sc_ls__conb_1 + PLACED ( 195360 133200 ) FS ;
- _212_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 219780 ) S ;
- _213_ sky130_fd_sc_ls__conb_1 + PLACED ( 25440 39960 ) FS ;
- _214_ sky130_fd_sc_ls__conb_1 + PLACED ( 144000 39960 ) FS ;
- _215_ sky130_fd_sc_ls__conb_1 + PLACED ( 91680 159840 ) FS ;
- _216_ sky130_fd_sc_ls__conb_1 + PLACED ( 92160 206460 ) FS ;
- _217_ sky130_fd_sc_ls__conb_1 + PLACED ( 107520 109890 ) N ;
- PHY_0 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 13320 ) FS ;
- PHY_1 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 13320 ) S ;
- PHY_2 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 16650 ) N ;
- PHY_3 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 16650 ) FN ;
- PHY_4 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 19980 ) FS ;
- PHY_5 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 19980 ) S ;
- PHY_6 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 23310 ) N ;
- PHY_7 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 23310 ) FN ;
- PHY_8 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 26640 ) FS ;
- PHY_9 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 26640 ) S ;
- PHY_10 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 29970 ) N ;
- PHY_11 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 29970 ) FN ;
- PHY_12 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 33300 ) FS ;
- PHY_13 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 33300 ) S ;
- PHY_14 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 36630 ) N ;
- PHY_15 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 36630 ) FN ;
- PHY_16 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 39960 ) FS ;
- PHY_17 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 39960 ) S ;
- PHY_18 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 43290 ) N ;
- PHY_19 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 43290 ) FN ;
- PHY_20 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 46620 ) FS ;
- PHY_21 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 46620 ) S ;
- PHY_22 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 49950 ) N ;
- PHY_23 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 49950 ) FN ;
- PHY_24 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 53280 ) FS ;
- PHY_25 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 53280 ) S ;
- PHY_26 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 56610 ) N ;
- PHY_27 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 56610 ) FN ;
- PHY_28 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 59940 ) FS ;
- PHY_29 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 59940 ) S ;
- PHY_30 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 63270 ) N ;
- PHY_31 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 63270 ) FN ;
- PHY_32 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 66600 ) FS ;
- PHY_33 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 66600 ) S ;
- PHY_34 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 69930 ) N ;
- PHY_35 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 69930 ) FN ;
- PHY_36 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 73260 ) FS ;
- PHY_37 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 73260 ) S ;
- PHY_38 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 76590 ) N ;
- PHY_39 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 76590 ) FN ;
- PHY_40 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 79920 ) FS ;
- PHY_41 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 79920 ) S ;
- PHY_42 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 83250 ) N ;
- PHY_43 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 83250 ) FN ;
- PHY_44 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 86580 ) FS ;
- PHY_45 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 86580 ) S ;
- PHY_46 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 89910 ) N ;
- PHY_47 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 89910 ) FN ;
- PHY_48 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 93240 ) FS ;
- PHY_49 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 93240 ) S ;
- PHY_50 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 96570 ) N ;
- PHY_51 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 96570 ) FN ;
- PHY_52 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 99900 ) FS ;
- PHY_53 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 99900 ) S ;
- PHY_54 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 103230 ) N ;
- PHY_55 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 103230 ) FN ;
- PHY_56 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 106560 ) FS ;
- PHY_57 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 106560 ) S ;
- PHY_58 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 109890 ) N ;
- PHY_59 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 109890 ) FN ;
- PHY_60 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 113220 ) FS ;
- PHY_61 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 113220 ) S ;
- PHY_62 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 116550 ) N ;
- PHY_63 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 116550 ) FN ;
- PHY_64 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 119880 ) FS ;
- PHY_65 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 119880 ) S ;
- PHY_66 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 123210 ) N ;
- PHY_67 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 123210 ) FN ;
- PHY_68 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 126540 ) FS ;
- PHY_69 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 126540 ) S ;
- PHY_70 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 129870 ) N ;
- PHY_71 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 129870 ) FN ;
- PHY_72 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 133200 ) FS ;
- PHY_73 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 133200 ) S ;
- PHY_74 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 136530 ) N ;
- PHY_75 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 136530 ) FN ;
- PHY_76 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 139860 ) FS ;
- PHY_77 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 139860 ) S ;
- PHY_78 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 143190 ) N ;
- PHY_79 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 143190 ) FN ;
- PHY_80 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 146520 ) FS ;
- PHY_81 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 146520 ) S ;
- PHY_82 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 149850 ) N ;
- PHY_83 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 149850 ) FN ;
- PHY_84 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 153180 ) FS ;
- PHY_85 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 153180 ) S ;
- PHY_86 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 156510 ) N ;
- PHY_87 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 156510 ) FN ;
- PHY_88 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 159840 ) FS ;
- PHY_89 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 159840 ) S ;
- PHY_90 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 163170 ) N ;
- PHY_91 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 163170 ) FN ;
- PHY_92 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 166500 ) FS ;
- PHY_93 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 166500 ) S ;
- PHY_94 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 169830 ) N ;
- PHY_95 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 169830 ) FN ;
- PHY_96 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 173160 ) FS ;
- PHY_97 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 173160 ) S ;
- PHY_98 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 176490 ) N ;
- PHY_99 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 176490 ) FN ;
- PHY_100 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 179820 ) FS ;
- PHY_101 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 179820 ) S ;
- PHY_102 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 183150 ) N ;
- PHY_103 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 183150 ) FN ;
- PHY_104 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 186480 ) FS ;
- PHY_105 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 186480 ) S ;
- PHY_106 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 189810 ) N ;
- PHY_107 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 189810 ) FN ;
- PHY_108 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 193140 ) FS ;
- PHY_109 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 193140 ) S ;
- PHY_110 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 196470 ) N ;
- PHY_111 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 196470 ) FN ;
- PHY_112 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 199800 ) FS ;
- PHY_113 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 199800 ) S ;
- PHY_114 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 203130 ) N ;
- PHY_115 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 203130 ) FN ;
- PHY_116 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 206460 ) FS ;
- PHY_117 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 206460 ) S ;
- PHY_118 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 209790 ) N ;
- PHY_119 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 209790 ) FN ;
- PHY_120 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 213120 ) FS ;
- PHY_121 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 213120 ) S ;
- PHY_122 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 216450 ) N ;
- PHY_123 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 216450 ) FN ;
- PHY_124 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 219780 ) FS ;
- PHY_125 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 219780 ) S ;
- PHY_126 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 223110 ) N ;
- PHY_127 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 223110 ) FN ;
- PHY_128 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 226440 ) FS ;
- PHY_129 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 226440 ) S ;
- PHY_130 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 229770 ) N ;
- PHY_131 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 229770 ) FN ;
- PHY_132 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 233100 ) FS ;
- PHY_133 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 233100 ) S ;
- PHY_134 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 236430 ) N ;
- PHY_135 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 236430 ) FN ;
- PHY_136 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 239760 ) FS ;
- PHY_137 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 239760 ) S ;
- PHY_138 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 243090 ) N ;
- PHY_139 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 243090 ) FN ;
- PHY_140 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 246420 ) FS ;
- PHY_141 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 246420 ) S ;
- PHY_142 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 249750 ) N ;
- PHY_143 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 249750 ) FN ;
- PHY_144 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 253080 ) FS ;
- PHY_145 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 253080 ) S ;
- PHY_146 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 256410 ) N ;
- PHY_147 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 256410 ) FN ;
- PHY_148 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 259740 ) FS ;
- PHY_149 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 259740 ) S ;
- PHY_150 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 263070 ) N ;
- PHY_151 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 263070 ) FN ;
- PHY_152 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 266400 ) FS ;
- PHY_153 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 266400 ) S ;
- PHY_154 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 269730 ) N ;
- PHY_155 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 269730 ) FN ;
- PHY_156 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 273060 ) FS ;
- PHY_157 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 273060 ) S ;
- PHY_158 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 276390 ) N ;
- PHY_159 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 276390 ) FN ;
- PHY_160 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 279720 ) FS ;
- PHY_161 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 279720 ) S ;
- PHY_162 sky130_fd_sc_ls__decap_4 + FIXED ( 5760 283050 ) N ;
- PHY_163 sky130_fd_sc_ls__decap_4 + FIXED ( 292320 283050 ) FN ;
- PHY_164 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 13320 ) FS ;
- PHY_165 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32640 13320 ) FS ;
- PHY_166 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 46080 13320 ) FS ;
- PHY_167 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 59520 13320 ) FS ;
- PHY_168 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72960 13320 ) FS ;
- PHY_169 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 86400 13320 ) FS ;
- PHY_170 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 99840 13320 ) FS ;
- PHY_171 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 113280 13320 ) FS ;
- PHY_172 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 126720 13320 ) FS ;
- PHY_173 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 140160 13320 ) FS ;
- PHY_174 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 153600 13320 ) FS ;
- PHY_175 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 167040 13320 ) FS ;
- PHY_176 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 180480 13320 ) FS ;
- PHY_177 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 193920 13320 ) FS ;
- PHY_178 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 207360 13320 ) FS ;
- PHY_179 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 220800 13320 ) FS ;
- PHY_180 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 234240 13320 ) FS ;
- PHY_181 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 247680 13320 ) FS ;
- PHY_182 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 261120 13320 ) FS ;
- PHY_183 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 274560 13320 ) FS ;
- PHY_184 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 288000 13320 ) FS ;
- PHY_185 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 16650 ) N ;
- PHY_186 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 16650 ) N ;
- PHY_187 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 16650 ) N ;
- PHY_188 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 16650 ) N ;
- PHY_189 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 16650 ) N ;
- PHY_190 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 16650 ) N ;
- PHY_191 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 16650 ) N ;
- PHY_192 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 16650 ) N ;
- PHY_193 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 16650 ) N ;
- PHY_194 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 16650 ) N ;
- PHY_195 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 19980 ) FS ;
- PHY_196 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 19980 ) FS ;
- PHY_197 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 19980 ) FS ;
- PHY_198 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 19980 ) FS ;
- PHY_199 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 19980 ) FS ;
- PHY_200 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 19980 ) FS ;
- PHY_201 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 19980 ) FS ;
- PHY_202 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 19980 ) FS ;
- PHY_203 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 19980 ) FS ;
- PHY_204 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 19980 ) FS ;
- PHY_205 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 19980 ) FS ;
- PHY_206 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 23310 ) N ;
- PHY_207 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 23310 ) N ;
- PHY_208 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 23310 ) N ;
- PHY_209 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 23310 ) N ;
- PHY_210 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 23310 ) N ;
- PHY_211 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 23310 ) N ;
- PHY_212 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 23310 ) N ;
- PHY_213 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 23310 ) N ;
- PHY_214 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 23310 ) N ;
- PHY_215 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 23310 ) N ;
- PHY_216 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 26640 ) FS ;
- PHY_217 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 26640 ) FS ;
- PHY_218 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 26640 ) FS ;
- PHY_219 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 26640 ) FS ;
- PHY_220 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 26640 ) FS ;
- PHY_221 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 26640 ) FS ;
- PHY_222 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 26640 ) FS ;
- PHY_223 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 26640 ) FS ;
- PHY_224 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 26640 ) FS ;
- PHY_225 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 26640 ) FS ;
- PHY_226 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 26640 ) FS ;
- PHY_227 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 29970 ) N ;
- PHY_228 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 29970 ) N ;
- PHY_229 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 29970 ) N ;
- PHY_230 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 29970 ) N ;
- PHY_231 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 29970 ) N ;
- PHY_232 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 29970 ) N ;
- PHY_233 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 29970 ) N ;
- PHY_234 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 29970 ) N ;
- PHY_235 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 29970 ) N ;
- PHY_236 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 29970 ) N ;
- PHY_237 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 33300 ) FS ;
- PHY_238 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 33300 ) FS ;
- PHY_239 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 33300 ) FS ;
- PHY_240 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 33300 ) FS ;
- PHY_241 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 33300 ) FS ;
- PHY_242 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 33300 ) FS ;
- PHY_243 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 33300 ) FS ;
- PHY_244 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 33300 ) FS ;
- PHY_245 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 33300 ) FS ;
- PHY_246 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 33300 ) FS ;
- PHY_247 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 33300 ) FS ;
- PHY_248 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 36630 ) N ;
- PHY_249 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 36630 ) N ;
- PHY_250 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 36630 ) N ;
- PHY_251 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 36630 ) N ;
- PHY_252 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 36630 ) N ;
- PHY_253 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 36630 ) N ;
- PHY_254 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 36630 ) N ;
- PHY_255 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 36630 ) N ;
- PHY_256 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 36630 ) N ;
- PHY_257 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 36630 ) N ;
- PHY_258 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 39960 ) FS ;
- PHY_259 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 39960 ) FS ;
- PHY_260 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 39960 ) FS ;
- PHY_261 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 39960 ) FS ;
- PHY_262 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 39960 ) FS ;
- PHY_263 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 39960 ) FS ;
- PHY_264 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 39960 ) FS ;
- PHY_265 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 39960 ) FS ;
- PHY_266 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 39960 ) FS ;
- PHY_267 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 39960 ) FS ;
- PHY_268 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 39960 ) FS ;
- PHY_269 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 43290 ) N ;
- PHY_270 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 43290 ) N ;
- PHY_271 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 43290 ) N ;
- PHY_272 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 43290 ) N ;
- PHY_273 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 43290 ) N ;
- PHY_274 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 43290 ) N ;
- PHY_275 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 43290 ) N ;
- PHY_276 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 43290 ) N ;
- PHY_277 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 43290 ) N ;
- PHY_278 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 43290 ) N ;
- PHY_279 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 46620 ) FS ;
- PHY_280 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 46620 ) FS ;
- PHY_281 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 46620 ) FS ;
- PHY_282 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 46620 ) FS ;
- PHY_283 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 46620 ) FS ;
- PHY_284 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 46620 ) FS ;
- PHY_285 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 46620 ) FS ;
- PHY_286 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 46620 ) FS ;
- PHY_287 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 46620 ) FS ;
- PHY_288 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 46620 ) FS ;
- PHY_289 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 46620 ) FS ;
- PHY_290 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 49950 ) N ;
- PHY_291 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 49950 ) N ;
- PHY_292 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 49950 ) N ;
- PHY_293 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 49950 ) N ;
- PHY_294 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 49950 ) N ;
- PHY_295 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 49950 ) N ;
- PHY_296 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 49950 ) N ;
- PHY_297 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 49950 ) N ;
- PHY_298 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 49950 ) N ;
- PHY_299 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 49950 ) N ;
- PHY_300 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 53280 ) FS ;
- PHY_301 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 53280 ) FS ;
- PHY_302 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 53280 ) FS ;
- PHY_303 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 53280 ) FS ;
- PHY_304 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 53280 ) FS ;
- PHY_305 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 53280 ) FS ;
- PHY_306 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 53280 ) FS ;
- PHY_307 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 53280 ) FS ;
- PHY_308 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 53280 ) FS ;
- PHY_309 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 53280 ) FS ;
- PHY_310 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 53280 ) FS ;
- PHY_311 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 56610 ) N ;
- PHY_312 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 56610 ) N ;
- PHY_313 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 56610 ) N ;
- PHY_314 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 56610 ) N ;
- PHY_315 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 56610 ) N ;
- PHY_316 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 56610 ) N ;
- PHY_317 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 56610 ) N ;
- PHY_318 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 56610 ) N ;
- PHY_319 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 56610 ) N ;
- PHY_320 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 56610 ) N ;
- PHY_321 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 59940 ) FS ;
- PHY_322 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 59940 ) FS ;
- PHY_323 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 59940 ) FS ;
- PHY_324 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 59940 ) FS ;
- PHY_325 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 59940 ) FS ;
- PHY_326 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 59940 ) FS ;
- PHY_327 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 59940 ) FS ;
- PHY_328 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 59940 ) FS ;
- PHY_329 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 59940 ) FS ;
- PHY_330 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 59940 ) FS ;
- PHY_331 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 59940 ) FS ;
- PHY_332 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 63270 ) N ;
- PHY_333 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 63270 ) N ;
- PHY_334 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 63270 ) N ;
- PHY_335 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 63270 ) N ;
- PHY_336 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 63270 ) N ;
- PHY_337 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 63270 ) N ;
- PHY_338 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 63270 ) N ;
- PHY_339 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 63270 ) N ;
- PHY_340 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 63270 ) N ;
- PHY_341 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 63270 ) N ;
- PHY_342 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 66600 ) FS ;
- PHY_343 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 66600 ) FS ;
- PHY_344 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 66600 ) FS ;
- PHY_345 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 66600 ) FS ;
- PHY_346 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 66600 ) FS ;
- PHY_347 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 66600 ) FS ;
- PHY_348 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 66600 ) FS ;
- PHY_349 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 66600 ) FS ;
- PHY_350 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 66600 ) FS ;
- PHY_351 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 66600 ) FS ;
- PHY_352 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 66600 ) FS ;
- PHY_353 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 69930 ) N ;
- PHY_354 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 69930 ) N ;
- PHY_355 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 69930 ) N ;
- PHY_356 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 69930 ) N ;
- PHY_357 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 69930 ) N ;
- PHY_358 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 69930 ) N ;
- PHY_359 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 69930 ) N ;
- PHY_360 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 69930 ) N ;
- PHY_361 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 69930 ) N ;
- PHY_362 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 69930 ) N ;
- PHY_363 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 73260 ) FS ;
- PHY_364 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 73260 ) FS ;
- PHY_365 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 73260 ) FS ;
- PHY_366 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 73260 ) FS ;
- PHY_367 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 73260 ) FS ;
- PHY_368 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 73260 ) FS ;
- PHY_369 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 73260 ) FS ;
- PHY_370 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 73260 ) FS ;
- PHY_371 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 73260 ) FS ;
- PHY_372 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 73260 ) FS ;
- PHY_373 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 73260 ) FS ;
- PHY_374 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 76590 ) N ;
- PHY_375 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 76590 ) N ;
- PHY_376 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 76590 ) N ;
- PHY_377 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 76590 ) N ;
- PHY_378 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 76590 ) N ;
- PHY_379 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 76590 ) N ;
- PHY_380 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 76590 ) N ;
- PHY_381 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 76590 ) N ;
- PHY_382 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 76590 ) N ;
- PHY_383 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 76590 ) N ;
- PHY_384 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 79920 ) FS ;
- PHY_385 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 79920 ) FS ;
- PHY_386 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 79920 ) FS ;
- PHY_387 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 79920 ) FS ;
- PHY_388 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 79920 ) FS ;
- PHY_389 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 79920 ) FS ;
- PHY_390 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 79920 ) FS ;
- PHY_391 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 79920 ) FS ;
- PHY_392 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 79920 ) FS ;
- PHY_393 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 79920 ) FS ;
- PHY_394 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 79920 ) FS ;
- PHY_395 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 83250 ) N ;
- PHY_396 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 83250 ) N ;
- PHY_397 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 83250 ) N ;
- PHY_398 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 83250 ) N ;
- PHY_399 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 83250 ) N ;
- PHY_400 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 83250 ) N ;
- PHY_401 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 83250 ) N ;
- PHY_402 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 83250 ) N ;
- PHY_403 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 83250 ) N ;
- PHY_404 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 83250 ) N ;
- PHY_405 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 86580 ) FS ;
- PHY_406 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 86580 ) FS ;
- PHY_407 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 86580 ) FS ;
- PHY_408 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 86580 ) FS ;
- PHY_409 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 86580 ) FS ;
- PHY_410 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 86580 ) FS ;
- PHY_411 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 86580 ) FS ;
- PHY_412 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 86580 ) FS ;
- PHY_413 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 86580 ) FS ;
- PHY_414 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 86580 ) FS ;
- PHY_415 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 86580 ) FS ;
- PHY_416 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 89910 ) N ;
- PHY_417 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 89910 ) N ;
- PHY_418 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 89910 ) N ;
- PHY_419 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 89910 ) N ;
- PHY_420 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 89910 ) N ;
- PHY_421 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 89910 ) N ;
- PHY_422 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 89910 ) N ;
- PHY_423 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 89910 ) N ;
- PHY_424 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 89910 ) N ;
- PHY_425 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 89910 ) N ;
- PHY_426 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 93240 ) FS ;
- PHY_427 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 93240 ) FS ;
- PHY_428 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 93240 ) FS ;
- PHY_429 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 93240 ) FS ;
- PHY_430 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 93240 ) FS ;
- PHY_431 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 93240 ) FS ;
- PHY_432 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 93240 ) FS ;
- PHY_433 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 93240 ) FS ;
- PHY_434 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 93240 ) FS ;
- PHY_435 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 93240 ) FS ;
- PHY_436 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 93240 ) FS ;
- PHY_437 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 96570 ) N ;
- PHY_438 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 96570 ) N ;
- PHY_439 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 96570 ) N ;
- PHY_440 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 96570 ) N ;
- PHY_441 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 96570 ) N ;
- PHY_442 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 96570 ) N ;
- PHY_443 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 96570 ) N ;
- PHY_444 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 96570 ) N ;
- PHY_445 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 96570 ) N ;
- PHY_446 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 96570 ) N ;
- PHY_447 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 99900 ) FS ;
- PHY_448 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 99900 ) FS ;
- PHY_449 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 99900 ) FS ;
- PHY_450 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 99900 ) FS ;
- PHY_451 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 99900 ) FS ;
- PHY_452 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 99900 ) FS ;
- PHY_453 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 99900 ) FS ;
- PHY_454 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 99900 ) FS ;
- PHY_455 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 99900 ) FS ;
- PHY_456 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 99900 ) FS ;
- PHY_457 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 99900 ) FS ;
- PHY_458 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 103230 ) N ;
- PHY_459 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 103230 ) N ;
- PHY_460 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 103230 ) N ;
- PHY_461 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 103230 ) N ;
- PHY_462 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 103230 ) N ;
- PHY_463 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 103230 ) N ;
- PHY_464 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 103230 ) N ;
- PHY_465 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 103230 ) N ;
- PHY_466 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 103230 ) N ;
- PHY_467 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 103230 ) N ;
- PHY_468 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 106560 ) FS ;
- PHY_469 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 106560 ) FS ;
- PHY_470 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 106560 ) FS ;
- PHY_471 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 106560 ) FS ;
- PHY_472 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 106560 ) FS ;
- PHY_473 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 106560 ) FS ;
- PHY_474 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 106560 ) FS ;
- PHY_475 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 106560 ) FS ;
- PHY_476 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 106560 ) FS ;
- PHY_477 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 106560 ) FS ;
- PHY_478 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 106560 ) FS ;
- PHY_479 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 109890 ) N ;
- PHY_480 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 109890 ) N ;
- PHY_481 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 109890 ) N ;
- PHY_482 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 109890 ) N ;
- PHY_483 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 109890 ) N ;
- PHY_484 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 109890 ) N ;
- PHY_485 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 109890 ) N ;
- PHY_486 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 109890 ) N ;
- PHY_487 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 109890 ) N ;
- PHY_488 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 109890 ) N ;
- PHY_489 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 113220 ) FS ;
- PHY_490 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 113220 ) FS ;
- PHY_491 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 113220 ) FS ;
- PHY_492 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 113220 ) FS ;
- PHY_493 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 113220 ) FS ;
- PHY_494 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 113220 ) FS ;
- PHY_495 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 113220 ) FS ;
- PHY_496 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 113220 ) FS ;
- PHY_497 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 113220 ) FS ;
- PHY_498 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 113220 ) FS ;
- PHY_499 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 113220 ) FS ;
- PHY_500 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 116550 ) N ;
- PHY_501 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 116550 ) N ;
- PHY_502 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 116550 ) N ;
- PHY_503 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 116550 ) N ;
- PHY_504 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 116550 ) N ;
- PHY_505 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 116550 ) N ;
- PHY_506 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 116550 ) N ;
- PHY_507 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 116550 ) N ;
- PHY_508 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 116550 ) N ;
- PHY_509 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 116550 ) N ;
- PHY_510 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 119880 ) FS ;
- PHY_511 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 119880 ) FS ;
- PHY_512 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 119880 ) FS ;
- PHY_513 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 119880 ) FS ;
- PHY_514 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 119880 ) FS ;
- PHY_515 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 119880 ) FS ;
- PHY_516 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 119880 ) FS ;
- PHY_517 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 119880 ) FS ;
- PHY_518 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 119880 ) FS ;
- PHY_519 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 119880 ) FS ;
- PHY_520 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 119880 ) FS ;
- PHY_521 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 123210 ) N ;
- PHY_522 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 123210 ) N ;
- PHY_523 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 123210 ) N ;
- PHY_524 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 123210 ) N ;
- PHY_525 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 123210 ) N ;
- PHY_526 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 123210 ) N ;
- PHY_527 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 123210 ) N ;
- PHY_528 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 123210 ) N ;
- PHY_529 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 123210 ) N ;
- PHY_530 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 123210 ) N ;
- PHY_531 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 126540 ) FS ;
- PHY_532 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 126540 ) FS ;
- PHY_533 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 126540 ) FS ;
- PHY_534 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 126540 ) FS ;
- PHY_535 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 126540 ) FS ;
- PHY_536 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 126540 ) FS ;
- PHY_537 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 126540 ) FS ;
- PHY_538 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 126540 ) FS ;
- PHY_539 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 126540 ) FS ;
- PHY_540 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 126540 ) FS ;
- PHY_541 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 126540 ) FS ;
- PHY_542 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 129870 ) N ;
- PHY_543 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 129870 ) N ;
- PHY_544 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 129870 ) N ;
- PHY_545 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 129870 ) N ;
- PHY_546 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 129870 ) N ;
- PHY_547 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 129870 ) N ;
- PHY_548 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 129870 ) N ;
- PHY_549 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 129870 ) N ;
- PHY_550 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 129870 ) N ;
- PHY_551 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 129870 ) N ;
- PHY_552 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 133200 ) FS ;
- PHY_553 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 133200 ) FS ;
- PHY_554 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 133200 ) FS ;
- PHY_555 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 133200 ) FS ;
- PHY_556 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 133200 ) FS ;
- PHY_557 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 133200 ) FS ;
- PHY_558 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 133200 ) FS ;
- PHY_559 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 133200 ) FS ;
- PHY_560 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 133200 ) FS ;
- PHY_561 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 133200 ) FS ;
- PHY_562 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 133200 ) FS ;
- PHY_563 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 136530 ) N ;
- PHY_564 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 136530 ) N ;
- PHY_565 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 136530 ) N ;
- PHY_566 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 136530 ) N ;
- PHY_567 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 136530 ) N ;
- PHY_568 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 136530 ) N ;
- PHY_569 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 136530 ) N ;
- PHY_570 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 136530 ) N ;
- PHY_571 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 136530 ) N ;
- PHY_572 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 136530 ) N ;
- PHY_573 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 139860 ) FS ;
- PHY_574 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 139860 ) FS ;
- PHY_575 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 139860 ) FS ;
- PHY_576 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 139860 ) FS ;
- PHY_577 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 139860 ) FS ;
- PHY_578 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 139860 ) FS ;
- PHY_579 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 139860 ) FS ;
- PHY_580 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 139860 ) FS ;
- PHY_581 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 139860 ) FS ;
- PHY_582 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 139860 ) FS ;
- PHY_583 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 139860 ) FS ;
- PHY_584 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 143190 ) N ;
- PHY_585 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 143190 ) N ;
- PHY_586 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 143190 ) N ;
- PHY_587 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 143190 ) N ;
- PHY_588 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 143190 ) N ;
- PHY_589 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 143190 ) N ;
- PHY_590 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 143190 ) N ;
- PHY_591 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 143190 ) N ;
- PHY_592 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 143190 ) N ;
- PHY_593 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 143190 ) N ;
- PHY_594 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 146520 ) FS ;
- PHY_595 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 146520 ) FS ;
- PHY_596 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 146520 ) FS ;
- PHY_597 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 146520 ) FS ;
- PHY_598 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 146520 ) FS ;
- PHY_599 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 146520 ) FS ;
- PHY_600 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 146520 ) FS ;
- PHY_601 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 146520 ) FS ;
- PHY_602 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 146520 ) FS ;
- PHY_603 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 146520 ) FS ;
- PHY_604 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 146520 ) FS ;
- PHY_605 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 149850 ) N ;
- PHY_606 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 149850 ) N ;
- PHY_607 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 149850 ) N ;
- PHY_608 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 149850 ) N ;
- PHY_609 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 149850 ) N ;
- PHY_610 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 149850 ) N ;
- PHY_611 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 149850 ) N ;
- PHY_612 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 149850 ) N ;
- PHY_613 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 149850 ) N ;
- PHY_614 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 149850 ) N ;
- PHY_615 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 153180 ) FS ;
- PHY_616 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 153180 ) FS ;
- PHY_617 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 153180 ) FS ;
- PHY_618 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 153180 ) FS ;
- PHY_619 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 153180 ) FS ;
- PHY_620 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 153180 ) FS ;
- PHY_621 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 153180 ) FS ;
- PHY_622 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 153180 ) FS ;
- PHY_623 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 153180 ) FS ;
- PHY_624 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 153180 ) FS ;
- PHY_625 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 153180 ) FS ;
- PHY_626 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 156510 ) N ;
- PHY_627 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 156510 ) N ;
- PHY_628 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 156510 ) N ;
- PHY_629 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 156510 ) N ;
- PHY_630 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 156510 ) N ;
- PHY_631 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 156510 ) N ;
- PHY_632 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 156510 ) N ;
- PHY_633 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 156510 ) N ;
- PHY_634 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 156510 ) N ;
- PHY_635 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 156510 ) N ;
- PHY_636 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 159840 ) FS ;
- PHY_637 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 159840 ) FS ;
- PHY_638 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 159840 ) FS ;
- PHY_639 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 159840 ) FS ;
- PHY_640 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 159840 ) FS ;
- PHY_641 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 159840 ) FS ;
- PHY_642 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 159840 ) FS ;
- PHY_643 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 159840 ) FS ;
- PHY_644 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 159840 ) FS ;
- PHY_645 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 159840 ) FS ;
- PHY_646 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 159840 ) FS ;
- PHY_647 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 163170 ) N ;
- PHY_648 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 163170 ) N ;
- PHY_649 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 163170 ) N ;
- PHY_650 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 163170 ) N ;
- PHY_651 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 163170 ) N ;
- PHY_652 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 163170 ) N ;
- PHY_653 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 163170 ) N ;
- PHY_654 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 163170 ) N ;
- PHY_655 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 163170 ) N ;
- PHY_656 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 163170 ) N ;
- PHY_657 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 166500 ) FS ;
- PHY_658 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 166500 ) FS ;
- PHY_659 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 166500 ) FS ;
- PHY_660 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 166500 ) FS ;
- PHY_661 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 166500 ) FS ;
- PHY_662 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 166500 ) FS ;
- PHY_663 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 166500 ) FS ;
- PHY_664 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 166500 ) FS ;
- PHY_665 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 166500 ) FS ;
- PHY_666 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 166500 ) FS ;
- PHY_667 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 166500 ) FS ;
- PHY_668 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 169830 ) N ;
- PHY_669 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 169830 ) N ;
- PHY_670 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 169830 ) N ;
- PHY_671 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 169830 ) N ;
- PHY_672 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 169830 ) N ;
- PHY_673 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 169830 ) N ;
- PHY_674 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 169830 ) N ;
- PHY_675 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 169830 ) N ;
- PHY_676 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 169830 ) N ;
- PHY_677 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 169830 ) N ;
- PHY_678 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 173160 ) FS ;
- PHY_679 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 173160 ) FS ;
- PHY_680 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 173160 ) FS ;
- PHY_681 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 173160 ) FS ;
- PHY_682 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 173160 ) FS ;
- PHY_683 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 173160 ) FS ;
- PHY_684 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 173160 ) FS ;
- PHY_685 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 173160 ) FS ;
- PHY_686 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 173160 ) FS ;
- PHY_687 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 173160 ) FS ;
- PHY_688 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 173160 ) FS ;
- PHY_689 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 176490 ) N ;
- PHY_690 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 176490 ) N ;
- PHY_691 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 176490 ) N ;
- PHY_692 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 176490 ) N ;
- PHY_693 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 176490 ) N ;
- PHY_694 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 176490 ) N ;
- PHY_695 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 176490 ) N ;
- PHY_696 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 176490 ) N ;
- PHY_697 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 176490 ) N ;
- PHY_698 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 176490 ) N ;
- PHY_699 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 179820 ) FS ;
- PHY_700 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 179820 ) FS ;
- PHY_701 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 179820 ) FS ;
- PHY_702 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 179820 ) FS ;
- PHY_703 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 179820 ) FS ;
- PHY_704 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 179820 ) FS ;
- PHY_705 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 179820 ) FS ;
- PHY_706 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 179820 ) FS ;
- PHY_707 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 179820 ) FS ;
- PHY_708 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 179820 ) FS ;
- PHY_709 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 179820 ) FS ;
- PHY_710 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 183150 ) N ;
- PHY_711 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 183150 ) N ;
- PHY_712 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 183150 ) N ;
- PHY_713 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 183150 ) N ;
- PHY_714 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 183150 ) N ;
- PHY_715 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 183150 ) N ;
- PHY_716 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 183150 ) N ;
- PHY_717 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 183150 ) N ;
- PHY_718 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 183150 ) N ;
- PHY_719 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 183150 ) N ;
- PHY_720 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 186480 ) FS ;
- PHY_721 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 186480 ) FS ;
- PHY_722 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 186480 ) FS ;
- PHY_723 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 186480 ) FS ;
- PHY_724 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 186480 ) FS ;
- PHY_725 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 186480 ) FS ;
- PHY_726 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 186480 ) FS ;
- PHY_727 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 186480 ) FS ;
- PHY_728 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 186480 ) FS ;
- PHY_729 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 186480 ) FS ;
- PHY_730 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 186480 ) FS ;
- PHY_731 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 189810 ) N ;
- PHY_732 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 189810 ) N ;
- PHY_733 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 189810 ) N ;
- PHY_734 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 189810 ) N ;
- PHY_735 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 189810 ) N ;
- PHY_736 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 189810 ) N ;
- PHY_737 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 189810 ) N ;
- PHY_738 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 189810 ) N ;
- PHY_739 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 189810 ) N ;
- PHY_740 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 189810 ) N ;
- PHY_741 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 193140 ) FS ;
- PHY_742 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 193140 ) FS ;
- PHY_743 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 193140 ) FS ;
- PHY_744 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 193140 ) FS ;
- PHY_745 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 193140 ) FS ;
- PHY_746 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 193140 ) FS ;
- PHY_747 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 193140 ) FS ;
- PHY_748 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 193140 ) FS ;
- PHY_749 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 193140 ) FS ;
- PHY_750 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 193140 ) FS ;
- PHY_751 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 193140 ) FS ;
- PHY_752 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 196470 ) N ;
- PHY_753 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 196470 ) N ;
- PHY_754 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 196470 ) N ;
- PHY_755 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 196470 ) N ;
- PHY_756 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 196470 ) N ;
- PHY_757 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 196470 ) N ;
- PHY_758 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 196470 ) N ;
- PHY_759 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 196470 ) N ;
- PHY_760 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 196470 ) N ;
- PHY_761 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 196470 ) N ;
- PHY_762 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 199800 ) FS ;
- PHY_763 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 199800 ) FS ;
- PHY_764 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 199800 ) FS ;
- PHY_765 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 199800 ) FS ;
- PHY_766 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 199800 ) FS ;
- PHY_767 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 199800 ) FS ;
- PHY_768 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 199800 ) FS ;
- PHY_769 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 199800 ) FS ;
- PHY_770 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 199800 ) FS ;
- PHY_771 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 199800 ) FS ;
- PHY_772 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 199800 ) FS ;
- PHY_773 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 203130 ) N ;
- PHY_774 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 203130 ) N ;
- PHY_775 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 203130 ) N ;
- PHY_776 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 203130 ) N ;
- PHY_777 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 203130 ) N ;
- PHY_778 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 203130 ) N ;
- PHY_779 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 203130 ) N ;
- PHY_780 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 203130 ) N ;
- PHY_781 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 203130 ) N ;
- PHY_782 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 203130 ) N ;
- PHY_783 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 206460 ) FS ;
- PHY_784 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 206460 ) FS ;
- PHY_785 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 206460 ) FS ;
- PHY_786 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 206460 ) FS ;
- PHY_787 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 206460 ) FS ;
- PHY_788 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 206460 ) FS ;
- PHY_789 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 206460 ) FS ;
- PHY_790 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 206460 ) FS ;
- PHY_791 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 206460 ) FS ;
- PHY_792 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 206460 ) FS ;
- PHY_793 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 206460 ) FS ;
- PHY_794 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 209790 ) N ;
- PHY_795 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 209790 ) N ;
- PHY_796 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 209790 ) N ;
- PHY_797 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 209790 ) N ;
- PHY_798 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 209790 ) N ;
- PHY_799 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 209790 ) N ;
- PHY_800 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 209790 ) N ;
- PHY_801 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 209790 ) N ;
- PHY_802 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 209790 ) N ;
- PHY_803 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 209790 ) N ;
- PHY_804 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 213120 ) FS ;
- PHY_805 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 213120 ) FS ;
- PHY_806 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 213120 ) FS ;
- PHY_807 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 213120 ) FS ;
- PHY_808 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 213120 ) FS ;
- PHY_809 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 213120 ) FS ;
- PHY_810 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 213120 ) FS ;
- PHY_811 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 213120 ) FS ;
- PHY_812 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 213120 ) FS ;
- PHY_813 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 213120 ) FS ;
- PHY_814 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 213120 ) FS ;
- PHY_815 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 216450 ) N ;
- PHY_816 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 216450 ) N ;
- PHY_817 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 216450 ) N ;
- PHY_818 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 216450 ) N ;
- PHY_819 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 216450 ) N ;
- PHY_820 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 216450 ) N ;
- PHY_821 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 216450 ) N ;
- PHY_822 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 216450 ) N ;
- PHY_823 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 216450 ) N ;
- PHY_824 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 216450 ) N ;
- PHY_825 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 219780 ) FS ;
- PHY_826 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 219780 ) FS ;
- PHY_827 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 219780 ) FS ;
- PHY_828 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 219780 ) FS ;
- PHY_829 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 219780 ) FS ;
- PHY_830 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 219780 ) FS ;
- PHY_831 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 219780 ) FS ;
- PHY_832 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 219780 ) FS ;
- PHY_833 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 219780 ) FS ;
- PHY_834 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 219780 ) FS ;
- PHY_835 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 219780 ) FS ;
- PHY_836 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 223110 ) N ;
- PHY_837 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 223110 ) N ;
- PHY_838 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 223110 ) N ;
- PHY_839 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 223110 ) N ;
- PHY_840 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 223110 ) N ;
- PHY_841 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 223110 ) N ;
- PHY_842 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 223110 ) N ;
- PHY_843 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 223110 ) N ;
- PHY_844 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 223110 ) N ;
- PHY_845 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 223110 ) N ;
- PHY_846 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 226440 ) FS ;
- PHY_847 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 226440 ) FS ;
- PHY_848 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 226440 ) FS ;
- PHY_849 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 226440 ) FS ;
- PHY_850 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 226440 ) FS ;
- PHY_851 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 226440 ) FS ;
- PHY_852 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 226440 ) FS ;
- PHY_853 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 226440 ) FS ;
- PHY_854 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 226440 ) FS ;
- PHY_855 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 226440 ) FS ;
- PHY_856 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 226440 ) FS ;
- PHY_857 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 229770 ) N ;
- PHY_858 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 229770 ) N ;
- PHY_859 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 229770 ) N ;
- PHY_860 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 229770 ) N ;
- PHY_861 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 229770 ) N ;
- PHY_862 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 229770 ) N ;
- PHY_863 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 229770 ) N ;
- PHY_864 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 229770 ) N ;
- PHY_865 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 229770 ) N ;
- PHY_866 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 229770 ) N ;
- PHY_867 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 233100 ) FS ;
- PHY_868 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 233100 ) FS ;
- PHY_869 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 233100 ) FS ;
- PHY_870 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 233100 ) FS ;
- PHY_871 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 233100 ) FS ;
- PHY_872 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 233100 ) FS ;
- PHY_873 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 233100 ) FS ;
- PHY_874 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 233100 ) FS ;
- PHY_875 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 233100 ) FS ;
- PHY_876 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 233100 ) FS ;
- PHY_877 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 233100 ) FS ;
- PHY_878 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 236430 ) N ;
- PHY_879 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 236430 ) N ;
- PHY_880 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 236430 ) N ;
- PHY_881 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 236430 ) N ;
- PHY_882 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 236430 ) N ;
- PHY_883 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 236430 ) N ;
- PHY_884 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 236430 ) N ;
- PHY_885 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 236430 ) N ;
- PHY_886 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 236430 ) N ;
- PHY_887 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 236430 ) N ;
- PHY_888 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 239760 ) FS ;
- PHY_889 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 239760 ) FS ;
- PHY_890 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 239760 ) FS ;
- PHY_891 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 239760 ) FS ;
- PHY_892 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 239760 ) FS ;
- PHY_893 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 239760 ) FS ;
- PHY_894 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 239760 ) FS ;
- PHY_895 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 239760 ) FS ;
- PHY_896 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 239760 ) FS ;
- PHY_897 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 239760 ) FS ;
- PHY_898 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 239760 ) FS ;
- PHY_899 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 243090 ) N ;
- PHY_900 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 243090 ) N ;
- PHY_901 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 243090 ) N ;
- PHY_902 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 243090 ) N ;
- PHY_903 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 243090 ) N ;
- PHY_904 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 243090 ) N ;
- PHY_905 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 243090 ) N ;
- PHY_906 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 243090 ) N ;
- PHY_907 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 243090 ) N ;
- PHY_908 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 243090 ) N ;
- PHY_909 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 246420 ) FS ;
- PHY_910 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 246420 ) FS ;
- PHY_911 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 246420 ) FS ;
- PHY_912 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 246420 ) FS ;
- PHY_913 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 246420 ) FS ;
- PHY_914 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 246420 ) FS ;
- PHY_915 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 246420 ) FS ;
- PHY_916 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 246420 ) FS ;
- PHY_917 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 246420 ) FS ;
- PHY_918 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 246420 ) FS ;
- PHY_919 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 246420 ) FS ;
- PHY_920 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 249750 ) N ;
- PHY_921 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 249750 ) N ;
- PHY_922 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 249750 ) N ;
- PHY_923 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 249750 ) N ;
- PHY_924 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 249750 ) N ;
- PHY_925 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 249750 ) N ;
- PHY_926 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 249750 ) N ;
- PHY_927 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 249750 ) N ;
- PHY_928 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 249750 ) N ;
- PHY_929 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 249750 ) N ;
- PHY_930 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 253080 ) FS ;
- PHY_931 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 253080 ) FS ;
- PHY_932 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 253080 ) FS ;
- PHY_933 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 253080 ) FS ;
- PHY_934 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 253080 ) FS ;
- PHY_935 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 253080 ) FS ;
- PHY_936 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 253080 ) FS ;
- PHY_937 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 253080 ) FS ;
- PHY_938 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 253080 ) FS ;
- PHY_939 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 253080 ) FS ;
- PHY_940 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 253080 ) FS ;
- PHY_941 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 256410 ) N ;
- PHY_942 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 256410 ) N ;
- PHY_943 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 256410 ) N ;
- PHY_944 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 256410 ) N ;
- PHY_945 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 256410 ) N ;
- PHY_946 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 256410 ) N ;
- PHY_947 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 256410 ) N ;
- PHY_948 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 256410 ) N ;
- PHY_949 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 256410 ) N ;
- PHY_950 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 256410 ) N ;
- PHY_951 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 259740 ) FS ;
- PHY_952 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 259740 ) FS ;
- PHY_953 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 259740 ) FS ;
- PHY_954 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 259740 ) FS ;
- PHY_955 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 259740 ) FS ;
- PHY_956 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 259740 ) FS ;
- PHY_957 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 259740 ) FS ;
- PHY_958 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 259740 ) FS ;
- PHY_959 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 259740 ) FS ;
- PHY_960 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 259740 ) FS ;
- PHY_961 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 259740 ) FS ;
- PHY_962 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 263070 ) N ;
- PHY_963 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 263070 ) N ;
- PHY_964 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 263070 ) N ;
- PHY_965 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 263070 ) N ;
- PHY_966 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 263070 ) N ;
- PHY_967 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 263070 ) N ;
- PHY_968 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 263070 ) N ;
- PHY_969 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 263070 ) N ;
- PHY_970 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 263070 ) N ;
- PHY_971 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 263070 ) N ;
- PHY_972 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 266400 ) FS ;
- PHY_973 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 266400 ) FS ;
- PHY_974 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 266400 ) FS ;
- PHY_975 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 266400 ) FS ;
- PHY_976 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 266400 ) FS ;
- PHY_977 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 266400 ) FS ;
- PHY_978 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 266400 ) FS ;
- PHY_979 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 266400 ) FS ;
- PHY_980 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 266400 ) FS ;
- PHY_981 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 266400 ) FS ;
- PHY_982 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 266400 ) FS ;
- PHY_983 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 269730 ) N ;
- PHY_984 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 269730 ) N ;
- PHY_985 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 269730 ) N ;
- PHY_986 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 269730 ) N ;
- PHY_987 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 269730 ) N ;
- PHY_988 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 269730 ) N ;
- PHY_989 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 269730 ) N ;
- PHY_990 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 269730 ) N ;
- PHY_991 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 269730 ) N ;
- PHY_992 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 269730 ) N ;
- PHY_993 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 273060 ) FS ;
- PHY_994 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 273060 ) FS ;
- PHY_995 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 273060 ) FS ;
- PHY_996 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 273060 ) FS ;
- PHY_997 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 273060 ) FS ;
- PHY_998 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 273060 ) FS ;
- PHY_999 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 273060 ) FS ;
- PHY_1000 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 273060 ) FS ;
- PHY_1001 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 273060 ) FS ;
- PHY_1002 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 273060 ) FS ;
- PHY_1003 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 273060 ) FS ;
- PHY_1004 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32160 276390 ) N ;
- PHY_1005 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 58560 276390 ) N ;
- PHY_1006 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 84960 276390 ) N ;
- PHY_1007 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 111360 276390 ) N ;
- PHY_1008 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 137760 276390 ) N ;
- PHY_1009 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 164160 276390 ) N ;
- PHY_1010 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 190560 276390 ) N ;
- PHY_1011 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 216960 276390 ) N ;
- PHY_1012 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 243360 276390 ) N ;
- PHY_1013 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 269760 276390 ) N ;
- PHY_1014 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 279720 ) FS ;
- PHY_1015 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 45600 279720 ) FS ;
- PHY_1016 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72000 279720 ) FS ;
- PHY_1017 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 98400 279720 ) FS ;
- PHY_1018 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 124800 279720 ) FS ;
- PHY_1019 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 151200 279720 ) FS ;
- PHY_1020 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 177600 279720 ) FS ;
- PHY_1021 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 204000 279720 ) FS ;
- PHY_1022 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 230400 279720 ) FS ;
- PHY_1023 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 256800 279720 ) FS ;
- PHY_1024 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 283200 279720 ) FS ;
- PHY_1025 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 19200 283050 ) N ;
- PHY_1026 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 32640 283050 ) N ;
- PHY_1027 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 46080 283050 ) N ;
- PHY_1028 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 59520 283050 ) N ;
- PHY_1029 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 72960 283050 ) N ;
- PHY_1030 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 86400 283050 ) N ;
- PHY_1031 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 99840 283050 ) N ;
- PHY_1032 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 113280 283050 ) N ;
- PHY_1033 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 126720 283050 ) N ;
- PHY_1034 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 140160 283050 ) N ;
- PHY_1035 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 153600 283050 ) N ;
- PHY_1036 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 167040 283050 ) N ;
- PHY_1037 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 180480 283050 ) N ;
- PHY_1038 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 193920 283050 ) N ;
- PHY_1039 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 207360 283050 ) N ;
- PHY_1040 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 220800 283050 ) N ;
- PHY_1041 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 234240 283050 ) N ;
- PHY_1042 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 247680 283050 ) N ;
- PHY_1043 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 261120 283050 ) N ;
- PHY_1044 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 274560 283050 ) N ;
- PHY_1045 sky130_fd_sc_ls__tapvpwrvgnd_1 + FIXED ( 288000 283050 ) N ;
- input1 sky130_fd_sc_ls__buf_2 + PLACED ( 7680 283050 ) N ;
- input2 sky130_fd_sc_ls__buf_2 + PLACED ( 79680 283050 ) N ;
- input3 sky130_fd_sc_ls__buf_2 + PLACED ( 88800 283050 ) N ;
- input4 sky130_fd_sc_ls__buf_2 + PLACED ( 95520 283050 ) N ;
- input5 sky130_fd_sc_ls__buf_2 + PLACED ( 103200 283050 ) N ;
- input6 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 109440 283050 ) N ;
- input7 sky130_fd_sc_ls__buf_2 + PLACED ( 119040 283050 ) N ;
- input8 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 129120 283050 ) N ;
- input9 sky130_fd_sc_ls__buf_2 + PLACED ( 134880 283050 ) N ;
- input10 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 143040 283050 ) N ;
- input11 sky130_fd_sc_ls__buf_2 + PLACED ( 149280 283050 ) N ;
- input12 sky130_fd_sc_ls__buf_2 + PLACED ( 12000 283050 ) N ;
- input13 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 158400 283050 ) N ;
- input14 sky130_fd_sc_ls__buf_2 + PLACED ( 169440 283050 ) N ;
- input15 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 174240 283050 ) N ;
- input16 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 182880 283050 ) N ;
- input17 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 190080 283050 ) N ;
- input18 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 198240 283050 ) N ;
- input19 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 209760 283050 ) N ;
- input20 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 214080 283050 ) N ;
- input21 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 223200 283050 ) N ;
- input22 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 229440 283050 ) N ;
- input23 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 28800 283050 ) N ;
- input24 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 237600 283050 ) N ;
- input25 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 242880 283050 ) N ;
- input26 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 253440 283050 ) N ;
- input27 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 263520 283050 ) N ;
- input28 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 269280 283050 ) N ;
- input29 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 276960 283050 ) N ;
- input30 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 283200 283050 ) N ;
- input31 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 288480 279720 ) FS ;
- input32 sky130_fd_sc_ls__buf_2 + PLACED ( 24480 283050 ) N ;
- input33 sky130_fd_sc_ls__buf_2 + PLACED ( 35040 283050 ) N ;
- input34 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 40320 283050 ) N ;
- input35 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 48480 283050 ) N ;
- input36 sky130_fd_sc_ls__buf_2 + PLACED ( 55200 283050 ) N ;
- input37 sky130_fd_sc_ls__buf_2 + PLACED ( 63840 283050 ) N ;
- input38 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 75360 283050 ) N ;
- input39 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 64800 13320 ) FS ;
- input40 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 250080 13320 ) FS ;
- input41 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 253920 13320 ) FS ;
- input42 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 252000 16650 ) N ;
- input43 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 255840 16650 ) N ;
- input44 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 259680 16650 ) N ;
- input45 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 263520 13320 ) FS ;
- input46 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 263520 16650 ) N ;
- input47 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 267360 13320 ) FS ;
- input48 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 263040 19980 ) FS ;
- input49 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 266880 19980 ) FS ;
- input50 sky130_fd_sc_ls__buf_1 + PLACED ( 82560 13320 ) FS ;
- input51 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 272160 16650 ) N ;
- input52 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 276960 13320 ) FS ;
- input53 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 270720 19980 ) FS ;
- input54 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 276000 16650 ) N ;
- input55 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 280800 13320 ) FS ;
- input56 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 279840 16650 ) N ;
- input57 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 277920 19980 ) FS ;
- input58 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 283680 16650 ) N ;
- input59 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 287520 16650 ) N ;
- input60 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 285600 19980 ) FS ;
- input61 sky130_fd_sc_ls__buf_1 + PLACED ( 88800 13320 ) FS ;
- input62 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 285120 23310 ) N ;
- input63 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 287040 26640 ) FS ;
- input64 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 281280 23310 ) N ;
- input65 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 288480 29970 ) N ;
- input66 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 288480 33300 ) FS ;
- input67 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 284640 29970 ) N ;
- input68 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 277440 23310 ) N ;
- input69 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 279360 26640 ) FS ;
- input70 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 87360 16650 ) N ;
- input71 sky130_fd_sc_ls__buf_1 + PLACED ( 92640 13320 ) FS ;
- input72 sky130_fd_sc_ls__buf_1 + PLACED ( 91200 16650 ) N ;
- input73 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 95040 16650 ) N ;
- input74 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 102240 13320 ) FS ;
- input75 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 98880 16650 ) N ;
- input76 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 106080 13320 ) FS ;
- input77 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 102720 16650 ) N ;
- input78 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 68640 13320 ) FS ;
- input79 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 101280 19980 ) FS ;
- input80 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 106560 16650 ) N ;
- input81 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 105120 19980 ) FS ;
- input82 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 115680 13320 ) FS ;
- input83 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 108960 19980 ) FS ;
- input84 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 113760 16650 ) N ;
- input85 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 119520 13320 ) FS ;
- input86 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 117600 16650 ) N ;
- input87 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 116160 19980 ) FS ;
- input88 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 121440 16650 ) N ;
- input89 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 68160 16650 ) N ;
- input90 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 129120 13320 ) FS ;
- input91 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 125280 16650 ) N ;
- input92 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 129120 16650 ) N ;
- input93 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 132960 13320 ) FS ;
- input94 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 127200 19980 ) FS ;
- input95 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 132960 16650 ) N ;
- input96 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 131040 19980 ) FS ;
- input97 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 134880 19980 ) FS ;
- input98 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 142560 13320 ) FS ;
- input99 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 140160 16650 ) N ;
- input100 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 72000 16650 ) N ;
- input101 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 146400 13320 ) FS ;
- input102 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 144000 16650 ) N ;
- input103 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 141600 19980 ) FS ;
- input104 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 147840 16650 ) N ;
- input105 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 145440 19980 ) FS ;
- input106 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 151680 16650 ) N ;
- input107 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 156000 13320 ) FS ;
- input108 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 155520 16650 ) N ;
- input109 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 159840 13320 ) FS ;
- input110 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 154560 19980 ) FS ;
- input111 sky130_fd_sc_ls__buf_1 + PLACED ( 75360 13320 ) FS ;
- input112 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 159360 16650 ) N ;
- input113 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 158400 19980 ) FS ;
- input114 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 169440 13320 ) FS ;
- input115 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 166560 16650 ) N ;
- input116 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 163680 19980 ) FS ;
- input117 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 173280 13320 ) FS ;
- input118 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 170400 16650 ) N ;
- input119 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 169440 19980 ) FS ;
- input120 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 174240 16650 ) N ;
- input121 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 173280 19980 ) FS ;
- input122 sky130_fd_sc_ls__buf_1 + PLACED ( 75840 16650 ) N ;
- input123 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 178080 16650 ) N ;
- input124 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 182880 13320 ) FS ;
- input125 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 181920 16650 ) N ;
- input126 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 186720 13320 ) FS ;
- input127 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 185760 16650 ) N ;
- input128 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 183840 19980 ) FS ;
- input129 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 187680 19980 ) FS ;
- input130 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 196320 13320 ) FS ;
- input131 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 192960 16650 ) N ;
- input132 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 200160 13320 ) FS ;
- input133 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 79680 16650 ) N ;
- input134 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 196800 16650 ) N ;
- input135 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 194880 19980 ) FS ;
- input136 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 200640 16650 ) N ;
- input137 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 198720 19980 ) FS ;
- input138 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 204480 16650 ) N ;
- input139 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 209760 13320 ) FS ;
- input140 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 208320 16650 ) N ;
- input141 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 213600 13320 ) FS ;
- input142 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 212160 16650 ) N ;
- input143 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 209760 19980 ) FS ;
- input144 sky130_fd_sc_ls__buf_1 + PLACED ( 77280 19980 ) FS ;
- input145 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 213600 19980 ) FS ;
- input146 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 219360 16650 ) N ;
- input147 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 223200 13320 ) FS ;
- input148 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 217440 19980 ) FS ;
- input149 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 223200 16650 ) N ;
- input150 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 227040 13320 ) FS ;
- input151 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 227040 16650 ) N ;
- input152 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 224640 19980 ) FS ;
- input153 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 230880 16650 ) N ;
- input154 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 236640 13320 ) FS ;
- input155 sky130_fd_sc_ls__buf_1 + PLACED ( 81120 19980 ) FS ;
- input156 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 234720 16650 ) N ;
- input157 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 240480 13320 ) FS ;
- input158 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 233760 19980 ) FS ;
- input159 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 238560 16650 ) N ;
- input160 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 237600 19980 ) FS ;
- input161 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 241440 19980 ) FS ;
- input162 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 245760 16650 ) N ;
- input163 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 245280 19980 ) FS ;
- input164 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 249120 19980 ) FS ;
- input165 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 246720 23310 ) N ;
- input166 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 84960 19980 ) FS ;
- input167 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 64320 16650 ) N ;
- input168 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 252960 19980 ) FS ;
- input169 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 251520 23310 ) N ;
- input170 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 255360 23310 ) N ;
- input171 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 259200 19980 ) FS ;
- input172 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 259200 23310 ) N ;
- input173 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 263040 23310 ) N ;
- input174 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 260640 26640 ) FS ;
- input175 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 264480 26640 ) FS ;
- input176 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 268320 26640 ) FS ;
- input177 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 272160 23310 ) N ;
- input178 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 88800 19980 ) FS ;
- input179 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 272160 26640 ) FS ;
- input180 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 272160 29970 ) N ;
- input181 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 276000 29970 ) N ;
- input182 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 273600 33300 ) FS ;
- input183 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 279840 29970 ) N ;
- input184 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 277440 33300 ) FS ;
- input185 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 278880 36630 ) N ;
- input186 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 282720 36630 ) N ;
- input187 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 286560 36630 ) N ;
- input188 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 285600 39960 ) FS ;
- input189 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 87360 23310 ) N ;
- input190 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 286080 43290 ) N ;
- input191 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 288000 46620 ) FS ;
- input192 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 265920 29970 ) N ;
- input193 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 279360 39960 ) FS ;
- input194 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 275040 36630 ) N ;
- input195 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 282240 43290 ) N ;
- input196 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 269760 33300 ) FS ;
- input197 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 275520 39960 ) FS ;
- input198 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 92640 19980 ) FS ;
- input199 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 91200 23310 ) N ;
- input200 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 95040 23310 ) N ;
- input201 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 93600 26640 ) FS ;
- input202 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 98880 23310 ) N ;
- input203 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 102720 23310 ) N ;
- input204 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 100800 26640 ) FS ;
- input205 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 106560 23310 ) N ;
- input206 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 67680 19980 ) FS ;
- input207 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 104640 26640 ) FS ;
- input208 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 108480 26640 ) FS ;
- input209 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 113760 23310 ) N ;
- input210 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 112320 26640 ) FS ;
- input211 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 120000 19980 ) FS ;
- input212 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 117600 23310 ) N ;
- input213 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 116160 26640 ) FS ;
- input214 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 121440 23310 ) N ;
- input215 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 120000 26640 ) FS ;
- input216 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 125280 23310 ) N ;
- input217 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 69600 23310 ) N ;
- input218 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 129120 23310 ) N ;
- input219 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 127200 26640 ) FS ;
- input220 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 132960 23310 ) N ;
- input221 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 131040 26640 ) FS ;
- input222 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 128160 29970 ) N ;
- input223 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 134880 26640 ) FS ;
- input224 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 140160 23310 ) N ;
- input225 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 133920 29970 ) N ;
- input226 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 138720 26640 ) FS ;
- input227 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 144000 23310 ) N ;
- input228 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 73440 23310 ) N ;
- input229 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 142560 26640 ) FS ;
- input230 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 147840 23310 ) N ;
- input231 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 146400 26640 ) FS ;
- input232 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 151680 23310 ) N ;
- input233 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 155520 23310 ) N ;
- input234 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 148320 29970 ) N ;
- input235 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 153600 26640 ) FS ;
- input236 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 159360 23310 ) N ;
- input237 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 157440 26640 ) FS ;
- input238 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 156000 29970 ) N ;
- input239 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 77280 23310 ) N ;
- input240 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 161280 26640 ) FS ;
- input241 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 166560 23310 ) N ;
- input242 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 165120 26640 ) FS ;
- input243 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 170400 23310 ) N ;
- input244 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 168960 26640 ) FS ;
- input245 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 174240 23310 ) N ;
- input246 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 172800 26640 ) FS ;
- input247 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 180000 19980 ) FS ;
- input248 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 178080 23310 ) N ;
- input249 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 181920 23310 ) N ;
- input250 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 74880 26640 ) FS ;
- input251 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 180000 26640 ) FS ;
- input252 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 185760 23310 ) N ;
- input253 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 183840 26640 ) FS ;
- input254 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 181440 29970 ) N ;
- input255 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 187680 26640 ) FS ;
- input256 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 192960 23310 ) N ;
- input257 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 191520 26640 ) FS ;
- input258 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 196800 23310 ) N ;
- input259 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 195360 26640 ) FS ;
- input260 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 200640 23310 ) N ;
- input261 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 81120 23310 ) N ;
- input262 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 194400 29970 ) N ;
- input263 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 199200 26640 ) FS ;
- input264 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 204480 23310 ) N ;
- input265 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 208320 23310 ) N ;
- input266 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 201600 29970 ) N ;
- input267 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 206400 26640 ) FS ;
- input268 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 212160 23310 ) N ;
- input269 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 210240 26640 ) FS ;
- input270 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 209280 29970 ) N ;
- input271 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 214080 26640 ) FS ;
- input272 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 78720 26640 ) FS ;
- input273 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 219360 23310 ) N ;
- input274 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 217920 26640 ) FS ;
- input275 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 223200 23310 ) N ;
- input276 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 221760 26640 ) FS ;
- input277 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 227040 23310 ) N ;
- input278 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 225600 26640 ) FS ;
- input279 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 230880 23310 ) N ;
- input280 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 234720 23310 ) N ;
- input281 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 227520 29970 ) N ;
- input282 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 232800 26640 ) FS ;
- input283 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 82560 26640 ) FS ;
- input284 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 238560 23310 ) N ;
- input285 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 236640 26640 ) FS ;
- input286 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 234720 29970 ) N ;
- input287 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 240480 26640 ) FS ;
- input288 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 238560 29970 ) N ;
- input289 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 244320 26640 ) FS ;
- input290 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 248160 26640 ) FS ;
- input291 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 245760 29970 ) N ;
- input292 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 252000 26640 ) FS ;
- input293 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 249600 29970 ) N ;
- input294 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 86400 26640 ) FS ;
- input295 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 13320 ) FS ;
- input296 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 16650 ) N ;
- input297 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 11520 13320 ) FS ;
- input298 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 24480 13320 ) FS ;
- input299 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 28320 13320 ) FS ;
- input300 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 27840 16650 ) N ;
- input301 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 35040 13320 ) FS ;
- input302 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 34560 16650 ) N ;
- input303 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 38880 13320 ) FS ;
- input304 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 38400 16650 ) N ;
- input305 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 36960 19980 ) FS ;
- input306 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 42240 16650 ) N ;
- input307 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 48480 13320 ) FS ;
- input308 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 19980 ) FS ;
- input309 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 46080 16650 ) N ;
- input310 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 52320 13320 ) FS ;
- input311 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 49920 16650 ) N ;
- input312 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 48000 19980 ) FS ;
- input313 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 53760 16650 ) N ;
- input314 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 51840 19980 ) FS ;
- input315 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 55680 19980 ) FS ;
- input316 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 59520 19980 ) FS ;
- input317 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 63360 19980 ) FS ;
- input318 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 60960 23310 ) N ;
- input319 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 11520 16650 ) N ;
- input320 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 64800 23310 ) N ;
- input321 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 62880 26640 ) FS ;
- input322 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 15360 13320 ) FS ;
- input323 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 15360 16650 ) N ;
- input324 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 19200 16650 ) N ;
- input325 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 23040 16650 ) N ;
- input326 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 21600 19980 ) FS ;
- input327 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 20640 23310 ) N ;
- input328 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 25440 19980 ) FS ;
- input329 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 23310 ) N ;
- input330 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 11520 19980 ) FS ;
- input331 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 29280 19980 ) FS ;
- input332 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 26880 23310 ) N ;
- input333 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 33120 19980 ) FS ;
- input334 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 34560 23310 ) N ;
- input335 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 40800 19980 ) FS ;
- input336 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 34080 26640 ) FS ;
- input337 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 37920 26640 ) FS ;
- input338 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 41760 26640 ) FS ;
- input339 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 46080 23310 ) N ;
- input340 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 49920 23310 ) N ;
- input341 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 15360 19980 ) FS ;
- input342 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 48000 26640 ) FS ;
- input343 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 53760 23310 ) N ;
- input344 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 47040 29970 ) N ;
- input345 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 51840 26640 ) FS ;
- input346 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 50880 29970 ) N ;
- input347 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 55680 26640 ) FS ;
- input348 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 54720 29970 ) N ;
- input349 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 56160 33300 ) FS ;
- input350 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 60960 29970 ) N ;
- input351 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 66720 26640 ) FS ;
- input352 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 11520 23310 ) N ;
- input353 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 64800 29970 ) N ;
- input354 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 63360 33300 ) FS ;
- input355 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 15360 23310 ) N ;
- input356 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 13920 26640 ) FS ;
- input357 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 15840 29970 ) N ;
- input358 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 21600 26640 ) FS ;
- input359 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 19680 29970 ) N ;
- input360 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 25440 26640 ) FS ;
- input361 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 23520 29970 ) N ;
- input362 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 26640 ) FS ;
- input363 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 29970 ) N ;
- input364 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 11520 29970 ) N ;
- input365 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 12480 33300 ) FS ;
- input366 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 33300 ) FS ;
- input367 sky130_fd_sc_ls__clkbuf_1 + PLACED ( 7680 36630 ) N ;
- output368 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 7680 279720 ) FS ;
- output369 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 69120 283050 ) N ;
- output370 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 90240 279720 ) FS ;
- output371 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 100800 279720 ) FS ;
- output372 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 106080 279720 ) FS ;
- output373 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 113760 279720 ) FS ;
- output374 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 120960 279720 ) FS ;
- output375 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 129600 279720 ) FS ;
- output376 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 137760 279720 ) S ;
- output377 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 162240 283050 ) N ;
- output378 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 153600 279720 ) S ;
- output379 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 11520 279720 ) FS ;
- output380 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 161280 279720 ) FS ;
- output381 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 168960 279720 ) S ;
- output382 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 180000 279720 ) S ;
- output383 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 203040 283050 ) N ;
- output384 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 192960 279720 ) FS ;
- output385 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 200160 279720 ) S ;
- output386 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 208800 279720 ) S ;
- output387 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 216480 279720 ) S ;
- output388 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 224640 279720 ) S ;
- output389 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 232800 279720 ) S ;
- output390 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 21600 279720 ) FS ;
- output391 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 240000 279720 ) FS ;
- output392 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 248160 279720 ) FS ;
- output393 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 259200 279720 ) FS ;
- output394 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 264000 279720 ) FS ;
- output395 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 271680 279720 ) S ;
- output396 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 279360 279720 ) S ;
- output397 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 287520 276390 ) FN ;
- output398 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 288480 273060 ) S ;
- output399 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 26880 279720 ) FS ;
- output400 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 35040 279720 ) FS ;
- output401 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 41760 279720 ) FS ;
- output402 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 50880 279720 ) FS ;
- output403 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 58560 279720 ) FS ;
- output404 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 66720 279720 ) S ;
- output405 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 74400 279720 ) FS ;
- output406 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 7680 276390 ) N ;
- output407 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 84960 279720 ) FS ;
- output408 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 94080 279720 ) FS ;
- output409 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 100800 276390 ) N ;
- output410 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 109920 279720 ) FS ;
- output411 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 116640 276390 ) FN ;
- output412 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 124320 276390 ) N ;
- output413 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 133440 279720 ) S ;
- output414 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 141600 279720 ) FS ;
- output415 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 147360 279720 ) S ;
- output416 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 157440 279720 ) FS ;
- output417 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 15360 279720 ) FS ;
- output418 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 165120 279720 ) S ;
- output419 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 172800 279720 ) FS ;
- output420 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 183840 279720 ) S ;
- output421 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 187680 279720 ) S ;
- output422 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 195360 276390 ) FN ;
- output423 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 203520 276390 ) N ;
- output424 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 212640 279720 ) S ;
- output425 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 220320 279720 ) S ;
- output426 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 227040 276390 ) FN ;
- output427 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 234720 276390 ) FN ;
- output428 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 21600 276390 ) N ;
- output429 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 243840 279720 ) S ;
- output430 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 252000 279720 ) FS ;
- output431 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 258720 276390 ) FN ;
- output432 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 267840 279720 ) S ;
- output433 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 275520 279720 ) S ;
- output434 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 282240 276390 ) FN ;
- output435 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 288480 269730 ) FN ;
- output436 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 288480 266400 ) S ;
- output437 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 30720 279720 ) FS ;
- output438 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 37440 276390 ) N ;
- output439 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 45600 276390 ) FN ;
- output440 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 54720 279720 ) FS ;
- output441 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 62400 279720 ) FS ;
- output442 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 69120 276390 ) N ;
- output443 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 78240 279720 ) S ;
- output444 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 68640 29970 ) N ;
- output445 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 253440 29970 ) FN ;
- output446 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 250560 33300 ) S ;
- output447 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 257280 29970 ) N ;
- output448 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 261120 29970 ) N ;
- output449 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 259200 33300 ) S ;
- output450 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 258240 36630 ) N ;
- output451 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 263040 33300 ) FS ;
- output452 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 262080 36630 ) N ;
- output453 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 265920 36630 ) N ;
- output454 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 265440 39960 ) S ;
- output455 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 87360 29970 ) N ;
- output456 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 269280 39960 ) FS ;
- output457 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 272160 43290 ) FN ;
- output458 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 271200 46620 ) S ;
- output459 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 276000 43290 ) FN ;
- output460 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 275040 46620 ) FS ;
- output461 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 278880 46620 ) S ;
- output462 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 278400 49950 ) N ;
- output463 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 282240 49950 ) N ;
- output464 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 286080 49950 ) N ;
- output465 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 285600 53280 ) FS ;
- output466 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 85440 33300 ) FS ;
- output467 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 285600 56610 ) FN ;
- output468 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 287520 59940 ) FS ;
- output469 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 265920 43290 ) FN ;
- output470 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 279360 53280 ) FS ;
- output471 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 274560 49950 ) FN ;
- output472 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 261600 39960 ) FS ;
- output473 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 254400 36630 ) FN ;
- output474 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 281760 56610 ) FN ;
- output475 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 91200 29970 ) N ;
- output476 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 89280 33300 ) FS ;
- output477 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 95040 29970 ) N ;
- output478 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 93120 33300 ) FS ;
- output479 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 98880 29970 ) N ;
- output480 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 102720 29970 ) N ;
- output481 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 100800 33300 ) FS ;
- output482 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 106560 29970 ) N ;
- output483 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 67200 33300 ) FS ;
- output484 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 104640 33300 ) FS ;
- output485 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 103680 36630 ) N ;
- output486 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 108480 33300 ) FS ;
- output487 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 113760 29970 ) N ;
- output488 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 112320 33300 ) FS ;
- output489 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 117600 29970 ) N ;
- output490 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 116160 33300 ) FS ;
- output491 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 121440 29970 ) N ;
- output492 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 120000 33300 ) S ;
- output493 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 118560 36630 ) N ;
- output494 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 72480 29970 ) N ;
- output495 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 122400 36630 ) N ;
- output496 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 127200 33300 ) FS ;
- output497 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 126240 36630 ) N ;
- output498 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 131040 33300 ) FS ;
- output499 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 130080 36630 ) N ;
- output500 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 134880 33300 ) FS ;
- output501 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 140160 29970 ) N ;
- output502 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 133920 36630 ) FN ;
- output503 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 138720 33300 ) FS ;
- output504 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 144000 29970 ) N ;
- output505 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 76320 29970 ) N ;
- output506 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 142560 33300 ) FS ;
- output507 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 140640 36630 ) N ;
- output508 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 146400 33300 ) FS ;
- output509 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 152160 29970 ) N ;
- output510 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 145920 36630 ) N ;
- output511 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 149760 36630 ) FN ;
- output512 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 153600 33300 ) S ;
- output513 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 159840 29970 ) N ;
- output514 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 157440 33300 ) FS ;
- output515 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 155040 36630 ) N ;
- output516 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 74400 33300 ) FS ;
- output517 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 161280 33300 ) FS ;
- output518 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 166560 29970 ) N ;
- output519 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 165120 33300 ) FS ;
- output520 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 170400 29970 ) N ;
- output521 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 168960 33300 ) FS ;
- output522 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 174240 29970 ) FN ;
- output523 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 168000 36630 ) FN ;
- output524 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 172800 33300 ) FS ;
- output525 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 171840 36630 ) FN ;
- output526 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 175680 36630 ) N ;
- output527 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 80160 29970 ) N ;
- output528 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 180000 33300 ) FS ;
- output529 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 185280 29970 ) N ;
- output530 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 179520 36630 ) N ;
- output531 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 183840 33300 ) FS ;
- output532 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 183360 36630 ) FN ;
- output533 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 187680 33300 ) S ;
- output534 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 191520 33300 ) FS ;
- output535 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 195360 33300 ) S ;
- output536 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 192960 36630 ) N ;
- output537 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 199200 33300 ) S ;
- output538 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 78240 33300 ) FS ;
- output539 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 196800 36630 ) FN ;
- output540 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 205440 29970 ) FN ;
- output541 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 200640 36630 ) FN ;
- output542 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 206400 33300 ) FS ;
- output543 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 204480 36630 ) N ;
- output544 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 213120 29970 ) N ;
- output545 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 210240 33300 ) FS ;
- output546 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 208320 36630 ) FN ;
- output547 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 214080 33300 ) FS ;
- output548 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 219360 29970 ) N ;
- output549 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 78240 36630 ) N ;
- output550 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 212160 36630 ) FN ;
- output551 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 217920 33300 ) S ;
- output552 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 223200 29970 ) N ;
- output553 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 221760 33300 ) FS ;
- output554 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 219360 36630 ) FN ;
- output555 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 225600 33300 ) S ;
- output556 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 223200 36630 ) FN ;
- output557 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 227040 36630 ) FN ;
- output558 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 232800 33300 ) FS ;
- output559 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 230880 36630 ) FN ;
- output560 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 80160 39960 ) FS ;
- output561 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 236640 33300 ) S ;
- output562 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 234720 36630 ) FN ;
- output563 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 240480 33300 ) FS ;
- output564 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 238560 36630 ) N ;
- output565 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 244320 33300 ) S ;
- output566 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 240000 39960 ) FS ;
- output567 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 245760 36630 ) FN ;
- output568 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 243840 39960 ) FS ;
- output569 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 249600 36630 ) FN ;
- output570 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 247680 39960 ) FS ;
- output571 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 84000 39960 ) FS ;
- output572 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 7680 39960 ) FS ;
- output573 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 11520 36630 ) N ;
- output574 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 29280 26640 ) FS ;
- output575 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 27360 29970 ) N ;
- output576 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 29280 33300 ) FS ;
- output577 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 34560 29970 ) N ;
- output578 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 33120 33300 ) FS ;
- output579 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 36960 33300 ) FS ;
- output580 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 40800 33300 ) FS ;
- output581 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 38400 39960 ) FS ;
- output582 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 48000 33300 ) S ;
- output583 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 46080 36630 ) N ;
- output584 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 11520 39960 ) FS ;
- output585 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 51840 33300 ) FS ;
- output586 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 49920 36630 ) N ;
- output587 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 48000 39960 ) FS ;
- output588 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 53760 36630 ) FN ;
- output589 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 51840 39960 ) FS ;
- output590 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 55680 39960 ) FS ;
- output591 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 60960 36630 ) N ;
- output592 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 59520 39960 ) FS ;
- output593 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 64800 36630 ) N ;
- output594 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 63360 39960 ) FS ;
- output595 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 15360 36630 ) N ;
- output596 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 68640 36630 ) N ;
- output597 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 67200 39960 ) FS ;
- output598 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 21600 33300 ) FS ;
- output599 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 15360 39960 ) FS ;
- output600 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 19200 36630 ) N ;
- output601 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 25440 33300 ) FS ;
- output602 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 23040 36630 ) N ;
- output603 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 21600 39960 ) FS ;
- output604 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 26880 36630 ) N ;
- ANTENNA_0 sky130_fd_sc_ls__diode_2 + PLACED ( 176160 13320 ) FS ;
- ANTENNA_1 sky130_fd_sc_ls__diode_2 + PLACED ( 9600 279720 ) FS ;
- ANTENNA_2 sky130_fd_sc_ls__diode_2 + PLACED ( 179040 66600 ) FS ;
- ANTENNA_3 sky130_fd_sc_ls__diode_2 + PLACED ( 99840 279720 ) FS ;
- ANTENNA_4 sky130_fd_sc_ls__diode_2 + PLACED ( 169440 56610 ) FN ;
- ANTENNA_5 sky130_fd_sc_ls__diode_2 + PLACED ( 112800 279720 ) FS ;
- ANTENNA_6 sky130_fd_sc_ls__diode_2 + PLACED ( 139200 56610 ) FN ;
- ANTENNA_7 sky130_fd_sc_ls__diode_2 + PLACED ( 128640 279720 ) FS ;
- ANTENNA_8 sky130_fd_sc_ls__diode_2 + PLACED ( 83520 139860 ) S ;
- ANTENNA_9 sky130_fd_sc_ls__diode_2 + PLACED ( 136800 279720 ) S ;
- ANTENNA_10 sky130_fd_sc_ls__diode_2 + PLACED ( 7680 63270 ) FN ;
- ANTENNA_11 sky130_fd_sc_ls__diode_2 + PLACED ( 152640 279720 ) S ;
- ANTENNA_12 sky130_fd_sc_ls__diode_2 + PLACED ( 155520 279720 ) S ;
- ANTENNA_13 sky130_fd_sc_ls__diode_2 + PLACED ( 78240 13320 ) FS ;
- ANTENNA_14 sky130_fd_sc_ls__diode_2 + PLACED ( 10560 279720 ) FS ;
- ANTENNA_15 sky130_fd_sc_ls__diode_2 + PLACED ( 13440 279720 ) FS ;
- ANTENNA_16 sky130_fd_sc_ls__diode_2 + PLACED ( 25920 43290 ) FN ;
- ANTENNA_17 sky130_fd_sc_ls__diode_2 + PLACED ( 168000 279720 ) S ;
- ANTENNA_18 sky130_fd_sc_ls__diode_2 + PLACED ( 79200 56610 ) N ;
- ANTENNA_19 sky130_fd_sc_ls__diode_2 + PLACED ( 179040 279720 ) S ;
- ANTENNA_20 sky130_fd_sc_ls__diode_2 + PLACED ( 280320 63270 ) FN ;
- ANTENNA_21 sky130_fd_sc_ls__diode_2 + PLACED ( 192000 279720 ) FS ;
- ANTENNA_22 sky130_fd_sc_ls__diode_2 + PLACED ( 112800 109890 ) FN ;
- ANTENNA_23 sky130_fd_sc_ls__diode_2 + PLACED ( 199200 279720 ) S ;
- ANTENNA_24 sky130_fd_sc_ls__diode_2 + PLACED ( 157440 146520 ) FS ;
- ANTENNA_25 sky130_fd_sc_ls__diode_2 + PLACED ( 207840 279720 ) S ;
- ANTENNA_26 sky130_fd_sc_ls__diode_2 + PLACED ( 91680 139860 ) S ;
- ANTENNA_27 sky130_fd_sc_ls__diode_2 + PLACED ( 215520 279720 ) S ;
- ANTENNA_28 sky130_fd_sc_ls__diode_2 + PLACED ( 215520 79920 ) FS ;
- ANTENNA_29 sky130_fd_sc_ls__diode_2 + PLACED ( 223680 279720 ) S ;
- ANTENNA_30 sky130_fd_sc_ls__diode_2 + PLACED ( 202560 109890 ) FN ;
- ANTENNA_31 sky130_fd_sc_ls__diode_2 + PLACED ( 231840 279720 ) S ;
- ANTENNA_32 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 59940 ) FS ;
- ANTENNA_33 sky130_fd_sc_ls__diode_2 + PLACED ( 270720 279720 ) S ;
- ANTENNA_34 sky130_fd_sc_ls__diode_2 + PLACED ( 186720 86580 ) S ;
- ANTENNA_35 sky130_fd_sc_ls__diode_2 + PLACED ( 278400 279720 ) S ;
- ANTENNA_36 sky130_fd_sc_ls__diode_2 + PLACED ( 20160 63270 ) FN ;
- ANTENNA_37 sky130_fd_sc_ls__diode_2 + PLACED ( 286560 276390 ) FN ;
- ANTENNA_38 sky130_fd_sc_ls__diode_2 + PLACED ( 289440 276390 ) FN ;
- ANTENNA_39 sky130_fd_sc_ls__diode_2 + PLACED ( 95040 83250 ) N ;
- ANTENNA_40 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 273060 ) S ;
- ANTENNA_41 sky130_fd_sc_ls__diode_2 + PLACED ( 290400 273060 ) S ;
- ANTENNA_42 sky130_fd_sc_ls__diode_2 + PLACED ( 231840 136530 ) N ;
- ANTENNA_43 sky130_fd_sc_ls__diode_2 + PLACED ( 25920 279720 ) FS ;
- ANTENNA_44 sky130_fd_sc_ls__diode_2 + PLACED ( 206400 129870 ) N ;
- ANTENNA_45 sky130_fd_sc_ls__diode_2 + PLACED ( 49920 279720 ) FS ;
- ANTENNA_46 sky130_fd_sc_ls__diode_2 + PLACED ( 34560 119880 ) S ;
- ANTENNA_47 sky130_fd_sc_ls__diode_2 + PLACED ( 65760 279720 ) S ;
- ANTENNA_48 sky130_fd_sc_ls__diode_2 + PLACED ( 113280 36630 ) FN ;
- ANTENNA_49 sky130_fd_sc_ls__diode_2 + PLACED ( 84000 279720 ) FS ;
- ANTENNA_50 sky130_fd_sc_ls__diode_2 + PLACED ( 168480 99900 ) S ;
- ANTENNA_51 sky130_fd_sc_ls__diode_2 + PLACED ( 108960 279720 ) FS ;
- ANTENNA_52 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 43290 ) N ;
- ANTENNA_53 sky130_fd_sc_ls__diode_2 + PLACED ( 115680 276390 ) FN ;
- ANTENNA_54 sky130_fd_sc_ls__diode_2 + PLACED ( 139680 73260 ) S ;
- ANTENNA_55 sky130_fd_sc_ls__diode_2 + PLACED ( 123360 276390 ) N ;
- ANTENNA_56 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 49950 ) N ;
- ANTENNA_57 sky130_fd_sc_ls__diode_2 + PLACED ( 132480 279720 ) S ;
- ANTENNA_58 sky130_fd_sc_ls__diode_2 + PLACED ( 286560 146520 ) S ;
- ANTENNA_59 sky130_fd_sc_ls__diode_2 + PLACED ( 140640 279720 ) FS ;
- ANTENNA_60 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 56610 ) N ;
- ANTENNA_61 sky130_fd_sc_ls__diode_2 + PLACED ( 146400 279720 ) S ;
- ANTENNA_62 sky130_fd_sc_ls__diode_2 + PLACED ( 235680 109890 ) FN ;
- ANTENNA_63 sky130_fd_sc_ls__diode_2 + PLACED ( 156480 279720 ) FS ;
- ANTENNA_64 sky130_fd_sc_ls__diode_2 + PLACED ( 230400 29970 ) FN ;
- ANTENNA_65 sky130_fd_sc_ls__diode_2 + PLACED ( 14400 279720 ) FS ;
- ANTENNA_66 sky130_fd_sc_ls__diode_2 + PLACED ( 17280 279720 ) FS ;
- ANTENNA_67 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 63270 ) N ;
- ANTENNA_68 sky130_fd_sc_ls__diode_2 + PLACED ( 164160 279720 ) S ;
- ANTENNA_69 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 69930 ) N ;
- ANTENNA_70 sky130_fd_sc_ls__diode_2 + PLACED ( 182880 279720 ) S ;
- ANTENNA_71 sky130_fd_sc_ls__diode_2 + PLACED ( 138240 119880 ) FS ;
- ANTENNA_72 sky130_fd_sc_ls__diode_2 + PLACED ( 186720 279720 ) S ;
- ANTENNA_73 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 76590 ) N ;
- ANTENNA_74 sky130_fd_sc_ls__diode_2 + PLACED ( 194400 276390 ) FN ;
- ANTENNA_75 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 83250 ) N ;
- ANTENNA_76 sky130_fd_sc_ls__diode_2 + PLACED ( 211680 279720 ) S ;
- ANTENNA_77 sky130_fd_sc_ls__diode_2 + PLACED ( 162240 66600 ) FS ;
- ANTENNA_78 sky130_fd_sc_ls__diode_2 + PLACED ( 219360 279720 ) S ;
- ANTENNA_79 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 89910 ) N ;
- ANTENNA_80 sky130_fd_sc_ls__diode_2 + PLACED ( 226080 276390 ) FN ;
- ANTENNA_81 sky130_fd_sc_ls__diode_2 + PLACED ( 208800 66600 ) FS ;
- ANTENNA_82 sky130_fd_sc_ls__diode_2 + PLACED ( 233760 276390 ) FN ;
- ANTENNA_83 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 23310 ) N ;
- ANTENNA_84 sky130_fd_sc_ls__diode_2 + PLACED ( 20640 276390 ) N ;
- ANTENNA_85 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 96570 ) N ;
- ANTENNA_86 sky130_fd_sc_ls__diode_2 + PLACED ( 242880 279720 ) S ;
- ANTENNA_87 sky130_fd_sc_ls__diode_2 + PLACED ( 86400 63270 ) N ;
- ANTENNA_88 sky130_fd_sc_ls__diode_2 + PLACED ( 257760 276390 ) FN ;
- ANTENNA_89 sky130_fd_sc_ls__diode_2 + PLACED ( 260640 276390 ) FN ;
- ANTENNA_90 sky130_fd_sc_ls__diode_2 + PLACED ( 9120 96570 ) N ;
- ANTENNA_91 sky130_fd_sc_ls__diode_2 + PLACED ( 266880 279720 ) S ;
- ANTENNA_92 sky130_fd_sc_ls__diode_2 + PLACED ( 269760 279720 ) S ;
- ANTENNA_93 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 103230 ) N ;
- ANTENNA_94 sky130_fd_sc_ls__diode_2 + PLACED ( 274560 279720 ) S ;
- ANTENNA_95 sky130_fd_sc_ls__diode_2 + PLACED ( 277440 279720 ) S ;
- ANTENNA_96 sky130_fd_sc_ls__diode_2 + PLACED ( 20640 66600 ) FS ;
- ANTENNA_97 sky130_fd_sc_ls__diode_2 + PLACED ( 281280 276390 ) FN ;
- ANTENNA_98 sky130_fd_sc_ls__diode_2 + PLACED ( 284160 276390 ) FN ;
- ANTENNA_99 sky130_fd_sc_ls__diode_2 + PLACED ( 229920 13320 ) FS ;
- ANTENNA_100 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 269730 ) FN ;
- ANTENNA_101 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 109890 ) N ;
- ANTENNA_102 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 266400 ) S ;
- ANTENNA_103 sky130_fd_sc_ls__diode_2 + PLACED ( 120000 103230 ) FN ;
- ANTENNA_104 sky130_fd_sc_ls__diode_2 + PLACED ( 29760 279720 ) FS ;
- ANTENNA_105 sky130_fd_sc_ls__diode_2 + PLACED ( 266400 113220 ) S ;
- ANTENNA_106 sky130_fd_sc_ls__diode_2 + PLACED ( 36480 276390 ) N ;
- ANTENNA_107 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 29970 ) N ;
- ANTENNA_108 sky130_fd_sc_ls__diode_2 + PLACED ( 44640 276390 ) FN ;
- ANTENNA_109 sky130_fd_sc_ls__diode_2 + PLACED ( 191040 86580 ) S ;
- ANTENNA_110 sky130_fd_sc_ls__diode_2 + PLACED ( 53760 279720 ) FS ;
- ANTENNA_111 sky130_fd_sc_ls__diode_2 + PLACED ( 216000 126540 ) S ;
- ANTENNA_112 sky130_fd_sc_ls__diode_2 + PLACED ( 61440 279720 ) FS ;
- ANTENNA_113 sky130_fd_sc_ls__diode_2 + PLACED ( 154080 69930 ) FN ;
- ANTENNA_114 sky130_fd_sc_ls__diode_2 + PLACED ( 68160 276390 ) N ;
- ANTENNA_115 sky130_fd_sc_ls__diode_2 + PLACED ( 37440 36630 ) N ;
- ANTENNA_116 sky130_fd_sc_ls__diode_2 + PLACED ( 77280 279720 ) S ;
- ANTENNA_117 sky130_fd_sc_ls__diode_2 + PLACED ( 89280 213120 ) FS ;
- ANTENNA_118 sky130_fd_sc_ls__diode_2 + PLACED ( 252480 29970 ) FN ;
- ANTENNA_119 sky130_fd_sc_ls__diode_2 + PLACED ( 15840 189810 ) N ;
- ANTENNA_120 sky130_fd_sc_ls__diode_2 + PLACED ( 249600 33300 ) S ;
- ANTENNA_121 sky130_fd_sc_ls__diode_2 + PLACED ( 174720 209790 ) N ;
- ANTENNA_122 sky130_fd_sc_ls__diode_2 + PLACED ( 258240 33300 ) S ;
- ANTENNA_123 sky130_fd_sc_ls__diode_2 + PLACED ( 264480 39960 ) S ;
- ANTENNA_124 sky130_fd_sc_ls__diode_2 + PLACED ( 258240 213120 ) S ;
- ANTENNA_125 sky130_fd_sc_ls__diode_2 + PLACED ( 86400 29970 ) N ;
- ANTENNA_126 sky130_fd_sc_ls__diode_2 + PLACED ( 276480 269730 ) FN ;
- ANTENNA_127 sky130_fd_sc_ls__diode_2 + PLACED ( 268320 39960 ) FS ;
- ANTENNA_128 sky130_fd_sc_ls__diode_2 + PLACED ( 271200 43290 ) FN ;
- ANTENNA_129 sky130_fd_sc_ls__diode_2 + PLACED ( 120480 273060 ) FS ;
- ANTENNA_130 sky130_fd_sc_ls__diode_2 + PLACED ( 270240 46620 ) S ;
- ANTENNA_131 sky130_fd_sc_ls__diode_2 + PLACED ( 273120 46620 ) S ;
- ANTENNA_132 sky130_fd_sc_ls__diode_2 + PLACED ( 275040 43290 ) FN ;
- ANTENNA_133 sky130_fd_sc_ls__diode_2 + PLACED ( 33600 233100 ) FS ;
- ANTENNA_134 sky130_fd_sc_ls__diode_2 + PLACED ( 277920 46620 ) S ;
- ANTENNA_135 sky130_fd_sc_ls__diode_2 + PLACED ( 280800 46620 ) S ;
- ANTENNA_136 sky130_fd_sc_ls__diode_2 + PLACED ( 152640 173160 ) S ;
- ANTENNA_137 sky130_fd_sc_ls__diode_2 + PLACED ( 84480 33300 ) FS ;
- ANTENNA_138 sky130_fd_sc_ls__diode_2 + PLACED ( 136320 266400 ) FS ;
- ANTENNA_139 sky130_fd_sc_ls__diode_2 + PLACED ( 284640 56610 ) FN ;
- ANTENNA_140 sky130_fd_sc_ls__diode_2 + PLACED ( 287520 56610 ) FN ;
- ANTENNA_141 sky130_fd_sc_ls__diode_2 + PLACED ( 128640 196470 ) N ;
- ANTENNA_142 sky130_fd_sc_ls__diode_2 + PLACED ( 264960 43290 ) FN ;
- ANTENNA_143 sky130_fd_sc_ls__diode_2 + PLACED ( 267840 43290 ) FN ;
- ANTENNA_144 sky130_fd_sc_ls__diode_2 + PLACED ( 273600 49950 ) FN ;
- ANTENNA_145 sky130_fd_sc_ls__diode_2 + PLACED ( 65280 199800 ) FS ;
- ANTENNA_146 sky130_fd_sc_ls__diode_2 + PLACED ( 253440 36630 ) FN ;
- ANTENNA_147 sky130_fd_sc_ls__diode_2 + PLACED ( 256320 36630 ) FN ;
- ANTENNA_148 sky130_fd_sc_ls__diode_2 + PLACED ( 280800 56610 ) FN ;
- ANTENNA_149 sky130_fd_sc_ls__diode_2 + PLACED ( 136320 253080 ) S ;
- ANTENNA_150 sky130_fd_sc_ls__diode_2 + PLACED ( 94080 29970 ) N ;
- ANTENNA_151 sky130_fd_sc_ls__diode_2 + PLACED ( 179520 276390 ) FN ;
- ANTENNA_152 sky130_fd_sc_ls__diode_2 + PLACED ( 97920 29970 ) N ;
- ANTENNA_153 sky130_fd_sc_ls__diode_2 + PLACED ( 173280 186480 ) S ;
- ANTENNA_154 sky130_fd_sc_ls__diode_2 + PLACED ( 101760 29970 ) N ;
- ANTENNA_155 sky130_fd_sc_ls__diode_2 + PLACED ( 177120 203130 ) FN ;
- ANTENNA_156 sky130_fd_sc_ls__diode_2 + PLACED ( 105600 29970 ) N ;
- ANTENNA_157 sky130_fd_sc_ls__diode_2 + PLACED ( 141600 266400 ) S ;
- ANTENNA_158 sky130_fd_sc_ls__diode_2 + PLACED ( 102720 36630 ) N ;
- ANTENNA_159 sky130_fd_sc_ls__diode_2 + PLACED ( 213120 193140 ) S ;
- ANTENNA_160 sky130_fd_sc_ls__diode_2 + PLACED ( 112800 29970 ) N ;
- ANTENNA_161 sky130_fd_sc_ls__diode_2 + PLACED ( 190080 206460 ) S ;
- ANTENNA_162 sky130_fd_sc_ls__diode_2 + PLACED ( 111360 33300 ) FS ;
- ANTENNA_163 sky130_fd_sc_ls__diode_2 + PLACED ( 18240 203130 ) N ;
- ANTENNA_164 sky130_fd_sc_ls__diode_2 + PLACED ( 119040 33300 ) S ;
- ANTENNA_165 sky130_fd_sc_ls__diode_2 + PLACED ( 139200 196470 ) FN ;
- ANTENNA_166 sky130_fd_sc_ls__diode_2 + PLACED ( 129120 36630 ) N ;
- ANTENNA_167 sky130_fd_sc_ls__diode_2 + PLACED ( 80160 209790 ) N ;
- ANTENNA_168 sky130_fd_sc_ls__diode_2 + PLACED ( 132960 36630 ) FN ;
- ANTENNA_169 sky130_fd_sc_ls__diode_2 + PLACED ( 259200 223110 ) FN ;
- ANTENNA_170 sky130_fd_sc_ls__diode_2 + PLACED ( 151200 29970 ) N ;
- ANTENNA_171 sky130_fd_sc_ls__diode_2 + PLACED ( 239040 203130 ) FN ;
- ANTENNA_172 sky130_fd_sc_ls__diode_2 + PLACED ( 144960 36630 ) N ;
- ANTENNA_173 sky130_fd_sc_ls__diode_2 + PLACED ( 116160 243090 ) N ;
- ANTENNA_174 sky130_fd_sc_ls__diode_2 + PLACED ( 148800 36630 ) FN ;
- ANTENNA_175 sky130_fd_sc_ls__diode_2 + PLACED ( 35040 263070 ) N ;
- ANTENNA_176 sky130_fd_sc_ls__diode_2 + PLACED ( 152640 33300 ) S ;
- ANTENNA_177 sky130_fd_sc_ls__diode_2 + PLACED ( 270720 226440 ) S ;
- ANTENNA_178 sky130_fd_sc_ls__diode_2 + PLACED ( 156480 33300 ) FS ;
- ANTENNA_179 sky130_fd_sc_ls__diode_2 + PLACED ( 167520 233100 ) S ;
- ANTENNA_180 sky130_fd_sc_ls__diode_2 + PLACED ( 164160 33300 ) FS ;
- ANTENNA_181 sky130_fd_sc_ls__diode_2 + PLACED ( 107040 213120 ) FS ;
- ANTENNA_182 sky130_fd_sc_ls__diode_2 + PLACED ( 173280 29970 ) FN ;
- ANTENNA_183 sky130_fd_sc_ls__diode_2 + PLACED ( 167040 36630 ) FN ;
- ANTENNA_184 sky130_fd_sc_ls__diode_2 + PLACED ( 55200 213120 ) FS ;
- ANTENNA_185 sky130_fd_sc_ls__diode_2 + PLACED ( 170880 36630 ) FN ;
- ANTENNA_186 sky130_fd_sc_ls__diode_2 + PLACED ( 277920 276390 ) FN ;
- ANTENNA_187 sky130_fd_sc_ls__diode_2 + PLACED ( 79200 29970 ) N ;
- ANTENNA_188 sky130_fd_sc_ls__diode_2 + PLACED ( 221760 229770 ) FN ;
- ANTENNA_189 sky130_fd_sc_ls__diode_2 + PLACED ( 179040 33300 ) FS ;
- ANTENNA_190 sky130_fd_sc_ls__diode_2 + PLACED ( 264960 206460 ) S ;
- ANTENNA_191 sky130_fd_sc_ls__diode_2 + PLACED ( 182880 33300 ) FS ;
- ANTENNA_192 sky130_fd_sc_ls__diode_2 + PLACED ( 157920 273060 ) FS ;
- ANTENNA_193 sky130_fd_sc_ls__diode_2 + PLACED ( 182400 36630 ) FN ;
- ANTENNA_194 sky130_fd_sc_ls__diode_2 + PLACED ( 172320 269730 ) N ;
- ANTENNA_195 sky130_fd_sc_ls__diode_2 + PLACED ( 186720 33300 ) S ;
- ANTENNA_196 sky130_fd_sc_ls__diode_2 + PLACED ( 270240 219780 ) S ;
- ANTENNA_197 sky130_fd_sc_ls__diode_2 + PLACED ( 190560 33300 ) FS ;
- ANTENNA_198 sky130_fd_sc_ls__diode_2 + PLACED ( 194400 33300 ) S ;
- ANTENNA_199 sky130_fd_sc_ls__diode_2 + PLACED ( 134400 219780 ) FS ;
- ANTENNA_200 sky130_fd_sc_ls__diode_2 + PLACED ( 198240 33300 ) S ;
- ANTENNA_201 sky130_fd_sc_ls__diode_2 + PLACED ( 71040 176490 ) N ;
- ANTENNA_202 sky130_fd_sc_ls__diode_2 + PLACED ( 195840 36630 ) FN ;
- ANTENNA_203 sky130_fd_sc_ls__diode_2 + PLACED ( 126240 219780 ) FS ;
- ANTENNA_204 sky130_fd_sc_ls__diode_2 + PLACED ( 204480 29970 ) FN ;
- ANTENNA_205 sky130_fd_sc_ls__diode_2 + PLACED ( 47040 233100 ) FS ;
- ANTENNA_206 sky130_fd_sc_ls__diode_2 + PLACED ( 199680 36630 ) FN ;
- ANTENNA_207 sky130_fd_sc_ls__diode_2 + PLACED ( 244800 203130 ) FN ;
- ANTENNA_208 sky130_fd_sc_ls__diode_2 + PLACED ( 212160 29970 ) N ;
- ANTENNA_209 sky130_fd_sc_ls__diode_2 + PLACED ( 207360 36630 ) FN ;
- ANTENNA_210 sky130_fd_sc_ls__diode_2 + PLACED ( 86400 276390 ) N ;
- ANTENNA_211 sky130_fd_sc_ls__diode_2 + PLACED ( 211200 36630 ) FN ;
- ANTENNA_212 sky130_fd_sc_ls__diode_2 + PLACED ( 16320 209790 ) N ;
- ANTENNA_213 sky130_fd_sc_ls__diode_2 + PLACED ( 216960 33300 ) S ;
- ANTENNA_214 sky130_fd_sc_ls__diode_2 + PLACED ( 219840 33300 ) S ;
- ANTENNA_215 sky130_fd_sc_ls__diode_2 + PLACED ( 74880 253080 ) FS ;
- ANTENNA_216 sky130_fd_sc_ls__diode_2 + PLACED ( 218400 36630 ) FN ;
- ANTENNA_217 sky130_fd_sc_ls__diode_2 + PLACED ( 221280 36630 ) FN ;
- ANTENNA_218 sky130_fd_sc_ls__diode_2 + PLACED ( 222240 226440 ) FS ;
- ANTENNA_219 sky130_fd_sc_ls__diode_2 + PLACED ( 224640 33300 ) S ;
- ANTENNA_220 sky130_fd_sc_ls__diode_2 + PLACED ( 206400 276390 ) N ;
- ANTENNA_221 sky130_fd_sc_ls__diode_2 + PLACED ( 222240 36630 ) FN ;
- ANTENNA_222 sky130_fd_sc_ls__diode_2 + PLACED ( 218400 229770 ) N ;
- ANTENNA_223 sky130_fd_sc_ls__diode_2 + PLACED ( 226080 36630 ) FN ;
- ANTENNA_224 sky130_fd_sc_ls__diode_2 + PLACED ( 229920 36630 ) FN ;
- ANTENNA_225 sky130_fd_sc_ls__diode_2 + PLACED ( 26880 276390 ) N ;
- ANTENNA_226 sky130_fd_sc_ls__diode_2 + PLACED ( 235680 33300 ) S ;
- ANTENNA_227 sky130_fd_sc_ls__diode_2 + PLACED ( 238560 33300 ) S ;
- ANTENNA_228 sky130_fd_sc_ls__diode_2 + PLACED ( 153120 219780 ) FS ;
- ANTENNA_229 sky130_fd_sc_ls__diode_2 + PLACED ( 233760 36630 ) FN ;
- ANTENNA_230 sky130_fd_sc_ls__diode_2 + PLACED ( 105600 239760 ) FS ;
- ANTENNA_231 sky130_fd_sc_ls__diode_2 + PLACED ( 243360 33300 ) S ;
- ANTENNA_232 sky130_fd_sc_ls__diode_2 + PLACED ( 231840 173160 ) FS ;
- ANTENNA_233 sky130_fd_sc_ls__diode_2 + PLACED ( 244800 36630 ) FN ;
- ANTENNA_234 sky130_fd_sc_ls__diode_2 + PLACED ( 196800 236430 ) N ;
- ANTENNA_235 sky130_fd_sc_ls__diode_2 + PLACED ( 248640 36630 ) FN ;
- ANTENNA_236 sky130_fd_sc_ls__diode_2 + PLACED ( 83520 253080 ) S ;
- ANTENNA_237 sky130_fd_sc_ls__diode_2 + PLACED ( 28320 26640 ) FS ;
- ANTENNA_238 sky130_fd_sc_ls__diode_2 + PLACED ( 141120 183150 ) FN ;
- ANTENNA_239 sky130_fd_sc_ls__diode_2 + PLACED ( 28320 33300 ) FS ;
- ANTENNA_240 sky130_fd_sc_ls__diode_2 + PLACED ( 272160 229770 ) FN ;
- ANTENNA_241 sky130_fd_sc_ls__diode_2 + PLACED ( 36000 33300 ) FS ;
- ANTENNA_242 sky130_fd_sc_ls__diode_2 + PLACED ( 38880 33300 ) FS ;
- ANTENNA_243 sky130_fd_sc_ls__diode_2 + PLACED ( 102720 209790 ) FN ;
- ANTENNA_244 sky130_fd_sc_ls__diode_2 + PLACED ( 39840 33300 ) FS ;
- ANTENNA_245 sky130_fd_sc_ls__diode_2 + PLACED ( 12480 259740 ) FS ;
- ANTENNA_246 sky130_fd_sc_ls__diode_2 + PLACED ( 47040 33300 ) S ;
- ANTENNA_247 sky130_fd_sc_ls__diode_2 + PLACED ( 270240 206460 ) S ;
- ANTENNA_248 sky130_fd_sc_ls__diode_2 + PLACED ( 50880 33300 ) FS ;
- ANTENNA_249 sky130_fd_sc_ls__diode_2 + PLACED ( 60000 249750 ) FN ;
- ANTENNA_250 sky130_fd_sc_ls__diode_2 + PLACED ( 48960 36630 ) N ;
- ANTENNA_251 sky130_fd_sc_ls__diode_2 + PLACED ( 205440 19980 ) S ;
- ANTENNA_252 sky130_fd_sc_ls__diode_2 + PLACED ( 47040 39960 ) FS ;
- ANTENNA_253 sky130_fd_sc_ls__diode_2 + PLACED ( 44640 209790 ) N ;
- ANTENNA_254 sky130_fd_sc_ls__diode_2 + PLACED ( 52800 36630 ) FN ;
- ANTENNA_255 sky130_fd_sc_ls__diode_2 + PLACED ( 86400 229770 ) FN ;
- ANTENNA_256 sky130_fd_sc_ls__diode_2 + PLACED ( 54720 39960 ) FS ;
- ANTENNA_257 sky130_fd_sc_ls__diode_2 + PLACED ( 73440 219780 ) S ;
- ANTENNA_258 sky130_fd_sc_ls__diode_2 + PLACED ( 62400 39960 ) FS ;
- ANTENNA_259 sky130_fd_sc_ls__diode_2 + PLACED ( 120480 239760 ) S ;
- ANTENNA_260 sky130_fd_sc_ls__diode_2 + PLACED ( 14400 39960 ) FS ;
- ANTENNA_261 sky130_fd_sc_ls__diode_2 + PLACED ( 64320 209790 ) FN ;
- ANTENNA_262 sky130_fd_sc_ls__diode_2 + PLACED ( 18240 36630 ) N ;
- ANTENNA_263 sky130_fd_sc_ls__diode_2 + PLACED ( 212160 176490 ) FN ;
- ANTENNA_264 sky130_fd_sc_ls__diode_2 + PLACED ( 24480 33300 ) FS ;
- FILLER_0_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 13320 ) FS ;
- FILLER_0_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 13320 ) FS ;
- FILLER_0_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 13320 ) FS ;
- FILLER_0_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 13320 ) FS ;
- FILLER_0_37 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 23520 13320 ) FS ;
- FILLER_0_43 sky130_fd_sc_ls__decap_4 + PLACED ( 26400 13320 ) FS ;
- FILLER_0_51 sky130_fd_sc_ls__decap_4 + PLACED ( 30240 13320 ) FS ;
- FILLER_0_55 sky130_fd_sc_ls__fill_1 + PLACED ( 32160 13320 ) FS ;
- FILLER_0_57 sky130_fd_sc_ls__decap_4 + PLACED ( 33120 13320 ) FS ;
- FILLER_0_65 sky130_fd_sc_ls__decap_4 + PLACED ( 36960 13320 ) FS ;
- FILLER_0_73 sky130_fd_sc_ls__decap_8 + PLACED ( 40800 13320 ) FS ;
- FILLER_0_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 13320 ) FS ;
- FILLER_0_83 sky130_fd_sc_ls__fill_1 + PLACED ( 45600 13320 ) FS ;
- FILLER_0_85 sky130_fd_sc_ls__decap_4 + PLACED ( 46560 13320 ) FS ;
- FILLER_0_93 sky130_fd_sc_ls__decap_4 + PLACED ( 50400 13320 ) FS ;
- FILLER_0_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 13320 ) FS ;
- FILLER_0_109 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 58080 13320 ) FS ;
- FILLER_0_111 sky130_fd_sc_ls__fill_1 + PLACED ( 59040 13320 ) FS ;
- FILLER_0_113 sky130_fd_sc_ls__decap_8 + PLACED ( 60000 13320 ) FS ;
- FILLER_0_121 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 63840 13320 ) FS ;
- FILLER_0_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 13320 ) FS ;
- FILLER_0_135 sky130_fd_sc_ls__decap_4 + PLACED ( 70560 13320 ) FS ;
- FILLER_0_139 sky130_fd_sc_ls__fill_1 + PLACED ( 72480 13320 ) FS ;
- FILLER_0_141 sky130_fd_sc_ls__decap_4 + PLACED ( 73440 13320 ) FS ;
- FILLER_0_149 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 77280 13320 ) FS ;
- FILLER_0_156 sky130_fd_sc_ls__decap_4 + PLACED ( 80640 13320 ) FS ;
- FILLER_0_164 sky130_fd_sc_ls__decap_4 + PLACED ( 84480 13320 ) FS ;
- FILLER_0_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 13320 ) FS ;
- FILLER_0_177 sky130_fd_sc_ls__decap_4 + PLACED ( 90720 13320 ) FS ;
- FILLER_0_185 sky130_fd_sc_ls__decap_4 + PLACED ( 94560 13320 ) FS ;
- FILLER_0_192 sky130_fd_sc_ls__decap_4 + PLACED ( 97920 13320 ) FS ;
- FILLER_0_197 sky130_fd_sc_ls__decap_4 + PLACED ( 100320 13320 ) FS ;
- FILLER_0_205 sky130_fd_sc_ls__decap_4 + PLACED ( 104160 13320 ) FS ;
- FILLER_0_213 sky130_fd_sc_ls__decap_4 + PLACED ( 108000 13320 ) FS ;
- FILLER_0_220 sky130_fd_sc_ls__decap_4 + PLACED ( 111360 13320 ) FS ;
- FILLER_0_225 sky130_fd_sc_ls__decap_4 + PLACED ( 113760 13320 ) FS ;
- FILLER_0_233 sky130_fd_sc_ls__decap_4 + PLACED ( 117600 13320 ) FS ;
- FILLER_0_241 sky130_fd_sc_ls__decap_4 + PLACED ( 121440 13320 ) FS ;
- FILLER_0_248 sky130_fd_sc_ls__decap_4 + PLACED ( 124800 13320 ) FS ;
- FILLER_0_253 sky130_fd_sc_ls__decap_4 + PLACED ( 127200 13320 ) FS ;
- FILLER_0_261 sky130_fd_sc_ls__decap_4 + PLACED ( 131040 13320 ) FS ;
- FILLER_0_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 13320 ) FS ;
- FILLER_0_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 13320 ) FS ;
- FILLER_0_281 sky130_fd_sc_ls__decap_4 + PLACED ( 140640 13320 ) FS ;
- FILLER_0_289 sky130_fd_sc_ls__decap_4 + PLACED ( 144480 13320 ) FS ;
- FILLER_0_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 13320 ) FS ;
- FILLER_0_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 13320 ) FS ;
- FILLER_0_309 sky130_fd_sc_ls__decap_4 + PLACED ( 154080 13320 ) FS ;
- FILLER_0_317 sky130_fd_sc_ls__decap_4 + PLACED ( 157920 13320 ) FS ;
- FILLER_0_325 sky130_fd_sc_ls__decap_4 + PLACED ( 161760 13320 ) FS ;
- FILLER_0_332 sky130_fd_sc_ls__decap_4 + PLACED ( 165120 13320 ) FS ;
- FILLER_0_337 sky130_fd_sc_ls__decap_4 + PLACED ( 167520 13320 ) FS ;
- FILLER_0_345 sky130_fd_sc_ls__decap_4 + PLACED ( 171360 13320 ) FS ;
- FILLER_0_353 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 175200 13320 ) FS ;
- FILLER_0_360 sky130_fd_sc_ls__decap_4 + PLACED ( 178560 13320 ) FS ;
- FILLER_0_365 sky130_fd_sc_ls__decap_4 + PLACED ( 180960 13320 ) FS ;
- FILLER_0_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 13320 ) FS ;
- FILLER_0_381 sky130_fd_sc_ls__decap_4 + PLACED ( 188640 13320 ) FS ;
- FILLER_0_388 sky130_fd_sc_ls__decap_4 + PLACED ( 192000 13320 ) FS ;
- FILLER_0_393 sky130_fd_sc_ls__decap_4 + PLACED ( 194400 13320 ) FS ;
- FILLER_0_401 sky130_fd_sc_ls__decap_4 + PLACED ( 198240 13320 ) FS ;
- FILLER_0_409 sky130_fd_sc_ls__decap_8 + PLACED ( 202080 13320 ) FS ;
- FILLER_0_417 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 205920 13320 ) FS ;
- FILLER_0_419 sky130_fd_sc_ls__fill_1 + PLACED ( 206880 13320 ) FS ;
- FILLER_0_421 sky130_fd_sc_ls__decap_4 + PLACED ( 207840 13320 ) FS ;
- FILLER_0_429 sky130_fd_sc_ls__decap_4 + PLACED ( 211680 13320 ) FS ;
- FILLER_0_437 sky130_fd_sc_ls__decap_4 + PLACED ( 215520 13320 ) FS ;
- FILLER_0_444 sky130_fd_sc_ls__decap_4 + PLACED ( 218880 13320 ) FS ;
- FILLER_0_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 13320 ) FS ;
- FILLER_0_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 13320 ) FS ;
- FILLER_0_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 13320 ) FS ;
- FILLER_0_472 sky130_fd_sc_ls__decap_4 + PLACED ( 232320 13320 ) FS ;
- FILLER_0_477 sky130_fd_sc_ls__decap_4 + PLACED ( 234720 13320 ) FS ;
- FILLER_0_485 sky130_fd_sc_ls__decap_4 + PLACED ( 238560 13320 ) FS ;
- FILLER_0_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 13320 ) FS ;
- FILLER_0_501 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 246240 13320 ) FS ;
- FILLER_0_503 sky130_fd_sc_ls__fill_1 + PLACED ( 247200 13320 ) FS ;
- FILLER_0_505 sky130_fd_sc_ls__decap_4 + PLACED ( 248160 13320 ) FS ;
- FILLER_0_513 sky130_fd_sc_ls__decap_4 + PLACED ( 252000 13320 ) FS ;
- FILLER_0_521 sky130_fd_sc_ls__decap_8 + PLACED ( 255840 13320 ) FS ;
- FILLER_0_529 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 259680 13320 ) FS ;
- FILLER_0_531 sky130_fd_sc_ls__fill_1 + PLACED ( 260640 13320 ) FS ;
- FILLER_0_533 sky130_fd_sc_ls__decap_4 + PLACED ( 261600 13320 ) FS ;
- FILLER_0_541 sky130_fd_sc_ls__decap_4 + PLACED ( 265440 13320 ) FS ;
- FILLER_0_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 13320 ) FS ;
- FILLER_0_557 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 273120 13320 ) FS ;
- FILLER_0_559 sky130_fd_sc_ls__fill_1 + PLACED ( 274080 13320 ) FS ;
- FILLER_0_561 sky130_fd_sc_ls__decap_4 + PLACED ( 275040 13320 ) FS ;
- FILLER_0_569 sky130_fd_sc_ls__decap_4 + PLACED ( 278880 13320 ) FS ;
- FILLER_0_577 sky130_fd_sc_ls__decap_8 + PLACED ( 282720 13320 ) FS ;
- FILLER_0_585 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 286560 13320 ) FS ;
- FILLER_0_587 sky130_fd_sc_ls__fill_1 + PLACED ( 287520 13320 ) FS ;
- FILLER_0_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 13320 ) FS ;
- FILLER_1_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 16650 ) N ;
- FILLER_1_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 16650 ) N ;
- FILLER_1_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 16650 ) N ;
- FILLER_1_32 sky130_fd_sc_ls__decap_4 + PLACED ( 21120 16650 ) N ;
- FILLER_1_40 sky130_fd_sc_ls__decap_4 + PLACED ( 24960 16650 ) N ;
- FILLER_1_44 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 26880 16650 ) N ;
- FILLER_1_50 sky130_fd_sc_ls__decap_4 + PLACED ( 29760 16650 ) N ;
- FILLER_1_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 16650 ) N ;
- FILLER_1_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 16650 ) N ;
- FILLER_1_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 16650 ) N ;
- FILLER_1_72 sky130_fd_sc_ls__decap_4 + PLACED ( 40320 16650 ) N ;
- FILLER_1_80 sky130_fd_sc_ls__decap_4 + PLACED ( 44160 16650 ) N ;
- FILLER_1_88 sky130_fd_sc_ls__decap_4 + PLACED ( 48000 16650 ) N ;
- FILLER_1_96 sky130_fd_sc_ls__decap_4 + PLACED ( 51840 16650 ) N ;
- FILLER_1_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 16650 ) N ;
- FILLER_1_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 16650 ) N ;
- FILLER_1_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 16650 ) N ;
- FILLER_1_119 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 62880 16650 ) N ;
- FILLER_1_121 sky130_fd_sc_ls__fill_1 + PLACED ( 63840 16650 ) N ;
- FILLER_1_126 sky130_fd_sc_ls__decap_4 + PLACED ( 66240 16650 ) N ;
- FILLER_1_134 sky130_fd_sc_ls__decap_4 + PLACED ( 70080 16650 ) N ;
- FILLER_1_142 sky130_fd_sc_ls__decap_4 + PLACED ( 73920 16650 ) N ;
- FILLER_1_150 sky130_fd_sc_ls__decap_4 + PLACED ( 77760 16650 ) N ;
- FILLER_1_158 sky130_fd_sc_ls__decap_4 + PLACED ( 81600 16650 ) N ;
- FILLER_1_162 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 83520 16650 ) N ;
- FILLER_1_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 16650 ) N ;
- FILLER_1_166 sky130_fd_sc_ls__decap_4 + PLACED ( 85440 16650 ) N ;
- FILLER_1_174 sky130_fd_sc_ls__decap_4 + PLACED ( 89280 16650 ) N ;
- FILLER_1_182 sky130_fd_sc_ls__decap_4 + PLACED ( 93120 16650 ) N ;
- FILLER_1_190 sky130_fd_sc_ls__decap_4 + PLACED ( 96960 16650 ) N ;
- FILLER_1_198 sky130_fd_sc_ls__decap_4 + PLACED ( 100800 16650 ) N ;
- FILLER_1_206 sky130_fd_sc_ls__decap_4 + PLACED ( 104640 16650 ) N ;
- FILLER_1_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 16650 ) N ;
- FILLER_1_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 16650 ) N ;
- FILLER_1_221 sky130_fd_sc_ls__decap_4 + PLACED ( 111840 16650 ) N ;
- FILLER_1_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 16650 ) N ;
- FILLER_1_237 sky130_fd_sc_ls__decap_4 + PLACED ( 119520 16650 ) N ;
- FILLER_1_245 sky130_fd_sc_ls__decap_4 + PLACED ( 123360 16650 ) N ;
- FILLER_1_253 sky130_fd_sc_ls__decap_4 + PLACED ( 127200 16650 ) N ;
- FILLER_1_261 sky130_fd_sc_ls__decap_4 + PLACED ( 131040 16650 ) N ;
- FILLER_1_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 16650 ) N ;
- FILLER_1_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 16650 ) N ;
- FILLER_1_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 16650 ) N ;
- FILLER_1_284 sky130_fd_sc_ls__decap_4 + PLACED ( 142080 16650 ) N ;
- FILLER_1_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 16650 ) N ;
- FILLER_1_300 sky130_fd_sc_ls__decap_4 + PLACED ( 149760 16650 ) N ;
- FILLER_1_308 sky130_fd_sc_ls__decap_4 + PLACED ( 153600 16650 ) N ;
- FILLER_1_316 sky130_fd_sc_ls__decap_4 + PLACED ( 157440 16650 ) N ;
- FILLER_1_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 16650 ) N ;
- FILLER_1_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 16650 ) N ;
- FILLER_1_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 16650 ) N ;
- FILLER_1_339 sky130_fd_sc_ls__decap_4 + PLACED ( 168480 16650 ) N ;
- FILLER_1_347 sky130_fd_sc_ls__decap_4 + PLACED ( 172320 16650 ) N ;
- FILLER_1_355 sky130_fd_sc_ls__decap_4 + PLACED ( 176160 16650 ) N ;
- FILLER_1_363 sky130_fd_sc_ls__decap_4 + PLACED ( 180000 16650 ) N ;
- FILLER_1_371 sky130_fd_sc_ls__decap_4 + PLACED ( 183840 16650 ) N ;
- FILLER_1_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 16650 ) N ;
- FILLER_1_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 16650 ) N ;
- FILLER_1_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 16650 ) N ;
- FILLER_1_394 sky130_fd_sc_ls__decap_4 + PLACED ( 194880 16650 ) N ;
- FILLER_1_402 sky130_fd_sc_ls__decap_4 + PLACED ( 198720 16650 ) N ;
- FILLER_1_410 sky130_fd_sc_ls__decap_4 + PLACED ( 202560 16650 ) N ;
- FILLER_1_418 sky130_fd_sc_ls__decap_4 + PLACED ( 206400 16650 ) N ;
- FILLER_1_426 sky130_fd_sc_ls__decap_4 + PLACED ( 210240 16650 ) N ;
- FILLER_1_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 16650 ) N ;
- FILLER_1_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 16650 ) N ;
- FILLER_1_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 16650 ) N ;
- FILLER_1_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 16650 ) N ;
- FILLER_1_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 16650 ) N ;
- FILLER_1_465 sky130_fd_sc_ls__decap_4 + PLACED ( 228960 16650 ) N ;
- FILLER_1_473 sky130_fd_sc_ls__decap_4 + PLACED ( 232800 16650 ) N ;
- FILLER_1_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 16650 ) N ;
- FILLER_1_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 16650 ) N ;
- FILLER_1_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 16650 ) N ;
- FILLER_1_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 16650 ) N ;
- FILLER_1_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 16650 ) N ;
- FILLER_1_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 16650 ) N ;
- FILLER_1_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 16650 ) N ;
- FILLER_1_525 sky130_fd_sc_ls__decap_4 + PLACED ( 257760 16650 ) N ;
- FILLER_1_533 sky130_fd_sc_ls__decap_4 + PLACED ( 261600 16650 ) N ;
- FILLER_1_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 16650 ) N ;
- FILLER_1_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 16650 ) N ;
- FILLER_1_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 16650 ) N ;
- FILLER_1_559 sky130_fd_sc_ls__decap_4 + PLACED ( 274080 16650 ) N ;
- FILLER_1_567 sky130_fd_sc_ls__decap_4 + PLACED ( 277920 16650 ) N ;
- FILLER_1_575 sky130_fd_sc_ls__decap_4 + PLACED ( 281760 16650 ) N ;
- FILLER_1_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 16650 ) N ;
- FILLER_1_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 16650 ) N ;
- FILLER_1_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 16650 ) N ;
- FILLER_2_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 19980 ) FS ;
- FILLER_2_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 19980 ) FS ;
- FILLER_2_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 19980 ) FS ;
- FILLER_2_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 19980 ) FS ;
- FILLER_2_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 19980 ) FS ;
- FILLER_2_45 sky130_fd_sc_ls__decap_4 + PLACED ( 27360 19980 ) FS ;
- FILLER_2_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 19980 ) FS ;
- FILLER_2_61 sky130_fd_sc_ls__decap_4 + PLACED ( 35040 19980 ) FS ;
- FILLER_2_69 sky130_fd_sc_ls__decap_4 + PLACED ( 38880 19980 ) FS ;
- FILLER_2_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 19980 ) FS ;
- FILLER_2_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 19980 ) FS ;
- FILLER_2_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 19980 ) FS ;
- FILLER_2_92 sky130_fd_sc_ls__decap_4 + PLACED ( 49920 19980 ) FS ;
- FILLER_2_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 19980 ) FS ;
- FILLER_2_108 sky130_fd_sc_ls__decap_4 + PLACED ( 57600 19980 ) FS ;
- FILLER_2_116 sky130_fd_sc_ls__decap_4 + PLACED ( 61440 19980 ) FS ;
- FILLER_2_124 sky130_fd_sc_ls__decap_4 + PLACED ( 65280 19980 ) FS ;
- FILLER_2_128 sky130_fd_sc_ls__fill_1 + PLACED ( 67200 19980 ) FS ;
- FILLER_2_133 sky130_fd_sc_ls__decap_4 + PLACED ( 69600 19980 ) FS ;
- FILLER_2_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 19980 ) FS ;
- FILLER_2_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 19980 ) FS ;
- FILLER_2_147 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 76320 19980 ) FS ;
- FILLER_2_153 sky130_fd_sc_ls__decap_4 + PLACED ( 79200 19980 ) FS ;
- FILLER_2_161 sky130_fd_sc_ls__decap_4 + PLACED ( 83040 19980 ) FS ;
- FILLER_2_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 19980 ) FS ;
- FILLER_2_177 sky130_fd_sc_ls__decap_4 + PLACED ( 90720 19980 ) FS ;
- FILLER_2_185 sky130_fd_sc_ls__decap_8 + PLACED ( 94560 19980 ) FS ;
- FILLER_2_194 sky130_fd_sc_ls__decap_4 + PLACED ( 98880 19980 ) FS ;
- FILLER_2_198 sky130_fd_sc_ls__fill_1 + PLACED ( 100800 19980 ) FS ;
- FILLER_2_203 sky130_fd_sc_ls__decap_4 + PLACED ( 103200 19980 ) FS ;
- FILLER_2_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 19980 ) FS ;
- FILLER_2_219 sky130_fd_sc_ls__decap_4 + PLACED ( 110880 19980 ) FS ;
- FILLER_2_226 sky130_fd_sc_ls__decap_4 + PLACED ( 114240 19980 ) FS ;
- FILLER_2_234 sky130_fd_sc_ls__decap_4 + PLACED ( 118080 19980 ) FS ;
- FILLER_2_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 19980 ) FS ;
- FILLER_2_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 19980 ) FS ;
- FILLER_2_249 sky130_fd_sc_ls__decap_4 + PLACED ( 125280 19980 ) FS ;
- FILLER_2_257 sky130_fd_sc_ls__decap_4 + PLACED ( 129120 19980 ) FS ;
- FILLER_2_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 19980 ) FS ;
- FILLER_2_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 19980 ) FS ;
- FILLER_2_281 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 140640 19980 ) FS ;
- FILLER_2_287 sky130_fd_sc_ls__decap_4 + PLACED ( 143520 19980 ) FS ;
- FILLER_2_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 19980 ) FS ;
- FILLER_2_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 19980 ) FS ;
- FILLER_2_308 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 153600 19980 ) FS ;
- FILLER_2_314 sky130_fd_sc_ls__decap_4 + PLACED ( 156480 19980 ) FS ;
- FILLER_2_322 sky130_fd_sc_ls__decap_4 + PLACED ( 160320 19980 ) FS ;
- FILLER_2_326 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162240 19980 ) FS ;
- FILLER_2_328 sky130_fd_sc_ls__fill_1 + PLACED ( 163200 19980 ) FS ;
- FILLER_2_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 19980 ) FS ;
- FILLER_2_345 sky130_fd_sc_ls__decap_4 + PLACED ( 171360 19980 ) FS ;
- FILLER_2_353 sky130_fd_sc_ls__decap_4 + PLACED ( 175200 19980 ) FS ;
- FILLER_2_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 19980 ) FS ;
- FILLER_2_359 sky130_fd_sc_ls__decap_4 + PLACED ( 178080 19980 ) FS ;
- FILLER_2_367 sky130_fd_sc_ls__decap_4 + PLACED ( 181920 19980 ) FS ;
- FILLER_2_375 sky130_fd_sc_ls__decap_4 + PLACED ( 185760 19980 ) FS ;
- FILLER_2_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 19980 ) FS ;
- FILLER_2_391 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 193440 19980 ) FS ;
- FILLER_2_393 sky130_fd_sc_ls__fill_1 + PLACED ( 194400 19980 ) FS ;
- FILLER_2_398 sky130_fd_sc_ls__decap_4 + PLACED ( 196800 19980 ) FS ;
- FILLER_2_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 19980 ) FS ;
- FILLER_2_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 19980 ) FS ;
- FILLER_2_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 19980 ) FS ;
- FILLER_2_414 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 204480 19980 ) FS ;
- FILLER_2_421 sky130_fd_sc_ls__decap_4 + PLACED ( 207840 19980 ) FS ;
- FILLER_2_429 sky130_fd_sc_ls__decap_4 + PLACED ( 211680 19980 ) FS ;
- FILLER_2_437 sky130_fd_sc_ls__decap_4 + PLACED ( 215520 19980 ) FS ;
- FILLER_2_445 sky130_fd_sc_ls__decap_8 + PLACED ( 219360 19980 ) FS ;
- FILLER_2_453 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 223200 19980 ) FS ;
- FILLER_2_455 sky130_fd_sc_ls__fill_1 + PLACED ( 224160 19980 ) FS ;
- FILLER_2_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 19980 ) FS ;
- FILLER_2_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 19980 ) FS ;
- FILLER_2_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 19980 ) FS ;
- FILLER_2_479 sky130_fd_sc_ls__decap_4 + PLACED ( 235680 19980 ) FS ;
- FILLER_2_487 sky130_fd_sc_ls__decap_4 + PLACED ( 239520 19980 ) FS ;
- FILLER_2_495 sky130_fd_sc_ls__decap_4 + PLACED ( 243360 19980 ) FS ;
- FILLER_2_503 sky130_fd_sc_ls__decap_4 + PLACED ( 247200 19980 ) FS ;
- FILLER_2_511 sky130_fd_sc_ls__decap_4 + PLACED ( 251040 19980 ) FS ;
- FILLER_2_519 sky130_fd_sc_ls__decap_4 + PLACED ( 254880 19980 ) FS ;
- FILLER_2_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 19980 ) FS ;
- FILLER_2_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 19980 ) FS ;
- FILLER_2_540 sky130_fd_sc_ls__decap_4 + PLACED ( 264960 19980 ) FS ;
- FILLER_2_548 sky130_fd_sc_ls__decap_4 + PLACED ( 268800 19980 ) FS ;
- FILLER_2_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 19980 ) FS ;
- FILLER_2_564 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 276480 19980 ) FS ;
- FILLER_2_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 19980 ) FS ;
- FILLER_2_571 sky130_fd_sc_ls__decap_4 + PLACED ( 279840 19980 ) FS ;
- FILLER_2_575 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281760 19980 ) FS ;
- FILLER_2_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 19980 ) FS ;
- FILLER_2_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 19980 ) FS ;
- FILLER_2_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 19980 ) FS ;
- FILLER_2_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 19980 ) FS ;
- FILLER_3_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 23310 ) N ;
- FILLER_3_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 23310 ) N ;
- FILLER_3_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 23310 ) N ;
- FILLER_3_28 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 19200 23310 ) N ;
- FILLER_3_30 sky130_fd_sc_ls__fill_1 + PLACED ( 20160 23310 ) N ;
- FILLER_3_35 sky130_fd_sc_ls__decap_8 + PLACED ( 22560 23310 ) N ;
- FILLER_3_43 sky130_fd_sc_ls__fill_1 + PLACED ( 26400 23310 ) N ;
- FILLER_3_48 sky130_fd_sc_ls__decap_4 + PLACED ( 28800 23310 ) N ;
- FILLER_3_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 23310 ) N ;
- FILLER_3_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 23310 ) N ;
- FILLER_3_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 23310 ) N ;
- FILLER_3_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 23310 ) N ;
- FILLER_3_80 sky130_fd_sc_ls__decap_4 + PLACED ( 44160 23310 ) N ;
- FILLER_3_88 sky130_fd_sc_ls__decap_4 + PLACED ( 48000 23310 ) N ;
- FILLER_3_96 sky130_fd_sc_ls__decap_4 + PLACED ( 51840 23310 ) N ;
- FILLER_3_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 23310 ) N ;
- FILLER_3_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 23310 ) N ;
- FILLER_3_111 sky130_fd_sc_ls__decap_4 + PLACED ( 59040 23310 ) N ;
- FILLER_3_119 sky130_fd_sc_ls__decap_4 + PLACED ( 62880 23310 ) N ;
- FILLER_3_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 23310 ) N ;
- FILLER_3_131 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 68640 23310 ) N ;
- FILLER_3_137 sky130_fd_sc_ls__decap_4 + PLACED ( 71520 23310 ) N ;
- FILLER_3_145 sky130_fd_sc_ls__decap_4 + PLACED ( 75360 23310 ) N ;
- FILLER_3_153 sky130_fd_sc_ls__decap_4 + PLACED ( 79200 23310 ) N ;
- FILLER_3_161 sky130_fd_sc_ls__decap_4 + PLACED ( 83040 23310 ) N ;
- FILLER_3_166 sky130_fd_sc_ls__decap_4 + PLACED ( 85440 23310 ) N ;
- FILLER_3_174 sky130_fd_sc_ls__decap_4 + PLACED ( 89280 23310 ) N ;
- FILLER_3_182 sky130_fd_sc_ls__decap_4 + PLACED ( 93120 23310 ) N ;
- FILLER_3_190 sky130_fd_sc_ls__decap_4 + PLACED ( 96960 23310 ) N ;
- FILLER_3_198 sky130_fd_sc_ls__decap_4 + PLACED ( 100800 23310 ) N ;
- FILLER_3_206 sky130_fd_sc_ls__decap_4 + PLACED ( 104640 23310 ) N ;
- FILLER_3_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 23310 ) N ;
- FILLER_3_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 23310 ) N ;
- FILLER_3_221 sky130_fd_sc_ls__decap_4 + PLACED ( 111840 23310 ) N ;
- FILLER_3_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 23310 ) N ;
- FILLER_3_237 sky130_fd_sc_ls__decap_4 + PLACED ( 119520 23310 ) N ;
- FILLER_3_245 sky130_fd_sc_ls__decap_4 + PLACED ( 123360 23310 ) N ;
- FILLER_3_253 sky130_fd_sc_ls__decap_4 + PLACED ( 127200 23310 ) N ;
- FILLER_3_261 sky130_fd_sc_ls__decap_4 + PLACED ( 131040 23310 ) N ;
- FILLER_3_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 23310 ) N ;
- FILLER_3_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 23310 ) N ;
- FILLER_3_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 23310 ) N ;
- FILLER_3_284 sky130_fd_sc_ls__decap_4 + PLACED ( 142080 23310 ) N ;
- FILLER_3_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 23310 ) N ;
- FILLER_3_300 sky130_fd_sc_ls__decap_4 + PLACED ( 149760 23310 ) N ;
- FILLER_3_308 sky130_fd_sc_ls__decap_4 + PLACED ( 153600 23310 ) N ;
- FILLER_3_316 sky130_fd_sc_ls__decap_4 + PLACED ( 157440 23310 ) N ;
- FILLER_3_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 23310 ) N ;
- FILLER_3_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 23310 ) N ;
- FILLER_3_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 23310 ) N ;
- FILLER_3_339 sky130_fd_sc_ls__decap_4 + PLACED ( 168480 23310 ) N ;
- FILLER_3_347 sky130_fd_sc_ls__decap_4 + PLACED ( 172320 23310 ) N ;
- FILLER_3_355 sky130_fd_sc_ls__decap_4 + PLACED ( 176160 23310 ) N ;
- FILLER_3_363 sky130_fd_sc_ls__decap_4 + PLACED ( 180000 23310 ) N ;
- FILLER_3_371 sky130_fd_sc_ls__decap_4 + PLACED ( 183840 23310 ) N ;
- FILLER_3_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 23310 ) N ;
- FILLER_3_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 23310 ) N ;
- FILLER_3_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 23310 ) N ;
- FILLER_3_394 sky130_fd_sc_ls__decap_4 + PLACED ( 194880 23310 ) N ;
- FILLER_3_402 sky130_fd_sc_ls__decap_4 + PLACED ( 198720 23310 ) N ;
- FILLER_3_410 sky130_fd_sc_ls__decap_4 + PLACED ( 202560 23310 ) N ;
- FILLER_3_418 sky130_fd_sc_ls__decap_4 + PLACED ( 206400 23310 ) N ;
- FILLER_3_426 sky130_fd_sc_ls__decap_4 + PLACED ( 210240 23310 ) N ;
- FILLER_3_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 23310 ) N ;
- FILLER_3_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 23310 ) N ;
- FILLER_3_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 23310 ) N ;
- FILLER_3_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 23310 ) N ;
- FILLER_3_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 23310 ) N ;
- FILLER_3_465 sky130_fd_sc_ls__decap_4 + PLACED ( 228960 23310 ) N ;
- FILLER_3_473 sky130_fd_sc_ls__decap_4 + PLACED ( 232800 23310 ) N ;
- FILLER_3_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 23310 ) N ;
- FILLER_3_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 23310 ) N ;
- FILLER_3_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 23310 ) N ;
- FILLER_3_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 23310 ) N ;
- FILLER_3_500 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 245760 23310 ) N ;
- FILLER_3_506 sky130_fd_sc_ls__decap_4 + PLACED ( 248640 23310 ) N ;
- FILLER_3_510 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 250560 23310 ) N ;
- FILLER_3_516 sky130_fd_sc_ls__decap_4 + PLACED ( 253440 23310 ) N ;
- FILLER_3_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 23310 ) N ;
- FILLER_3_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 23310 ) N ;
- FILLER_3_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 23310 ) N ;
- FILLER_3_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 23310 ) N ;
- FILLER_3_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 23310 ) N ;
- FILLER_3_559 sky130_fd_sc_ls__decap_4 + PLACED ( 274080 23310 ) N ;
- FILLER_3_563 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 276000 23310 ) N ;
- FILLER_3_565 sky130_fd_sc_ls__fill_1 + PLACED ( 276960 23310 ) N ;
- FILLER_3_570 sky130_fd_sc_ls__decap_4 + PLACED ( 279360 23310 ) N ;
- FILLER_3_578 sky130_fd_sc_ls__decap_4 + PLACED ( 283200 23310 ) N ;
- FILLER_3_586 sky130_fd_sc_ls__decap_4 + PLACED ( 287040 23310 ) N ;
- FILLER_3_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 23310 ) N ;
- FILLER_4_8 sky130_fd_sc_ls__decap_8 + PLACED ( 9600 26640 ) FS ;
- FILLER_4_16 sky130_fd_sc_ls__fill_1 + PLACED ( 13440 26640 ) FS ;
- FILLER_4_21 sky130_fd_sc_ls__decap_4 + PLACED ( 15840 26640 ) FS ;
- FILLER_4_25 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 17760 26640 ) FS ;
- FILLER_4_27 sky130_fd_sc_ls__fill_1 + PLACED ( 18720 26640 ) FS ;
- FILLER_4_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 26640 ) FS ;
- FILLER_4_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 26640 ) FS ;
- FILLER_4_45 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 27360 26640 ) FS ;
- FILLER_4_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 26640 ) FS ;
- FILLER_4_57 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 33120 26640 ) FS ;
- FILLER_4_63 sky130_fd_sc_ls__decap_4 + PLACED ( 36000 26640 ) FS ;
- FILLER_4_71 sky130_fd_sc_ls__decap_4 + PLACED ( 39840 26640 ) FS ;
- FILLER_4_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 26640 ) FS ;
- FILLER_4_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 26640 ) FS ;
- FILLER_4_92 sky130_fd_sc_ls__decap_4 + PLACED ( 49920 26640 ) FS ;
- FILLER_4_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 26640 ) FS ;
- FILLER_4_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 26640 ) FS ;
- FILLER_4_116 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 61440 26640 ) FS ;
- FILLER_4_118 sky130_fd_sc_ls__fill_1 + PLACED ( 62400 26640 ) FS ;
- FILLER_4_123 sky130_fd_sc_ls__decap_4 + PLACED ( 64800 26640 ) FS ;
- FILLER_4_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 26640 ) FS ;
- FILLER_4_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 26640 ) FS ;
- FILLER_4_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 26640 ) FS ;
- FILLER_4_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 26640 ) FS ;
- FILLER_4_143 sky130_fd_sc_ls__fill_1 + PLACED ( 74400 26640 ) FS ;
- FILLER_4_148 sky130_fd_sc_ls__decap_4 + PLACED ( 76800 26640 ) FS ;
- FILLER_4_156 sky130_fd_sc_ls__decap_4 + PLACED ( 80640 26640 ) FS ;
- FILLER_4_164 sky130_fd_sc_ls__decap_4 + PLACED ( 84480 26640 ) FS ;
- FILLER_4_172 sky130_fd_sc_ls__decap_4 + PLACED ( 88320 26640 ) FS ;
- FILLER_4_179 sky130_fd_sc_ls__decap_4 + PLACED ( 91680 26640 ) FS ;
- FILLER_4_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 26640 ) FS ;
- FILLER_4_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 26640 ) FS ;
- FILLER_4_194 sky130_fd_sc_ls__decap_4 + PLACED ( 98880 26640 ) FS ;
- FILLER_4_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 26640 ) FS ;
- FILLER_4_210 sky130_fd_sc_ls__decap_4 + PLACED ( 106560 26640 ) FS ;
- FILLER_4_218 sky130_fd_sc_ls__decap_4 + PLACED ( 110400 26640 ) FS ;
- FILLER_4_226 sky130_fd_sc_ls__decap_4 + PLACED ( 114240 26640 ) FS ;
- FILLER_4_234 sky130_fd_sc_ls__decap_4 + PLACED ( 118080 26640 ) FS ;
- FILLER_4_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 26640 ) FS ;
- FILLER_4_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 26640 ) FS ;
- FILLER_4_249 sky130_fd_sc_ls__decap_4 + PLACED ( 125280 26640 ) FS ;
- FILLER_4_257 sky130_fd_sc_ls__decap_4 + PLACED ( 129120 26640 ) FS ;
- FILLER_4_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 26640 ) FS ;
- FILLER_4_273 sky130_fd_sc_ls__decap_4 + PLACED ( 136800 26640 ) FS ;
- FILLER_4_281 sky130_fd_sc_ls__decap_4 + PLACED ( 140640 26640 ) FS ;
- FILLER_4_289 sky130_fd_sc_ls__decap_4 + PLACED ( 144480 26640 ) FS ;
- FILLER_4_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 26640 ) FS ;
- FILLER_4_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 26640 ) FS ;
- FILLER_4_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 26640 ) FS ;
- FILLER_4_312 sky130_fd_sc_ls__decap_4 + PLACED ( 155520 26640 ) FS ;
- FILLER_4_320 sky130_fd_sc_ls__decap_4 + PLACED ( 159360 26640 ) FS ;
- FILLER_4_328 sky130_fd_sc_ls__decap_4 + PLACED ( 163200 26640 ) FS ;
- FILLER_4_336 sky130_fd_sc_ls__decap_4 + PLACED ( 167040 26640 ) FS ;
- FILLER_4_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 26640 ) FS ;
- FILLER_4_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 26640 ) FS ;
- FILLER_4_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 26640 ) FS ;
- FILLER_4_359 sky130_fd_sc_ls__decap_4 + PLACED ( 178080 26640 ) FS ;
- FILLER_4_367 sky130_fd_sc_ls__decap_4 + PLACED ( 181920 26640 ) FS ;
- FILLER_4_375 sky130_fd_sc_ls__decap_4 + PLACED ( 185760 26640 ) FS ;
- FILLER_4_383 sky130_fd_sc_ls__decap_4 + PLACED ( 189600 26640 ) FS ;
- FILLER_4_391 sky130_fd_sc_ls__decap_4 + PLACED ( 193440 26640 ) FS ;
- FILLER_4_399 sky130_fd_sc_ls__decap_4 + PLACED ( 197280 26640 ) FS ;
- FILLER_4_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 26640 ) FS ;
- FILLER_4_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 26640 ) FS ;
- FILLER_4_414 sky130_fd_sc_ls__decap_4 + PLACED ( 204480 26640 ) FS ;
- FILLER_4_422 sky130_fd_sc_ls__decap_4 + PLACED ( 208320 26640 ) FS ;
- FILLER_4_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 26640 ) FS ;
- FILLER_4_438 sky130_fd_sc_ls__decap_4 + PLACED ( 216000 26640 ) FS ;
- FILLER_4_446 sky130_fd_sc_ls__decap_4 + PLACED ( 219840 26640 ) FS ;
- FILLER_4_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 26640 ) FS ;
- FILLER_4_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 26640 ) FS ;
- FILLER_4_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 26640 ) FS ;
- FILLER_4_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 26640 ) FS ;
- FILLER_4_477 sky130_fd_sc_ls__decap_4 + PLACED ( 234720 26640 ) FS ;
- FILLER_4_485 sky130_fd_sc_ls__decap_4 + PLACED ( 238560 26640 ) FS ;
- FILLER_4_493 sky130_fd_sc_ls__decap_4 + PLACED ( 242400 26640 ) FS ;
- FILLER_4_501 sky130_fd_sc_ls__decap_4 + PLACED ( 246240 26640 ) FS ;
- FILLER_4_509 sky130_fd_sc_ls__decap_4 + PLACED ( 250080 26640 ) FS ;
- FILLER_4_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 26640 ) FS ;
- FILLER_4_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 26640 ) FS ;
- FILLER_4_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 26640 ) FS ;
- FILLER_4_528 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 259200 26640 ) FS ;
- FILLER_4_530 sky130_fd_sc_ls__fill_1 + PLACED ( 260160 26640 ) FS ;
- FILLER_4_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 26640 ) FS ;
- FILLER_4_543 sky130_fd_sc_ls__decap_4 + PLACED ( 266400 26640 ) FS ;
- FILLER_4_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 26640 ) FS ;
- FILLER_4_559 sky130_fd_sc_ls__decap_4 + PLACED ( 274080 26640 ) FS ;
- FILLER_4_566 sky130_fd_sc_ls__decap_4 + PLACED ( 277440 26640 ) FS ;
- FILLER_4_574 sky130_fd_sc_ls__decap_4 + PLACED ( 281280 26640 ) FS ;
- FILLER_4_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 26640 ) FS ;
- FILLER_4_583 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 285600 26640 ) FS ;
- FILLER_4_585 sky130_fd_sc_ls__fill_1 + PLACED ( 286560 26640 ) FS ;
- FILLER_4_590 sky130_fd_sc_ls__decap_4 + PLACED ( 288960 26640 ) FS ;
- FILLER_4_594 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 290880 26640 ) FS ;
- FILLER_4_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 26640 ) FS ;
- FILLER_5_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 29970 ) N ;
- FILLER_5_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 29970 ) N ;
- FILLER_5_20 sky130_fd_sc_ls__fill_1 + PLACED ( 15360 29970 ) N ;
- FILLER_5_25 sky130_fd_sc_ls__decap_4 + PLACED ( 17760 29970 ) N ;
- FILLER_5_33 sky130_fd_sc_ls__decap_4 + PLACED ( 21600 29970 ) N ;
- FILLER_5_41 sky130_fd_sc_ls__decap_4 + PLACED ( 25440 29970 ) N ;
- FILLER_5_49 sky130_fd_sc_ls__decap_4 + PLACED ( 29280 29970 ) N ;
- FILLER_5_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 29970 ) N ;
- FILLER_5_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 29970 ) N ;
- FILLER_5_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 29970 ) N ;
- FILLER_5_80 sky130_fd_sc_ls__decap_4 + PLACED ( 44160 29970 ) N ;
- FILLER_5_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 29970 ) N ;
- FILLER_5_90 sky130_fd_sc_ls__decap_4 + PLACED ( 48960 29970 ) N ;
- FILLER_5_98 sky130_fd_sc_ls__decap_4 + PLACED ( 52800 29970 ) N ;
- FILLER_5_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 29970 ) N ;
- FILLER_5_111 sky130_fd_sc_ls__decap_4 + PLACED ( 59040 29970 ) N ;
- FILLER_5_119 sky130_fd_sc_ls__decap_4 + PLACED ( 62880 29970 ) N ;
- FILLER_5_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 29970 ) N ;
- FILLER_5_135 sky130_fd_sc_ls__decap_4 + PLACED ( 70560 29970 ) N ;
- FILLER_5_143 sky130_fd_sc_ls__decap_4 + PLACED ( 74400 29970 ) N ;
- FILLER_5_151 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 78240 29970 ) N ;
- FILLER_5_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 29970 ) N ;
- FILLER_5_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 29970 ) N ;
- FILLER_5_166 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85440 29970 ) N ;
- FILLER_5_174 sky130_fd_sc_ls__decap_4 + PLACED ( 89280 29970 ) N ;
- FILLER_5_182 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 93120 29970 ) N ;
- FILLER_5_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 29970 ) N ;
- FILLER_5_198 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 100800 29970 ) N ;
- FILLER_5_206 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 104640 29970 ) N ;
- FILLER_5_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 29970 ) N ;
- FILLER_5_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 29970 ) N ;
- FILLER_5_221 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 111840 29970 ) N ;
- FILLER_5_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 29970 ) N ;
- FILLER_5_237 sky130_fd_sc_ls__decap_4 + PLACED ( 119520 29970 ) N ;
- FILLER_5_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 29970 ) N ;
- FILLER_5_253 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 127200 29970 ) N ;
- FILLER_5_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 29970 ) N ;
- FILLER_5_271 sky130_fd_sc_ls__decap_4 + PLACED ( 135840 29970 ) N ;
- FILLER_5_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 29970 ) N ;
- FILLER_5_284 sky130_fd_sc_ls__decap_4 + PLACED ( 142080 29970 ) N ;
- FILLER_5_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 29970 ) N ;
- FILLER_5_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 29970 ) N ;
- FILLER_5_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 29970 ) N ;
- FILLER_5_309 sky130_fd_sc_ls__decap_4 + PLACED ( 154080 29970 ) N ;
- FILLER_5_317 sky130_fd_sc_ls__decap_4 + PLACED ( 157920 29970 ) N ;
- FILLER_5_325 sky130_fd_sc_ls__decap_4 + PLACED ( 161760 29970 ) N ;
- FILLER_5_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 29970 ) N ;
- FILLER_5_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 29970 ) N ;
- FILLER_5_339 sky130_fd_sc_ls__decap_4 + PLACED ( 168480 29970 ) N ;
- FILLER_5_347 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 172320 29970 ) N ;
- FILLER_5_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 29970 ) N ;
- FILLER_5_363 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 180000 29970 ) N ;
- FILLER_5_365 sky130_fd_sc_ls__fill_1 + PLACED ( 180960 29970 ) N ;
- FILLER_5_370 sky130_fd_sc_ls__decap_4 + PLACED ( 183360 29970 ) N ;
- FILLER_5_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 29970 ) N ;
- FILLER_5_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 29970 ) N ;
- FILLER_5_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 29970 ) N ;
- FILLER_5_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 29970 ) N ;
- FILLER_5_390 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 192960 29970 ) N ;
- FILLER_5_392 sky130_fd_sc_ls__fill_1 + PLACED ( 193920 29970 ) N ;
- FILLER_5_397 sky130_fd_sc_ls__decap_8 + PLACED ( 196320 29970 ) N ;
- FILLER_5_405 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 200160 29970 ) N ;
- FILLER_5_407 sky130_fd_sc_ls__fill_1 + PLACED ( 201120 29970 ) N ;
- FILLER_5_412 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203520 29970 ) N ;
- FILLER_5_420 sky130_fd_sc_ls__decap_4 + PLACED ( 207360 29970 ) N ;
- FILLER_5_428 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 211200 29970 ) N ;
- FILLER_5_436 sky130_fd_sc_ls__decap_4 + PLACED ( 215040 29970 ) N ;
- FILLER_5_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 29970 ) N ;
- FILLER_5_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 29970 ) N ;
- FILLER_5_457 sky130_fd_sc_ls__decap_4 + PLACED ( 225120 29970 ) N ;
- FILLER_5_461 sky130_fd_sc_ls__fill_1 + PLACED ( 227040 29970 ) N ;
- FILLER_5_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 29970 ) N ;
- FILLER_5_473 sky130_fd_sc_ls__decap_4 + PLACED ( 232800 29970 ) N ;
- FILLER_5_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 29970 ) N ;
- FILLER_5_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 29970 ) N ;
- FILLER_5_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 29970 ) N ;
- FILLER_5_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 29970 ) N ;
- FILLER_5_504 sky130_fd_sc_ls__decap_4 + PLACED ( 247680 29970 ) N ;
- FILLER_5_512 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 251520 29970 ) N ;
- FILLER_5_520 sky130_fd_sc_ls__decap_4 + PLACED ( 255360 29970 ) N ;
- FILLER_5_528 sky130_fd_sc_ls__decap_4 + PLACED ( 259200 29970 ) N ;
- FILLER_5_536 sky130_fd_sc_ls__decap_4 + PLACED ( 263040 29970 ) N ;
- FILLER_5_540 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 264960 29970 ) N ;
- FILLER_5_546 sky130_fd_sc_ls__decap_4 + PLACED ( 267840 29970 ) N ;
- FILLER_5_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 29970 ) N ;
- FILLER_5_559 sky130_fd_sc_ls__decap_4 + PLACED ( 274080 29970 ) N ;
- FILLER_5_567 sky130_fd_sc_ls__decap_4 + PLACED ( 277920 29970 ) N ;
- FILLER_5_575 sky130_fd_sc_ls__decap_4 + PLACED ( 281760 29970 ) N ;
- FILLER_5_579 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 283680 29970 ) N ;
- FILLER_5_585 sky130_fd_sc_ls__decap_4 + PLACED ( 286560 29970 ) N ;
- FILLER_5_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 29970 ) N ;
- FILLER_6_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 33300 ) FS ;
- FILLER_6_12 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 11520 33300 ) FS ;
- FILLER_6_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 33300 ) FS ;
- FILLER_6_26 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 18240 33300 ) FS ;
- FILLER_6_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 33300 ) FS ;
- FILLER_6_37 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 23520 33300 ) FS ;
- FILLER_6_45 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 27360 33300 ) FS ;
- FILLER_6_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 33300 ) FS ;
- FILLER_6_61 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 35040 33300 ) FS ;
- FILLER_6_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 33300 ) FS ;
- FILLER_6_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 33300 ) FS ;
- FILLER_6_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 33300 ) FS ;
- FILLER_6_92 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 49920 33300 ) FS ;
- FILLER_6_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 33300 ) FS ;
- FILLER_6_104 sky130_fd_sc_ls__fill_1 + PLACED ( 55680 33300 ) FS ;
- FILLER_6_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 33300 ) FS ;
- FILLER_6_117 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 61920 33300 ) FS ;
- FILLER_6_119 sky130_fd_sc_ls__fill_1 + PLACED ( 62880 33300 ) FS ;
- FILLER_6_124 sky130_fd_sc_ls__decap_4 + PLACED ( 65280 33300 ) FS ;
- FILLER_6_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 33300 ) FS ;
- FILLER_6_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 33300 ) FS ;
- FILLER_6_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 33300 ) FS ;
- FILLER_6_147 sky130_fd_sc_ls__decap_4 + PLACED ( 76320 33300 ) FS ;
- FILLER_6_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 33300 ) FS ;
- FILLER_6_163 sky130_fd_sc_ls__fill_1 + PLACED ( 84000 33300 ) FS ;
- FILLER_6_170 sky130_fd_sc_ls__decap_4 + PLACED ( 87360 33300 ) FS ;
- FILLER_6_178 sky130_fd_sc_ls__decap_4 + PLACED ( 91200 33300 ) FS ;
- FILLER_6_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 33300 ) FS ;
- FILLER_6_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 33300 ) FS ;
- FILLER_6_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 33300 ) FS ;
- FILLER_6_194 sky130_fd_sc_ls__decap_4 + PLACED ( 98880 33300 ) FS ;
- FILLER_6_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 33300 ) FS ;
- FILLER_6_210 sky130_fd_sc_ls__decap_4 + PLACED ( 106560 33300 ) FS ;
- FILLER_6_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 33300 ) FS ;
- FILLER_6_226 sky130_fd_sc_ls__decap_4 + PLACED ( 114240 33300 ) FS ;
- FILLER_6_234 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 118080 33300 ) FS ;
- FILLER_6_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 33300 ) FS ;
- FILLER_6_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 33300 ) FS ;
- FILLER_6_249 sky130_fd_sc_ls__decap_4 + PLACED ( 125280 33300 ) FS ;
- FILLER_6_257 sky130_fd_sc_ls__decap_4 + PLACED ( 129120 33300 ) FS ;
- FILLER_6_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 33300 ) FS ;
- FILLER_6_273 sky130_fd_sc_ls__decap_4 + PLACED ( 136800 33300 ) FS ;
- FILLER_6_281 sky130_fd_sc_ls__decap_4 + PLACED ( 140640 33300 ) FS ;
- FILLER_6_289 sky130_fd_sc_ls__decap_4 + PLACED ( 144480 33300 ) FS ;
- FILLER_6_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 33300 ) FS ;
- FILLER_6_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 33300 ) FS ;
- FILLER_6_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 33300 ) FS ;
- FILLER_6_312 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 155520 33300 ) FS ;
- FILLER_6_320 sky130_fd_sc_ls__decap_4 + PLACED ( 159360 33300 ) FS ;
- FILLER_6_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 33300 ) FS ;
- FILLER_6_336 sky130_fd_sc_ls__decap_4 + PLACED ( 167040 33300 ) FS ;
- FILLER_6_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 33300 ) FS ;
- FILLER_6_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 33300 ) FS ;
- FILLER_6_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 33300 ) FS ;
- FILLER_6_359 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 178080 33300 ) FS ;
- FILLER_6_367 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 181920 33300 ) FS ;
- FILLER_6_375 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 185760 33300 ) FS ;
- FILLER_6_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 33300 ) FS ;
- FILLER_6_391 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 193440 33300 ) FS ;
- FILLER_6_399 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 197280 33300 ) FS ;
- FILLER_6_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 33300 ) FS ;
- FILLER_6_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 33300 ) FS ;
- FILLER_6_414 sky130_fd_sc_ls__decap_4 + PLACED ( 204480 33300 ) FS ;
- FILLER_6_422 sky130_fd_sc_ls__decap_4 + PLACED ( 208320 33300 ) FS ;
- FILLER_6_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 33300 ) FS ;
- FILLER_6_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 33300 ) FS ;
- FILLER_6_448 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 220800 33300 ) FS ;
- FILLER_6_454 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 223680 33300 ) FS ;
- FILLER_6_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 33300 ) FS ;
- FILLER_6_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 33300 ) FS ;
- FILLER_6_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 33300 ) FS ;
- FILLER_6_477 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 234720 33300 ) FS ;
- FILLER_6_487 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 239520 33300 ) FS ;
- FILLER_6_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 33300 ) FS ;
- FILLER_6_501 sky130_fd_sc_ls__decap_4 + PLACED ( 246240 33300 ) FS ;
- FILLER_6_505 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 248160 33300 ) FS ;
- FILLER_6_507 sky130_fd_sc_ls__fill_1 + PLACED ( 249120 33300 ) FS ;
- FILLER_6_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 33300 ) FS ;
- FILLER_6_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 33300 ) FS ;
- FILLER_6_524 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 257280 33300 ) FS ;
- FILLER_6_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 33300 ) FS ;
- FILLER_6_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 33300 ) FS ;
- FILLER_6_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 33300 ) FS ;
- FILLER_6_554 sky130_fd_sc_ls__decap_4 + PLACED ( 271680 33300 ) FS ;
- FILLER_6_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 33300 ) FS ;
- FILLER_6_570 sky130_fd_sc_ls__decap_8 + PLACED ( 279360 33300 ) FS ;
- FILLER_6_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 33300 ) FS ;
- FILLER_6_587 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 287520 33300 ) FS ;
- FILLER_6_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 33300 ) FS ;
- FILLER_7_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 36630 ) N ;
- FILLER_7_16 sky130_fd_sc_ls__decap_4 + PLACED ( 13440 36630 ) N ;
- FILLER_7_24 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 17280 36630 ) N ;
- FILLER_7_32 sky130_fd_sc_ls__decap_4 + PLACED ( 21120 36630 ) N ;
- FILLER_7_40 sky130_fd_sc_ls__decap_4 + PLACED ( 24960 36630 ) N ;
- FILLER_7_48 sky130_fd_sc_ls__decap_4 + PLACED ( 28800 36630 ) N ;
- FILLER_7_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 36630 ) N ;
- FILLER_7_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 36630 ) N ;
- FILLER_7_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 36630 ) N ;
- FILLER_7_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 36630 ) N ;
- FILLER_7_80 sky130_fd_sc_ls__decap_4 + PLACED ( 44160 36630 ) N ;
- FILLER_7_88 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 48000 36630 ) N ;
- FILLER_7_96 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 51840 36630 ) N ;
- FILLER_7_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 36630 ) N ;
- FILLER_7_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 36630 ) N ;
- FILLER_7_111 sky130_fd_sc_ls__decap_4 + PLACED ( 59040 36630 ) N ;
- FILLER_7_119 sky130_fd_sc_ls__decap_4 + PLACED ( 62880 36630 ) N ;
- FILLER_7_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 36630 ) N ;
- FILLER_7_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 36630 ) N ;
- FILLER_7_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 36630 ) N ;
- FILLER_7_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 36630 ) N ;
- FILLER_7_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 36630 ) N ;
- FILLER_7_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 36630 ) N ;
- FILLER_7_174 sky130_fd_sc_ls__decap_4 + PLACED ( 89280 36630 ) N ;
- FILLER_7_178 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 91200 36630 ) N ;
- FILLER_7_180 sky130_fd_sc_ls__fill_1 + PLACED ( 92160 36630 ) N ;
- FILLER_7_184 sky130_fd_sc_ls__decap_8 + PLACED ( 94080 36630 ) N ;
- FILLER_7_192 sky130_fd_sc_ls__decap_4 + PLACED ( 97920 36630 ) N ;
- FILLER_7_196 sky130_fd_sc_ls__fill_1 + PLACED ( 99840 36630 ) N ;
- FILLER_7_200 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 101760 36630 ) N ;
- FILLER_7_208 sky130_fd_sc_ls__decap_8 + PLACED ( 105600 36630 ) N ;
- FILLER_7_216 sky130_fd_sc_ls__decap_4 + PLACED ( 109440 36630 ) N ;
- FILLER_7_221 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 111840 36630 ) N ;
- FILLER_7_223 sky130_fd_sc_ls__fill_1 + PLACED ( 112800 36630 ) N ;
- FILLER_7_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 36630 ) N ;
- FILLER_7_233 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 117600 36630 ) N ;
- FILLER_7_239 sky130_fd_sc_ls__decap_4 + PLACED ( 120480 36630 ) N ;
- FILLER_7_247 sky130_fd_sc_ls__decap_4 + PLACED ( 124320 36630 ) N ;
- FILLER_7_255 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 128160 36630 ) N ;
- FILLER_7_263 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 132000 36630 ) N ;
- FILLER_7_271 sky130_fd_sc_ls__decap_4 + PLACED ( 135840 36630 ) N ;
- FILLER_7_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 36630 ) N ;
- FILLER_7_280 sky130_fd_sc_ls__fill_1 + PLACED ( 140160 36630 ) N ;
- FILLER_7_285 sky130_fd_sc_ls__decap_4 + PLACED ( 142560 36630 ) N ;
- FILLER_7_289 sky130_fd_sc_ls__fill_1 + PLACED ( 144480 36630 ) N ;
- FILLER_7_296 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 147840 36630 ) N ;
- FILLER_7_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 36630 ) N ;
- FILLER_7_308 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 153600 36630 ) N ;
- FILLER_7_310 sky130_fd_sc_ls__fill_1 + PLACED ( 154560 36630 ) N ;
- FILLER_7_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 36630 ) N ;
- FILLER_7_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 36630 ) N ;
- FILLER_7_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 36630 ) N ;
- FILLER_7_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 36630 ) N ;
- FILLER_7_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 36630 ) N ;
- FILLER_7_335 sky130_fd_sc_ls__fill_1 + PLACED ( 166560 36630 ) N ;
- FILLER_7_342 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 169920 36630 ) N ;
- FILLER_7_350 sky130_fd_sc_ls__decap_4 + PLACED ( 173760 36630 ) N ;
- FILLER_7_358 sky130_fd_sc_ls__decap_4 + PLACED ( 177600 36630 ) N ;
- FILLER_7_366 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 181440 36630 ) N ;
- FILLER_7_374 sky130_fd_sc_ls__decap_8 + PLACED ( 185280 36630 ) N ;
- FILLER_7_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 36630 ) N ;
- FILLER_7_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 36630 ) N ;
- FILLER_7_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 36630 ) N ;
- FILLER_7_394 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 194880 36630 ) N ;
- FILLER_7_402 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 198720 36630 ) N ;
- FILLER_7_410 sky130_fd_sc_ls__decap_4 + PLACED ( 202560 36630 ) N ;
- FILLER_7_418 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 206400 36630 ) N ;
- FILLER_7_426 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 210240 36630 ) N ;
- FILLER_7_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 36630 ) N ;
- FILLER_7_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 36630 ) N ;
- FILLER_7_441 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 217440 36630 ) N ;
- FILLER_7_457 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 225120 36630 ) N ;
- FILLER_7_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 36630 ) N ;
- FILLER_7_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 36630 ) N ;
- FILLER_7_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 36630 ) N ;
- FILLER_7_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 36630 ) N ;
- FILLER_7_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 36630 ) N ;
- FILLER_7_496 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 243840 36630 ) N ;
- FILLER_7_504 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 247680 36630 ) N ;
- FILLER_7_512 sky130_fd_sc_ls__decap_4 + PLACED ( 251520 36630 ) N ;
- FILLER_7_524 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 257280 36630 ) N ;
- FILLER_7_530 sky130_fd_sc_ls__decap_4 + PLACED ( 260160 36630 ) N ;
- FILLER_7_538 sky130_fd_sc_ls__decap_4 + PLACED ( 264000 36630 ) N ;
- FILLER_7_546 sky130_fd_sc_ls__decap_4 + PLACED ( 267840 36630 ) N ;
- FILLER_7_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 36630 ) N ;
- FILLER_7_559 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 274080 36630 ) N ;
- FILLER_7_565 sky130_fd_sc_ls__decap_4 + PLACED ( 276960 36630 ) N ;
- FILLER_7_573 sky130_fd_sc_ls__decap_4 + PLACED ( 280800 36630 ) N ;
- FILLER_7_581 sky130_fd_sc_ls__decap_4 + PLACED ( 284640 36630 ) N ;
- FILLER_7_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 36630 ) N ;
- FILLER_8_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 39960 ) FS ;
- FILLER_8_16 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 13440 39960 ) FS ;
- FILLER_8_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 39960 ) FS ;
- FILLER_8_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 39960 ) FS ;
- FILLER_8_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 39960 ) FS ;
- FILLER_8_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 39960 ) FS ;
- FILLER_8_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 39960 ) FS ;
- FILLER_8_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 39960 ) FS ;
- FILLER_8_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 39960 ) FS ;
- FILLER_8_80 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44160 39960 ) FS ;
- FILLER_8_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 39960 ) FS ;
- FILLER_8_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 39960 ) FS ;
- FILLER_8_92 sky130_fd_sc_ls__decap_4 + PLACED ( 49920 39960 ) FS ;
- FILLER_8_100 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 53760 39960 ) FS ;
- FILLER_8_108 sky130_fd_sc_ls__decap_4 + PLACED ( 57600 39960 ) FS ;
- FILLER_8_116 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 61440 39960 ) FS ;
- FILLER_8_124 sky130_fd_sc_ls__decap_4 + PLACED ( 65280 39960 ) FS ;
- FILLER_8_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 39960 ) FS ;
- FILLER_8_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 39960 ) FS ;
- FILLER_8_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 39960 ) FS ;
- FILLER_8_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 39960 ) FS ;
- FILLER_8_154 sky130_fd_sc_ls__fill_1 + PLACED ( 79680 39960 ) FS ;
- FILLER_8_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 39960 ) FS ;
- FILLER_8_167 sky130_fd_sc_ls__decap_8 + PLACED ( 85920 39960 ) FS ;
- FILLER_8_175 sky130_fd_sc_ls__decap_8 + PLACED ( 89760 39960 ) FS ;
- FILLER_8_183 sky130_fd_sc_ls__decap_8 + PLACED ( 93600 39960 ) FS ;
- FILLER_8_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 39960 ) FS ;
- FILLER_8_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 39960 ) FS ;
- FILLER_8_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 39960 ) FS ;
- FILLER_8_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 39960 ) FS ;
- FILLER_8_218 sky130_fd_sc_ls__decap_4 + PLACED ( 110400 39960 ) FS ;
- FILLER_8_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 39960 ) FS ;
- FILLER_8_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 39960 ) FS ;
- FILLER_8_241 sky130_fd_sc_ls__decap_4 + PLACED ( 121440 39960 ) FS ;
- FILLER_8_245 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123360 39960 ) FS ;
- FILLER_8_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 39960 ) FS ;
- FILLER_8_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 39960 ) FS ;
- FILLER_8_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 39960 ) FS ;
- FILLER_8_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 39960 ) FS ;
- FILLER_8_272 sky130_fd_sc_ls__decap_8 + PLACED ( 136320 39960 ) FS ;
- FILLER_8_280 sky130_fd_sc_ls__decap_8 + PLACED ( 140160 39960 ) FS ;
- FILLER_8_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 39960 ) FS ;
- FILLER_8_299 sky130_fd_sc_ls__decap_4 + PLACED ( 149280 39960 ) FS ;
- FILLER_8_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 39960 ) FS ;
- FILLER_8_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 39960 ) FS ;
- FILLER_8_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 39960 ) FS ;
- FILLER_8_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 39960 ) FS ;
- FILLER_8_336 sky130_fd_sc_ls__decap_4 + PLACED ( 167040 39960 ) FS ;
- FILLER_8_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 39960 ) FS ;
- FILLER_8_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 39960 ) FS ;
- FILLER_8_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 39960 ) FS ;
- FILLER_8_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 39960 ) FS ;
- FILLER_8_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 39960 ) FS ;
- FILLER_8_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 39960 ) FS ;
- FILLER_8_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 39960 ) FS ;
- FILLER_8_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 39960 ) FS ;
- FILLER_8_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 39960 ) FS ;
- FILLER_8_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 39960 ) FS ;
- FILLER_8_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 39960 ) FS ;
- FILLER_8_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 39960 ) FS ;
- FILLER_8_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 39960 ) FS ;
- FILLER_8_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 39960 ) FS ;
- FILLER_8_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 39960 ) FS ;
- FILLER_8_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 39960 ) FS ;
- FILLER_8_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 39960 ) FS ;
- FILLER_8_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 39960 ) FS ;
- FILLER_8_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 39960 ) FS ;
- FILLER_8_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 39960 ) FS ;
- FILLER_8_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 39960 ) FS ;
- FILLER_8_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 39960 ) FS ;
- FILLER_8_485 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 238560 39960 ) FS ;
- FILLER_8_487 sky130_fd_sc_ls__fill_1 + PLACED ( 239520 39960 ) FS ;
- FILLER_8_492 sky130_fd_sc_ls__decap_4 + PLACED ( 241920 39960 ) FS ;
- FILLER_8_500 sky130_fd_sc_ls__decap_4 + PLACED ( 245760 39960 ) FS ;
- FILLER_8_508 sky130_fd_sc_ls__decap_8 + PLACED ( 249600 39960 ) FS ;
- FILLER_8_519 sky130_fd_sc_ls__decap_4 + PLACED ( 254880 39960 ) FS ;
- FILLER_8_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 39960 ) FS ;
- FILLER_8_532 sky130_fd_sc_ls__fill_1 + PLACED ( 261120 39960 ) FS ;
- FILLER_8_537 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 263520 39960 ) FS ;
- FILLER_8_545 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 267360 39960 ) FS ;
- FILLER_8_553 sky130_fd_sc_ls__decap_8 + PLACED ( 271200 39960 ) FS ;
- FILLER_8_561 sky130_fd_sc_ls__fill_1 + PLACED ( 275040 39960 ) FS ;
- FILLER_8_566 sky130_fd_sc_ls__decap_4 + PLACED ( 277440 39960 ) FS ;
- FILLER_8_574 sky130_fd_sc_ls__decap_4 + PLACED ( 281280 39960 ) FS ;
- FILLER_8_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 39960 ) FS ;
- FILLER_8_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 39960 ) FS ;
- FILLER_8_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 39960 ) FS ;
- FILLER_9_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 43290 ) N ;
- FILLER_9_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 43290 ) N ;
- FILLER_9_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 43290 ) N ;
- FILLER_9_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 43290 ) N ;
- FILLER_9_36 sky130_fd_sc_ls__decap_4 + PLACED ( 23040 43290 ) N ;
- FILLER_9_40 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 24960 43290 ) N ;
- FILLER_9_47 sky130_fd_sc_ls__decap_8 + PLACED ( 28320 43290 ) N ;
- FILLER_9_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 43290 ) N ;
- FILLER_9_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 43290 ) N ;
- FILLER_9_83 sky130_fd_sc_ls__decap_8 + PLACED ( 45600 43290 ) N ;
- FILLER_9_91 sky130_fd_sc_ls__decap_8 + PLACED ( 49440 43290 ) N ;
- FILLER_9_99 sky130_fd_sc_ls__decap_8 + PLACED ( 53280 43290 ) N ;
- FILLER_9_107 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57120 43290 ) N ;
- FILLER_9_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 43290 ) N ;
- FILLER_9_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 43290 ) N ;
- FILLER_9_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 43290 ) N ;
- FILLER_9_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 43290 ) N ;
- FILLER_9_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 43290 ) N ;
- FILLER_9_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 43290 ) N ;
- FILLER_9_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 43290 ) N ;
- FILLER_9_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 43290 ) N ;
- FILLER_9_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 43290 ) N ;
- FILLER_9_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 43290 ) N ;
- FILLER_9_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 43290 ) N ;
- FILLER_9_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 43290 ) N ;
- FILLER_9_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 43290 ) N ;
- FILLER_9_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 43290 ) N ;
- FILLER_9_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 43290 ) N ;
- FILLER_9_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 43290 ) N ;
- FILLER_9_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 43290 ) N ;
- FILLER_9_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 43290 ) N ;
- FILLER_9_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 43290 ) N ;
- FILLER_9_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 43290 ) N ;
- FILLER_9_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 43290 ) N ;
- FILLER_9_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 43290 ) N ;
- FILLER_9_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 43290 ) N ;
- FILLER_9_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 43290 ) N ;
- FILLER_9_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 43290 ) N ;
- FILLER_9_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 43290 ) N ;
- FILLER_9_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 43290 ) N ;
- FILLER_9_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 43290 ) N ;
- FILLER_9_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 43290 ) N ;
- FILLER_9_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 43290 ) N ;
- FILLER_9_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 43290 ) N ;
- FILLER_9_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 43290 ) N ;
- FILLER_9_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 43290 ) N ;
- FILLER_9_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 43290 ) N ;
- FILLER_9_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 43290 ) N ;
- FILLER_9_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 43290 ) N ;
- FILLER_9_355 sky130_fd_sc_ls__fill_1 + PLACED ( 176160 43290 ) N ;
- FILLER_9_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 43290 ) N ;
- FILLER_9_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 43290 ) N ;
- FILLER_9_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 43290 ) N ;
- FILLER_9_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 43290 ) N ;
- FILLER_9_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 43290 ) N ;
- FILLER_9_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 43290 ) N ;
- FILLER_9_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 43290 ) N ;
- FILLER_9_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 43290 ) N ;
- FILLER_9_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 43290 ) N ;
- FILLER_9_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 43290 ) N ;
- FILLER_9_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 43290 ) N ;
- FILLER_9_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 43290 ) N ;
- FILLER_9_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 43290 ) N ;
- FILLER_9_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 43290 ) N ;
- FILLER_9_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 43290 ) N ;
- FILLER_9_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 43290 ) N ;
- FILLER_9_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 43290 ) N ;
- FILLER_9_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 43290 ) N ;
- FILLER_9_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 43290 ) N ;
- FILLER_9_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 43290 ) N ;
- FILLER_9_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 43290 ) N ;
- FILLER_9_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 43290 ) N ;
- FILLER_9_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 43290 ) N ;
- FILLER_9_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 43290 ) N ;
- FILLER_9_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 43290 ) N ;
- FILLER_9_536 sky130_fd_sc_ls__decap_4 + PLACED ( 263040 43290 ) N ;
- FILLER_9_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 43290 ) N ;
- FILLER_9_551 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 270240 43290 ) N ;
- FILLER_9_559 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 274080 43290 ) N ;
- FILLER_9_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 43290 ) N ;
- FILLER_9_575 sky130_fd_sc_ls__fill_1 + PLACED ( 281760 43290 ) N ;
- FILLER_9_580 sky130_fd_sc_ls__decap_4 + PLACED ( 284160 43290 ) N ;
- FILLER_9_588 sky130_fd_sc_ls__decap_8 + PLACED ( 288000 43290 ) N ;
- FILLER_9_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 43290 ) N ;
- FILLER_10_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 46620 ) FS ;
- FILLER_10_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 46620 ) FS ;
- FILLER_10_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 46620 ) FS ;
- FILLER_10_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 46620 ) FS ;
- FILLER_10_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 46620 ) FS ;
- FILLER_10_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 46620 ) FS ;
- FILLER_10_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 46620 ) FS ;
- FILLER_10_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 46620 ) FS ;
- FILLER_10_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 46620 ) FS ;
- FILLER_10_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 46620 ) FS ;
- FILLER_10_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 46620 ) FS ;
- FILLER_10_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 46620 ) FS ;
- FILLER_10_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 46620 ) FS ;
- FILLER_10_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 46620 ) FS ;
- FILLER_10_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 46620 ) FS ;
- FILLER_10_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 46620 ) FS ;
- FILLER_10_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 46620 ) FS ;
- FILLER_10_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 46620 ) FS ;
- FILLER_10_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 46620 ) FS ;
- FILLER_10_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 46620 ) FS ;
- FILLER_10_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 46620 ) FS ;
- FILLER_10_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 46620 ) FS ;
- FILLER_10_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 46620 ) FS ;
- FILLER_10_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 46620 ) FS ;
- FILLER_10_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 46620 ) FS ;
- FILLER_10_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 46620 ) FS ;
- FILLER_10_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 46620 ) FS ;
- FILLER_10_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 46620 ) FS ;
- FILLER_10_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 46620 ) FS ;
- FILLER_10_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 46620 ) FS ;
- FILLER_10_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 46620 ) FS ;
- FILLER_10_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 46620 ) FS ;
- FILLER_10_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 46620 ) FS ;
- FILLER_10_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 46620 ) FS ;
- FILLER_10_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 46620 ) FS ;
- FILLER_10_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 46620 ) FS ;
- FILLER_10_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 46620 ) FS ;
- FILLER_10_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 46620 ) FS ;
- FILLER_10_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 46620 ) FS ;
- FILLER_10_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 46620 ) FS ;
- FILLER_10_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 46620 ) FS ;
- FILLER_10_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 46620 ) FS ;
- FILLER_10_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 46620 ) FS ;
- FILLER_10_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 46620 ) FS ;
- FILLER_10_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 46620 ) FS ;
- FILLER_10_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 46620 ) FS ;
- FILLER_10_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 46620 ) FS ;
- FILLER_10_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 46620 ) FS ;
- FILLER_10_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 46620 ) FS ;
- FILLER_10_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 46620 ) FS ;
- FILLER_10_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 46620 ) FS ;
- FILLER_10_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 46620 ) FS ;
- FILLER_10_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 46620 ) FS ;
- FILLER_10_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 46620 ) FS ;
- FILLER_10_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 46620 ) FS ;
- FILLER_10_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 46620 ) FS ;
- FILLER_10_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 46620 ) FS ;
- FILLER_10_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 46620 ) FS ;
- FILLER_10_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 46620 ) FS ;
- FILLER_10_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 46620 ) FS ;
- FILLER_10_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 46620 ) FS ;
- FILLER_10_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 46620 ) FS ;
- FILLER_10_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 46620 ) FS ;
- FILLER_10_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 46620 ) FS ;
- FILLER_10_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 46620 ) FS ;
- FILLER_10_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 46620 ) FS ;
- FILLER_10_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 46620 ) FS ;
- FILLER_10_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 46620 ) FS ;
- FILLER_10_476 sky130_fd_sc_ls__decap_4 + PLACED ( 234240 46620 ) FS ;
- FILLER_10_483 sky130_fd_sc_ls__decap_8 + PLACED ( 237600 46620 ) FS ;
- FILLER_10_491 sky130_fd_sc_ls__decap_8 + PLACED ( 241440 46620 ) FS ;
- FILLER_10_499 sky130_fd_sc_ls__decap_8 + PLACED ( 245280 46620 ) FS ;
- FILLER_10_507 sky130_fd_sc_ls__decap_8 + PLACED ( 249120 46620 ) FS ;
- FILLER_10_515 sky130_fd_sc_ls__decap_8 + PLACED ( 252960 46620 ) FS ;
- FILLER_10_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 46620 ) FS ;
- FILLER_10_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 46620 ) FS ;
- FILLER_10_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 46620 ) FS ;
- FILLER_10_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 46620 ) FS ;
- FILLER_10_550 sky130_fd_sc_ls__fill_1 + PLACED ( 269760 46620 ) FS ;
- FILLER_10_559 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 274080 46620 ) FS ;
- FILLER_10_565 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 276960 46620 ) FS ;
- FILLER_10_575 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281760 46620 ) FS ;
- FILLER_10_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 46620 ) FS ;
- FILLER_10_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 46620 ) FS ;
- FILLER_10_587 sky130_fd_sc_ls__fill_1 + PLACED ( 287520 46620 ) FS ;
- FILLER_10_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 46620 ) FS ;
- FILLER_10_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 46620 ) FS ;
- FILLER_11_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 49950 ) N ;
- FILLER_11_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 49950 ) N ;
- FILLER_11_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 49950 ) N ;
- FILLER_11_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 49950 ) N ;
- FILLER_11_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 49950 ) N ;
- FILLER_11_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 49950 ) N ;
- FILLER_11_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 49950 ) N ;
- FILLER_11_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 49950 ) N ;
- FILLER_11_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 49950 ) N ;
- FILLER_11_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 49950 ) N ;
- FILLER_11_77 sky130_fd_sc_ls__decap_8 + PLACED ( 42720 49950 ) N ;
- FILLER_11_85 sky130_fd_sc_ls__decap_8 + PLACED ( 46560 49950 ) N ;
- FILLER_11_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 49950 ) N ;
- FILLER_11_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 49950 ) N ;
- FILLER_11_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 49950 ) N ;
- FILLER_11_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 49950 ) N ;
- FILLER_11_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 49950 ) N ;
- FILLER_11_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 49950 ) N ;
- FILLER_11_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 49950 ) N ;
- FILLER_11_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 49950 ) N ;
- FILLER_11_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 49950 ) N ;
- FILLER_11_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 49950 ) N ;
- FILLER_11_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 49950 ) N ;
- FILLER_11_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 49950 ) N ;
- FILLER_11_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 49950 ) N ;
- FILLER_11_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 49950 ) N ;
- FILLER_11_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 49950 ) N ;
- FILLER_11_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 49950 ) N ;
- FILLER_11_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 49950 ) N ;
- FILLER_11_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 49950 ) N ;
- FILLER_11_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 49950 ) N ;
- FILLER_11_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 49950 ) N ;
- FILLER_11_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 49950 ) N ;
- FILLER_11_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 49950 ) N ;
- FILLER_11_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 49950 ) N ;
- FILLER_11_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 49950 ) N ;
- FILLER_11_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 49950 ) N ;
- FILLER_11_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 49950 ) N ;
- FILLER_11_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 49950 ) N ;
- FILLER_11_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 49950 ) N ;
- FILLER_11_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 49950 ) N ;
- FILLER_11_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 49950 ) N ;
- FILLER_11_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 49950 ) N ;
- FILLER_11_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 49950 ) N ;
- FILLER_11_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 49950 ) N ;
- FILLER_11_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 49950 ) N ;
- FILLER_11_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 49950 ) N ;
- FILLER_11_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 49950 ) N ;
- FILLER_11_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 49950 ) N ;
- FILLER_11_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 49950 ) N ;
- FILLER_11_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 49950 ) N ;
- FILLER_11_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 49950 ) N ;
- FILLER_11_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 49950 ) N ;
- FILLER_11_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 49950 ) N ;
- FILLER_11_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 49950 ) N ;
- FILLER_11_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 49950 ) N ;
- FILLER_11_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 49950 ) N ;
- FILLER_11_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 49950 ) N ;
- FILLER_11_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 49950 ) N ;
- FILLER_11_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 49950 ) N ;
- FILLER_11_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 49950 ) N ;
- FILLER_11_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 49950 ) N ;
- FILLER_11_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 49950 ) N ;
- FILLER_11_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 49950 ) N ;
- FILLER_11_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 49950 ) N ;
- FILLER_11_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 49950 ) N ;
- FILLER_11_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 49950 ) N ;
- FILLER_11_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 49950 ) N ;
- FILLER_11_471 sky130_fd_sc_ls__decap_8 + PLACED ( 231840 49950 ) N ;
- FILLER_11_479 sky130_fd_sc_ls__decap_8 + PLACED ( 235680 49950 ) N ;
- FILLER_11_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 49950 ) N ;
- FILLER_11_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 49950 ) N ;
- FILLER_11_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 49950 ) N ;
- FILLER_11_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 49950 ) N ;
- FILLER_11_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 49950 ) N ;
- FILLER_11_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 49950 ) N ;
- FILLER_11_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 49950 ) N ;
- FILLER_11_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 49950 ) N ;
- FILLER_11_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 49950 ) N ;
- FILLER_11_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 49950 ) N ;
- FILLER_11_555 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 272160 49950 ) N ;
- FILLER_11_557 sky130_fd_sc_ls__fill_1 + PLACED ( 273120 49950 ) N ;
- FILLER_11_564 sky130_fd_sc_ls__decap_4 + PLACED ( 276480 49950 ) N ;
- FILLER_11_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 49950 ) N ;
- FILLER_11_580 sky130_fd_sc_ls__decap_4 + PLACED ( 284160 49950 ) N ;
- FILLER_11_588 sky130_fd_sc_ls__decap_8 + PLACED ( 288000 49950 ) N ;
- FILLER_11_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 49950 ) N ;
- FILLER_12_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 53280 ) FS ;
- FILLER_12_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 53280 ) FS ;
- FILLER_12_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 53280 ) FS ;
- FILLER_12_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 53280 ) FS ;
- FILLER_12_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 53280 ) FS ;
- FILLER_12_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 53280 ) FS ;
- FILLER_12_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 53280 ) FS ;
- FILLER_12_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 53280 ) FS ;
- FILLER_12_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 53280 ) FS ;
- FILLER_12_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 53280 ) FS ;
- FILLER_12_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 53280 ) FS ;
- FILLER_12_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 53280 ) FS ;
- FILLER_12_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 53280 ) FS ;
- FILLER_12_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 53280 ) FS ;
- FILLER_12_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 53280 ) FS ;
- FILLER_12_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 53280 ) FS ;
- FILLER_12_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 53280 ) FS ;
- FILLER_12_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 53280 ) FS ;
- FILLER_12_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 53280 ) FS ;
- FILLER_12_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 53280 ) FS ;
- FILLER_12_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 53280 ) FS ;
- FILLER_12_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 53280 ) FS ;
- FILLER_12_162 sky130_fd_sc_ls__decap_8 + PLACED ( 83520 53280 ) FS ;
- FILLER_12_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 53280 ) FS ;
- FILLER_12_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 53280 ) FS ;
- FILLER_12_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 53280 ) FS ;
- FILLER_12_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 53280 ) FS ;
- FILLER_12_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 53280 ) FS ;
- FILLER_12_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 53280 ) FS ;
- FILLER_12_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 53280 ) FS ;
- FILLER_12_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 53280 ) FS ;
- FILLER_12_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 53280 ) FS ;
- FILLER_12_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 53280 ) FS ;
- FILLER_12_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 53280 ) FS ;
- FILLER_12_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 53280 ) FS ;
- FILLER_12_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 53280 ) FS ;
- FILLER_12_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 53280 ) FS ;
- FILLER_12_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 53280 ) FS ;
- FILLER_12_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 53280 ) FS ;
- FILLER_12_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 53280 ) FS ;
- FILLER_12_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 53280 ) FS ;
- FILLER_12_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 53280 ) FS ;
- FILLER_12_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 53280 ) FS ;
- FILLER_12_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 53280 ) FS ;
- FILLER_12_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 53280 ) FS ;
- FILLER_12_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 53280 ) FS ;
- FILLER_12_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 53280 ) FS ;
- FILLER_12_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 53280 ) FS ;
- FILLER_12_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 53280 ) FS ;
- FILLER_12_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 53280 ) FS ;
- FILLER_12_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 53280 ) FS ;
- FILLER_12_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 53280 ) FS ;
- FILLER_12_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 53280 ) FS ;
- FILLER_12_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 53280 ) FS ;
- FILLER_12_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 53280 ) FS ;
- FILLER_12_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 53280 ) FS ;
- FILLER_12_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 53280 ) FS ;
- FILLER_12_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 53280 ) FS ;
- FILLER_12_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 53280 ) FS ;
- FILLER_12_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 53280 ) FS ;
- FILLER_12_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 53280 ) FS ;
- FILLER_12_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 53280 ) FS ;
- FILLER_12_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 53280 ) FS ;
- FILLER_12_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 53280 ) FS ;
- FILLER_12_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 53280 ) FS ;
- FILLER_12_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 53280 ) FS ;
- FILLER_12_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 53280 ) FS ;
- FILLER_12_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 53280 ) FS ;
- FILLER_12_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 53280 ) FS ;
- FILLER_12_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 53280 ) FS ;
- FILLER_12_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 53280 ) FS ;
- FILLER_12_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 53280 ) FS ;
- FILLER_12_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 53280 ) FS ;
- FILLER_12_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 53280 ) FS ;
- FILLER_12_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 53280 ) FS ;
- FILLER_12_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 53280 ) FS ;
- FILLER_12_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 53280 ) FS ;
- FILLER_12_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 53280 ) FS ;
- FILLER_12_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 53280 ) FS ;
- FILLER_12_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 53280 ) FS ;
- FILLER_12_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 53280 ) FS ;
- FILLER_12_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 53280 ) FS ;
- FILLER_12_567 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 277920 53280 ) FS ;
- FILLER_12_569 sky130_fd_sc_ls__fill_1 + PLACED ( 278880 53280 ) FS ;
- FILLER_12_574 sky130_fd_sc_ls__decap_4 + PLACED ( 281280 53280 ) FS ;
- FILLER_12_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 53280 ) FS ;
- FILLER_12_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 53280 ) FS ;
- FILLER_12_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 53280 ) FS ;
- FILLER_13_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 56610 ) N ;
- FILLER_13_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 56610 ) N ;
- FILLER_13_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 56610 ) N ;
- FILLER_13_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 56610 ) N ;
- FILLER_13_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 56610 ) N ;
- FILLER_13_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 56610 ) N ;
- FILLER_13_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 56610 ) N ;
- FILLER_13_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 56610 ) N ;
- FILLER_13_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 56610 ) N ;
- FILLER_13_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 56610 ) N ;
- FILLER_13_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 56610 ) N ;
- FILLER_13_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 56610 ) N ;
- FILLER_13_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 56610 ) N ;
- FILLER_13_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 56610 ) N ;
- FILLER_13_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 56610 ) N ;
- FILLER_13_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 56610 ) N ;
- FILLER_13_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 56610 ) N ;
- FILLER_13_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 56610 ) N ;
- FILLER_13_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 56610 ) N ;
- FILLER_13_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 56610 ) N ;
- FILLER_13_151 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 78240 56610 ) N ;
- FILLER_13_158 sky130_fd_sc_ls__decap_4 + PLACED ( 81600 56610 ) N ;
- FILLER_13_162 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 83520 56610 ) N ;
- FILLER_13_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 56610 ) N ;
- FILLER_13_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 56610 ) N ;
- FILLER_13_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 56610 ) N ;
- FILLER_13_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 56610 ) N ;
- FILLER_13_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 56610 ) N ;
- FILLER_13_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 56610 ) N ;
- FILLER_13_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 56610 ) N ;
- FILLER_13_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 56610 ) N ;
- FILLER_13_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 56610 ) N ;
- FILLER_13_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 56610 ) N ;
- FILLER_13_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 56610 ) N ;
- FILLER_13_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 56610 ) N ;
- FILLER_13_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 56610 ) N ;
- FILLER_13_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 56610 ) N ;
- FILLER_13_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 56610 ) N ;
- FILLER_13_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 56610 ) N ;
- FILLER_13_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 56610 ) N ;
- FILLER_13_276 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138240 56610 ) N ;
- FILLER_13_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 56610 ) N ;
- FILLER_13_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 56610 ) N ;
- FILLER_13_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 56610 ) N ;
- FILLER_13_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 56610 ) N ;
- FILLER_13_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 56610 ) N ;
- FILLER_13_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 56610 ) N ;
- FILLER_13_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 56610 ) N ;
- FILLER_13_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 56610 ) N ;
- FILLER_13_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 56610 ) N ;
- FILLER_13_338 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 168000 56610 ) N ;
- FILLER_13_340 sky130_fd_sc_ls__fill_1 + PLACED ( 168960 56610 ) N ;
- FILLER_13_346 sky130_fd_sc_ls__decap_8 + PLACED ( 171840 56610 ) N ;
- FILLER_13_354 sky130_fd_sc_ls__decap_8 + PLACED ( 175680 56610 ) N ;
- FILLER_13_362 sky130_fd_sc_ls__decap_8 + PLACED ( 179520 56610 ) N ;
- FILLER_13_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 56610 ) N ;
- FILLER_13_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 56610 ) N ;
- FILLER_13_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 56610 ) N ;
- FILLER_13_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 56610 ) N ;
- FILLER_13_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 56610 ) N ;
- FILLER_13_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 56610 ) N ;
- FILLER_13_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 56610 ) N ;
- FILLER_13_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 56610 ) N ;
- FILLER_13_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 56610 ) N ;
- FILLER_13_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 56610 ) N ;
- FILLER_13_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 56610 ) N ;
- FILLER_13_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 56610 ) N ;
- FILLER_13_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 56610 ) N ;
- FILLER_13_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 56610 ) N ;
- FILLER_13_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 56610 ) N ;
- FILLER_13_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 56610 ) N ;
- FILLER_13_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 56610 ) N ;
- FILLER_13_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 56610 ) N ;
- FILLER_13_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 56610 ) N ;
- FILLER_13_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 56610 ) N ;
- FILLER_13_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 56610 ) N ;
- FILLER_13_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 56610 ) N ;
- FILLER_13_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 56610 ) N ;
- FILLER_13_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 56610 ) N ;
- FILLER_13_525 sky130_fd_sc_ls__decap_4 + PLACED ( 257760 56610 ) N ;
- FILLER_13_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 56610 ) N ;
- FILLER_13_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 56610 ) N ;
- FILLER_13_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 56610 ) N ;
- FILLER_13_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 56610 ) N ;
- FILLER_13_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 56610 ) N ;
- FILLER_13_567 sky130_fd_sc_ls__decap_4 + PLACED ( 277920 56610 ) N ;
- FILLER_13_571 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 279840 56610 ) N ;
- FILLER_13_579 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 283680 56610 ) N ;
- FILLER_13_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 56610 ) N ;
- FILLER_14_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 59940 ) FS ;
- FILLER_14_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 59940 ) FS ;
- FILLER_14_20 sky130_fd_sc_ls__fill_1 + PLACED ( 15360 59940 ) FS ;
- FILLER_14_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 59940 ) FS ;
- FILLER_14_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 59940 ) FS ;
- FILLER_14_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 59940 ) FS ;
- FILLER_14_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 59940 ) FS ;
- FILLER_14_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 59940 ) FS ;
- FILLER_14_61 sky130_fd_sc_ls__decap_4 + PLACED ( 35040 59940 ) FS ;
- FILLER_14_65 sky130_fd_sc_ls__fill_1 + PLACED ( 36960 59940 ) FS ;
- FILLER_14_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 59940 ) FS ;
- FILLER_14_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 59940 ) FS ;
- FILLER_14_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 59940 ) FS ;
- FILLER_14_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 59940 ) FS ;
- FILLER_14_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 59940 ) FS ;
- FILLER_14_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 59940 ) FS ;
- FILLER_14_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 59940 ) FS ;
- FILLER_14_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 59940 ) FS ;
- FILLER_14_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 59940 ) FS ;
- FILLER_14_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 59940 ) FS ;
- FILLER_14_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 59940 ) FS ;
- FILLER_14_150 sky130_fd_sc_ls__decap_8 + PLACED ( 77760 59940 ) FS ;
- FILLER_14_158 sky130_fd_sc_ls__decap_8 + PLACED ( 81600 59940 ) FS ;
- FILLER_14_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 59940 ) FS ;
- FILLER_14_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 59940 ) FS ;
- FILLER_14_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 59940 ) FS ;
- FILLER_14_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 59940 ) FS ;
- FILLER_14_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 59940 ) FS ;
- FILLER_14_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 59940 ) FS ;
- FILLER_14_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 59940 ) FS ;
- FILLER_14_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 59940 ) FS ;
- FILLER_14_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 59940 ) FS ;
- FILLER_14_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 59940 ) FS ;
- FILLER_14_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 59940 ) FS ;
- FILLER_14_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 59940 ) FS ;
- FILLER_14_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 59940 ) FS ;
- FILLER_14_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 59940 ) FS ;
- FILLER_14_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 59940 ) FS ;
- FILLER_14_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 59940 ) FS ;
- FILLER_14_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 59940 ) FS ;
- FILLER_14_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 59940 ) FS ;
- FILLER_14_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 59940 ) FS ;
- FILLER_14_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 59940 ) FS ;
- FILLER_14_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 59940 ) FS ;
- FILLER_14_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 59940 ) FS ;
- FILLER_14_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 59940 ) FS ;
- FILLER_14_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 59940 ) FS ;
- FILLER_14_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 59940 ) FS ;
- FILLER_14_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 59940 ) FS ;
- FILLER_14_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 59940 ) FS ;
- FILLER_14_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 59940 ) FS ;
- FILLER_14_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 59940 ) FS ;
- FILLER_14_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 59940 ) FS ;
- FILLER_14_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 59940 ) FS ;
- FILLER_14_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 59940 ) FS ;
- FILLER_14_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 59940 ) FS ;
- FILLER_14_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 59940 ) FS ;
- FILLER_14_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 59940 ) FS ;
- FILLER_14_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 59940 ) FS ;
- FILLER_14_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 59940 ) FS ;
- FILLER_14_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 59940 ) FS ;
- FILLER_14_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 59940 ) FS ;
- FILLER_14_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 59940 ) FS ;
- FILLER_14_434 sky130_fd_sc_ls__fill_1 + PLACED ( 214080 59940 ) FS ;
- FILLER_14_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 59940 ) FS ;
- FILLER_14_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 59940 ) FS ;
- FILLER_14_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 59940 ) FS ;
- FILLER_14_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 59940 ) FS ;
- FILLER_14_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 59940 ) FS ;
- FILLER_14_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 59940 ) FS ;
- FILLER_14_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 59940 ) FS ;
- FILLER_14_485 sky130_fd_sc_ls__decap_4 + PLACED ( 238560 59940 ) FS ;
- FILLER_14_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 59940 ) FS ;
- FILLER_14_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 59940 ) FS ;
- FILLER_14_508 sky130_fd_sc_ls__decap_8 + PLACED ( 249600 59940 ) FS ;
- FILLER_14_516 sky130_fd_sc_ls__decap_4 + PLACED ( 253440 59940 ) FS ;
- FILLER_14_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 59940 ) FS ;
- FILLER_14_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 59940 ) FS ;
- FILLER_14_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 59940 ) FS ;
- FILLER_14_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 59940 ) FS ;
- FILLER_14_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 59940 ) FS ;
- FILLER_14_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 59940 ) FS ;
- FILLER_14_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 59940 ) FS ;
- FILLER_14_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 59940 ) FS ;
- FILLER_14_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 59940 ) FS ;
- FILLER_14_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 59940 ) FS ;
- FILLER_14_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 59940 ) FS ;
- FILLER_14_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 59940 ) FS ;
- FILLER_14_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 59940 ) FS ;
- FILLER_15_9 sky130_fd_sc_ls__decap_8 + PLACED ( 10080 63270 ) N ;
- FILLER_15_17 sky130_fd_sc_ls__decap_8 + PLACED ( 13920 63270 ) N ;
- FILLER_15_25 sky130_fd_sc_ls__decap_4 + PLACED ( 17760 63270 ) N ;
- FILLER_15_29 sky130_fd_sc_ls__fill_1 + PLACED ( 19680 63270 ) N ;
- FILLER_15_35 sky130_fd_sc_ls__decap_8 + PLACED ( 22560 63270 ) N ;
- FILLER_15_43 sky130_fd_sc_ls__decap_8 + PLACED ( 26400 63270 ) N ;
- FILLER_15_51 sky130_fd_sc_ls__decap_4 + PLACED ( 30240 63270 ) N ;
- FILLER_15_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 63270 ) N ;
- FILLER_15_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 63270 ) N ;
- FILLER_15_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 63270 ) N ;
- FILLER_15_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 63270 ) N ;
- FILLER_15_107 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57120 63270 ) N ;
- FILLER_15_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 63270 ) N ;
- FILLER_15_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 63270 ) N ;
- FILLER_15_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 63270 ) N ;
- FILLER_15_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 63270 ) N ;
- FILLER_15_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 63270 ) N ;
- FILLER_15_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 63270 ) N ;
- FILLER_15_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 63270 ) N ;
- FILLER_15_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 63270 ) N ;
- FILLER_15_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 63270 ) N ;
- FILLER_15_166 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85440 63270 ) N ;
- FILLER_15_173 sky130_fd_sc_ls__decap_8 + PLACED ( 88800 63270 ) N ;
- FILLER_15_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 63270 ) N ;
- FILLER_15_189 sky130_fd_sc_ls__decap_8 + PLACED ( 96480 63270 ) N ;
- FILLER_15_197 sky130_fd_sc_ls__decap_8 + PLACED ( 100320 63270 ) N ;
- FILLER_15_205 sky130_fd_sc_ls__decap_8 + PLACED ( 104160 63270 ) N ;
- FILLER_15_213 sky130_fd_sc_ls__decap_4 + PLACED ( 108000 63270 ) N ;
- FILLER_15_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 63270 ) N ;
- FILLER_15_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 63270 ) N ;
- FILLER_15_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 63270 ) N ;
- FILLER_15_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 63270 ) N ;
- FILLER_15_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 63270 ) N ;
- FILLER_15_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 63270 ) N ;
- FILLER_15_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 63270 ) N ;
- FILLER_15_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 63270 ) N ;
- FILLER_15_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 63270 ) N ;
- FILLER_15_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 63270 ) N ;
- FILLER_15_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 63270 ) N ;
- FILLER_15_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 63270 ) N ;
- FILLER_15_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 63270 ) N ;
- FILLER_15_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 63270 ) N ;
- FILLER_15_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 63270 ) N ;
- FILLER_15_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 63270 ) N ;
- FILLER_15_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 63270 ) N ;
- FILLER_15_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 63270 ) N ;
- FILLER_15_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 63270 ) N ;
- FILLER_15_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 63270 ) N ;
- FILLER_15_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 63270 ) N ;
- FILLER_15_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 63270 ) N ;
- FILLER_15_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 63270 ) N ;
- FILLER_15_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 63270 ) N ;
- FILLER_15_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 63270 ) N ;
- FILLER_15_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 63270 ) N ;
- FILLER_15_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 63270 ) N ;
- FILLER_15_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 63270 ) N ;
- FILLER_15_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 63270 ) N ;
- FILLER_15_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 63270 ) N ;
- FILLER_15_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 63270 ) N ;
- FILLER_15_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 63270 ) N ;
- FILLER_15_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 63270 ) N ;
- FILLER_15_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 63270 ) N ;
- FILLER_15_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 63270 ) N ;
- FILLER_15_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 63270 ) N ;
- FILLER_15_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 63270 ) N ;
- FILLER_15_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 63270 ) N ;
- FILLER_15_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 63270 ) N ;
- FILLER_15_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 63270 ) N ;
- FILLER_15_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 63270 ) N ;
- FILLER_15_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 63270 ) N ;
- FILLER_15_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 63270 ) N ;
- FILLER_15_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 63270 ) N ;
- FILLER_15_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 63270 ) N ;
- FILLER_15_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 63270 ) N ;
- FILLER_15_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 63270 ) N ;
- FILLER_15_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 63270 ) N ;
- FILLER_15_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 63270 ) N ;
- FILLER_15_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 63270 ) N ;
- FILLER_15_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 63270 ) N ;
- FILLER_15_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 63270 ) N ;
- FILLER_15_567 sky130_fd_sc_ls__decap_4 + PLACED ( 277920 63270 ) N ;
- FILLER_15_571 sky130_fd_sc_ls__fill_1 + PLACED ( 279840 63270 ) N ;
- FILLER_15_577 sky130_fd_sc_ls__decap_8 + PLACED ( 282720 63270 ) N ;
- FILLER_15_585 sky130_fd_sc_ls__decap_8 + PLACED ( 286560 63270 ) N ;
- FILLER_15_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 63270 ) N ;
- FILLER_16_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 66600 ) FS ;
- FILLER_16_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 66600 ) FS ;
- FILLER_16_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 66600 ) FS ;
- FILLER_16_29 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 19680 66600 ) FS ;
- FILLER_16_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 66600 ) FS ;
- FILLER_16_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 66600 ) FS ;
- FILLER_16_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 66600 ) FS ;
- FILLER_16_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 66600 ) FS ;
- FILLER_16_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 66600 ) FS ;
- FILLER_16_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 66600 ) FS ;
- FILLER_16_80 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44160 66600 ) FS ;
- FILLER_16_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 66600 ) FS ;
- FILLER_16_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 66600 ) FS ;
- FILLER_16_88 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 48000 66600 ) FS ;
- FILLER_16_90 sky130_fd_sc_ls__fill_1 + PLACED ( 48960 66600 ) FS ;
- FILLER_16_94 sky130_fd_sc_ls__decap_8 + PLACED ( 50880 66600 ) FS ;
- FILLER_16_102 sky130_fd_sc_ls__decap_8 + PLACED ( 54720 66600 ) FS ;
- FILLER_16_110 sky130_fd_sc_ls__decap_8 + PLACED ( 58560 66600 ) FS ;
- FILLER_16_118 sky130_fd_sc_ls__decap_8 + PLACED ( 62400 66600 ) FS ;
- FILLER_16_126 sky130_fd_sc_ls__decap_8 + PLACED ( 66240 66600 ) FS ;
- FILLER_16_134 sky130_fd_sc_ls__decap_4 + PLACED ( 70080 66600 ) FS ;
- FILLER_16_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 66600 ) FS ;
- FILLER_16_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 66600 ) FS ;
- FILLER_16_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 66600 ) FS ;
- FILLER_16_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 66600 ) FS ;
- FILLER_16_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 66600 ) FS ;
- FILLER_16_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 66600 ) FS ;
- FILLER_16_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 66600 ) FS ;
- FILLER_16_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 66600 ) FS ;
- FILLER_16_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 66600 ) FS ;
- FILLER_16_205 sky130_fd_sc_ls__decap_8 + PLACED ( 104160 66600 ) FS ;
- FILLER_16_213 sky130_fd_sc_ls__decap_8 + PLACED ( 108000 66600 ) FS ;
- FILLER_16_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 66600 ) FS ;
- FILLER_16_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 66600 ) FS ;
- FILLER_16_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 66600 ) FS ;
- FILLER_16_245 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123360 66600 ) FS ;
- FILLER_16_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 66600 ) FS ;
- FILLER_16_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 66600 ) FS ;
- FILLER_16_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 66600 ) FS ;
- FILLER_16_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 66600 ) FS ;
- FILLER_16_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 66600 ) FS ;
- FILLER_16_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 66600 ) FS ;
- FILLER_16_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 66600 ) FS ;
- FILLER_16_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 66600 ) FS ;
- FILLER_16_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 66600 ) FS ;
- FILLER_16_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 66600 ) FS ;
- FILLER_16_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 66600 ) FS ;
- FILLER_16_320 sky130_fd_sc_ls__decap_4 + PLACED ( 159360 66600 ) FS ;
- FILLER_16_324 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 161280 66600 ) FS ;
- FILLER_16_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 66600 ) FS ;
- FILLER_16_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 66600 ) FS ;
- FILLER_16_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 66600 ) FS ;
- FILLER_16_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 66600 ) FS ;
- FILLER_16_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 66600 ) FS ;
- FILLER_16_359 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 178080 66600 ) FS ;
- FILLER_16_366 sky130_fd_sc_ls__decap_8 + PLACED ( 181440 66600 ) FS ;
- FILLER_16_374 sky130_fd_sc_ls__decap_8 + PLACED ( 185280 66600 ) FS ;
- FILLER_16_382 sky130_fd_sc_ls__decap_8 + PLACED ( 189120 66600 ) FS ;
- FILLER_16_390 sky130_fd_sc_ls__decap_8 + PLACED ( 192960 66600 ) FS ;
- FILLER_16_398 sky130_fd_sc_ls__decap_8 + PLACED ( 196800 66600 ) FS ;
- FILLER_16_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 66600 ) FS ;
- FILLER_16_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 66600 ) FS ;
- FILLER_16_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 66600 ) FS ;
- FILLER_16_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 66600 ) FS ;
- FILLER_16_422 sky130_fd_sc_ls__fill_1 + PLACED ( 208320 66600 ) FS ;
- FILLER_16_428 sky130_fd_sc_ls__decap_8 + PLACED ( 211200 66600 ) FS ;
- FILLER_16_436 sky130_fd_sc_ls__decap_8 + PLACED ( 215040 66600 ) FS ;
- FILLER_16_444 sky130_fd_sc_ls__decap_8 + PLACED ( 218880 66600 ) FS ;
- FILLER_16_452 sky130_fd_sc_ls__decap_8 + PLACED ( 222720 66600 ) FS ;
- FILLER_16_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 66600 ) FS ;
- FILLER_16_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 66600 ) FS ;
- FILLER_16_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 66600 ) FS ;
- FILLER_16_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 66600 ) FS ;
- FILLER_16_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 66600 ) FS ;
- FILLER_16_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 66600 ) FS ;
- FILLER_16_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 66600 ) FS ;
- FILLER_16_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 66600 ) FS ;
- FILLER_16_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 66600 ) FS ;
- FILLER_16_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 66600 ) FS ;
- FILLER_16_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 66600 ) FS ;
- FILLER_16_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 66600 ) FS ;
- FILLER_16_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 66600 ) FS ;
- FILLER_16_556 sky130_fd_sc_ls__fill_1 + PLACED ( 272640 66600 ) FS ;
- FILLER_16_560 sky130_fd_sc_ls__decap_8 + PLACED ( 274560 66600 ) FS ;
- FILLER_16_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 66600 ) FS ;
- FILLER_16_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 66600 ) FS ;
- FILLER_16_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 66600 ) FS ;
- FILLER_16_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 66600 ) FS ;
- FILLER_16_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 66600 ) FS ;
- FILLER_17_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 69930 ) N ;
- FILLER_17_12 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 11520 69930 ) N ;
- FILLER_17_14 sky130_fd_sc_ls__fill_1 + PLACED ( 12480 69930 ) N ;
- FILLER_17_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 69930 ) N ;
- FILLER_17_26 sky130_fd_sc_ls__decap_8 + PLACED ( 18240 69930 ) N ;
- FILLER_17_34 sky130_fd_sc_ls__decap_8 + PLACED ( 22080 69930 ) N ;
- FILLER_17_42 sky130_fd_sc_ls__decap_8 + PLACED ( 25920 69930 ) N ;
- FILLER_17_50 sky130_fd_sc_ls__decap_4 + PLACED ( 29760 69930 ) N ;
- FILLER_17_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 69930 ) N ;
- FILLER_17_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 69930 ) N ;
- FILLER_17_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 69930 ) N ;
- FILLER_17_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 69930 ) N ;
- FILLER_17_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 69930 ) N ;
- FILLER_17_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 69930 ) N ;
- FILLER_17_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 69930 ) N ;
- FILLER_17_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 69930 ) N ;
- FILLER_17_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 69930 ) N ;
- FILLER_17_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 69930 ) N ;
- FILLER_17_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 69930 ) N ;
- FILLER_17_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 69930 ) N ;
- FILLER_17_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 69930 ) N ;
- FILLER_17_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 69930 ) N ;
- FILLER_17_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 69930 ) N ;
- FILLER_17_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 69930 ) N ;
- FILLER_17_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 69930 ) N ;
- FILLER_17_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 69930 ) N ;
- FILLER_17_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 69930 ) N ;
- FILLER_17_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 69930 ) N ;
- FILLER_17_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 69930 ) N ;
- FILLER_17_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 69930 ) N ;
- FILLER_17_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 69930 ) N ;
- FILLER_17_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 69930 ) N ;
- FILLER_17_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 69930 ) N ;
- FILLER_17_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 69930 ) N ;
- FILLER_17_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 69930 ) N ;
- FILLER_17_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 69930 ) N ;
- FILLER_17_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 69930 ) N ;
- FILLER_17_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 69930 ) N ;
- FILLER_17_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 69930 ) N ;
- FILLER_17_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 69930 ) N ;
- FILLER_17_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 69930 ) N ;
- FILLER_17_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 69930 ) N ;
- FILLER_17_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 69930 ) N ;
- FILLER_17_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 69930 ) N ;
- FILLER_17_308 sky130_fd_sc_ls__fill_1 + PLACED ( 153600 69930 ) N ;
- FILLER_17_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 69930 ) N ;
- FILLER_17_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 69930 ) N ;
- FILLER_17_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 69930 ) N ;
- FILLER_17_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 69930 ) N ;
- FILLER_17_347 sky130_fd_sc_ls__decap_4 + PLACED ( 172320 69930 ) N ;
- FILLER_17_354 sky130_fd_sc_ls__decap_8 + PLACED ( 175680 69930 ) N ;
- FILLER_17_362 sky130_fd_sc_ls__decap_8 + PLACED ( 179520 69930 ) N ;
- FILLER_17_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 69930 ) N ;
- FILLER_17_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 69930 ) N ;
- FILLER_17_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 69930 ) N ;
- FILLER_17_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 69930 ) N ;
- FILLER_17_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 69930 ) N ;
- FILLER_17_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 69930 ) N ;
- FILLER_17_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 69930 ) N ;
- FILLER_17_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 69930 ) N ;
- FILLER_17_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 69930 ) N ;
- FILLER_17_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 69930 ) N ;
- FILLER_17_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 69930 ) N ;
- FILLER_17_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 69930 ) N ;
- FILLER_17_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 69930 ) N ;
- FILLER_17_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 69930 ) N ;
- FILLER_17_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 69930 ) N ;
- FILLER_17_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 69930 ) N ;
- FILLER_17_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 69930 ) N ;
- FILLER_17_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 69930 ) N ;
- FILLER_17_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 69930 ) N ;
- FILLER_17_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 69930 ) N ;
- FILLER_17_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 69930 ) N ;
- FILLER_17_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 69930 ) N ;
- FILLER_17_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 69930 ) N ;
- FILLER_17_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 69930 ) N ;
- FILLER_17_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 69930 ) N ;
- FILLER_17_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 69930 ) N ;
- FILLER_17_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 69930 ) N ;
- FILLER_17_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 69930 ) N ;
- FILLER_17_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 69930 ) N ;
- FILLER_17_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 69930 ) N ;
- FILLER_17_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 69930 ) N ;
- FILLER_17_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 69930 ) N ;
- FILLER_17_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 69930 ) N ;
- FILLER_17_587 sky130_fd_sc_ls__fill_1 + PLACED ( 287520 69930 ) N ;
- FILLER_17_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 69930 ) N ;
- FILLER_17_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 69930 ) N ;
- FILLER_18_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 73260 ) FS ;
- FILLER_18_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 73260 ) FS ;
- FILLER_18_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 73260 ) FS ;
- FILLER_18_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 73260 ) FS ;
- FILLER_18_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 73260 ) FS ;
- FILLER_18_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 73260 ) FS ;
- FILLER_18_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 73260 ) FS ;
- FILLER_18_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 73260 ) FS ;
- FILLER_18_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 73260 ) FS ;
- FILLER_18_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 73260 ) FS ;
- FILLER_18_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 73260 ) FS ;
- FILLER_18_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 73260 ) FS ;
- FILLER_18_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 73260 ) FS ;
- FILLER_18_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 73260 ) FS ;
- FILLER_18_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 73260 ) FS ;
- FILLER_18_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 73260 ) FS ;
- FILLER_18_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 73260 ) FS ;
- FILLER_18_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 73260 ) FS ;
- FILLER_18_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 73260 ) FS ;
- FILLER_18_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 73260 ) FS ;
- FILLER_18_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 73260 ) FS ;
- FILLER_18_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 73260 ) FS ;
- FILLER_18_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 73260 ) FS ;
- FILLER_18_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 73260 ) FS ;
- FILLER_18_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 73260 ) FS ;
- FILLER_18_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 73260 ) FS ;
- FILLER_18_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 73260 ) FS ;
- FILLER_18_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 73260 ) FS ;
- FILLER_18_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 73260 ) FS ;
- FILLER_18_210 sky130_fd_sc_ls__fill_1 + PLACED ( 106560 73260 ) FS ;
- FILLER_18_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 73260 ) FS ;
- FILLER_18_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 73260 ) FS ;
- FILLER_18_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 73260 ) FS ;
- FILLER_18_238 sky130_fd_sc_ls__decap_8 + PLACED ( 120000 73260 ) FS ;
- FILLER_18_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 73260 ) FS ;
- FILLER_18_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 73260 ) FS ;
- FILLER_18_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 73260 ) FS ;
- FILLER_18_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 73260 ) FS ;
- FILLER_18_273 sky130_fd_sc_ls__decap_4 + PLACED ( 136800 73260 ) FS ;
- FILLER_18_277 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138720 73260 ) FS ;
- FILLER_18_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 73260 ) FS ;
- FILLER_18_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 73260 ) FS ;
- FILLER_18_300 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 149760 73260 ) FS ;
- FILLER_18_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 73260 ) FS ;
- FILLER_18_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 73260 ) FS ;
- FILLER_18_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 73260 ) FS ;
- FILLER_18_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 73260 ) FS ;
- FILLER_18_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 73260 ) FS ;
- FILLER_18_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 73260 ) FS ;
- FILLER_18_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 73260 ) FS ;
- FILLER_18_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 73260 ) FS ;
- FILLER_18_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 73260 ) FS ;
- FILLER_18_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 73260 ) FS ;
- FILLER_18_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 73260 ) FS ;
- FILLER_18_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 73260 ) FS ;
- FILLER_18_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 73260 ) FS ;
- FILLER_18_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 73260 ) FS ;
- FILLER_18_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 73260 ) FS ;
- FILLER_18_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 73260 ) FS ;
- FILLER_18_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 73260 ) FS ;
- FILLER_18_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 73260 ) FS ;
- FILLER_18_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 73260 ) FS ;
- FILLER_18_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 73260 ) FS ;
- FILLER_18_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 73260 ) FS ;
- FILLER_18_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 73260 ) FS ;
- FILLER_18_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 73260 ) FS ;
- FILLER_18_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 73260 ) FS ;
- FILLER_18_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 73260 ) FS ;
- FILLER_18_469 sky130_fd_sc_ls__decap_4 + PLACED ( 230880 73260 ) FS ;
- FILLER_18_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 73260 ) FS ;
- FILLER_18_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 73260 ) FS ;
- FILLER_18_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 73260 ) FS ;
- FILLER_18_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 73260 ) FS ;
- FILLER_18_508 sky130_fd_sc_ls__decap_8 + PLACED ( 249600 73260 ) FS ;
- FILLER_18_516 sky130_fd_sc_ls__decap_4 + PLACED ( 253440 73260 ) FS ;
- FILLER_18_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 73260 ) FS ;
- FILLER_18_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 73260 ) FS ;
- FILLER_18_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 73260 ) FS ;
- FILLER_18_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 73260 ) FS ;
- FILLER_18_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 73260 ) FS ;
- FILLER_18_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 73260 ) FS ;
- FILLER_18_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 73260 ) FS ;
- FILLER_18_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 73260 ) FS ;
- FILLER_18_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 73260 ) FS ;
- FILLER_18_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 73260 ) FS ;
- FILLER_18_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 73260 ) FS ;
- FILLER_18_586 sky130_fd_sc_ls__decap_8 + PLACED ( 287040 73260 ) FS ;
- FILLER_18_594 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 290880 73260 ) FS ;
- FILLER_18_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 73260 ) FS ;
- FILLER_19_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 76590 ) N ;
- FILLER_19_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 76590 ) N ;
- FILLER_19_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 76590 ) N ;
- FILLER_19_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 76590 ) N ;
- FILLER_19_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 76590 ) N ;
- FILLER_19_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 76590 ) N ;
- FILLER_19_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 76590 ) N ;
- FILLER_19_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 76590 ) N ;
- FILLER_19_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 76590 ) N ;
- FILLER_19_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 76590 ) N ;
- FILLER_19_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 76590 ) N ;
- FILLER_19_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 76590 ) N ;
- FILLER_19_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 76590 ) N ;
- FILLER_19_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 76590 ) N ;
- FILLER_19_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 76590 ) N ;
- FILLER_19_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 76590 ) N ;
- FILLER_19_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 76590 ) N ;
- FILLER_19_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 76590 ) N ;
- FILLER_19_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 76590 ) N ;
- FILLER_19_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 76590 ) N ;
- FILLER_19_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 76590 ) N ;
- FILLER_19_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 76590 ) N ;
- FILLER_19_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 76590 ) N ;
- FILLER_19_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 76590 ) N ;
- FILLER_19_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 76590 ) N ;
- FILLER_19_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 76590 ) N ;
- FILLER_19_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 76590 ) N ;
- FILLER_19_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 76590 ) N ;
- FILLER_19_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 76590 ) N ;
- FILLER_19_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 76590 ) N ;
- FILLER_19_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 76590 ) N ;
- FILLER_19_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 76590 ) N ;
- FILLER_19_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 76590 ) N ;
- FILLER_19_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 76590 ) N ;
- FILLER_19_245 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123360 76590 ) N ;
- FILLER_19_247 sky130_fd_sc_ls__fill_1 + PLACED ( 124320 76590 ) N ;
- FILLER_19_251 sky130_fd_sc_ls__decap_8 + PLACED ( 126240 76590 ) N ;
- FILLER_19_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 76590 ) N ;
- FILLER_19_267 sky130_fd_sc_ls__decap_8 + PLACED ( 133920 76590 ) N ;
- FILLER_19_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 76590 ) N ;
- FILLER_19_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 76590 ) N ;
- FILLER_19_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 76590 ) N ;
- FILLER_19_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 76590 ) N ;
- FILLER_19_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 76590 ) N ;
- FILLER_19_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 76590 ) N ;
- FILLER_19_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 76590 ) N ;
- FILLER_19_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 76590 ) N ;
- FILLER_19_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 76590 ) N ;
- FILLER_19_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 76590 ) N ;
- FILLER_19_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 76590 ) N ;
- FILLER_19_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 76590 ) N ;
- FILLER_19_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 76590 ) N ;
- FILLER_19_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 76590 ) N ;
- FILLER_19_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 76590 ) N ;
- FILLER_19_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 76590 ) N ;
- FILLER_19_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 76590 ) N ;
- FILLER_19_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 76590 ) N ;
- FILLER_19_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 76590 ) N ;
- FILLER_19_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 76590 ) N ;
- FILLER_19_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 76590 ) N ;
- FILLER_19_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 76590 ) N ;
- FILLER_19_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 76590 ) N ;
- FILLER_19_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 76590 ) N ;
- FILLER_19_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 76590 ) N ;
- FILLER_19_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 76590 ) N ;
- FILLER_19_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 76590 ) N ;
- FILLER_19_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 76590 ) N ;
- FILLER_19_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 76590 ) N ;
- FILLER_19_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 76590 ) N ;
- FILLER_19_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 76590 ) N ;
- FILLER_19_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 76590 ) N ;
- FILLER_19_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 76590 ) N ;
- FILLER_19_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 76590 ) N ;
- FILLER_19_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 76590 ) N ;
- FILLER_19_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 76590 ) N ;
- FILLER_19_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 76590 ) N ;
- FILLER_19_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 76590 ) N ;
- FILLER_19_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 76590 ) N ;
- FILLER_19_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 76590 ) N ;
- FILLER_19_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 76590 ) N ;
- FILLER_19_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 76590 ) N ;
- FILLER_19_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 76590 ) N ;
- FILLER_19_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 76590 ) N ;
- FILLER_19_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 76590 ) N ;
- FILLER_19_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 76590 ) N ;
- FILLER_19_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 76590 ) N ;
- FILLER_20_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 79920 ) FS ;
- FILLER_20_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 79920 ) FS ;
- FILLER_20_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 79920 ) FS ;
- FILLER_20_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 79920 ) FS ;
- FILLER_20_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 79920 ) FS ;
- FILLER_20_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 79920 ) FS ;
- FILLER_20_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 79920 ) FS ;
- FILLER_20_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 79920 ) FS ;
- FILLER_20_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 79920 ) FS ;
- FILLER_20_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 79920 ) FS ;
- FILLER_20_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 79920 ) FS ;
- FILLER_20_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 79920 ) FS ;
- FILLER_20_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 79920 ) FS ;
- FILLER_20_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 79920 ) FS ;
- FILLER_20_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 79920 ) FS ;
- FILLER_20_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 79920 ) FS ;
- FILLER_20_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 79920 ) FS ;
- FILLER_20_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 79920 ) FS ;
- FILLER_20_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 79920 ) FS ;
- FILLER_20_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 79920 ) FS ;
- FILLER_20_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 79920 ) FS ;
- FILLER_20_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 79920 ) FS ;
- FILLER_20_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 79920 ) FS ;
- FILLER_20_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 79920 ) FS ;
- FILLER_20_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 79920 ) FS ;
- FILLER_20_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 79920 ) FS ;
- FILLER_20_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 79920 ) FS ;
- FILLER_20_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 79920 ) FS ;
- FILLER_20_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 79920 ) FS ;
- FILLER_20_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 79920 ) FS ;
- FILLER_20_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 79920 ) FS ;
- FILLER_20_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 79920 ) FS ;
- FILLER_20_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 79920 ) FS ;
- FILLER_20_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 79920 ) FS ;
- FILLER_20_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 79920 ) FS ;
- FILLER_20_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 79920 ) FS ;
- FILLER_20_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 79920 ) FS ;
- FILLER_20_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 79920 ) FS ;
- FILLER_20_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 79920 ) FS ;
- FILLER_20_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 79920 ) FS ;
- FILLER_20_289 sky130_fd_sc_ls__decap_4 + PLACED ( 144480 79920 ) FS ;
- FILLER_20_293 sky130_fd_sc_ls__fill_1 + PLACED ( 146400 79920 ) FS ;
- FILLER_20_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 79920 ) FS ;
- FILLER_20_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 79920 ) FS ;
- FILLER_20_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 79920 ) FS ;
- FILLER_20_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 79920 ) FS ;
- FILLER_20_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 79920 ) FS ;
- FILLER_20_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 79920 ) FS ;
- FILLER_20_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 79920 ) FS ;
- FILLER_20_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 79920 ) FS ;
- FILLER_20_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 79920 ) FS ;
- FILLER_20_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 79920 ) FS ;
- FILLER_20_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 79920 ) FS ;
- FILLER_20_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 79920 ) FS ;
- FILLER_20_375 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 185760 79920 ) FS ;
- FILLER_20_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 79920 ) FS ;
- FILLER_20_381 sky130_fd_sc_ls__decap_8 + PLACED ( 188640 79920 ) FS ;
- FILLER_20_389 sky130_fd_sc_ls__decap_8 + PLACED ( 192480 79920 ) FS ;
- FILLER_20_397 sky130_fd_sc_ls__decap_8 + PLACED ( 196320 79920 ) FS ;
- FILLER_20_405 sky130_fd_sc_ls__decap_8 + PLACED ( 200160 79920 ) FS ;
- FILLER_20_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 79920 ) FS ;
- FILLER_20_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 79920 ) FS ;
- FILLER_20_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 79920 ) FS ;
- FILLER_20_434 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 214080 79920 ) FS ;
- FILLER_20_436 sky130_fd_sc_ls__fill_1 + PLACED ( 215040 79920 ) FS ;
- FILLER_20_442 sky130_fd_sc_ls__decap_8 + PLACED ( 217920 79920 ) FS ;
- FILLER_20_450 sky130_fd_sc_ls__decap_8 + PLACED ( 221760 79920 ) FS ;
- FILLER_20_458 sky130_fd_sc_ls__decap_8 + PLACED ( 225600 79920 ) FS ;
- FILLER_20_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 79920 ) FS ;
- FILLER_20_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 79920 ) FS ;
- FILLER_20_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 79920 ) FS ;
- FILLER_20_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 79920 ) FS ;
- FILLER_20_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 79920 ) FS ;
- FILLER_20_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 79920 ) FS ;
- FILLER_20_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 79920 ) FS ;
- FILLER_20_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 79920 ) FS ;
- FILLER_20_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 79920 ) FS ;
- FILLER_20_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 79920 ) FS ;
- FILLER_20_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 79920 ) FS ;
- FILLER_20_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 79920 ) FS ;
- FILLER_20_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 79920 ) FS ;
- FILLER_20_556 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 272640 79920 ) FS ;
- FILLER_20_561 sky130_fd_sc_ls__decap_8 + PLACED ( 275040 79920 ) FS ;
- FILLER_20_569 sky130_fd_sc_ls__decap_8 + PLACED ( 278880 79920 ) FS ;
- FILLER_20_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 79920 ) FS ;
- FILLER_20_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 79920 ) FS ;
- FILLER_20_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 79920 ) FS ;
- FILLER_20_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 79920 ) FS ;
- FILLER_21_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 83250 ) N ;
- FILLER_21_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 83250 ) N ;
- FILLER_21_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 83250 ) N ;
- FILLER_21_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 83250 ) N ;
- FILLER_21_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 83250 ) N ;
- FILLER_21_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 83250 ) N ;
- FILLER_21_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 83250 ) N ;
- FILLER_21_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 83250 ) N ;
- FILLER_21_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 83250 ) N ;
- FILLER_21_63 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36000 83250 ) N ;
- FILLER_21_65 sky130_fd_sc_ls__fill_1 + PLACED ( 36960 83250 ) N ;
- FILLER_21_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 83250 ) N ;
- FILLER_21_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 83250 ) N ;
- FILLER_21_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 83250 ) N ;
- FILLER_21_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 83250 ) N ;
- FILLER_21_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 83250 ) N ;
- FILLER_21_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 83250 ) N ;
- FILLER_21_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 83250 ) N ;
- FILLER_21_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 83250 ) N ;
- FILLER_21_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 83250 ) N ;
- FILLER_21_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 83250 ) N ;
- FILLER_21_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 83250 ) N ;
- FILLER_21_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 83250 ) N ;
- FILLER_21_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 83250 ) N ;
- FILLER_21_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 83250 ) N ;
- FILLER_21_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 83250 ) N ;
- FILLER_21_182 sky130_fd_sc_ls__decap_4 + PLACED ( 93120 83250 ) N ;
- FILLER_21_191 sky130_fd_sc_ls__decap_8 + PLACED ( 97440 83250 ) N ;
- FILLER_21_199 sky130_fd_sc_ls__decap_8 + PLACED ( 101280 83250 ) N ;
- FILLER_21_207 sky130_fd_sc_ls__decap_8 + PLACED ( 105120 83250 ) N ;
- FILLER_21_215 sky130_fd_sc_ls__decap_4 + PLACED ( 108960 83250 ) N ;
- FILLER_21_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 83250 ) N ;
- FILLER_21_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 83250 ) N ;
- FILLER_21_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 83250 ) N ;
- FILLER_21_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 83250 ) N ;
- FILLER_21_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 83250 ) N ;
- FILLER_21_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 83250 ) N ;
- FILLER_21_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 83250 ) N ;
- FILLER_21_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 83250 ) N ;
- FILLER_21_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 83250 ) N ;
- FILLER_21_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 83250 ) N ;
- FILLER_21_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 83250 ) N ;
- FILLER_21_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 83250 ) N ;
- FILLER_21_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 83250 ) N ;
- FILLER_21_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 83250 ) N ;
- FILLER_21_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 83250 ) N ;
- FILLER_21_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 83250 ) N ;
- FILLER_21_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 83250 ) N ;
- FILLER_21_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 83250 ) N ;
- FILLER_21_339 sky130_fd_sc_ls__fill_1 + PLACED ( 168480 83250 ) N ;
- FILLER_21_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 83250 ) N ;
- FILLER_21_351 sky130_fd_sc_ls__decap_8 + PLACED ( 174240 83250 ) N ;
- FILLER_21_359 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 178080 83250 ) N ;
- FILLER_21_364 sky130_fd_sc_ls__decap_8 + PLACED ( 180480 83250 ) N ;
- FILLER_21_372 sky130_fd_sc_ls__decap_8 + PLACED ( 184320 83250 ) N ;
- FILLER_21_380 sky130_fd_sc_ls__decap_4 + PLACED ( 188160 83250 ) N ;
- FILLER_21_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 83250 ) N ;
- FILLER_21_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 83250 ) N ;
- FILLER_21_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 83250 ) N ;
- FILLER_21_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 83250 ) N ;
- FILLER_21_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 83250 ) N ;
- FILLER_21_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 83250 ) N ;
- FILLER_21_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 83250 ) N ;
- FILLER_21_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 83250 ) N ;
- FILLER_21_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 83250 ) N ;
- FILLER_21_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 83250 ) N ;
- FILLER_21_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 83250 ) N ;
- FILLER_21_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 83250 ) N ;
- FILLER_21_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 83250 ) N ;
- FILLER_21_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 83250 ) N ;
- FILLER_21_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 83250 ) N ;
- FILLER_21_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 83250 ) N ;
- FILLER_21_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 83250 ) N ;
- FILLER_21_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 83250 ) N ;
- FILLER_21_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 83250 ) N ;
- FILLER_21_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 83250 ) N ;
- FILLER_21_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 83250 ) N ;
- FILLER_21_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 83250 ) N ;
- FILLER_21_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 83250 ) N ;
- FILLER_21_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 83250 ) N ;
- FILLER_21_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 83250 ) N ;
- FILLER_21_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 83250 ) N ;
- FILLER_21_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 83250 ) N ;
- FILLER_21_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 83250 ) N ;
- FILLER_21_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 83250 ) N ;
- FILLER_21_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 83250 ) N ;
- FILLER_21_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 83250 ) N ;
- FILLER_21_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 83250 ) N ;
- FILLER_22_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 86580 ) FS ;
- FILLER_22_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 86580 ) FS ;
- FILLER_22_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 86580 ) FS ;
- FILLER_22_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 86580 ) FS ;
- FILLER_22_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 86580 ) FS ;
- FILLER_22_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 86580 ) FS ;
- FILLER_22_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 86580 ) FS ;
- FILLER_22_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 86580 ) FS ;
- FILLER_22_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 86580 ) FS ;
- FILLER_22_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 86580 ) FS ;
- FILLER_22_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 86580 ) FS ;
- FILLER_22_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 86580 ) FS ;
- FILLER_22_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 86580 ) FS ;
- FILLER_22_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 86580 ) FS ;
- FILLER_22_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 86580 ) FS ;
- FILLER_22_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 86580 ) FS ;
- FILLER_22_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 86580 ) FS ;
- FILLER_22_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 86580 ) FS ;
- FILLER_22_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 86580 ) FS ;
- FILLER_22_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 86580 ) FS ;
- FILLER_22_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 86580 ) FS ;
- FILLER_22_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 86580 ) FS ;
- FILLER_22_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 86580 ) FS ;
- FILLER_22_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 86580 ) FS ;
- FILLER_22_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 86580 ) FS ;
- FILLER_22_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 86580 ) FS ;
- FILLER_22_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 86580 ) FS ;
- FILLER_22_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 86580 ) FS ;
- FILLER_22_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 86580 ) FS ;
- FILLER_22_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 86580 ) FS ;
- FILLER_22_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 86580 ) FS ;
- FILLER_22_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 86580 ) FS ;
- FILLER_22_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 86580 ) FS ;
- FILLER_22_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 86580 ) FS ;
- FILLER_22_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 86580 ) FS ;
- FILLER_22_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 86580 ) FS ;
- FILLER_22_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 86580 ) FS ;
- FILLER_22_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 86580 ) FS ;
- FILLER_22_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 86580 ) FS ;
- FILLER_22_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 86580 ) FS ;
- FILLER_22_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 86580 ) FS ;
- FILLER_22_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 86580 ) FS ;
- FILLER_22_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 86580 ) FS ;
- FILLER_22_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 86580 ) FS ;
- FILLER_22_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 86580 ) FS ;
- FILLER_22_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 86580 ) FS ;
- FILLER_22_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 86580 ) FS ;
- FILLER_22_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 86580 ) FS ;
- FILLER_22_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 86580 ) FS ;
- FILLER_22_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 86580 ) FS ;
- FILLER_22_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 86580 ) FS ;
- FILLER_22_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 86580 ) FS ;
- FILLER_22_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 86580 ) FS ;
- FILLER_22_375 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 185760 86580 ) FS ;
- FILLER_22_382 sky130_fd_sc_ls__decap_4 + PLACED ( 189120 86580 ) FS ;
- FILLER_22_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 86580 ) FS ;
- FILLER_22_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 86580 ) FS ;
- FILLER_22_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 86580 ) FS ;
- FILLER_22_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 86580 ) FS ;
- FILLER_22_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 86580 ) FS ;
- FILLER_22_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 86580 ) FS ;
- FILLER_22_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 86580 ) FS ;
- FILLER_22_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 86580 ) FS ;
- FILLER_22_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 86580 ) FS ;
- FILLER_22_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 86580 ) FS ;
- FILLER_22_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 86580 ) FS ;
- FILLER_22_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 86580 ) FS ;
- FILLER_22_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 86580 ) FS ;
- FILLER_22_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 86580 ) FS ;
- FILLER_22_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 86580 ) FS ;
- FILLER_22_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 86580 ) FS ;
- FILLER_22_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 86580 ) FS ;
- FILLER_22_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 86580 ) FS ;
- FILLER_22_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 86580 ) FS ;
- FILLER_22_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 86580 ) FS ;
- FILLER_22_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 86580 ) FS ;
- FILLER_22_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 86580 ) FS ;
- FILLER_22_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 86580 ) FS ;
- FILLER_22_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 86580 ) FS ;
- FILLER_22_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 86580 ) FS ;
- FILLER_22_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 86580 ) FS ;
- FILLER_22_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 86580 ) FS ;
- FILLER_22_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 86580 ) FS ;
- FILLER_22_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 86580 ) FS ;
- FILLER_22_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 86580 ) FS ;
- FILLER_22_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 86580 ) FS ;
- FILLER_23_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 89910 ) N ;
- FILLER_23_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 89910 ) N ;
- FILLER_23_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 89910 ) N ;
- FILLER_23_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 89910 ) N ;
- FILLER_23_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 89910 ) N ;
- FILLER_23_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 89910 ) N ;
- FILLER_23_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 89910 ) N ;
- FILLER_23_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 89910 ) N ;
- FILLER_23_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 89910 ) N ;
- FILLER_23_63 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36000 89910 ) N ;
- FILLER_23_65 sky130_fd_sc_ls__fill_1 + PLACED ( 36960 89910 ) N ;
- FILLER_23_77 sky130_fd_sc_ls__decap_8 + PLACED ( 42720 89910 ) N ;
- FILLER_23_85 sky130_fd_sc_ls__decap_8 + PLACED ( 46560 89910 ) N ;
- FILLER_23_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 89910 ) N ;
- FILLER_23_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 89910 ) N ;
- FILLER_23_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 89910 ) N ;
- FILLER_23_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 89910 ) N ;
- FILLER_23_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 89910 ) N ;
- FILLER_23_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 89910 ) N ;
- FILLER_23_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 89910 ) N ;
- FILLER_23_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 89910 ) N ;
- FILLER_23_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 89910 ) N ;
- FILLER_23_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 89910 ) N ;
- FILLER_23_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 89910 ) N ;
- FILLER_23_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 89910 ) N ;
- FILLER_23_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 89910 ) N ;
- FILLER_23_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 89910 ) N ;
- FILLER_23_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 89910 ) N ;
- FILLER_23_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 89910 ) N ;
- FILLER_23_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 89910 ) N ;
- FILLER_23_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 89910 ) N ;
- FILLER_23_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 89910 ) N ;
- FILLER_23_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 89910 ) N ;
- FILLER_23_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 89910 ) N ;
- FILLER_23_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 89910 ) N ;
- FILLER_23_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 89910 ) N ;
- FILLER_23_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 89910 ) N ;
- FILLER_23_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 89910 ) N ;
- FILLER_23_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 89910 ) N ;
- FILLER_23_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 89910 ) N ;
- FILLER_23_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 89910 ) N ;
- FILLER_23_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 89910 ) N ;
- FILLER_23_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 89910 ) N ;
- FILLER_23_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 89910 ) N ;
- FILLER_23_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 89910 ) N ;
- FILLER_23_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 89910 ) N ;
- FILLER_23_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 89910 ) N ;
- FILLER_23_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 89910 ) N ;
- FILLER_23_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 89910 ) N ;
- FILLER_23_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 89910 ) N ;
- FILLER_23_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 89910 ) N ;
- FILLER_23_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 89910 ) N ;
- FILLER_23_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 89910 ) N ;
- FILLER_23_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 89910 ) N ;
- FILLER_23_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 89910 ) N ;
- FILLER_23_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 89910 ) N ;
- FILLER_23_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 89910 ) N ;
- FILLER_23_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 89910 ) N ;
- FILLER_23_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 89910 ) N ;
- FILLER_23_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 89910 ) N ;
- FILLER_23_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 89910 ) N ;
- FILLER_23_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 89910 ) N ;
- FILLER_23_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 89910 ) N ;
- FILLER_23_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 89910 ) N ;
- FILLER_23_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 89910 ) N ;
- FILLER_23_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 89910 ) N ;
- FILLER_23_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 89910 ) N ;
- FILLER_23_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 89910 ) N ;
- FILLER_23_473 sky130_fd_sc_ls__decap_4 + PLACED ( 232800 89910 ) N ;
- FILLER_23_477 sky130_fd_sc_ls__fill_1 + PLACED ( 234720 89910 ) N ;
- FILLER_23_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 89910 ) N ;
- FILLER_23_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 89910 ) N ;
- FILLER_23_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 89910 ) N ;
- FILLER_23_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 89910 ) N ;
- FILLER_23_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 89910 ) N ;
- FILLER_23_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 89910 ) N ;
- FILLER_23_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 89910 ) N ;
- FILLER_23_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 89910 ) N ;
- FILLER_23_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 89910 ) N ;
- FILLER_23_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 89910 ) N ;
- FILLER_23_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 89910 ) N ;
- FILLER_23_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 89910 ) N ;
- FILLER_23_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 89910 ) N ;
- FILLER_23_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 89910 ) N ;
- FILLER_23_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 89910 ) N ;
- FILLER_23_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 89910 ) N ;
- FILLER_23_587 sky130_fd_sc_ls__fill_1 + PLACED ( 287520 89910 ) N ;
- FILLER_23_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 89910 ) N ;
- FILLER_23_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 89910 ) N ;
- FILLER_24_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 93240 ) FS ;
- FILLER_24_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 93240 ) FS ;
- FILLER_24_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 93240 ) FS ;
- FILLER_24_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 93240 ) FS ;
- FILLER_24_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 93240 ) FS ;
- FILLER_24_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 93240 ) FS ;
- FILLER_24_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 93240 ) FS ;
- FILLER_24_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 93240 ) FS ;
- FILLER_24_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 93240 ) FS ;
- FILLER_24_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 93240 ) FS ;
- FILLER_24_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 93240 ) FS ;
- FILLER_24_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 93240 ) FS ;
- FILLER_24_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 93240 ) FS ;
- FILLER_24_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 93240 ) FS ;
- FILLER_24_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 93240 ) FS ;
- FILLER_24_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 93240 ) FS ;
- FILLER_24_124 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 65280 93240 ) FS ;
- FILLER_24_126 sky130_fd_sc_ls__fill_1 + PLACED ( 66240 93240 ) FS ;
- FILLER_24_130 sky130_fd_sc_ls__decap_8 + PLACED ( 68160 93240 ) FS ;
- FILLER_24_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 93240 ) FS ;
- FILLER_24_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 93240 ) FS ;
- FILLER_24_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 93240 ) FS ;
- FILLER_24_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 93240 ) FS ;
- FILLER_24_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 93240 ) FS ;
- FILLER_24_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 93240 ) FS ;
- FILLER_24_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 93240 ) FS ;
- FILLER_24_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 93240 ) FS ;
- FILLER_24_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 93240 ) FS ;
- FILLER_24_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 93240 ) FS ;
- FILLER_24_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 93240 ) FS ;
- FILLER_24_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 93240 ) FS ;
- FILLER_24_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 93240 ) FS ;
- FILLER_24_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 93240 ) FS ;
- FILLER_24_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 93240 ) FS ;
- FILLER_24_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 93240 ) FS ;
- FILLER_24_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 93240 ) FS ;
- FILLER_24_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 93240 ) FS ;
- FILLER_24_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 93240 ) FS ;
- FILLER_24_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 93240 ) FS ;
- FILLER_24_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 93240 ) FS ;
- FILLER_24_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 93240 ) FS ;
- FILLER_24_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 93240 ) FS ;
- FILLER_24_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 93240 ) FS ;
- FILLER_24_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 93240 ) FS ;
- FILLER_24_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 93240 ) FS ;
- FILLER_24_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 93240 ) FS ;
- FILLER_24_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 93240 ) FS ;
- FILLER_24_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 93240 ) FS ;
- FILLER_24_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 93240 ) FS ;
- FILLER_24_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 93240 ) FS ;
- FILLER_24_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 93240 ) FS ;
- FILLER_24_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 93240 ) FS ;
- FILLER_24_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 93240 ) FS ;
- FILLER_24_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 93240 ) FS ;
- FILLER_24_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 93240 ) FS ;
- FILLER_24_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 93240 ) FS ;
- FILLER_24_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 93240 ) FS ;
- FILLER_24_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 93240 ) FS ;
- FILLER_24_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 93240 ) FS ;
- FILLER_24_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 93240 ) FS ;
- FILLER_24_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 93240 ) FS ;
- FILLER_24_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 93240 ) FS ;
- FILLER_24_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 93240 ) FS ;
- FILLER_24_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 93240 ) FS ;
- FILLER_24_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 93240 ) FS ;
- FILLER_24_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 93240 ) FS ;
- FILLER_24_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 93240 ) FS ;
- FILLER_24_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 93240 ) FS ;
- FILLER_24_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 93240 ) FS ;
- FILLER_24_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 93240 ) FS ;
- FILLER_24_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 93240 ) FS ;
- FILLER_24_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 93240 ) FS ;
- FILLER_24_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 93240 ) FS ;
- FILLER_24_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 93240 ) FS ;
- FILLER_24_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 93240 ) FS ;
- FILLER_24_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 93240 ) FS ;
- FILLER_24_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 93240 ) FS ;
- FILLER_24_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 93240 ) FS ;
- FILLER_24_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 93240 ) FS ;
- FILLER_24_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 93240 ) FS ;
- FILLER_24_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 93240 ) FS ;
- FILLER_24_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 93240 ) FS ;
- FILLER_24_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 93240 ) FS ;
- FILLER_24_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 93240 ) FS ;
- FILLER_24_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 93240 ) FS ;
- FILLER_24_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 93240 ) FS ;
- FILLER_25_9 sky130_fd_sc_ls__decap_8 + PLACED ( 10080 96570 ) N ;
- FILLER_25_17 sky130_fd_sc_ls__decap_8 + PLACED ( 13920 96570 ) N ;
- FILLER_25_25 sky130_fd_sc_ls__decap_8 + PLACED ( 17760 96570 ) N ;
- FILLER_25_33 sky130_fd_sc_ls__decap_8 + PLACED ( 21600 96570 ) N ;
- FILLER_25_41 sky130_fd_sc_ls__decap_8 + PLACED ( 25440 96570 ) N ;
- FILLER_25_49 sky130_fd_sc_ls__decap_4 + PLACED ( 29280 96570 ) N ;
- FILLER_25_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 96570 ) N ;
- FILLER_25_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 96570 ) N ;
- FILLER_25_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 96570 ) N ;
- FILLER_25_83 sky130_fd_sc_ls__decap_4 + PLACED ( 45600 96570 ) N ;
- FILLER_25_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 96570 ) N ;
- FILLER_25_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 96570 ) N ;
- FILLER_25_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 96570 ) N ;
- FILLER_25_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 96570 ) N ;
- FILLER_25_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 96570 ) N ;
- FILLER_25_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 96570 ) N ;
- FILLER_25_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 96570 ) N ;
- FILLER_25_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 96570 ) N ;
- FILLER_25_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 96570 ) N ;
- FILLER_25_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 96570 ) N ;
- FILLER_25_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 96570 ) N ;
- FILLER_25_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 96570 ) N ;
- FILLER_25_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 96570 ) N ;
- FILLER_25_182 sky130_fd_sc_ls__decap_4 + PLACED ( 93120 96570 ) N ;
- FILLER_25_186 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 95040 96570 ) N ;
- FILLER_25_191 sky130_fd_sc_ls__decap_8 + PLACED ( 97440 96570 ) N ;
- FILLER_25_199 sky130_fd_sc_ls__decap_8 + PLACED ( 101280 96570 ) N ;
- FILLER_25_207 sky130_fd_sc_ls__decap_8 + PLACED ( 105120 96570 ) N ;
- FILLER_25_215 sky130_fd_sc_ls__decap_4 + PLACED ( 108960 96570 ) N ;
- FILLER_25_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 96570 ) N ;
- FILLER_25_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 96570 ) N ;
- FILLER_25_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 96570 ) N ;
- FILLER_25_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 96570 ) N ;
- FILLER_25_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 96570 ) N ;
- FILLER_25_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 96570 ) N ;
- FILLER_25_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 96570 ) N ;
- FILLER_25_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 96570 ) N ;
- FILLER_25_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 96570 ) N ;
- FILLER_25_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 96570 ) N ;
- FILLER_25_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 96570 ) N ;
- FILLER_25_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 96570 ) N ;
- FILLER_25_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 96570 ) N ;
- FILLER_25_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 96570 ) N ;
- FILLER_25_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 96570 ) N ;
- FILLER_25_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 96570 ) N ;
- FILLER_25_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 96570 ) N ;
- FILLER_25_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 96570 ) N ;
- FILLER_25_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 96570 ) N ;
- FILLER_25_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 96570 ) N ;
- FILLER_25_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 96570 ) N ;
- FILLER_25_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 96570 ) N ;
- FILLER_25_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 96570 ) N ;
- FILLER_25_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 96570 ) N ;
- FILLER_25_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 96570 ) N ;
- FILLER_25_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 96570 ) N ;
- FILLER_25_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 96570 ) N ;
- FILLER_25_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 96570 ) N ;
- FILLER_25_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 96570 ) N ;
- FILLER_25_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 96570 ) N ;
- FILLER_25_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 96570 ) N ;
- FILLER_25_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 96570 ) N ;
- FILLER_25_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 96570 ) N ;
- FILLER_25_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 96570 ) N ;
- FILLER_25_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 96570 ) N ;
- FILLER_25_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 96570 ) N ;
- FILLER_25_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 96570 ) N ;
- FILLER_25_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 96570 ) N ;
- FILLER_25_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 96570 ) N ;
- FILLER_25_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 96570 ) N ;
- FILLER_25_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 96570 ) N ;
- FILLER_25_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 96570 ) N ;
- FILLER_25_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 96570 ) N ;
- FILLER_25_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 96570 ) N ;
- FILLER_25_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 96570 ) N ;
- FILLER_25_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 96570 ) N ;
- FILLER_25_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 96570 ) N ;
- FILLER_25_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 96570 ) N ;
- FILLER_25_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 96570 ) N ;
- FILLER_25_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 96570 ) N ;
- FILLER_25_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 96570 ) N ;
- FILLER_25_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 96570 ) N ;
- FILLER_25_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 96570 ) N ;
- FILLER_25_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 96570 ) N ;
- FILLER_25_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 96570 ) N ;
- FILLER_25_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 96570 ) N ;
- FILLER_26_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 99900 ) FS ;
- FILLER_26_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 99900 ) FS ;
- FILLER_26_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 99900 ) FS ;
- FILLER_26_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 99900 ) FS ;
- FILLER_26_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 99900 ) FS ;
- FILLER_26_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 99900 ) FS ;
- FILLER_26_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 99900 ) FS ;
- FILLER_26_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 99900 ) FS ;
- FILLER_26_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 99900 ) FS ;
- FILLER_26_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 99900 ) FS ;
- FILLER_26_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 99900 ) FS ;
- FILLER_26_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 99900 ) FS ;
- FILLER_26_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 99900 ) FS ;
- FILLER_26_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 99900 ) FS ;
- FILLER_26_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 99900 ) FS ;
- FILLER_26_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 99900 ) FS ;
- FILLER_26_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 99900 ) FS ;
- FILLER_26_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 99900 ) FS ;
- FILLER_26_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 99900 ) FS ;
- FILLER_26_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 99900 ) FS ;
- FILLER_26_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 99900 ) FS ;
- FILLER_26_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 99900 ) FS ;
- FILLER_26_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 99900 ) FS ;
- FILLER_26_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 99900 ) FS ;
- FILLER_26_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 99900 ) FS ;
- FILLER_26_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 99900 ) FS ;
- FILLER_26_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 99900 ) FS ;
- FILLER_26_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 99900 ) FS ;
- FILLER_26_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 99900 ) FS ;
- FILLER_26_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 99900 ) FS ;
- FILLER_26_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 99900 ) FS ;
- FILLER_26_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 99900 ) FS ;
- FILLER_26_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 99900 ) FS ;
- FILLER_26_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 99900 ) FS ;
- FILLER_26_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 99900 ) FS ;
- FILLER_26_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 99900 ) FS ;
- FILLER_26_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 99900 ) FS ;
- FILLER_26_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 99900 ) FS ;
- FILLER_26_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 99900 ) FS ;
- FILLER_26_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 99900 ) FS ;
- FILLER_26_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 99900 ) FS ;
- FILLER_26_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 99900 ) FS ;
- FILLER_26_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 99900 ) FS ;
- FILLER_26_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 99900 ) FS ;
- FILLER_26_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 99900 ) FS ;
- FILLER_26_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 99900 ) FS ;
- FILLER_26_336 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 167040 99900 ) FS ;
- FILLER_26_338 sky130_fd_sc_ls__fill_1 + PLACED ( 168000 99900 ) FS ;
- FILLER_26_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 99900 ) FS ;
- FILLER_26_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 99900 ) FS ;
- FILLER_26_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 99900 ) FS ;
- FILLER_26_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 99900 ) FS ;
- FILLER_26_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 99900 ) FS ;
- FILLER_26_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 99900 ) FS ;
- FILLER_26_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 99900 ) FS ;
- FILLER_26_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 99900 ) FS ;
- FILLER_26_399 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 197280 99900 ) FS ;
- FILLER_26_404 sky130_fd_sc_ls__decap_8 + PLACED ( 199680 99900 ) FS ;
- FILLER_26_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 99900 ) FS ;
- FILLER_26_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 99900 ) FS ;
- FILLER_26_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 99900 ) FS ;
- FILLER_26_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 99900 ) FS ;
- FILLER_26_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 99900 ) FS ;
- FILLER_26_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 99900 ) FS ;
- FILLER_26_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 99900 ) FS ;
- FILLER_26_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 99900 ) FS ;
- FILLER_26_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 99900 ) FS ;
- FILLER_26_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 99900 ) FS ;
- FILLER_26_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 99900 ) FS ;
- FILLER_26_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 99900 ) FS ;
- FILLER_26_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 99900 ) FS ;
- FILLER_26_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 99900 ) FS ;
- FILLER_26_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 99900 ) FS ;
- FILLER_26_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 99900 ) FS ;
- FILLER_26_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 99900 ) FS ;
- FILLER_26_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 99900 ) FS ;
- FILLER_26_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 99900 ) FS ;
- FILLER_26_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 99900 ) FS ;
- FILLER_26_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 99900 ) FS ;
- FILLER_26_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 99900 ) FS ;
- FILLER_26_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 99900 ) FS ;
- FILLER_26_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 99900 ) FS ;
- FILLER_26_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 99900 ) FS ;
- FILLER_26_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 99900 ) FS ;
- FILLER_26_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 99900 ) FS ;
- FILLER_26_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 99900 ) FS ;
- FILLER_27_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 103230 ) N ;
- FILLER_27_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 103230 ) N ;
- FILLER_27_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 103230 ) N ;
- FILLER_27_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 103230 ) N ;
- FILLER_27_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 103230 ) N ;
- FILLER_27_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 103230 ) N ;
- FILLER_27_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 103230 ) N ;
- FILLER_27_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 103230 ) N ;
- FILLER_27_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 103230 ) N ;
- FILLER_27_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 103230 ) N ;
- FILLER_27_86 sky130_fd_sc_ls__decap_8 + PLACED ( 47040 103230 ) N ;
- FILLER_27_94 sky130_fd_sc_ls__decap_8 + PLACED ( 50880 103230 ) N ;
- FILLER_27_102 sky130_fd_sc_ls__fill_1 + PLACED ( 54720 103230 ) N ;
- FILLER_27_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 103230 ) N ;
- FILLER_27_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 103230 ) N ;
- FILLER_27_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 103230 ) N ;
- FILLER_27_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 103230 ) N ;
- FILLER_27_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 103230 ) N ;
- FILLER_27_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 103230 ) N ;
- FILLER_27_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 103230 ) N ;
- FILLER_27_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 103230 ) N ;
- FILLER_27_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 103230 ) N ;
- FILLER_27_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 103230 ) N ;
- FILLER_27_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 103230 ) N ;
- FILLER_27_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 103230 ) N ;
- FILLER_27_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 103230 ) N ;
- FILLER_27_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 103230 ) N ;
- FILLER_27_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 103230 ) N ;
- FILLER_27_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 103230 ) N ;
- FILLER_27_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 103230 ) N ;
- FILLER_27_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 103230 ) N ;
- FILLER_27_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 103230 ) N ;
- FILLER_27_237 sky130_fd_sc_ls__fill_1 + PLACED ( 119520 103230 ) N ;
- FILLER_27_243 sky130_fd_sc_ls__decap_8 + PLACED ( 122400 103230 ) N ;
- FILLER_27_251 sky130_fd_sc_ls__decap_8 + PLACED ( 126240 103230 ) N ;
- FILLER_27_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 103230 ) N ;
- FILLER_27_267 sky130_fd_sc_ls__decap_8 + PLACED ( 133920 103230 ) N ;
- FILLER_27_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 103230 ) N ;
- FILLER_27_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 103230 ) N ;
- FILLER_27_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 103230 ) N ;
- FILLER_27_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 103230 ) N ;
- FILLER_27_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 103230 ) N ;
- FILLER_27_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 103230 ) N ;
- FILLER_27_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 103230 ) N ;
- FILLER_27_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 103230 ) N ;
- FILLER_27_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 103230 ) N ;
- FILLER_27_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 103230 ) N ;
- FILLER_27_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 103230 ) N ;
- FILLER_27_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 103230 ) N ;
- FILLER_27_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 103230 ) N ;
- FILLER_27_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 103230 ) N ;
- FILLER_27_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 103230 ) N ;
- FILLER_27_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 103230 ) N ;
- FILLER_27_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 103230 ) N ;
- FILLER_27_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 103230 ) N ;
- FILLER_27_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 103230 ) N ;
- FILLER_27_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 103230 ) N ;
- FILLER_27_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 103230 ) N ;
- FILLER_27_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 103230 ) N ;
- FILLER_27_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 103230 ) N ;
- FILLER_27_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 103230 ) N ;
- FILLER_27_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 103230 ) N ;
- FILLER_27_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 103230 ) N ;
- FILLER_27_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 103230 ) N ;
- FILLER_27_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 103230 ) N ;
- FILLER_27_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 103230 ) N ;
- FILLER_27_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 103230 ) N ;
- FILLER_27_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 103230 ) N ;
- FILLER_27_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 103230 ) N ;
- FILLER_27_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 103230 ) N ;
- FILLER_27_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 103230 ) N ;
- FILLER_27_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 103230 ) N ;
- FILLER_27_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 103230 ) N ;
- FILLER_27_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 103230 ) N ;
- FILLER_27_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 103230 ) N ;
- FILLER_27_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 103230 ) N ;
- FILLER_27_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 103230 ) N ;
- FILLER_27_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 103230 ) N ;
- FILLER_27_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 103230 ) N ;
- FILLER_27_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 103230 ) N ;
- FILLER_27_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 103230 ) N ;
- FILLER_27_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 103230 ) N ;
- FILLER_27_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 103230 ) N ;
- FILLER_27_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 103230 ) N ;
- FILLER_28_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 106560 ) FS ;
- FILLER_28_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 106560 ) FS ;
- FILLER_28_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 106560 ) FS ;
- FILLER_28_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 106560 ) FS ;
- FILLER_28_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 106560 ) FS ;
- FILLER_28_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 106560 ) FS ;
- FILLER_28_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 106560 ) FS ;
- FILLER_28_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 106560 ) FS ;
- FILLER_28_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 106560 ) FS ;
- FILLER_28_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 106560 ) FS ;
- FILLER_28_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 106560 ) FS ;
- FILLER_28_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 106560 ) FS ;
- FILLER_28_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 106560 ) FS ;
- FILLER_28_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 106560 ) FS ;
- FILLER_28_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 106560 ) FS ;
- FILLER_28_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 106560 ) FS ;
- FILLER_28_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 106560 ) FS ;
- FILLER_28_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 106560 ) FS ;
- FILLER_28_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 106560 ) FS ;
- FILLER_28_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 106560 ) FS ;
- FILLER_28_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 106560 ) FS ;
- FILLER_28_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 106560 ) FS ;
- FILLER_28_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 106560 ) FS ;
- FILLER_28_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 106560 ) FS ;
- FILLER_28_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 106560 ) FS ;
- FILLER_28_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 106560 ) FS ;
- FILLER_28_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 106560 ) FS ;
- FILLER_28_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 106560 ) FS ;
- FILLER_28_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 106560 ) FS ;
- FILLER_28_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 106560 ) FS ;
- FILLER_28_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 106560 ) FS ;
- FILLER_28_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 106560 ) FS ;
- FILLER_28_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 106560 ) FS ;
- FILLER_28_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 106560 ) FS ;
- FILLER_28_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 106560 ) FS ;
- FILLER_28_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 106560 ) FS ;
- FILLER_28_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 106560 ) FS ;
- FILLER_28_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 106560 ) FS ;
- FILLER_28_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 106560 ) FS ;
- FILLER_28_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 106560 ) FS ;
- FILLER_28_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 106560 ) FS ;
- FILLER_28_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 106560 ) FS ;
- FILLER_28_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 106560 ) FS ;
- FILLER_28_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 106560 ) FS ;
- FILLER_28_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 106560 ) FS ;
- FILLER_28_320 sky130_fd_sc_ls__fill_1 + PLACED ( 159360 106560 ) FS ;
- FILLER_28_324 sky130_fd_sc_ls__decap_8 + PLACED ( 161280 106560 ) FS ;
- FILLER_28_332 sky130_fd_sc_ls__decap_8 + PLACED ( 165120 106560 ) FS ;
- FILLER_28_340 sky130_fd_sc_ls__decap_8 + PLACED ( 168960 106560 ) FS ;
- FILLER_28_348 sky130_fd_sc_ls__decap_8 + PLACED ( 172800 106560 ) FS ;
- FILLER_28_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 106560 ) FS ;
- FILLER_28_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 106560 ) FS ;
- FILLER_28_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 106560 ) FS ;
- FILLER_28_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 106560 ) FS ;
- FILLER_28_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 106560 ) FS ;
- FILLER_28_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 106560 ) FS ;
- FILLER_28_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 106560 ) FS ;
- FILLER_28_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 106560 ) FS ;
- FILLER_28_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 106560 ) FS ;
- FILLER_28_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 106560 ) FS ;
- FILLER_28_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 106560 ) FS ;
- FILLER_28_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 106560 ) FS ;
- FILLER_28_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 106560 ) FS ;
- FILLER_28_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 106560 ) FS ;
- FILLER_28_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 106560 ) FS ;
- FILLER_28_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 106560 ) FS ;
- FILLER_28_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 106560 ) FS ;
- FILLER_28_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 106560 ) FS ;
- FILLER_28_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 106560 ) FS ;
- FILLER_28_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 106560 ) FS ;
- FILLER_28_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 106560 ) FS ;
- FILLER_28_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 106560 ) FS ;
- FILLER_28_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 106560 ) FS ;
- FILLER_28_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 106560 ) FS ;
- FILLER_28_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 106560 ) FS ;
- FILLER_28_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 106560 ) FS ;
- FILLER_28_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 106560 ) FS ;
- FILLER_28_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 106560 ) FS ;
- FILLER_28_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 106560 ) FS ;
- FILLER_28_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 106560 ) FS ;
- FILLER_28_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 106560 ) FS ;
- FILLER_28_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 106560 ) FS ;
- FILLER_28_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 106560 ) FS ;
- FILLER_28_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 106560 ) FS ;
- FILLER_28_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 106560 ) FS ;
- FILLER_28_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 106560 ) FS ;
- FILLER_29_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 109890 ) N ;
- FILLER_29_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 109890 ) N ;
- FILLER_29_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 109890 ) N ;
- FILLER_29_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 109890 ) N ;
- FILLER_29_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 109890 ) N ;
- FILLER_29_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 109890 ) N ;
- FILLER_29_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 109890 ) N ;
- FILLER_29_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 109890 ) N ;
- FILLER_29_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 109890 ) N ;
- FILLER_29_64 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 36480 109890 ) N ;
- FILLER_29_77 sky130_fd_sc_ls__decap_8 + PLACED ( 42720 109890 ) N ;
- FILLER_29_85 sky130_fd_sc_ls__decap_8 + PLACED ( 46560 109890 ) N ;
- FILLER_29_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 109890 ) N ;
- FILLER_29_101 sky130_fd_sc_ls__fill_1 + PLACED ( 54240 109890 ) N ;
- FILLER_29_105 sky130_fd_sc_ls__decap_4 + PLACED ( 56160 109890 ) N ;
- FILLER_29_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 109890 ) N ;
- FILLER_29_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 109890 ) N ;
- FILLER_29_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 109890 ) N ;
- FILLER_29_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 109890 ) N ;
- FILLER_29_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 109890 ) N ;
- FILLER_29_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 109890 ) N ;
- FILLER_29_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 109890 ) N ;
- FILLER_29_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 109890 ) N ;
- FILLER_29_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 109890 ) N ;
- FILLER_29_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 109890 ) N ;
- FILLER_29_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 109890 ) N ;
- FILLER_29_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 109890 ) N ;
- FILLER_29_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 109890 ) N ;
- FILLER_29_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 109890 ) N ;
- FILLER_29_206 sky130_fd_sc_ls__decap_4 + PLACED ( 104640 109890 ) N ;
- FILLER_29_210 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 106560 109890 ) N ;
- FILLER_29_215 sky130_fd_sc_ls__decap_4 + PLACED ( 108960 109890 ) N ;
- FILLER_29_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 109890 ) N ;
- FILLER_29_221 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 111840 109890 ) N ;
- FILLER_29_228 sky130_fd_sc_ls__decap_8 + PLACED ( 115200 109890 ) N ;
- FILLER_29_236 sky130_fd_sc_ls__decap_8 + PLACED ( 119040 109890 ) N ;
- FILLER_29_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 109890 ) N ;
- FILLER_29_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 109890 ) N ;
- FILLER_29_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 109890 ) N ;
- FILLER_29_268 sky130_fd_sc_ls__decap_4 + PLACED ( 134400 109890 ) N ;
- FILLER_29_272 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136320 109890 ) N ;
- FILLER_29_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 109890 ) N ;
- FILLER_29_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 109890 ) N ;
- FILLER_29_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 109890 ) N ;
- FILLER_29_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 109890 ) N ;
- FILLER_29_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 109890 ) N ;
- FILLER_29_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 109890 ) N ;
- FILLER_29_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 109890 ) N ;
- FILLER_29_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 109890 ) N ;
- FILLER_29_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 109890 ) N ;
- FILLER_29_331 sky130_fd_sc_ls__decap_4 + PLACED ( 164640 109890 ) N ;
- FILLER_29_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 109890 ) N ;
- FILLER_29_346 sky130_fd_sc_ls__decap_8 + PLACED ( 171840 109890 ) N ;
- FILLER_29_354 sky130_fd_sc_ls__decap_8 + PLACED ( 175680 109890 ) N ;
- FILLER_29_362 sky130_fd_sc_ls__decap_8 + PLACED ( 179520 109890 ) N ;
- FILLER_29_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 109890 ) N ;
- FILLER_29_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 109890 ) N ;
- FILLER_29_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 109890 ) N ;
- FILLER_29_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 109890 ) N ;
- FILLER_29_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 109890 ) N ;
- FILLER_29_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 109890 ) N ;
- FILLER_29_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 109890 ) N ;
- FILLER_29_415 sky130_fd_sc_ls__decap_8 + PLACED ( 204960 109890 ) N ;
- FILLER_29_423 sky130_fd_sc_ls__decap_8 + PLACED ( 208800 109890 ) N ;
- FILLER_29_431 sky130_fd_sc_ls__decap_8 + PLACED ( 212640 109890 ) N ;
- FILLER_29_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 109890 ) N ;
- FILLER_29_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 109890 ) N ;
- FILLER_29_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 109890 ) N ;
- FILLER_29_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 109890 ) N ;
- FILLER_29_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 109890 ) N ;
- FILLER_29_473 sky130_fd_sc_ls__decap_4 + PLACED ( 232800 109890 ) N ;
- FILLER_29_477 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 234720 109890 ) N ;
- FILLER_29_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 109890 ) N ;
- FILLER_29_492 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241920 109890 ) N ;
- FILLER_29_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 109890 ) N ;
- FILLER_29_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 109890 ) N ;
- FILLER_29_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 109890 ) N ;
- FILLER_29_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 109890 ) N ;
- FILLER_29_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 109890 ) N ;
- FILLER_29_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 109890 ) N ;
- FILLER_29_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 109890 ) N ;
- FILLER_29_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 109890 ) N ;
- FILLER_29_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 109890 ) N ;
- FILLER_29_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 109890 ) N ;
- FILLER_29_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 109890 ) N ;
- FILLER_29_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 109890 ) N ;
- FILLER_29_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 109890 ) N ;
- FILLER_29_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 109890 ) N ;
- FILLER_29_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 109890 ) N ;
- FILLER_29_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 109890 ) N ;
- FILLER_30_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 113220 ) FS ;
- FILLER_30_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 113220 ) FS ;
- FILLER_30_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 113220 ) FS ;
- FILLER_30_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 113220 ) FS ;
- FILLER_30_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 113220 ) FS ;
- FILLER_30_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 113220 ) FS ;
- FILLER_30_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 113220 ) FS ;
- FILLER_30_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 113220 ) FS ;
- FILLER_30_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 113220 ) FS ;
- FILLER_30_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 113220 ) FS ;
- FILLER_30_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 113220 ) FS ;
- FILLER_30_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 113220 ) FS ;
- FILLER_30_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 113220 ) FS ;
- FILLER_30_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 113220 ) FS ;
- FILLER_30_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 113220 ) FS ;
- FILLER_30_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 113220 ) FS ;
- FILLER_30_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 113220 ) FS ;
- FILLER_30_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 113220 ) FS ;
- FILLER_30_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 113220 ) FS ;
- FILLER_30_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 113220 ) FS ;
- FILLER_30_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 113220 ) FS ;
- FILLER_30_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 113220 ) FS ;
- FILLER_30_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 113220 ) FS ;
- FILLER_30_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 113220 ) FS ;
- FILLER_30_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 113220 ) FS ;
- FILLER_30_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 113220 ) FS ;
- FILLER_30_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 113220 ) FS ;
- FILLER_30_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 113220 ) FS ;
- FILLER_30_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 113220 ) FS ;
- FILLER_30_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 113220 ) FS ;
- FILLER_30_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 113220 ) FS ;
- FILLER_30_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 113220 ) FS ;
- FILLER_30_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 113220 ) FS ;
- FILLER_30_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 113220 ) FS ;
- FILLER_30_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 113220 ) FS ;
- FILLER_30_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 113220 ) FS ;
- FILLER_30_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 113220 ) FS ;
- FILLER_30_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 113220 ) FS ;
- FILLER_30_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 113220 ) FS ;
- FILLER_30_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 113220 ) FS ;
- FILLER_30_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 113220 ) FS ;
- FILLER_30_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 113220 ) FS ;
- FILLER_30_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 113220 ) FS ;
- FILLER_30_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 113220 ) FS ;
- FILLER_30_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 113220 ) FS ;
- FILLER_30_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 113220 ) FS ;
- FILLER_30_328 sky130_fd_sc_ls__decap_4 + PLACED ( 163200 113220 ) FS ;
- FILLER_30_332 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 165120 113220 ) FS ;
- FILLER_30_337 sky130_fd_sc_ls__decap_8 + PLACED ( 167520 113220 ) FS ;
- FILLER_30_345 sky130_fd_sc_ls__decap_8 + PLACED ( 171360 113220 ) FS ;
- FILLER_30_353 sky130_fd_sc_ls__decap_4 + PLACED ( 175200 113220 ) FS ;
- FILLER_30_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 113220 ) FS ;
- FILLER_30_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 113220 ) FS ;
- FILLER_30_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 113220 ) FS ;
- FILLER_30_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 113220 ) FS ;
- FILLER_30_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 113220 ) FS ;
- FILLER_30_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 113220 ) FS ;
- FILLER_30_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 113220 ) FS ;
- FILLER_30_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 113220 ) FS ;
- FILLER_30_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 113220 ) FS ;
- FILLER_30_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 113220 ) FS ;
- FILLER_30_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 113220 ) FS ;
- FILLER_30_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 113220 ) FS ;
- FILLER_30_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 113220 ) FS ;
- FILLER_30_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 113220 ) FS ;
- FILLER_30_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 113220 ) FS ;
- FILLER_30_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 113220 ) FS ;
- FILLER_30_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 113220 ) FS ;
- FILLER_30_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 113220 ) FS ;
- FILLER_30_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 113220 ) FS ;
- FILLER_30_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 113220 ) FS ;
- FILLER_30_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 113220 ) FS ;
- FILLER_30_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 113220 ) FS ;
- FILLER_30_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 113220 ) FS ;
- FILLER_30_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 113220 ) FS ;
- FILLER_30_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 113220 ) FS ;
- FILLER_30_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 113220 ) FS ;
- FILLER_30_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 113220 ) FS ;
- FILLER_30_540 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 264960 113220 ) FS ;
- FILLER_30_542 sky130_fd_sc_ls__fill_1 + PLACED ( 265920 113220 ) FS ;
- FILLER_30_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 113220 ) FS ;
- FILLER_30_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 113220 ) FS ;
- FILLER_30_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 113220 ) FS ;
- FILLER_30_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 113220 ) FS ;
- FILLER_30_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 113220 ) FS ;
- FILLER_30_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 113220 ) FS ;
- FILLER_30_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 113220 ) FS ;
- FILLER_30_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 113220 ) FS ;
- FILLER_31_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 116550 ) N ;
- FILLER_31_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 116550 ) N ;
- FILLER_31_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 116550 ) N ;
- FILLER_31_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 116550 ) N ;
- FILLER_31_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 116550 ) N ;
- FILLER_31_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 116550 ) N ;
- FILLER_31_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 116550 ) N ;
- FILLER_31_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 116550 ) N ;
- FILLER_31_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 116550 ) N ;
- FILLER_31_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 116550 ) N ;
- FILLER_31_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 116550 ) N ;
- FILLER_31_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 116550 ) N ;
- FILLER_31_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 116550 ) N ;
- FILLER_31_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 116550 ) N ;
- FILLER_31_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 116550 ) N ;
- FILLER_31_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 116550 ) N ;
- FILLER_31_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 116550 ) N ;
- FILLER_31_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 116550 ) N ;
- FILLER_31_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 116550 ) N ;
- FILLER_31_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 116550 ) N ;
- FILLER_31_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 116550 ) N ;
- FILLER_31_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 116550 ) N ;
- FILLER_31_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 116550 ) N ;
- FILLER_31_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 116550 ) N ;
- FILLER_31_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 116550 ) N ;
- FILLER_31_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 116550 ) N ;
- FILLER_31_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 116550 ) N ;
- FILLER_31_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 116550 ) N ;
- FILLER_31_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 116550 ) N ;
- FILLER_31_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 116550 ) N ;
- FILLER_31_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 116550 ) N ;
- FILLER_31_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 116550 ) N ;
- FILLER_31_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 116550 ) N ;
- FILLER_31_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 116550 ) N ;
- FILLER_31_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 116550 ) N ;
- FILLER_31_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 116550 ) N ;
- FILLER_31_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 116550 ) N ;
- FILLER_31_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 116550 ) N ;
- FILLER_31_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 116550 ) N ;
- FILLER_31_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 116550 ) N ;
- FILLER_31_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 116550 ) N ;
- FILLER_31_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 116550 ) N ;
- FILLER_31_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 116550 ) N ;
- FILLER_31_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 116550 ) N ;
- FILLER_31_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 116550 ) N ;
- FILLER_31_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 116550 ) N ;
- FILLER_31_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 116550 ) N ;
- FILLER_31_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 116550 ) N ;
- FILLER_31_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 116550 ) N ;
- FILLER_31_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 116550 ) N ;
- FILLER_31_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 116550 ) N ;
- FILLER_31_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 116550 ) N ;
- FILLER_31_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 116550 ) N ;
- FILLER_31_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 116550 ) N ;
- FILLER_31_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 116550 ) N ;
- FILLER_31_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 116550 ) N ;
- FILLER_31_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 116550 ) N ;
- FILLER_31_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 116550 ) N ;
- FILLER_31_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 116550 ) N ;
- FILLER_31_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 116550 ) N ;
- FILLER_31_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 116550 ) N ;
- FILLER_31_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 116550 ) N ;
- FILLER_31_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 116550 ) N ;
- FILLER_31_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 116550 ) N ;
- FILLER_31_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 116550 ) N ;
- FILLER_31_453 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 223200 116550 ) N ;
- FILLER_31_458 sky130_fd_sc_ls__decap_8 + PLACED ( 225600 116550 ) N ;
- FILLER_31_466 sky130_fd_sc_ls__decap_8 + PLACED ( 229440 116550 ) N ;
- FILLER_31_474 sky130_fd_sc_ls__decap_8 + PLACED ( 233280 116550 ) N ;
- FILLER_31_482 sky130_fd_sc_ls__decap_8 + PLACED ( 237120 116550 ) N ;
- FILLER_31_490 sky130_fd_sc_ls__decap_4 + PLACED ( 240960 116550 ) N ;
- FILLER_31_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 116550 ) N ;
- FILLER_31_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 116550 ) N ;
- FILLER_31_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 116550 ) N ;
- FILLER_31_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 116550 ) N ;
- FILLER_31_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 116550 ) N ;
- FILLER_31_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 116550 ) N ;
- FILLER_31_535 sky130_fd_sc_ls__decap_8 + PLACED ( 262560 116550 ) N ;
- FILLER_31_543 sky130_fd_sc_ls__decap_4 + PLACED ( 266400 116550 ) N ;
- FILLER_31_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 116550 ) N ;
- FILLER_31_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 116550 ) N ;
- FILLER_31_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 116550 ) N ;
- FILLER_31_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 116550 ) N ;
- FILLER_31_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 116550 ) N ;
- FILLER_31_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 116550 ) N ;
- FILLER_31_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 116550 ) N ;
- FILLER_31_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 116550 ) N ;
- FILLER_31_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 116550 ) N ;
- FILLER_32_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 119880 ) FS ;
- FILLER_32_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 119880 ) FS ;
- FILLER_32_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 119880 ) FS ;
- FILLER_32_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 119880 ) FS ;
- FILLER_32_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 119880 ) FS ;
- FILLER_32_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 119880 ) FS ;
- FILLER_32_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 119880 ) FS ;
- FILLER_32_65 sky130_fd_sc_ls__decap_8 + PLACED ( 36960 119880 ) FS ;
- FILLER_32_73 sky130_fd_sc_ls__decap_8 + PLACED ( 40800 119880 ) FS ;
- FILLER_32_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 119880 ) FS ;
- FILLER_32_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 119880 ) FS ;
- FILLER_32_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 119880 ) FS ;
- FILLER_32_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 119880 ) FS ;
- FILLER_32_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 119880 ) FS ;
- FILLER_32_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 119880 ) FS ;
- FILLER_32_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 119880 ) FS ;
- FILLER_32_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 119880 ) FS ;
- FILLER_32_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 119880 ) FS ;
- FILLER_32_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 119880 ) FS ;
- FILLER_32_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 119880 ) FS ;
- FILLER_32_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 119880 ) FS ;
- FILLER_32_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 119880 ) FS ;
- FILLER_32_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 119880 ) FS ;
- FILLER_32_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 119880 ) FS ;
- FILLER_32_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 119880 ) FS ;
- FILLER_32_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 119880 ) FS ;
- FILLER_32_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 119880 ) FS ;
- FILLER_32_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 119880 ) FS ;
- FILLER_32_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 119880 ) FS ;
- FILLER_32_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 119880 ) FS ;
- FILLER_32_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 119880 ) FS ;
- FILLER_32_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 119880 ) FS ;
- FILLER_32_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 119880 ) FS ;
- FILLER_32_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 119880 ) FS ;
- FILLER_32_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 119880 ) FS ;
- FILLER_32_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 119880 ) FS ;
- FILLER_32_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 119880 ) FS ;
- FILLER_32_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 119880 ) FS ;
- FILLER_32_275 sky130_fd_sc_ls__fill_1 + PLACED ( 137760 119880 ) FS ;
- FILLER_32_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 119880 ) FS ;
- FILLER_32_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 119880 ) FS ;
- FILLER_32_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 119880 ) FS ;
- FILLER_32_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 119880 ) FS ;
- FILLER_32_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 119880 ) FS ;
- FILLER_32_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 119880 ) FS ;
- FILLER_32_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 119880 ) FS ;
- FILLER_32_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 119880 ) FS ;
- FILLER_32_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 119880 ) FS ;
- FILLER_32_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 119880 ) FS ;
- FILLER_32_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 119880 ) FS ;
- FILLER_32_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 119880 ) FS ;
- FILLER_32_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 119880 ) FS ;
- FILLER_32_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 119880 ) FS ;
- FILLER_32_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 119880 ) FS ;
- FILLER_32_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 119880 ) FS ;
- FILLER_32_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 119880 ) FS ;
- FILLER_32_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 119880 ) FS ;
- FILLER_32_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 119880 ) FS ;
- FILLER_32_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 119880 ) FS ;
- FILLER_32_414 sky130_fd_sc_ls__decap_4 + PLACED ( 204480 119880 ) FS ;
- FILLER_32_418 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 206400 119880 ) FS ;
- FILLER_32_423 sky130_fd_sc_ls__decap_8 + PLACED ( 208800 119880 ) FS ;
- FILLER_32_431 sky130_fd_sc_ls__decap_8 + PLACED ( 212640 119880 ) FS ;
- FILLER_32_439 sky130_fd_sc_ls__decap_8 + PLACED ( 216480 119880 ) FS ;
- FILLER_32_447 sky130_fd_sc_ls__decap_8 + PLACED ( 220320 119880 ) FS ;
- FILLER_32_455 sky130_fd_sc_ls__decap_8 + PLACED ( 224160 119880 ) FS ;
- FILLER_32_463 sky130_fd_sc_ls__decap_4 + PLACED ( 228000 119880 ) FS ;
- FILLER_32_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 119880 ) FS ;
- FILLER_32_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 119880 ) FS ;
- FILLER_32_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 119880 ) FS ;
- FILLER_32_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 119880 ) FS ;
- FILLER_32_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 119880 ) FS ;
- FILLER_32_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 119880 ) FS ;
- FILLER_32_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 119880 ) FS ;
- FILLER_32_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 119880 ) FS ;
- FILLER_32_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 119880 ) FS ;
- FILLER_32_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 119880 ) FS ;
- FILLER_32_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 119880 ) FS ;
- FILLER_32_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 119880 ) FS ;
- FILLER_32_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 119880 ) FS ;
- FILLER_32_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 119880 ) FS ;
- FILLER_32_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 119880 ) FS ;
- FILLER_32_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 119880 ) FS ;
- FILLER_32_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 119880 ) FS ;
- FILLER_32_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 119880 ) FS ;
- FILLER_32_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 119880 ) FS ;
- FILLER_32_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 119880 ) FS ;
- FILLER_33_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 123210 ) N ;
- FILLER_33_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 123210 ) N ;
- FILLER_33_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 123210 ) N ;
- FILLER_33_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 123210 ) N ;
- FILLER_33_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 123210 ) N ;
- FILLER_33_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 123210 ) N ;
- FILLER_33_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 123210 ) N ;
- FILLER_33_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 123210 ) N ;
- FILLER_33_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 123210 ) N ;
- FILLER_33_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 123210 ) N ;
- FILLER_33_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 123210 ) N ;
- FILLER_33_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 123210 ) N ;
- FILLER_33_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 123210 ) N ;
- FILLER_33_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 123210 ) N ;
- FILLER_33_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 123210 ) N ;
- FILLER_33_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 123210 ) N ;
- FILLER_33_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 123210 ) N ;
- FILLER_33_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 123210 ) N ;
- FILLER_33_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 123210 ) N ;
- FILLER_33_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 123210 ) N ;
- FILLER_33_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 123210 ) N ;
- FILLER_33_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 123210 ) N ;
- FILLER_33_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 123210 ) N ;
- FILLER_33_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 123210 ) N ;
- FILLER_33_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 123210 ) N ;
- FILLER_33_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 123210 ) N ;
- FILLER_33_193 sky130_fd_sc_ls__decap_8 + PLACED ( 98400 123210 ) N ;
- FILLER_33_201 sky130_fd_sc_ls__decap_8 + PLACED ( 102240 123210 ) N ;
- FILLER_33_209 sky130_fd_sc_ls__decap_8 + PLACED ( 106080 123210 ) N ;
- FILLER_33_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 123210 ) N ;
- FILLER_33_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 123210 ) N ;
- FILLER_33_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 123210 ) N ;
- FILLER_33_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 123210 ) N ;
- FILLER_33_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 123210 ) N ;
- FILLER_33_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 123210 ) N ;
- FILLER_33_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 123210 ) N ;
- FILLER_33_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 123210 ) N ;
- FILLER_33_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 123210 ) N ;
- FILLER_33_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 123210 ) N ;
- FILLER_33_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 123210 ) N ;
- FILLER_33_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 123210 ) N ;
- FILLER_33_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 123210 ) N ;
- FILLER_33_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 123210 ) N ;
- FILLER_33_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 123210 ) N ;
- FILLER_33_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 123210 ) N ;
- FILLER_33_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 123210 ) N ;
- FILLER_33_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 123210 ) N ;
- FILLER_33_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 123210 ) N ;
- FILLER_33_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 123210 ) N ;
- FILLER_33_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 123210 ) N ;
- FILLER_33_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 123210 ) N ;
- FILLER_33_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 123210 ) N ;
- FILLER_33_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 123210 ) N ;
- FILLER_33_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 123210 ) N ;
- FILLER_33_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 123210 ) N ;
- FILLER_33_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 123210 ) N ;
- FILLER_33_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 123210 ) N ;
- FILLER_33_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 123210 ) N ;
- FILLER_33_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 123210 ) N ;
- FILLER_33_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 123210 ) N ;
- FILLER_33_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 123210 ) N ;
- FILLER_33_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 123210 ) N ;
- FILLER_33_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 123210 ) N ;
- FILLER_33_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 123210 ) N ;
- FILLER_33_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 123210 ) N ;
- FILLER_33_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 123210 ) N ;
- FILLER_33_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 123210 ) N ;
- FILLER_33_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 123210 ) N ;
- FILLER_33_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 123210 ) N ;
- FILLER_33_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 123210 ) N ;
- FILLER_33_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 123210 ) N ;
- FILLER_33_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 123210 ) N ;
- FILLER_33_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 123210 ) N ;
- FILLER_33_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 123210 ) N ;
- FILLER_33_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 123210 ) N ;
- FILLER_33_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 123210 ) N ;
- FILLER_33_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 123210 ) N ;
- FILLER_33_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 123210 ) N ;
- FILLER_33_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 123210 ) N ;
- FILLER_33_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 123210 ) N ;
- FILLER_33_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 123210 ) N ;
- FILLER_33_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 123210 ) N ;
- FILLER_33_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 123210 ) N ;
- FILLER_33_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 123210 ) N ;
- FILLER_33_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 123210 ) N ;
- FILLER_33_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 123210 ) N ;
- FILLER_34_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 126540 ) FS ;
- FILLER_34_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 126540 ) FS ;
- FILLER_34_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 126540 ) FS ;
- FILLER_34_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 126540 ) FS ;
- FILLER_34_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 126540 ) FS ;
- FILLER_34_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 126540 ) FS ;
- FILLER_34_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 126540 ) FS ;
- FILLER_34_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 126540 ) FS ;
- FILLER_34_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 126540 ) FS ;
- FILLER_34_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 126540 ) FS ;
- FILLER_34_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 126540 ) FS ;
- FILLER_34_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 126540 ) FS ;
- FILLER_34_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 126540 ) FS ;
- FILLER_34_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 126540 ) FS ;
- FILLER_34_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 126540 ) FS ;
- FILLER_34_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 126540 ) FS ;
- FILLER_34_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 126540 ) FS ;
- FILLER_34_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 126540 ) FS ;
- FILLER_34_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 126540 ) FS ;
- FILLER_34_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 126540 ) FS ;
- FILLER_34_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 126540 ) FS ;
- FILLER_34_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 126540 ) FS ;
- FILLER_34_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 126540 ) FS ;
- FILLER_34_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 126540 ) FS ;
- FILLER_34_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 126540 ) FS ;
- FILLER_34_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 126540 ) FS ;
- FILLER_34_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 126540 ) FS ;
- FILLER_34_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 126540 ) FS ;
- FILLER_34_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 126540 ) FS ;
- FILLER_34_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 126540 ) FS ;
- FILLER_34_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 126540 ) FS ;
- FILLER_34_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 126540 ) FS ;
- FILLER_34_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 126540 ) FS ;
- FILLER_34_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 126540 ) FS ;
- FILLER_34_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 126540 ) FS ;
- FILLER_34_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 126540 ) FS ;
- FILLER_34_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 126540 ) FS ;
- FILLER_34_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 126540 ) FS ;
- FILLER_34_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 126540 ) FS ;
- FILLER_34_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 126540 ) FS ;
- FILLER_34_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 126540 ) FS ;
- FILLER_34_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 126540 ) FS ;
- FILLER_34_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 126540 ) FS ;
- FILLER_34_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 126540 ) FS ;
- FILLER_34_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 126540 ) FS ;
- FILLER_34_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 126540 ) FS ;
- FILLER_34_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 126540 ) FS ;
- FILLER_34_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 126540 ) FS ;
- FILLER_34_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 126540 ) FS ;
- FILLER_34_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 126540 ) FS ;
- FILLER_34_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 126540 ) FS ;
- FILLER_34_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 126540 ) FS ;
- FILLER_34_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 126540 ) FS ;
- FILLER_34_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 126540 ) FS ;
- FILLER_34_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 126540 ) FS ;
- FILLER_34_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 126540 ) FS ;
- FILLER_34_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 126540 ) FS ;
- FILLER_34_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 126540 ) FS ;
- FILLER_34_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 126540 ) FS ;
- FILLER_34_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 126540 ) FS ;
- FILLER_34_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 126540 ) FS ;
- FILLER_34_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 126540 ) FS ;
- FILLER_34_443 sky130_fd_sc_ls__decap_8 + PLACED ( 218400 126540 ) FS ;
- FILLER_34_451 sky130_fd_sc_ls__decap_8 + PLACED ( 222240 126540 ) FS ;
- FILLER_34_459 sky130_fd_sc_ls__decap_8 + PLACED ( 226080 126540 ) FS ;
- FILLER_34_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 126540 ) FS ;
- FILLER_34_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 126540 ) FS ;
- FILLER_34_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 126540 ) FS ;
- FILLER_34_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 126540 ) FS ;
- FILLER_34_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 126540 ) FS ;
- FILLER_34_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 126540 ) FS ;
- FILLER_34_509 sky130_fd_sc_ls__decap_4 + PLACED ( 250080 126540 ) FS ;
- FILLER_34_513 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 252000 126540 ) FS ;
- FILLER_34_515 sky130_fd_sc_ls__fill_1 + PLACED ( 252960 126540 ) FS ;
- FILLER_34_519 sky130_fd_sc_ls__decap_4 + PLACED ( 254880 126540 ) FS ;
- FILLER_34_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 126540 ) FS ;
- FILLER_34_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 126540 ) FS ;
- FILLER_34_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 126540 ) FS ;
- FILLER_34_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 126540 ) FS ;
- FILLER_34_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 126540 ) FS ;
- FILLER_34_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 126540 ) FS ;
- FILLER_34_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 126540 ) FS ;
- FILLER_34_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 126540 ) FS ;
- FILLER_34_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 126540 ) FS ;
- FILLER_34_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 126540 ) FS ;
- FILLER_34_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 126540 ) FS ;
- FILLER_35_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 129870 ) N ;
- FILLER_35_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 129870 ) N ;
- FILLER_35_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 129870 ) N ;
- FILLER_35_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 129870 ) N ;
- FILLER_35_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 129870 ) N ;
- FILLER_35_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 129870 ) N ;
- FILLER_35_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 129870 ) N ;
- FILLER_35_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 129870 ) N ;
- FILLER_35_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 129870 ) N ;
- FILLER_35_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 129870 ) N ;
- FILLER_35_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 129870 ) N ;
- FILLER_35_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 129870 ) N ;
- FILLER_35_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 129870 ) N ;
- FILLER_35_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 129870 ) N ;
- FILLER_35_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 129870 ) N ;
- FILLER_35_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 129870 ) N ;
- FILLER_35_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 129870 ) N ;
- FILLER_35_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 129870 ) N ;
- FILLER_35_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 129870 ) N ;
- FILLER_35_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 129870 ) N ;
- FILLER_35_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 129870 ) N ;
- FILLER_35_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 129870 ) N ;
- FILLER_35_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 129870 ) N ;
- FILLER_35_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 129870 ) N ;
- FILLER_35_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 129870 ) N ;
- FILLER_35_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 129870 ) N ;
- FILLER_35_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 129870 ) N ;
- FILLER_35_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 129870 ) N ;
- FILLER_35_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 129870 ) N ;
- FILLER_35_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 129870 ) N ;
- FILLER_35_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 129870 ) N ;
- FILLER_35_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 129870 ) N ;
- FILLER_35_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 129870 ) N ;
- FILLER_35_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 129870 ) N ;
- FILLER_35_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 129870 ) N ;
- FILLER_35_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 129870 ) N ;
- FILLER_35_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 129870 ) N ;
- FILLER_35_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 129870 ) N ;
- FILLER_35_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 129870 ) N ;
- FILLER_35_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 129870 ) N ;
- FILLER_35_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 129870 ) N ;
- FILLER_35_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 129870 ) N ;
- FILLER_35_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 129870 ) N ;
- FILLER_35_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 129870 ) N ;
- FILLER_35_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 129870 ) N ;
- FILLER_35_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 129870 ) N ;
- FILLER_35_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 129870 ) N ;
- FILLER_35_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 129870 ) N ;
- FILLER_35_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 129870 ) N ;
- FILLER_35_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 129870 ) N ;
- FILLER_35_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 129870 ) N ;
- FILLER_35_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 129870 ) N ;
- FILLER_35_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 129870 ) N ;
- FILLER_35_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 129870 ) N ;
- FILLER_35_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 129870 ) N ;
- FILLER_35_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 129870 ) N ;
- FILLER_35_393 sky130_fd_sc_ls__decap_8 + PLACED ( 194400 129870 ) N ;
- FILLER_35_401 sky130_fd_sc_ls__decap_8 + PLACED ( 198240 129870 ) N ;
- FILLER_35_409 sky130_fd_sc_ls__decap_8 + PLACED ( 202080 129870 ) N ;
- FILLER_35_417 sky130_fd_sc_ls__fill_1 + PLACED ( 205920 129870 ) N ;
- FILLER_35_423 sky130_fd_sc_ls__decap_8 + PLACED ( 208800 129870 ) N ;
- FILLER_35_431 sky130_fd_sc_ls__decap_8 + PLACED ( 212640 129870 ) N ;
- FILLER_35_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 129870 ) N ;
- FILLER_35_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 129870 ) N ;
- FILLER_35_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 129870 ) N ;
- FILLER_35_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 129870 ) N ;
- FILLER_35_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 129870 ) N ;
- FILLER_35_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 129870 ) N ;
- FILLER_35_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 129870 ) N ;
- FILLER_35_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 129870 ) N ;
- FILLER_35_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 129870 ) N ;
- FILLER_35_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 129870 ) N ;
- FILLER_35_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 129870 ) N ;
- FILLER_35_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 129870 ) N ;
- FILLER_35_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 129870 ) N ;
- FILLER_35_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 129870 ) N ;
- FILLER_35_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 129870 ) N ;
- FILLER_35_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 129870 ) N ;
- FILLER_35_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 129870 ) N ;
- FILLER_35_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 129870 ) N ;
- FILLER_35_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 129870 ) N ;
- FILLER_35_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 129870 ) N ;
- FILLER_35_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 129870 ) N ;
- FILLER_35_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 129870 ) N ;
- FILLER_35_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 129870 ) N ;
- FILLER_35_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 129870 ) N ;
- FILLER_36_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 133200 ) FS ;
- FILLER_36_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 133200 ) FS ;
- FILLER_36_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 133200 ) FS ;
- FILLER_36_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 133200 ) FS ;
- FILLER_36_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 133200 ) FS ;
- FILLER_36_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 133200 ) FS ;
- FILLER_36_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 133200 ) FS ;
- FILLER_36_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 133200 ) FS ;
- FILLER_36_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 133200 ) FS ;
- FILLER_36_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 133200 ) FS ;
- FILLER_36_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 133200 ) FS ;
- FILLER_36_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 133200 ) FS ;
- FILLER_36_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 133200 ) FS ;
- FILLER_36_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 133200 ) FS ;
- FILLER_36_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 133200 ) FS ;
- FILLER_36_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 133200 ) FS ;
- FILLER_36_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 133200 ) FS ;
- FILLER_36_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 133200 ) FS ;
- FILLER_36_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 133200 ) FS ;
- FILLER_36_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 133200 ) FS ;
- FILLER_36_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 133200 ) FS ;
- FILLER_36_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 133200 ) FS ;
- FILLER_36_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 133200 ) FS ;
- FILLER_36_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 133200 ) FS ;
- FILLER_36_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 133200 ) FS ;
- FILLER_36_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 133200 ) FS ;
- FILLER_36_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 133200 ) FS ;
- FILLER_36_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 133200 ) FS ;
- FILLER_36_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 133200 ) FS ;
- FILLER_36_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 133200 ) FS ;
- FILLER_36_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 133200 ) FS ;
- FILLER_36_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 133200 ) FS ;
- FILLER_36_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 133200 ) FS ;
- FILLER_36_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 133200 ) FS ;
- FILLER_36_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 133200 ) FS ;
- FILLER_36_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 133200 ) FS ;
- FILLER_36_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 133200 ) FS ;
- FILLER_36_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 133200 ) FS ;
- FILLER_36_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 133200 ) FS ;
- FILLER_36_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 133200 ) FS ;
- FILLER_36_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 133200 ) FS ;
- FILLER_36_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 133200 ) FS ;
- FILLER_36_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 133200 ) FS ;
- FILLER_36_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 133200 ) FS ;
- FILLER_36_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 133200 ) FS ;
- FILLER_36_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 133200 ) FS ;
- FILLER_36_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 133200 ) FS ;
- FILLER_36_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 133200 ) FS ;
- FILLER_36_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 133200 ) FS ;
- FILLER_36_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 133200 ) FS ;
- FILLER_36_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 133200 ) FS ;
- FILLER_36_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 133200 ) FS ;
- FILLER_36_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 133200 ) FS ;
- FILLER_36_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 133200 ) FS ;
- FILLER_36_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 133200 ) FS ;
- FILLER_36_391 sky130_fd_sc_ls__decap_4 + PLACED ( 193440 133200 ) FS ;
- FILLER_36_398 sky130_fd_sc_ls__decap_8 + PLACED ( 196800 133200 ) FS ;
- FILLER_36_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 133200 ) FS ;
- FILLER_36_410 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 202560 133200 ) FS ;
- FILLER_36_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 133200 ) FS ;
- FILLER_36_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 133200 ) FS ;
- FILLER_36_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 133200 ) FS ;
- FILLER_36_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 133200 ) FS ;
- FILLER_36_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 133200 ) FS ;
- FILLER_36_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 133200 ) FS ;
- FILLER_36_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 133200 ) FS ;
- FILLER_36_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 133200 ) FS ;
- FILLER_36_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 133200 ) FS ;
- FILLER_36_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 133200 ) FS ;
- FILLER_36_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 133200 ) FS ;
- FILLER_36_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 133200 ) FS ;
- FILLER_36_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 133200 ) FS ;
- FILLER_36_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 133200 ) FS ;
- FILLER_36_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 133200 ) FS ;
- FILLER_36_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 133200 ) FS ;
- FILLER_36_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 133200 ) FS ;
- FILLER_36_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 133200 ) FS ;
- FILLER_36_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 133200 ) FS ;
- FILLER_36_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 133200 ) FS ;
- FILLER_36_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 133200 ) FS ;
- FILLER_36_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 133200 ) FS ;
- FILLER_36_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 133200 ) FS ;
- FILLER_36_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 133200 ) FS ;
- FILLER_36_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 133200 ) FS ;
- FILLER_36_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 133200 ) FS ;
- FILLER_36_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 133200 ) FS ;
- FILLER_36_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 133200 ) FS ;
- FILLER_37_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 136530 ) N ;
- FILLER_37_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 136530 ) N ;
- FILLER_37_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 136530 ) N ;
- FILLER_37_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 136530 ) N ;
- FILLER_37_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 136530 ) N ;
- FILLER_37_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 136530 ) N ;
- FILLER_37_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 136530 ) N ;
- FILLER_37_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 136530 ) N ;
- FILLER_37_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 136530 ) N ;
- FILLER_37_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 136530 ) N ;
- FILLER_37_89 sky130_fd_sc_ls__decap_8 + PLACED ( 48480 136530 ) N ;
- FILLER_37_97 sky130_fd_sc_ls__decap_8 + PLACED ( 52320 136530 ) N ;
- FILLER_37_105 sky130_fd_sc_ls__decap_4 + PLACED ( 56160 136530 ) N ;
- FILLER_37_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 136530 ) N ;
- FILLER_37_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 136530 ) N ;
- FILLER_37_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 136530 ) N ;
- FILLER_37_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 136530 ) N ;
- FILLER_37_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 136530 ) N ;
- FILLER_37_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 136530 ) N ;
- FILLER_37_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 136530 ) N ;
- FILLER_37_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 136530 ) N ;
- FILLER_37_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 136530 ) N ;
- FILLER_37_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 136530 ) N ;
- FILLER_37_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 136530 ) N ;
- FILLER_37_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 136530 ) N ;
- FILLER_37_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 136530 ) N ;
- FILLER_37_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 136530 ) N ;
- FILLER_37_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 136530 ) N ;
- FILLER_37_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 136530 ) N ;
- FILLER_37_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 136530 ) N ;
- FILLER_37_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 136530 ) N ;
- FILLER_37_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 136530 ) N ;
- FILLER_37_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 136530 ) N ;
- FILLER_37_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 136530 ) N ;
- FILLER_37_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 136530 ) N ;
- FILLER_37_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 136530 ) N ;
- FILLER_37_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 136530 ) N ;
- FILLER_37_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 136530 ) N ;
- FILLER_37_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 136530 ) N ;
- FILLER_37_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 136530 ) N ;
- FILLER_37_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 136530 ) N ;
- FILLER_37_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 136530 ) N ;
- FILLER_37_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 136530 ) N ;
- FILLER_37_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 136530 ) N ;
- FILLER_37_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 136530 ) N ;
- FILLER_37_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 136530 ) N ;
- FILLER_37_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 136530 ) N ;
- FILLER_37_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 136530 ) N ;
- FILLER_37_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 136530 ) N ;
- FILLER_37_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 136530 ) N ;
- FILLER_37_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 136530 ) N ;
- FILLER_37_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 136530 ) N ;
- FILLER_37_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 136530 ) N ;
- FILLER_37_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 136530 ) N ;
- FILLER_37_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 136530 ) N ;
- FILLER_37_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 136530 ) N ;
- FILLER_37_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 136530 ) N ;
- FILLER_37_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 136530 ) N ;
- FILLER_37_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 136530 ) N ;
- FILLER_37_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 136530 ) N ;
- FILLER_37_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 136530 ) N ;
- FILLER_37_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 136530 ) N ;
- FILLER_37_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 136530 ) N ;
- FILLER_37_449 sky130_fd_sc_ls__fill_1 + PLACED ( 221280 136530 ) N ;
- FILLER_37_453 sky130_fd_sc_ls__decap_8 + PLACED ( 223200 136530 ) N ;
- FILLER_37_461 sky130_fd_sc_ls__decap_8 + PLACED ( 227040 136530 ) N ;
- FILLER_37_469 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 230880 136530 ) N ;
- FILLER_37_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 136530 ) N ;
- FILLER_37_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 136530 ) N ;
- FILLER_37_492 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241920 136530 ) N ;
- FILLER_37_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 136530 ) N ;
- FILLER_37_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 136530 ) N ;
- FILLER_37_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 136530 ) N ;
- FILLER_37_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 136530 ) N ;
- FILLER_37_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 136530 ) N ;
- FILLER_37_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 136530 ) N ;
- FILLER_37_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 136530 ) N ;
- FILLER_37_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 136530 ) N ;
- FILLER_37_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 136530 ) N ;
- FILLER_37_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 136530 ) N ;
- FILLER_37_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 136530 ) N ;
- FILLER_37_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 136530 ) N ;
- FILLER_37_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 136530 ) N ;
- FILLER_37_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 136530 ) N ;
- FILLER_37_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 136530 ) N ;
- FILLER_37_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 136530 ) N ;
- FILLER_38_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 139860 ) FS ;
- FILLER_38_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 139860 ) FS ;
- FILLER_38_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 139860 ) FS ;
- FILLER_38_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 139860 ) FS ;
- FILLER_38_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 139860 ) FS ;
- FILLER_38_45 sky130_fd_sc_ls__decap_4 + PLACED ( 27360 139860 ) FS ;
- FILLER_38_49 sky130_fd_sc_ls__fill_1 + PLACED ( 29280 139860 ) FS ;
- FILLER_38_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 139860 ) FS ;
- FILLER_38_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 139860 ) FS ;
- FILLER_38_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 139860 ) FS ;
- FILLER_38_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 139860 ) FS ;
- FILLER_38_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 139860 ) FS ;
- FILLER_38_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 139860 ) FS ;
- FILLER_38_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 139860 ) FS ;
- FILLER_38_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 139860 ) FS ;
- FILLER_38_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 139860 ) FS ;
- FILLER_38_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 139860 ) FS ;
- FILLER_38_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 139860 ) FS ;
- FILLER_38_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 139860 ) FS ;
- FILLER_38_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 139860 ) FS ;
- FILLER_38_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 139860 ) FS ;
- FILLER_38_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 139860 ) FS ;
- FILLER_38_155 sky130_fd_sc_ls__decap_4 + PLACED ( 80160 139860 ) FS ;
- FILLER_38_159 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 82080 139860 ) FS ;
- FILLER_38_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 139860 ) FS ;
- FILLER_38_167 sky130_fd_sc_ls__decap_8 + PLACED ( 85920 139860 ) FS ;
- FILLER_38_175 sky130_fd_sc_ls__decap_4 + PLACED ( 89760 139860 ) FS ;
- FILLER_38_184 sky130_fd_sc_ls__decap_8 + PLACED ( 94080 139860 ) FS ;
- FILLER_38_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 139860 ) FS ;
- FILLER_38_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 139860 ) FS ;
- FILLER_38_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 139860 ) FS ;
- FILLER_38_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 139860 ) FS ;
- FILLER_38_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 139860 ) FS ;
- FILLER_38_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 139860 ) FS ;
- FILLER_38_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 139860 ) FS ;
- FILLER_38_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 139860 ) FS ;
- FILLER_38_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 139860 ) FS ;
- FILLER_38_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 139860 ) FS ;
- FILLER_38_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 139860 ) FS ;
- FILLER_38_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 139860 ) FS ;
- FILLER_38_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 139860 ) FS ;
- FILLER_38_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 139860 ) FS ;
- FILLER_38_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 139860 ) FS ;
- FILLER_38_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 139860 ) FS ;
- FILLER_38_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 139860 ) FS ;
- FILLER_38_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 139860 ) FS ;
- FILLER_38_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 139860 ) FS ;
- FILLER_38_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 139860 ) FS ;
- FILLER_38_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 139860 ) FS ;
- FILLER_38_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 139860 ) FS ;
- FILLER_38_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 139860 ) FS ;
- FILLER_38_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 139860 ) FS ;
- FILLER_38_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 139860 ) FS ;
- FILLER_38_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 139860 ) FS ;
- FILLER_38_367 sky130_fd_sc_ls__decap_4 + PLACED ( 181920 139860 ) FS ;
- FILLER_38_371 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 183840 139860 ) FS ;
- FILLER_38_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 139860 ) FS ;
- FILLER_38_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 139860 ) FS ;
- FILLER_38_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 139860 ) FS ;
- FILLER_38_400 sky130_fd_sc_ls__decap_8 + PLACED ( 197760 139860 ) FS ;
- FILLER_38_408 sky130_fd_sc_ls__decap_4 + PLACED ( 201600 139860 ) FS ;
- FILLER_38_412 sky130_fd_sc_ls__fill_1 + PLACED ( 203520 139860 ) FS ;
- FILLER_38_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 139860 ) FS ;
- FILLER_38_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 139860 ) FS ;
- FILLER_38_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 139860 ) FS ;
- FILLER_38_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 139860 ) FS ;
- FILLER_38_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 139860 ) FS ;
- FILLER_38_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 139860 ) FS ;
- FILLER_38_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 139860 ) FS ;
- FILLER_38_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 139860 ) FS ;
- FILLER_38_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 139860 ) FS ;
- FILLER_38_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 139860 ) FS ;
- FILLER_38_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 139860 ) FS ;
- FILLER_38_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 139860 ) FS ;
- FILLER_38_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 139860 ) FS ;
- FILLER_38_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 139860 ) FS ;
- FILLER_38_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 139860 ) FS ;
- FILLER_38_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 139860 ) FS ;
- FILLER_38_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 139860 ) FS ;
- FILLER_38_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 139860 ) FS ;
- FILLER_38_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 139860 ) FS ;
- FILLER_38_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 139860 ) FS ;
- FILLER_38_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 139860 ) FS ;
- FILLER_38_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 139860 ) FS ;
- FILLER_38_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 139860 ) FS ;
- FILLER_38_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 139860 ) FS ;
- FILLER_38_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 139860 ) FS ;
- FILLER_38_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 139860 ) FS ;
- FILLER_38_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 139860 ) FS ;
- FILLER_39_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 143190 ) N ;
- FILLER_39_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 143190 ) N ;
- FILLER_39_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 143190 ) N ;
- FILLER_39_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 143190 ) N ;
- FILLER_39_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 143190 ) N ;
- FILLER_39_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 143190 ) N ;
- FILLER_39_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 143190 ) N ;
- FILLER_39_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 143190 ) N ;
- FILLER_39_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 143190 ) N ;
- FILLER_39_64 sky130_fd_sc_ls__decap_4 + PLACED ( 36480 143190 ) N ;
- FILLER_39_89 sky130_fd_sc_ls__decap_8 + PLACED ( 48480 143190 ) N ;
- FILLER_39_97 sky130_fd_sc_ls__decap_8 + PLACED ( 52320 143190 ) N ;
- FILLER_39_105 sky130_fd_sc_ls__decap_4 + PLACED ( 56160 143190 ) N ;
- FILLER_39_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 143190 ) N ;
- FILLER_39_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 143190 ) N ;
- FILLER_39_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 143190 ) N ;
- FILLER_39_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 143190 ) N ;
- FILLER_39_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 143190 ) N ;
- FILLER_39_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 143190 ) N ;
- FILLER_39_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 143190 ) N ;
- FILLER_39_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 143190 ) N ;
- FILLER_39_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 143190 ) N ;
- FILLER_39_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 143190 ) N ;
- FILLER_39_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 143190 ) N ;
- FILLER_39_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 143190 ) N ;
- FILLER_39_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 143190 ) N ;
- FILLER_39_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 143190 ) N ;
- FILLER_39_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 143190 ) N ;
- FILLER_39_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 143190 ) N ;
- FILLER_39_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 143190 ) N ;
- FILLER_39_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 143190 ) N ;
- FILLER_39_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 143190 ) N ;
- FILLER_39_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 143190 ) N ;
- FILLER_39_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 143190 ) N ;
- FILLER_39_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 143190 ) N ;
- FILLER_39_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 143190 ) N ;
- FILLER_39_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 143190 ) N ;
- FILLER_39_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 143190 ) N ;
- FILLER_39_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 143190 ) N ;
- FILLER_39_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 143190 ) N ;
- FILLER_39_291 sky130_fd_sc_ls__decap_4 + PLACED ( 145440 143190 ) N ;
- FILLER_39_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 143190 ) N ;
- FILLER_39_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 143190 ) N ;
- FILLER_39_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 143190 ) N ;
- FILLER_39_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 143190 ) N ;
- FILLER_39_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 143190 ) N ;
- FILLER_39_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 143190 ) N ;
- FILLER_39_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 143190 ) N ;
- FILLER_39_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 143190 ) N ;
- FILLER_39_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 143190 ) N ;
- FILLER_39_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 143190 ) N ;
- FILLER_39_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 143190 ) N ;
- FILLER_39_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 143190 ) N ;
- FILLER_39_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 143190 ) N ;
- FILLER_39_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 143190 ) N ;
- FILLER_39_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 143190 ) N ;
- FILLER_39_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 143190 ) N ;
- FILLER_39_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 143190 ) N ;
- FILLER_39_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 143190 ) N ;
- FILLER_39_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 143190 ) N ;
- FILLER_39_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 143190 ) N ;
- FILLER_39_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 143190 ) N ;
- FILLER_39_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 143190 ) N ;
- FILLER_39_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 143190 ) N ;
- FILLER_39_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 143190 ) N ;
- FILLER_39_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 143190 ) N ;
- FILLER_39_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 143190 ) N ;
- FILLER_39_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 143190 ) N ;
- FILLER_39_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 143190 ) N ;
- FILLER_39_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 143190 ) N ;
- FILLER_39_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 143190 ) N ;
- FILLER_39_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 143190 ) N ;
- FILLER_39_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 143190 ) N ;
- FILLER_39_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 143190 ) N ;
- FILLER_39_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 143190 ) N ;
- FILLER_39_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 143190 ) N ;
- FILLER_39_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 143190 ) N ;
- FILLER_39_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 143190 ) N ;
- FILLER_39_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 143190 ) N ;
- FILLER_39_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 143190 ) N ;
- FILLER_39_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 143190 ) N ;
- FILLER_39_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 143190 ) N ;
- FILLER_39_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 143190 ) N ;
- FILLER_39_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 143190 ) N ;
- FILLER_40_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 146520 ) FS ;
- FILLER_40_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 146520 ) FS ;
- FILLER_40_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 146520 ) FS ;
- FILLER_40_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 146520 ) FS ;
- FILLER_40_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 146520 ) FS ;
- FILLER_40_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 146520 ) FS ;
- FILLER_40_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 146520 ) FS ;
- FILLER_40_61 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 35040 146520 ) FS ;
- FILLER_40_66 sky130_fd_sc_ls__decap_8 + PLACED ( 37440 146520 ) FS ;
- FILLER_40_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 146520 ) FS ;
- FILLER_40_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 146520 ) FS ;
- FILLER_40_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 146520 ) FS ;
- FILLER_40_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 146520 ) FS ;
- FILLER_40_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 146520 ) FS ;
- FILLER_40_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 146520 ) FS ;
- FILLER_40_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 146520 ) FS ;
- FILLER_40_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 146520 ) FS ;
- FILLER_40_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 146520 ) FS ;
- FILLER_40_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 146520 ) FS ;
- FILLER_40_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 146520 ) FS ;
- FILLER_40_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 146520 ) FS ;
- FILLER_40_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 146520 ) FS ;
- FILLER_40_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 146520 ) FS ;
- FILLER_40_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 146520 ) FS ;
- FILLER_40_179 sky130_fd_sc_ls__decap_4 + PLACED ( 91680 146520 ) FS ;
- FILLER_40_183 sky130_fd_sc_ls__fill_1 + PLACED ( 93600 146520 ) FS ;
- FILLER_40_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 146520 ) FS ;
- FILLER_40_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 146520 ) FS ;
- FILLER_40_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 146520 ) FS ;
- FILLER_40_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 146520 ) FS ;
- FILLER_40_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 146520 ) FS ;
- FILLER_40_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 146520 ) FS ;
- FILLER_40_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 146520 ) FS ;
- FILLER_40_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 146520 ) FS ;
- FILLER_40_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 146520 ) FS ;
- FILLER_40_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 146520 ) FS ;
- FILLER_40_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 146520 ) FS ;
- FILLER_40_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 146520 ) FS ;
- FILLER_40_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 146520 ) FS ;
- FILLER_40_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 146520 ) FS ;
- FILLER_40_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 146520 ) FS ;
- FILLER_40_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 146520 ) FS ;
- FILLER_40_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 146520 ) FS ;
- FILLER_40_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 146520 ) FS ;
- FILLER_40_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 146520 ) FS ;
- FILLER_40_312 sky130_fd_sc_ls__decap_4 + PLACED ( 155520 146520 ) FS ;
- FILLER_40_321 sky130_fd_sc_ls__decap_8 + PLACED ( 159840 146520 ) FS ;
- FILLER_40_329 sky130_fd_sc_ls__decap_8 + PLACED ( 163680 146520 ) FS ;
- FILLER_40_337 sky130_fd_sc_ls__decap_8 + PLACED ( 167520 146520 ) FS ;
- FILLER_40_345 sky130_fd_sc_ls__decap_8 + PLACED ( 171360 146520 ) FS ;
- FILLER_40_353 sky130_fd_sc_ls__decap_4 + PLACED ( 175200 146520 ) FS ;
- FILLER_40_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 146520 ) FS ;
- FILLER_40_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 146520 ) FS ;
- FILLER_40_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 146520 ) FS ;
- FILLER_40_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 146520 ) FS ;
- FILLER_40_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 146520 ) FS ;
- FILLER_40_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 146520 ) FS ;
- FILLER_40_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 146520 ) FS ;
- FILLER_40_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 146520 ) FS ;
- FILLER_40_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 146520 ) FS ;
- FILLER_40_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 146520 ) FS ;
- FILLER_40_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 146520 ) FS ;
- FILLER_40_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 146520 ) FS ;
- FILLER_40_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 146520 ) FS ;
- FILLER_40_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 146520 ) FS ;
- FILLER_40_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 146520 ) FS ;
- FILLER_40_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 146520 ) FS ;
- FILLER_40_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 146520 ) FS ;
- FILLER_40_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 146520 ) FS ;
- FILLER_40_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 146520 ) FS ;
- FILLER_40_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 146520 ) FS ;
- FILLER_40_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 146520 ) FS ;
- FILLER_40_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 146520 ) FS ;
- FILLER_40_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 146520 ) FS ;
- FILLER_40_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 146520 ) FS ;
- FILLER_40_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 146520 ) FS ;
- FILLER_40_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 146520 ) FS ;
- FILLER_40_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 146520 ) FS ;
- FILLER_40_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 146520 ) FS ;
- FILLER_40_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 146520 ) FS ;
- FILLER_40_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 146520 ) FS ;
- FILLER_40_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 146520 ) FS ;
- FILLER_40_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 146520 ) FS ;
- FILLER_40_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 146520 ) FS ;
- FILLER_40_579 sky130_fd_sc_ls__decap_4 + PLACED ( 283680 146520 ) FS ;
- FILLER_40_583 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 285600 146520 ) FS ;
- FILLER_40_590 sky130_fd_sc_ls__decap_4 + PLACED ( 288960 146520 ) FS ;
- FILLER_40_594 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 290880 146520 ) FS ;
- FILLER_40_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 146520 ) FS ;
- FILLER_41_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 149850 ) N ;
- FILLER_41_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 149850 ) N ;
- FILLER_41_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 149850 ) N ;
- FILLER_41_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 149850 ) N ;
- FILLER_41_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 149850 ) N ;
- FILLER_41_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 149850 ) N ;
- FILLER_41_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 149850 ) N ;
- FILLER_41_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 149850 ) N ;
- FILLER_41_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 149850 ) N ;
- FILLER_41_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 149850 ) N ;
- FILLER_41_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 149850 ) N ;
- FILLER_41_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 149850 ) N ;
- FILLER_41_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 149850 ) N ;
- FILLER_41_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 149850 ) N ;
- FILLER_41_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 149850 ) N ;
- FILLER_41_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 149850 ) N ;
- FILLER_41_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 149850 ) N ;
- FILLER_41_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 149850 ) N ;
- FILLER_41_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 149850 ) N ;
- FILLER_41_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 149850 ) N ;
- FILLER_41_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 149850 ) N ;
- FILLER_41_151 sky130_fd_sc_ls__decap_4 + PLACED ( 78240 149850 ) N ;
- FILLER_41_155 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 80160 149850 ) N ;
- FILLER_41_157 sky130_fd_sc_ls__fill_1 + PLACED ( 81120 149850 ) N ;
- FILLER_41_161 sky130_fd_sc_ls__decap_4 + PLACED ( 83040 149850 ) N ;
- FILLER_41_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 149850 ) N ;
- FILLER_41_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 149850 ) N ;
- FILLER_41_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 149850 ) N ;
- FILLER_41_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 149850 ) N ;
- FILLER_41_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 149850 ) N ;
- FILLER_41_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 149850 ) N ;
- FILLER_41_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 149850 ) N ;
- FILLER_41_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 149850 ) N ;
- FILLER_41_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 149850 ) N ;
- FILLER_41_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 149850 ) N ;
- FILLER_41_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 149850 ) N ;
- FILLER_41_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 149850 ) N ;
- FILLER_41_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 149850 ) N ;
- FILLER_41_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 149850 ) N ;
- FILLER_41_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 149850 ) N ;
- FILLER_41_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 149850 ) N ;
- FILLER_41_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 149850 ) N ;
- FILLER_41_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 149850 ) N ;
- FILLER_41_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 149850 ) N ;
- FILLER_41_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 149850 ) N ;
- FILLER_41_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 149850 ) N ;
- FILLER_41_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 149850 ) N ;
- FILLER_41_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 149850 ) N ;
- FILLER_41_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 149850 ) N ;
- FILLER_41_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 149850 ) N ;
- FILLER_41_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 149850 ) N ;
- FILLER_41_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 149850 ) N ;
- FILLER_41_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 149850 ) N ;
- FILLER_41_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 149850 ) N ;
- FILLER_41_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 149850 ) N ;
- FILLER_41_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 149850 ) N ;
- FILLER_41_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 149850 ) N ;
- FILLER_41_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 149850 ) N ;
- FILLER_41_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 149850 ) N ;
- FILLER_41_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 149850 ) N ;
- FILLER_41_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 149850 ) N ;
- FILLER_41_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 149850 ) N ;
- FILLER_41_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 149850 ) N ;
- FILLER_41_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 149850 ) N ;
- FILLER_41_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 149850 ) N ;
- FILLER_41_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 149850 ) N ;
- FILLER_41_448 sky130_fd_sc_ls__decap_8 + PLACED ( 220800 149850 ) N ;
- FILLER_41_456 sky130_fd_sc_ls__decap_8 + PLACED ( 224640 149850 ) N ;
- FILLER_41_464 sky130_fd_sc_ls__decap_8 + PLACED ( 228480 149850 ) N ;
- FILLER_41_472 sky130_fd_sc_ls__decap_8 + PLACED ( 232320 149850 ) N ;
- FILLER_41_480 sky130_fd_sc_ls__decap_8 + PLACED ( 236160 149850 ) N ;
- FILLER_41_488 sky130_fd_sc_ls__decap_4 + PLACED ( 240000 149850 ) N ;
- FILLER_41_492 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241920 149850 ) N ;
- FILLER_41_494 sky130_fd_sc_ls__fill_1 + PLACED ( 242880 149850 ) N ;
- FILLER_41_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 149850 ) N ;
- FILLER_41_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 149850 ) N ;
- FILLER_41_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 149850 ) N ;
- FILLER_41_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 149850 ) N ;
- FILLER_41_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 149850 ) N ;
- FILLER_41_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 149850 ) N ;
- FILLER_41_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 149850 ) N ;
- FILLER_41_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 149850 ) N ;
- FILLER_41_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 149850 ) N ;
- FILLER_41_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 149850 ) N ;
- FILLER_41_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 149850 ) N ;
- FILLER_41_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 149850 ) N ;
- FILLER_41_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 149850 ) N ;
- FILLER_41_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 149850 ) N ;
- FILLER_41_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 149850 ) N ;
- FILLER_42_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 153180 ) FS ;
- FILLER_42_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 153180 ) FS ;
- FILLER_42_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 153180 ) FS ;
- FILLER_42_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 153180 ) FS ;
- FILLER_42_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 153180 ) FS ;
- FILLER_42_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 153180 ) FS ;
- FILLER_42_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 153180 ) FS ;
- FILLER_42_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 153180 ) FS ;
- FILLER_42_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 153180 ) FS ;
- FILLER_42_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 153180 ) FS ;
- FILLER_42_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 153180 ) FS ;
- FILLER_42_84 sky130_fd_sc_ls__decap_4 + PLACED ( 46080 153180 ) FS ;
- FILLER_42_91 sky130_fd_sc_ls__decap_8 + PLACED ( 49440 153180 ) FS ;
- FILLER_42_99 sky130_fd_sc_ls__decap_8 + PLACED ( 53280 153180 ) FS ;
- FILLER_42_107 sky130_fd_sc_ls__decap_8 + PLACED ( 57120 153180 ) FS ;
- FILLER_42_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 153180 ) FS ;
- FILLER_42_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 153180 ) FS ;
- FILLER_42_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 153180 ) FS ;
- FILLER_42_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 153180 ) FS ;
- FILLER_42_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 153180 ) FS ;
- FILLER_42_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 153180 ) FS ;
- FILLER_42_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 153180 ) FS ;
- FILLER_42_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 153180 ) FS ;
- FILLER_42_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 153180 ) FS ;
- FILLER_42_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 153180 ) FS ;
- FILLER_42_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 153180 ) FS ;
- FILLER_42_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 153180 ) FS ;
- FILLER_42_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 153180 ) FS ;
- FILLER_42_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 153180 ) FS ;
- FILLER_42_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 153180 ) FS ;
- FILLER_42_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 153180 ) FS ;
- FILLER_42_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 153180 ) FS ;
- FILLER_42_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 153180 ) FS ;
- FILLER_42_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 153180 ) FS ;
- FILLER_42_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 153180 ) FS ;
- FILLER_42_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 153180 ) FS ;
- FILLER_42_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 153180 ) FS ;
- FILLER_42_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 153180 ) FS ;
- FILLER_42_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 153180 ) FS ;
- FILLER_42_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 153180 ) FS ;
- FILLER_42_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 153180 ) FS ;
- FILLER_42_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 153180 ) FS ;
- FILLER_42_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 153180 ) FS ;
- FILLER_42_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 153180 ) FS ;
- FILLER_42_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 153180 ) FS ;
- FILLER_42_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 153180 ) FS ;
- FILLER_42_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 153180 ) FS ;
- FILLER_42_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 153180 ) FS ;
- FILLER_42_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 153180 ) FS ;
- FILLER_42_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 153180 ) FS ;
- FILLER_42_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 153180 ) FS ;
- FILLER_42_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 153180 ) FS ;
- FILLER_42_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 153180 ) FS ;
- FILLER_42_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 153180 ) FS ;
- FILLER_42_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 153180 ) FS ;
- FILLER_42_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 153180 ) FS ;
- FILLER_42_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 153180 ) FS ;
- FILLER_42_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 153180 ) FS ;
- FILLER_42_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 153180 ) FS ;
- FILLER_42_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 153180 ) FS ;
- FILLER_42_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 153180 ) FS ;
- FILLER_42_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 153180 ) FS ;
- FILLER_42_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 153180 ) FS ;
- FILLER_42_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 153180 ) FS ;
- FILLER_42_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 153180 ) FS ;
- FILLER_42_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 153180 ) FS ;
- FILLER_42_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 153180 ) FS ;
- FILLER_42_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 153180 ) FS ;
- FILLER_42_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 153180 ) FS ;
- FILLER_42_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 153180 ) FS ;
- FILLER_42_485 sky130_fd_sc_ls__decap_4 + PLACED ( 238560 153180 ) FS ;
- FILLER_42_489 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 240480 153180 ) FS ;
- FILLER_42_491 sky130_fd_sc_ls__fill_1 + PLACED ( 241440 153180 ) FS ;
- FILLER_42_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 153180 ) FS ;
- FILLER_42_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 153180 ) FS ;
- FILLER_42_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 153180 ) FS ;
- FILLER_42_519 sky130_fd_sc_ls__decap_4 + PLACED ( 254880 153180 ) FS ;
- FILLER_42_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 153180 ) FS ;
- FILLER_42_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 153180 ) FS ;
- FILLER_42_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 153180 ) FS ;
- FILLER_42_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 153180 ) FS ;
- FILLER_42_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 153180 ) FS ;
- FILLER_42_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 153180 ) FS ;
- FILLER_42_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 153180 ) FS ;
- FILLER_42_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 153180 ) FS ;
- FILLER_42_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 153180 ) FS ;
- FILLER_42_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 153180 ) FS ;
- FILLER_42_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 153180 ) FS ;
- FILLER_43_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 156510 ) N ;
- FILLER_43_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 156510 ) N ;
- FILLER_43_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 156510 ) N ;
- FILLER_43_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 156510 ) N ;
- FILLER_43_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 156510 ) N ;
- FILLER_43_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 156510 ) N ;
- FILLER_43_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 156510 ) N ;
- FILLER_43_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 156510 ) N ;
- FILLER_43_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 156510 ) N ;
- FILLER_43_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 156510 ) N ;
- FILLER_43_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 156510 ) N ;
- FILLER_43_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 156510 ) N ;
- FILLER_43_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 156510 ) N ;
- FILLER_43_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 156510 ) N ;
- FILLER_43_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 156510 ) N ;
- FILLER_43_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 156510 ) N ;
- FILLER_43_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 156510 ) N ;
- FILLER_43_119 sky130_fd_sc_ls__decap_4 + PLACED ( 62880 156510 ) N ;
- FILLER_43_126 sky130_fd_sc_ls__decap_8 + PLACED ( 66240 156510 ) N ;
- FILLER_43_134 sky130_fd_sc_ls__decap_8 + PLACED ( 70080 156510 ) N ;
- FILLER_43_142 sky130_fd_sc_ls__decap_8 + PLACED ( 73920 156510 ) N ;
- FILLER_43_150 sky130_fd_sc_ls__decap_8 + PLACED ( 77760 156510 ) N ;
- FILLER_43_158 sky130_fd_sc_ls__decap_4 + PLACED ( 81600 156510 ) N ;
- FILLER_43_162 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 83520 156510 ) N ;
- FILLER_43_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 156510 ) N ;
- FILLER_43_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 156510 ) N ;
- FILLER_43_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 156510 ) N ;
- FILLER_43_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 156510 ) N ;
- FILLER_43_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 156510 ) N ;
- FILLER_43_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 156510 ) N ;
- FILLER_43_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 156510 ) N ;
- FILLER_43_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 156510 ) N ;
- FILLER_43_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 156510 ) N ;
- FILLER_43_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 156510 ) N ;
- FILLER_43_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 156510 ) N ;
- FILLER_43_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 156510 ) N ;
- FILLER_43_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 156510 ) N ;
- FILLER_43_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 156510 ) N ;
- FILLER_43_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 156510 ) N ;
- FILLER_43_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 156510 ) N ;
- FILLER_43_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 156510 ) N ;
- FILLER_43_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 156510 ) N ;
- FILLER_43_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 156510 ) N ;
- FILLER_43_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 156510 ) N ;
- FILLER_43_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 156510 ) N ;
- FILLER_43_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 156510 ) N ;
- FILLER_43_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 156510 ) N ;
- FILLER_43_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 156510 ) N ;
- FILLER_43_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 156510 ) N ;
- FILLER_43_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 156510 ) N ;
- FILLER_43_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 156510 ) N ;
- FILLER_43_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 156510 ) N ;
- FILLER_43_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 156510 ) N ;
- FILLER_43_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 156510 ) N ;
- FILLER_43_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 156510 ) N ;
- FILLER_43_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 156510 ) N ;
- FILLER_43_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 156510 ) N ;
- FILLER_43_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 156510 ) N ;
- FILLER_43_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 156510 ) N ;
- FILLER_43_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 156510 ) N ;
- FILLER_43_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 156510 ) N ;
- FILLER_43_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 156510 ) N ;
- FILLER_43_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 156510 ) N ;
- FILLER_43_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 156510 ) N ;
- FILLER_43_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 156510 ) N ;
- FILLER_43_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 156510 ) N ;
- FILLER_43_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 156510 ) N ;
- FILLER_43_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 156510 ) N ;
- FILLER_43_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 156510 ) N ;
- FILLER_43_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 156510 ) N ;
- FILLER_43_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 156510 ) N ;
- FILLER_43_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 156510 ) N ;
- FILLER_43_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 156510 ) N ;
- FILLER_43_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 156510 ) N ;
- FILLER_43_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 156510 ) N ;
- FILLER_43_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 156510 ) N ;
- FILLER_43_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 156510 ) N ;
- FILLER_43_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 156510 ) N ;
- FILLER_43_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 156510 ) N ;
- FILLER_43_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 156510 ) N ;
- FILLER_43_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 156510 ) N ;
- FILLER_43_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 156510 ) N ;
- FILLER_43_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 156510 ) N ;
- FILLER_43_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 156510 ) N ;
- FILLER_43_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 156510 ) N ;
- FILLER_43_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 156510 ) N ;
- FILLER_43_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 156510 ) N ;
- FILLER_43_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 156510 ) N ;
- FILLER_44_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 159840 ) FS ;
- FILLER_44_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 159840 ) FS ;
- FILLER_44_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 159840 ) FS ;
- FILLER_44_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 159840 ) FS ;
- FILLER_44_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 159840 ) FS ;
- FILLER_44_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 159840 ) FS ;
- FILLER_44_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 159840 ) FS ;
- FILLER_44_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 159840 ) FS ;
- FILLER_44_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 159840 ) FS ;
- FILLER_44_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 159840 ) FS ;
- FILLER_44_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 159840 ) FS ;
- FILLER_44_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 159840 ) FS ;
- FILLER_44_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 159840 ) FS ;
- FILLER_44_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 159840 ) FS ;
- FILLER_44_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 159840 ) FS ;
- FILLER_44_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 159840 ) FS ;
- FILLER_44_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 159840 ) FS ;
- FILLER_44_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 159840 ) FS ;
- FILLER_44_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 159840 ) FS ;
- FILLER_44_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 159840 ) FS ;
- FILLER_44_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 159840 ) FS ;
- FILLER_44_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 159840 ) FS ;
- FILLER_44_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 159840 ) FS ;
- FILLER_44_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 159840 ) FS ;
- FILLER_44_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 159840 ) FS ;
- FILLER_44_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 159840 ) FS ;
- FILLER_44_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 159840 ) FS ;
- FILLER_44_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 159840 ) FS ;
- FILLER_44_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 159840 ) FS ;
- FILLER_44_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 159840 ) FS ;
- FILLER_44_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 159840 ) FS ;
- FILLER_44_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 159840 ) FS ;
- FILLER_44_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 159840 ) FS ;
- FILLER_44_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 159840 ) FS ;
- FILLER_44_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 159840 ) FS ;
- FILLER_44_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 159840 ) FS ;
- FILLER_44_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 159840 ) FS ;
- FILLER_44_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 159840 ) FS ;
- FILLER_44_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 159840 ) FS ;
- FILLER_44_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 159840 ) FS ;
- FILLER_44_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 159840 ) FS ;
- FILLER_44_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 159840 ) FS ;
- FILLER_44_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 159840 ) FS ;
- FILLER_44_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 159840 ) FS ;
- FILLER_44_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 159840 ) FS ;
- FILLER_44_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 159840 ) FS ;
- FILLER_44_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 159840 ) FS ;
- FILLER_44_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 159840 ) FS ;
- FILLER_44_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 159840 ) FS ;
- FILLER_44_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 159840 ) FS ;
- FILLER_44_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 159840 ) FS ;
- FILLER_44_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 159840 ) FS ;
- FILLER_44_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 159840 ) FS ;
- FILLER_44_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 159840 ) FS ;
- FILLER_44_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 159840 ) FS ;
- FILLER_44_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 159840 ) FS ;
- FILLER_44_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 159840 ) FS ;
- FILLER_44_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 159840 ) FS ;
- FILLER_44_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 159840 ) FS ;
- FILLER_44_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 159840 ) FS ;
- FILLER_44_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 159840 ) FS ;
- FILLER_44_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 159840 ) FS ;
- FILLER_44_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 159840 ) FS ;
- FILLER_44_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 159840 ) FS ;
- FILLER_44_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 159840 ) FS ;
- FILLER_44_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 159840 ) FS ;
- FILLER_44_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 159840 ) FS ;
- FILLER_44_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 159840 ) FS ;
- FILLER_44_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 159840 ) FS ;
- FILLER_44_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 159840 ) FS ;
- FILLER_44_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 159840 ) FS ;
- FILLER_44_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 159840 ) FS ;
- FILLER_44_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 159840 ) FS ;
- FILLER_44_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 159840 ) FS ;
- FILLER_44_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 159840 ) FS ;
- FILLER_44_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 159840 ) FS ;
- FILLER_44_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 159840 ) FS ;
- FILLER_44_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 159840 ) FS ;
- FILLER_44_548 sky130_fd_sc_ls__decap_4 + PLACED ( 268800 159840 ) FS ;
- FILLER_44_552 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 270720 159840 ) FS ;
- FILLER_44_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 159840 ) FS ;
- FILLER_44_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 159840 ) FS ;
- FILLER_44_573 sky130_fd_sc_ls__decap_4 + PLACED ( 280800 159840 ) FS ;
- FILLER_44_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 159840 ) FS ;
- FILLER_44_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 159840 ) FS ;
- FILLER_44_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 159840 ) FS ;
- FILLER_44_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 159840 ) FS ;
- FILLER_45_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 163170 ) N ;
- FILLER_45_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 163170 ) N ;
- FILLER_45_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 163170 ) N ;
- FILLER_45_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 163170 ) N ;
- FILLER_45_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 163170 ) N ;
- FILLER_45_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 163170 ) N ;
- FILLER_45_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 163170 ) N ;
- FILLER_45_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 163170 ) N ;
- FILLER_45_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 163170 ) N ;
- FILLER_45_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 163170 ) N ;
- FILLER_45_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 163170 ) N ;
- FILLER_45_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 163170 ) N ;
- FILLER_45_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 163170 ) N ;
- FILLER_45_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 163170 ) N ;
- FILLER_45_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 163170 ) N ;
- FILLER_45_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 163170 ) N ;
- FILLER_45_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 163170 ) N ;
- FILLER_45_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 163170 ) N ;
- FILLER_45_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 163170 ) N ;
- FILLER_45_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 163170 ) N ;
- FILLER_45_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 163170 ) N ;
- FILLER_45_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 163170 ) N ;
- FILLER_45_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 163170 ) N ;
- FILLER_45_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 163170 ) N ;
- FILLER_45_166 sky130_fd_sc_ls__decap_4 + PLACED ( 85440 163170 ) N ;
- FILLER_45_170 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 87360 163170 ) N ;
- FILLER_45_175 sky130_fd_sc_ls__decap_8 + PLACED ( 89760 163170 ) N ;
- FILLER_45_183 sky130_fd_sc_ls__decap_8 + PLACED ( 93600 163170 ) N ;
- FILLER_45_191 sky130_fd_sc_ls__decap_8 + PLACED ( 97440 163170 ) N ;
- FILLER_45_199 sky130_fd_sc_ls__decap_8 + PLACED ( 101280 163170 ) N ;
- FILLER_45_207 sky130_fd_sc_ls__decap_8 + PLACED ( 105120 163170 ) N ;
- FILLER_45_215 sky130_fd_sc_ls__decap_4 + PLACED ( 108960 163170 ) N ;
- FILLER_45_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 163170 ) N ;
- FILLER_45_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 163170 ) N ;
- FILLER_45_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 163170 ) N ;
- FILLER_45_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 163170 ) N ;
- FILLER_45_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 163170 ) N ;
- FILLER_45_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 163170 ) N ;
- FILLER_45_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 163170 ) N ;
- FILLER_45_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 163170 ) N ;
- FILLER_45_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 163170 ) N ;
- FILLER_45_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 163170 ) N ;
- FILLER_45_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 163170 ) N ;
- FILLER_45_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 163170 ) N ;
- FILLER_45_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 163170 ) N ;
- FILLER_45_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 163170 ) N ;
- FILLER_45_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 163170 ) N ;
- FILLER_45_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 163170 ) N ;
- FILLER_45_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 163170 ) N ;
- FILLER_45_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 163170 ) N ;
- FILLER_45_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 163170 ) N ;
- FILLER_45_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 163170 ) N ;
- FILLER_45_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 163170 ) N ;
- FILLER_45_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 163170 ) N ;
- FILLER_45_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 163170 ) N ;
- FILLER_45_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 163170 ) N ;
- FILLER_45_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 163170 ) N ;
- FILLER_45_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 163170 ) N ;
- FILLER_45_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 163170 ) N ;
- FILLER_45_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 163170 ) N ;
- FILLER_45_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 163170 ) N ;
- FILLER_45_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 163170 ) N ;
- FILLER_45_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 163170 ) N ;
- FILLER_45_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 163170 ) N ;
- FILLER_45_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 163170 ) N ;
- FILLER_45_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 163170 ) N ;
- FILLER_45_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 163170 ) N ;
- FILLER_45_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 163170 ) N ;
- FILLER_45_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 163170 ) N ;
- FILLER_45_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 163170 ) N ;
- FILLER_45_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 163170 ) N ;
- FILLER_45_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 163170 ) N ;
- FILLER_45_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 163170 ) N ;
- FILLER_45_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 163170 ) N ;
- FILLER_45_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 163170 ) N ;
- FILLER_45_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 163170 ) N ;
- FILLER_45_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 163170 ) N ;
- FILLER_45_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 163170 ) N ;
- FILLER_45_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 163170 ) N ;
- FILLER_45_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 163170 ) N ;
- FILLER_45_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 163170 ) N ;
- FILLER_45_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 163170 ) N ;
- FILLER_45_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 163170 ) N ;
- FILLER_45_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 163170 ) N ;
- FILLER_45_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 163170 ) N ;
- FILLER_45_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 163170 ) N ;
- FILLER_45_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 163170 ) N ;
- FILLER_45_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 163170 ) N ;
- FILLER_46_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 166500 ) FS ;
- FILLER_46_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 166500 ) FS ;
- FILLER_46_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 166500 ) FS ;
- FILLER_46_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 166500 ) FS ;
- FILLER_46_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 166500 ) FS ;
- FILLER_46_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 166500 ) FS ;
- FILLER_46_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 166500 ) FS ;
- FILLER_46_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 166500 ) FS ;
- FILLER_46_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 166500 ) FS ;
- FILLER_46_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 166500 ) FS ;
- FILLER_46_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 166500 ) FS ;
- FILLER_46_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 166500 ) FS ;
- FILLER_46_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 166500 ) FS ;
- FILLER_46_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 166500 ) FS ;
- FILLER_46_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 166500 ) FS ;
- FILLER_46_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 166500 ) FS ;
- FILLER_46_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 166500 ) FS ;
- FILLER_46_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 166500 ) FS ;
- FILLER_46_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 166500 ) FS ;
- FILLER_46_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 166500 ) FS ;
- FILLER_46_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 166500 ) FS ;
- FILLER_46_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 166500 ) FS ;
- FILLER_46_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 166500 ) FS ;
- FILLER_46_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 166500 ) FS ;
- FILLER_46_179 sky130_fd_sc_ls__decap_4 + PLACED ( 91680 166500 ) FS ;
- FILLER_46_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 166500 ) FS ;
- FILLER_46_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 166500 ) FS ;
- FILLER_46_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 166500 ) FS ;
- FILLER_46_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 166500 ) FS ;
- FILLER_46_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 166500 ) FS ;
- FILLER_46_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 166500 ) FS ;
- FILLER_46_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 166500 ) FS ;
- FILLER_46_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 166500 ) FS ;
- FILLER_46_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 166500 ) FS ;
- FILLER_46_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 166500 ) FS ;
- FILLER_46_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 166500 ) FS ;
- FILLER_46_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 166500 ) FS ;
- FILLER_46_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 166500 ) FS ;
- FILLER_46_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 166500 ) FS ;
- FILLER_46_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 166500 ) FS ;
- FILLER_46_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 166500 ) FS ;
- FILLER_46_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 166500 ) FS ;
- FILLER_46_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 166500 ) FS ;
- FILLER_46_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 166500 ) FS ;
- FILLER_46_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 166500 ) FS ;
- FILLER_46_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 166500 ) FS ;
- FILLER_46_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 166500 ) FS ;
- FILLER_46_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 166500 ) FS ;
- FILLER_46_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 166500 ) FS ;
- FILLER_46_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 166500 ) FS ;
- FILLER_46_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 166500 ) FS ;
- FILLER_46_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 166500 ) FS ;
- FILLER_46_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 166500 ) FS ;
- FILLER_46_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 166500 ) FS ;
- FILLER_46_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 166500 ) FS ;
- FILLER_46_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 166500 ) FS ;
- FILLER_46_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 166500 ) FS ;
- FILLER_46_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 166500 ) FS ;
- FILLER_46_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 166500 ) FS ;
- FILLER_46_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 166500 ) FS ;
- FILLER_46_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 166500 ) FS ;
- FILLER_46_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 166500 ) FS ;
- FILLER_46_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 166500 ) FS ;
- FILLER_46_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 166500 ) FS ;
- FILLER_46_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 166500 ) FS ;
- FILLER_46_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 166500 ) FS ;
- FILLER_46_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 166500 ) FS ;
- FILLER_46_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 166500 ) FS ;
- FILLER_46_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 166500 ) FS ;
- FILLER_46_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 166500 ) FS ;
- FILLER_46_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 166500 ) FS ;
- FILLER_46_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 166500 ) FS ;
- FILLER_46_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 166500 ) FS ;
- FILLER_46_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 166500 ) FS ;
- FILLER_46_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 166500 ) FS ;
- FILLER_46_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 166500 ) FS ;
- FILLER_46_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 166500 ) FS ;
- FILLER_46_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 166500 ) FS ;
- FILLER_46_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 166500 ) FS ;
- FILLER_46_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 166500 ) FS ;
- FILLER_46_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 166500 ) FS ;
- FILLER_46_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 166500 ) FS ;
- FILLER_46_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 166500 ) FS ;
- FILLER_46_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 166500 ) FS ;
- FILLER_46_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 166500 ) FS ;
- FILLER_46_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 166500 ) FS ;
- FILLER_46_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 166500 ) FS ;
- FILLER_47_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 169830 ) N ;
- FILLER_47_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 169830 ) N ;
- FILLER_47_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 169830 ) N ;
- FILLER_47_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 169830 ) N ;
- FILLER_47_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 169830 ) N ;
- FILLER_47_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 169830 ) N ;
- FILLER_47_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 169830 ) N ;
- FILLER_47_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 169830 ) N ;
- FILLER_47_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 169830 ) N ;
- FILLER_47_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 169830 ) N ;
- FILLER_47_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 169830 ) N ;
- FILLER_47_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 169830 ) N ;
- FILLER_47_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 169830 ) N ;
- FILLER_47_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 169830 ) N ;
- FILLER_47_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 169830 ) N ;
- FILLER_47_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 169830 ) N ;
- FILLER_47_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 169830 ) N ;
- FILLER_47_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 169830 ) N ;
- FILLER_47_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 169830 ) N ;
- FILLER_47_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 169830 ) N ;
- FILLER_47_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 169830 ) N ;
- FILLER_47_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 169830 ) N ;
- FILLER_47_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 169830 ) N ;
- FILLER_47_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 169830 ) N ;
- FILLER_47_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 169830 ) N ;
- FILLER_47_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 169830 ) N ;
- FILLER_47_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 169830 ) N ;
- FILLER_47_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 169830 ) N ;
- FILLER_47_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 169830 ) N ;
- FILLER_47_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 169830 ) N ;
- FILLER_47_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 169830 ) N ;
- FILLER_47_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 169830 ) N ;
- FILLER_47_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 169830 ) N ;
- FILLER_47_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 169830 ) N ;
- FILLER_47_237 sky130_fd_sc_ls__decap_4 + PLACED ( 119520 169830 ) N ;
- FILLER_47_241 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 121440 169830 ) N ;
- FILLER_47_246 sky130_fd_sc_ls__decap_8 + PLACED ( 123840 169830 ) N ;
- FILLER_47_254 sky130_fd_sc_ls__decap_8 + PLACED ( 127680 169830 ) N ;
- FILLER_47_262 sky130_fd_sc_ls__decap_8 + PLACED ( 131520 169830 ) N ;
- FILLER_47_270 sky130_fd_sc_ls__decap_4 + PLACED ( 135360 169830 ) N ;
- FILLER_47_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 169830 ) N ;
- FILLER_47_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 169830 ) N ;
- FILLER_47_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 169830 ) N ;
- FILLER_47_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 169830 ) N ;
- FILLER_47_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 169830 ) N ;
- FILLER_47_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 169830 ) N ;
- FILLER_47_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 169830 ) N ;
- FILLER_47_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 169830 ) N ;
- FILLER_47_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 169830 ) N ;
- FILLER_47_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 169830 ) N ;
- FILLER_47_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 169830 ) N ;
- FILLER_47_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 169830 ) N ;
- FILLER_47_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 169830 ) N ;
- FILLER_47_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 169830 ) N ;
- FILLER_47_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 169830 ) N ;
- FILLER_47_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 169830 ) N ;
- FILLER_47_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 169830 ) N ;
- FILLER_47_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 169830 ) N ;
- FILLER_47_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 169830 ) N ;
- FILLER_47_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 169830 ) N ;
- FILLER_47_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 169830 ) N ;
- FILLER_47_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 169830 ) N ;
- FILLER_47_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 169830 ) N ;
- FILLER_47_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 169830 ) N ;
- FILLER_47_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 169830 ) N ;
- FILLER_47_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 169830 ) N ;
- FILLER_47_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 169830 ) N ;
- FILLER_47_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 169830 ) N ;
- FILLER_47_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 169830 ) N ;
- FILLER_47_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 169830 ) N ;
- FILLER_47_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 169830 ) N ;
- FILLER_47_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 169830 ) N ;
- FILLER_47_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 169830 ) N ;
- FILLER_47_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 169830 ) N ;
- FILLER_47_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 169830 ) N ;
- FILLER_47_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 169830 ) N ;
- FILLER_47_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 169830 ) N ;
- FILLER_47_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 169830 ) N ;
- FILLER_47_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 169830 ) N ;
- FILLER_47_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 169830 ) N ;
- FILLER_47_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 169830 ) N ;
- FILLER_47_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 169830 ) N ;
- FILLER_47_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 169830 ) N ;
- FILLER_47_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 169830 ) N ;
- FILLER_47_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 169830 ) N ;
- FILLER_47_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 169830 ) N ;
- FILLER_47_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 169830 ) N ;
- FILLER_47_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 169830 ) N ;
- FILLER_48_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 173160 ) FS ;
- FILLER_48_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 173160 ) FS ;
- FILLER_48_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 173160 ) FS ;
- FILLER_48_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 173160 ) FS ;
- FILLER_48_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 173160 ) FS ;
- FILLER_48_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 173160 ) FS ;
- FILLER_48_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 173160 ) FS ;
- FILLER_48_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 173160 ) FS ;
- FILLER_48_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 173160 ) FS ;
- FILLER_48_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 173160 ) FS ;
- FILLER_48_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 173160 ) FS ;
- FILLER_48_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 173160 ) FS ;
- FILLER_48_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 173160 ) FS ;
- FILLER_48_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 173160 ) FS ;
- FILLER_48_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 173160 ) FS ;
- FILLER_48_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 173160 ) FS ;
- FILLER_48_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 173160 ) FS ;
- FILLER_48_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 173160 ) FS ;
- FILLER_48_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 173160 ) FS ;
- FILLER_48_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 173160 ) FS ;
- FILLER_48_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 173160 ) FS ;
- FILLER_48_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 173160 ) FS ;
- FILLER_48_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 173160 ) FS ;
- FILLER_48_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 173160 ) FS ;
- FILLER_48_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 173160 ) FS ;
- FILLER_48_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 173160 ) FS ;
- FILLER_48_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 173160 ) FS ;
- FILLER_48_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 173160 ) FS ;
- FILLER_48_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 173160 ) FS ;
- FILLER_48_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 173160 ) FS ;
- FILLER_48_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 173160 ) FS ;
- FILLER_48_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 173160 ) FS ;
- FILLER_48_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 173160 ) FS ;
- FILLER_48_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 173160 ) FS ;
- FILLER_48_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 173160 ) FS ;
- FILLER_48_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 173160 ) FS ;
- FILLER_48_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 173160 ) FS ;
- FILLER_48_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 173160 ) FS ;
- FILLER_48_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 173160 ) FS ;
- FILLER_48_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 173160 ) FS ;
- FILLER_48_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 173160 ) FS ;
- FILLER_48_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 173160 ) FS ;
- FILLER_48_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 173160 ) FS ;
- FILLER_48_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 173160 ) FS ;
- FILLER_48_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 173160 ) FS ;
- FILLER_48_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 173160 ) FS ;
- FILLER_48_327 sky130_fd_sc_ls__decap_8 + PLACED ( 162720 173160 ) FS ;
- FILLER_48_335 sky130_fd_sc_ls__decap_8 + PLACED ( 166560 173160 ) FS ;
- FILLER_48_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 173160 ) FS ;
- FILLER_48_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 173160 ) FS ;
- FILLER_48_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 173160 ) FS ;
- FILLER_48_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 173160 ) FS ;
- FILLER_48_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 173160 ) FS ;
- FILLER_48_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 173160 ) FS ;
- FILLER_48_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 173160 ) FS ;
- FILLER_48_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 173160 ) FS ;
- FILLER_48_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 173160 ) FS ;
- FILLER_48_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 173160 ) FS ;
- FILLER_48_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 173160 ) FS ;
- FILLER_48_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 173160 ) FS ;
- FILLER_48_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 173160 ) FS ;
- FILLER_48_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 173160 ) FS ;
- FILLER_48_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 173160 ) FS ;
- FILLER_48_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 173160 ) FS ;
- FILLER_48_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 173160 ) FS ;
- FILLER_48_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 173160 ) FS ;
- FILLER_48_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 173160 ) FS ;
- FILLER_48_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 173160 ) FS ;
- FILLER_48_469 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 230880 173160 ) FS ;
- FILLER_48_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 173160 ) FS ;
- FILLER_48_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 173160 ) FS ;
- FILLER_48_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 173160 ) FS ;
- FILLER_48_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 173160 ) FS ;
- FILLER_48_508 sky130_fd_sc_ls__decap_8 + PLACED ( 249600 173160 ) FS ;
- FILLER_48_516 sky130_fd_sc_ls__decap_4 + PLACED ( 253440 173160 ) FS ;
- FILLER_48_520 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255360 173160 ) FS ;
- FILLER_48_522 sky130_fd_sc_ls__fill_1 + PLACED ( 256320 173160 ) FS ;
- FILLER_48_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 173160 ) FS ;
- FILLER_48_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 173160 ) FS ;
- FILLER_48_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 173160 ) FS ;
- FILLER_48_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 173160 ) FS ;
- FILLER_48_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 173160 ) FS ;
- FILLER_48_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 173160 ) FS ;
- FILLER_48_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 173160 ) FS ;
- FILLER_48_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 173160 ) FS ;
- FILLER_48_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 173160 ) FS ;
- FILLER_48_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 173160 ) FS ;
- FILLER_48_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 173160 ) FS ;
- FILLER_49_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 176490 ) N ;
- FILLER_49_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 176490 ) N ;
- FILLER_49_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 176490 ) N ;
- FILLER_49_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 176490 ) N ;
- FILLER_49_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 176490 ) N ;
- FILLER_49_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 176490 ) N ;
- FILLER_49_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 176490 ) N ;
- FILLER_49_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 176490 ) N ;
- FILLER_49_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 176490 ) N ;
- FILLER_49_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 176490 ) N ;
- FILLER_49_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 176490 ) N ;
- FILLER_49_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 176490 ) N ;
- FILLER_49_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 176490 ) N ;
- FILLER_49_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 176490 ) N ;
- FILLER_49_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 176490 ) N ;
- FILLER_49_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 176490 ) N ;
- FILLER_49_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 176490 ) N ;
- FILLER_49_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 176490 ) N ;
- FILLER_49_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 176490 ) N ;
- FILLER_49_135 sky130_fd_sc_ls__fill_1 + PLACED ( 70560 176490 ) N ;
- FILLER_49_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 176490 ) N ;
- FILLER_49_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 176490 ) N ;
- FILLER_49_157 sky130_fd_sc_ls__decap_8 + PLACED ( 81120 176490 ) N ;
- FILLER_49_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 176490 ) N ;
- FILLER_49_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 176490 ) N ;
- FILLER_49_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 176490 ) N ;
- FILLER_49_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 176490 ) N ;
- FILLER_49_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 176490 ) N ;
- FILLER_49_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 176490 ) N ;
- FILLER_49_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 176490 ) N ;
- FILLER_49_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 176490 ) N ;
- FILLER_49_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 176490 ) N ;
- FILLER_49_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 176490 ) N ;
- FILLER_49_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 176490 ) N ;
- FILLER_49_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 176490 ) N ;
- FILLER_49_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 176490 ) N ;
- FILLER_49_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 176490 ) N ;
- FILLER_49_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 176490 ) N ;
- FILLER_49_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 176490 ) N ;
- FILLER_49_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 176490 ) N ;
- FILLER_49_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 176490 ) N ;
- FILLER_49_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 176490 ) N ;
- FILLER_49_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 176490 ) N ;
- FILLER_49_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 176490 ) N ;
- FILLER_49_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 176490 ) N ;
- FILLER_49_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 176490 ) N ;
- FILLER_49_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 176490 ) N ;
- FILLER_49_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 176490 ) N ;
- FILLER_49_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 176490 ) N ;
- FILLER_49_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 176490 ) N ;
- FILLER_49_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 176490 ) N ;
- FILLER_49_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 176490 ) N ;
- FILLER_49_371 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 183840 176490 ) N ;
- FILLER_49_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 176490 ) N ;
- FILLER_49_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 176490 ) N ;
- FILLER_49_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 176490 ) N ;
- FILLER_49_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 176490 ) N ;
- FILLER_49_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 176490 ) N ;
- FILLER_49_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 176490 ) N ;
- FILLER_49_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 176490 ) N ;
- FILLER_49_426 sky130_fd_sc_ls__decap_4 + PLACED ( 210240 176490 ) N ;
- FILLER_49_435 sky130_fd_sc_ls__decap_4 + PLACED ( 214560 176490 ) N ;
- FILLER_49_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 176490 ) N ;
- FILLER_49_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 176490 ) N ;
- FILLER_49_445 sky130_fd_sc_ls__fill_1 + PLACED ( 219360 176490 ) N ;
- FILLER_49_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 176490 ) N ;
- FILLER_49_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 176490 ) N ;
- FILLER_49_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 176490 ) N ;
- FILLER_49_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 176490 ) N ;
- FILLER_49_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 176490 ) N ;
- FILLER_49_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 176490 ) N ;
- FILLER_49_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 176490 ) N ;
- FILLER_49_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 176490 ) N ;
- FILLER_49_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 176490 ) N ;
- FILLER_49_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 176490 ) N ;
- FILLER_49_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 176490 ) N ;
- FILLER_49_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 176490 ) N ;
- FILLER_49_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 176490 ) N ;
- FILLER_49_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 176490 ) N ;
- FILLER_49_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 176490 ) N ;
- FILLER_49_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 176490 ) N ;
- FILLER_49_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 176490 ) N ;
- FILLER_49_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 176490 ) N ;
- FILLER_49_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 176490 ) N ;
- FILLER_49_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 176490 ) N ;
- FILLER_49_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 176490 ) N ;
- FILLER_49_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 176490 ) N ;
- FILLER_50_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 179820 ) FS ;
- FILLER_50_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 179820 ) FS ;
- FILLER_50_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 179820 ) FS ;
- FILLER_50_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 179820 ) FS ;
- FILLER_50_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 179820 ) FS ;
- FILLER_50_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 179820 ) FS ;
- FILLER_50_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 179820 ) FS ;
- FILLER_50_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 179820 ) FS ;
- FILLER_50_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 179820 ) FS ;
- FILLER_50_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 179820 ) FS ;
- FILLER_50_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 179820 ) FS ;
- FILLER_50_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 179820 ) FS ;
- FILLER_50_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 179820 ) FS ;
- FILLER_50_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 179820 ) FS ;
- FILLER_50_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 179820 ) FS ;
- FILLER_50_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 179820 ) FS ;
- FILLER_50_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 179820 ) FS ;
- FILLER_50_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 179820 ) FS ;
- FILLER_50_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 179820 ) FS ;
- FILLER_50_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 179820 ) FS ;
- FILLER_50_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 179820 ) FS ;
- FILLER_50_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 179820 ) FS ;
- FILLER_50_162 sky130_fd_sc_ls__decap_8 + PLACED ( 83520 179820 ) FS ;
- FILLER_50_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 179820 ) FS ;
- FILLER_50_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 179820 ) FS ;
- FILLER_50_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 179820 ) FS ;
- FILLER_50_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 179820 ) FS ;
- FILLER_50_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 179820 ) FS ;
- FILLER_50_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 179820 ) FS ;
- FILLER_50_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 179820 ) FS ;
- FILLER_50_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 179820 ) FS ;
- FILLER_50_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 179820 ) FS ;
- FILLER_50_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 179820 ) FS ;
- FILLER_50_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 179820 ) FS ;
- FILLER_50_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 179820 ) FS ;
- FILLER_50_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 179820 ) FS ;
- FILLER_50_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 179820 ) FS ;
- FILLER_50_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 179820 ) FS ;
- FILLER_50_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 179820 ) FS ;
- FILLER_50_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 179820 ) FS ;
- FILLER_50_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 179820 ) FS ;
- FILLER_50_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 179820 ) FS ;
- FILLER_50_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 179820 ) FS ;
- FILLER_50_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 179820 ) FS ;
- FILLER_50_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 179820 ) FS ;
- FILLER_50_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 179820 ) FS ;
- FILLER_50_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 179820 ) FS ;
- FILLER_50_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 179820 ) FS ;
- FILLER_50_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 179820 ) FS ;
- FILLER_50_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 179820 ) FS ;
- FILLER_50_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 179820 ) FS ;
- FILLER_50_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 179820 ) FS ;
- FILLER_50_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 179820 ) FS ;
- FILLER_50_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 179820 ) FS ;
- FILLER_50_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 179820 ) FS ;
- FILLER_50_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 179820 ) FS ;
- FILLER_50_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 179820 ) FS ;
- FILLER_50_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 179820 ) FS ;
- FILLER_50_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 179820 ) FS ;
- FILLER_50_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 179820 ) FS ;
- FILLER_50_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 179820 ) FS ;
- FILLER_50_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 179820 ) FS ;
- FILLER_50_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 179820 ) FS ;
- FILLER_50_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 179820 ) FS ;
- FILLER_50_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 179820 ) FS ;
- FILLER_50_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 179820 ) FS ;
- FILLER_50_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 179820 ) FS ;
- FILLER_50_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 179820 ) FS ;
- FILLER_50_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 179820 ) FS ;
- FILLER_50_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 179820 ) FS ;
- FILLER_50_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 179820 ) FS ;
- FILLER_50_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 179820 ) FS ;
- FILLER_50_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 179820 ) FS ;
- FILLER_50_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 179820 ) FS ;
- FILLER_50_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 179820 ) FS ;
- FILLER_50_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 179820 ) FS ;
- FILLER_50_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 179820 ) FS ;
- FILLER_50_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 179820 ) FS ;
- FILLER_50_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 179820 ) FS ;
- FILLER_50_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 179820 ) FS ;
- FILLER_50_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 179820 ) FS ;
- FILLER_50_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 179820 ) FS ;
- FILLER_50_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 179820 ) FS ;
- FILLER_50_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 179820 ) FS ;
- FILLER_50_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 179820 ) FS ;
- FILLER_50_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 179820 ) FS ;
- FILLER_50_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 179820 ) FS ;
- FILLER_51_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 183150 ) N ;
- FILLER_51_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 183150 ) N ;
- FILLER_51_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 183150 ) N ;
- FILLER_51_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 183150 ) N ;
- FILLER_51_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 183150 ) N ;
- FILLER_51_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 183150 ) N ;
- FILLER_51_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 183150 ) N ;
- FILLER_51_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 183150 ) N ;
- FILLER_51_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 183150 ) N ;
- FILLER_51_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 183150 ) N ;
- FILLER_51_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 183150 ) N ;
- FILLER_51_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 183150 ) N ;
- FILLER_51_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 183150 ) N ;
- FILLER_51_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 183150 ) N ;
- FILLER_51_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 183150 ) N ;
- FILLER_51_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 183150 ) N ;
- FILLER_51_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 183150 ) N ;
- FILLER_51_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 183150 ) N ;
- FILLER_51_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 183150 ) N ;
- FILLER_51_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 183150 ) N ;
- FILLER_51_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 183150 ) N ;
- FILLER_51_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 183150 ) N ;
- FILLER_51_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 183150 ) N ;
- FILLER_51_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 183150 ) N ;
- FILLER_51_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 183150 ) N ;
- FILLER_51_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 183150 ) N ;
- FILLER_51_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 183150 ) N ;
- FILLER_51_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 183150 ) N ;
- FILLER_51_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 183150 ) N ;
- FILLER_51_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 183150 ) N ;
- FILLER_51_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 183150 ) N ;
- FILLER_51_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 183150 ) N ;
- FILLER_51_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 183150 ) N ;
- FILLER_51_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 183150 ) N ;
- FILLER_51_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 183150 ) N ;
- FILLER_51_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 183150 ) N ;
- FILLER_51_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 183150 ) N ;
- FILLER_51_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 183150 ) N ;
- FILLER_51_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 183150 ) N ;
- FILLER_51_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 183150 ) N ;
- FILLER_51_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 183150 ) N ;
- FILLER_51_280 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 140160 183150 ) N ;
- FILLER_51_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 183150 ) N ;
- FILLER_51_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 183150 ) N ;
- FILLER_51_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 183150 ) N ;
- FILLER_51_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 183150 ) N ;
- FILLER_51_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 183150 ) N ;
- FILLER_51_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 183150 ) N ;
- FILLER_51_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 183150 ) N ;
- FILLER_51_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 183150 ) N ;
- FILLER_51_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 183150 ) N ;
- FILLER_51_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 183150 ) N ;
- FILLER_51_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 183150 ) N ;
- FILLER_51_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 183150 ) N ;
- FILLER_51_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 183150 ) N ;
- FILLER_51_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 183150 ) N ;
- FILLER_51_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 183150 ) N ;
- FILLER_51_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 183150 ) N ;
- FILLER_51_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 183150 ) N ;
- FILLER_51_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 183150 ) N ;
- FILLER_51_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 183150 ) N ;
- FILLER_51_421 sky130_fd_sc_ls__decap_8 + PLACED ( 207840 183150 ) N ;
- FILLER_51_429 sky130_fd_sc_ls__decap_8 + PLACED ( 211680 183150 ) N ;
- FILLER_51_437 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 215520 183150 ) N ;
- FILLER_51_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 183150 ) N ;
- FILLER_51_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 183150 ) N ;
- FILLER_51_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 183150 ) N ;
- FILLER_51_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 183150 ) N ;
- FILLER_51_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 183150 ) N ;
- FILLER_51_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 183150 ) N ;
- FILLER_51_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 183150 ) N ;
- FILLER_51_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 183150 ) N ;
- FILLER_51_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 183150 ) N ;
- FILLER_51_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 183150 ) N ;
- FILLER_51_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 183150 ) N ;
- FILLER_51_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 183150 ) N ;
- FILLER_51_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 183150 ) N ;
- FILLER_51_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 183150 ) N ;
- FILLER_51_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 183150 ) N ;
- FILLER_51_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 183150 ) N ;
- FILLER_51_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 183150 ) N ;
- FILLER_51_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 183150 ) N ;
- FILLER_51_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 183150 ) N ;
- FILLER_51_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 183150 ) N ;
- FILLER_51_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 183150 ) N ;
- FILLER_51_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 183150 ) N ;
- FILLER_51_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 183150 ) N ;
- FILLER_51_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 183150 ) N ;
- FILLER_52_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 186480 ) FS ;
- FILLER_52_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 186480 ) FS ;
- FILLER_52_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 186480 ) FS ;
- FILLER_52_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 186480 ) FS ;
- FILLER_52_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 186480 ) FS ;
- FILLER_52_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 186480 ) FS ;
- FILLER_52_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 186480 ) FS ;
- FILLER_52_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 186480 ) FS ;
- FILLER_52_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 186480 ) FS ;
- FILLER_52_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 186480 ) FS ;
- FILLER_52_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 186480 ) FS ;
- FILLER_52_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 186480 ) FS ;
- FILLER_52_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 186480 ) FS ;
- FILLER_52_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 186480 ) FS ;
- FILLER_52_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 186480 ) FS ;
- FILLER_52_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 186480 ) FS ;
- FILLER_52_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 186480 ) FS ;
- FILLER_52_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 186480 ) FS ;
- FILLER_52_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 186480 ) FS ;
- FILLER_52_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 186480 ) FS ;
- FILLER_52_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 186480 ) FS ;
- FILLER_52_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 186480 ) FS ;
- FILLER_52_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 186480 ) FS ;
- FILLER_52_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 186480 ) FS ;
- FILLER_52_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 186480 ) FS ;
- FILLER_52_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 186480 ) FS ;
- FILLER_52_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 186480 ) FS ;
- FILLER_52_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 186480 ) FS ;
- FILLER_52_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 186480 ) FS ;
- FILLER_52_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 186480 ) FS ;
- FILLER_52_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 186480 ) FS ;
- FILLER_52_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 186480 ) FS ;
- FILLER_52_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 186480 ) FS ;
- FILLER_52_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 186480 ) FS ;
- FILLER_52_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 186480 ) FS ;
- FILLER_52_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 186480 ) FS ;
- FILLER_52_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 186480 ) FS ;
- FILLER_52_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 186480 ) FS ;
- FILLER_52_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 186480 ) FS ;
- FILLER_52_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 186480 ) FS ;
- FILLER_52_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 186480 ) FS ;
- FILLER_52_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 186480 ) FS ;
- FILLER_52_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 186480 ) FS ;
- FILLER_52_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 186480 ) FS ;
- FILLER_52_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 186480 ) FS ;
- FILLER_52_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 186480 ) FS ;
- FILLER_52_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 186480 ) FS ;
- FILLER_52_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 186480 ) FS ;
- FILLER_52_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 186480 ) FS ;
- FILLER_52_348 sky130_fd_sc_ls__fill_1 + PLACED ( 172800 186480 ) FS ;
- FILLER_52_354 sky130_fd_sc_ls__decap_4 + PLACED ( 175680 186480 ) FS ;
- FILLER_52_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 186480 ) FS ;
- FILLER_52_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 186480 ) FS ;
- FILLER_52_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 186480 ) FS ;
- FILLER_52_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 186480 ) FS ;
- FILLER_52_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 186480 ) FS ;
- FILLER_52_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 186480 ) FS ;
- FILLER_52_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 186480 ) FS ;
- FILLER_52_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 186480 ) FS ;
- FILLER_52_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 186480 ) FS ;
- FILLER_52_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 186480 ) FS ;
- FILLER_52_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 186480 ) FS ;
- FILLER_52_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 186480 ) FS ;
- FILLER_52_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 186480 ) FS ;
- FILLER_52_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 186480 ) FS ;
- FILLER_52_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 186480 ) FS ;
- FILLER_52_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 186480 ) FS ;
- FILLER_52_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 186480 ) FS ;
- FILLER_52_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 186480 ) FS ;
- FILLER_52_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 186480 ) FS ;
- FILLER_52_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 186480 ) FS ;
- FILLER_52_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 186480 ) FS ;
- FILLER_52_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 186480 ) FS ;
- FILLER_52_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 186480 ) FS ;
- FILLER_52_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 186480 ) FS ;
- FILLER_52_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 186480 ) FS ;
- FILLER_52_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 186480 ) FS ;
- FILLER_52_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 186480 ) FS ;
- FILLER_52_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 186480 ) FS ;
- FILLER_52_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 186480 ) FS ;
- FILLER_52_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 186480 ) FS ;
- FILLER_52_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 186480 ) FS ;
- FILLER_52_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 186480 ) FS ;
- FILLER_52_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 186480 ) FS ;
- FILLER_52_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 186480 ) FS ;
- FILLER_52_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 186480 ) FS ;
- FILLER_53_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 189810 ) N ;
- FILLER_53_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 189810 ) N ;
- FILLER_53_20 sky130_fd_sc_ls__fill_1 + PLACED ( 15360 189810 ) N ;
- FILLER_53_26 sky130_fd_sc_ls__decap_8 + PLACED ( 18240 189810 ) N ;
- FILLER_53_34 sky130_fd_sc_ls__decap_8 + PLACED ( 22080 189810 ) N ;
- FILLER_53_42 sky130_fd_sc_ls__decap_8 + PLACED ( 25920 189810 ) N ;
- FILLER_53_50 sky130_fd_sc_ls__decap_4 + PLACED ( 29760 189810 ) N ;
- FILLER_53_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 189810 ) N ;
- FILLER_53_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 189810 ) N ;
- FILLER_53_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 189810 ) N ;
- FILLER_53_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 189810 ) N ;
- FILLER_53_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 189810 ) N ;
- FILLER_53_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 189810 ) N ;
- FILLER_53_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 189810 ) N ;
- FILLER_53_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 189810 ) N ;
- FILLER_53_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 189810 ) N ;
- FILLER_53_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 189810 ) N ;
- FILLER_53_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 189810 ) N ;
- FILLER_53_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 189810 ) N ;
- FILLER_53_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 189810 ) N ;
- FILLER_53_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 189810 ) N ;
- FILLER_53_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 189810 ) N ;
- FILLER_53_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 189810 ) N ;
- FILLER_53_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 189810 ) N ;
- FILLER_53_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 189810 ) N ;
- FILLER_53_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 189810 ) N ;
- FILLER_53_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 189810 ) N ;
- FILLER_53_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 189810 ) N ;
- FILLER_53_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 189810 ) N ;
- FILLER_53_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 189810 ) N ;
- FILLER_53_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 189810 ) N ;
- FILLER_53_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 189810 ) N ;
- FILLER_53_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 189810 ) N ;
- FILLER_53_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 189810 ) N ;
- FILLER_53_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 189810 ) N ;
- FILLER_53_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 189810 ) N ;
- FILLER_53_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 189810 ) N ;
- FILLER_53_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 189810 ) N ;
- FILLER_53_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 189810 ) N ;
- FILLER_53_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 189810 ) N ;
- FILLER_53_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 189810 ) N ;
- FILLER_53_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 189810 ) N ;
- FILLER_53_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 189810 ) N ;
- FILLER_53_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 189810 ) N ;
- FILLER_53_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 189810 ) N ;
- FILLER_53_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 189810 ) N ;
- FILLER_53_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 189810 ) N ;
- FILLER_53_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 189810 ) N ;
- FILLER_53_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 189810 ) N ;
- FILLER_53_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 189810 ) N ;
- FILLER_53_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 189810 ) N ;
- FILLER_53_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 189810 ) N ;
- FILLER_53_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 189810 ) N ;
- FILLER_53_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 189810 ) N ;
- FILLER_53_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 189810 ) N ;
- FILLER_53_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 189810 ) N ;
- FILLER_53_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 189810 ) N ;
- FILLER_53_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 189810 ) N ;
- FILLER_53_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 189810 ) N ;
- FILLER_53_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 189810 ) N ;
- FILLER_53_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 189810 ) N ;
- FILLER_53_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 189810 ) N ;
- FILLER_53_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 189810 ) N ;
- FILLER_53_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 189810 ) N ;
- FILLER_53_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 189810 ) N ;
- FILLER_53_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 189810 ) N ;
- FILLER_53_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 189810 ) N ;
- FILLER_53_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 189810 ) N ;
- FILLER_53_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 189810 ) N ;
- FILLER_53_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 189810 ) N ;
- FILLER_53_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 189810 ) N ;
- FILLER_53_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 189810 ) N ;
- FILLER_53_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 189810 ) N ;
- FILLER_53_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 189810 ) N ;
- FILLER_53_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 189810 ) N ;
- FILLER_53_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 189810 ) N ;
- FILLER_53_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 189810 ) N ;
- FILLER_53_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 189810 ) N ;
- FILLER_53_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 189810 ) N ;
- FILLER_53_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 189810 ) N ;
- FILLER_53_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 189810 ) N ;
- FILLER_53_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 189810 ) N ;
- FILLER_53_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 189810 ) N ;
- FILLER_53_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 189810 ) N ;
- FILLER_53_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 189810 ) N ;
- FILLER_53_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 189810 ) N ;
- FILLER_53_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 189810 ) N ;
- FILLER_54_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 193140 ) FS ;
- FILLER_54_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 193140 ) FS ;
- FILLER_54_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 193140 ) FS ;
- FILLER_54_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 193140 ) FS ;
- FILLER_54_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 193140 ) FS ;
- FILLER_54_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 193140 ) FS ;
- FILLER_54_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 193140 ) FS ;
- FILLER_54_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 193140 ) FS ;
- FILLER_54_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 193140 ) FS ;
- FILLER_54_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 193140 ) FS ;
- FILLER_54_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 193140 ) FS ;
- FILLER_54_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 193140 ) FS ;
- FILLER_54_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 193140 ) FS ;
- FILLER_54_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 193140 ) FS ;
- FILLER_54_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 193140 ) FS ;
- FILLER_54_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 193140 ) FS ;
- FILLER_54_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 193140 ) FS ;
- FILLER_54_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 193140 ) FS ;
- FILLER_54_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 193140 ) FS ;
- FILLER_54_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 193140 ) FS ;
- FILLER_54_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 193140 ) FS ;
- FILLER_54_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 193140 ) FS ;
- FILLER_54_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 193140 ) FS ;
- FILLER_54_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 193140 ) FS ;
- FILLER_54_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 193140 ) FS ;
- FILLER_54_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 193140 ) FS ;
- FILLER_54_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 193140 ) FS ;
- FILLER_54_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 193140 ) FS ;
- FILLER_54_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 193140 ) FS ;
- FILLER_54_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 193140 ) FS ;
- FILLER_54_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 193140 ) FS ;
- FILLER_54_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 193140 ) FS ;
- FILLER_54_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 193140 ) FS ;
- FILLER_54_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 193140 ) FS ;
- FILLER_54_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 193140 ) FS ;
- FILLER_54_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 193140 ) FS ;
- FILLER_54_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 193140 ) FS ;
- FILLER_54_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 193140 ) FS ;
- FILLER_54_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 193140 ) FS ;
- FILLER_54_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 193140 ) FS ;
- FILLER_54_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 193140 ) FS ;
- FILLER_54_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 193140 ) FS ;
- FILLER_54_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 193140 ) FS ;
- FILLER_54_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 193140 ) FS ;
- FILLER_54_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 193140 ) FS ;
- FILLER_54_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 193140 ) FS ;
- FILLER_54_327 sky130_fd_sc_ls__decap_8 + PLACED ( 162720 193140 ) FS ;
- FILLER_54_335 sky130_fd_sc_ls__decap_8 + PLACED ( 166560 193140 ) FS ;
- FILLER_54_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 193140 ) FS ;
- FILLER_54_351 sky130_fd_sc_ls__decap_4 + PLACED ( 174240 193140 ) FS ;
- FILLER_54_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 193140 ) FS ;
- FILLER_54_357 sky130_fd_sc_ls__fill_1 + PLACED ( 177120 193140 ) FS ;
- FILLER_54_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 193140 ) FS ;
- FILLER_54_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 193140 ) FS ;
- FILLER_54_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 193140 ) FS ;
- FILLER_54_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 193140 ) FS ;
- FILLER_54_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 193140 ) FS ;
- FILLER_54_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 193140 ) FS ;
- FILLER_54_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 193140 ) FS ;
- FILLER_54_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 193140 ) FS ;
- FILLER_54_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 193140 ) FS ;
- FILLER_54_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 193140 ) FS ;
- FILLER_54_430 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 212160 193140 ) FS ;
- FILLER_54_437 sky130_fd_sc_ls__decap_8 + PLACED ( 215520 193140 ) FS ;
- FILLER_54_445 sky130_fd_sc_ls__decap_8 + PLACED ( 219360 193140 ) FS ;
- FILLER_54_453 sky130_fd_sc_ls__decap_8 + PLACED ( 223200 193140 ) FS ;
- FILLER_54_461 sky130_fd_sc_ls__decap_4 + PLACED ( 227040 193140 ) FS ;
- FILLER_54_465 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 228960 193140 ) FS ;
- FILLER_54_467 sky130_fd_sc_ls__fill_1 + PLACED ( 229920 193140 ) FS ;
- FILLER_54_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 193140 ) FS ;
- FILLER_54_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 193140 ) FS ;
- FILLER_54_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 193140 ) FS ;
- FILLER_54_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 193140 ) FS ;
- FILLER_54_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 193140 ) FS ;
- FILLER_54_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 193140 ) FS ;
- FILLER_54_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 193140 ) FS ;
- FILLER_54_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 193140 ) FS ;
- FILLER_54_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 193140 ) FS ;
- FILLER_54_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 193140 ) FS ;
- FILLER_54_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 193140 ) FS ;
- FILLER_54_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 193140 ) FS ;
- FILLER_54_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 193140 ) FS ;
- FILLER_54_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 193140 ) FS ;
- FILLER_54_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 193140 ) FS ;
- FILLER_54_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 193140 ) FS ;
- FILLER_54_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 193140 ) FS ;
- FILLER_54_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 193140 ) FS ;
- FILLER_54_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 193140 ) FS ;
- FILLER_55_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 196470 ) N ;
- FILLER_55_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 196470 ) N ;
- FILLER_55_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 196470 ) N ;
- FILLER_55_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 196470 ) N ;
- FILLER_55_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 196470 ) N ;
- FILLER_55_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 196470 ) N ;
- FILLER_55_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 196470 ) N ;
- FILLER_55_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 196470 ) N ;
- FILLER_55_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 196470 ) N ;
- FILLER_55_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 196470 ) N ;
- FILLER_55_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 196470 ) N ;
- FILLER_55_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 196470 ) N ;
- FILLER_55_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 196470 ) N ;
- FILLER_55_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 196470 ) N ;
- FILLER_55_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 196470 ) N ;
- FILLER_55_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 196470 ) N ;
- FILLER_55_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 196470 ) N ;
- FILLER_55_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 196470 ) N ;
- FILLER_55_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 196470 ) N ;
- FILLER_55_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 196470 ) N ;
- FILLER_55_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 196470 ) N ;
- FILLER_55_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 196470 ) N ;
- FILLER_55_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 196470 ) N ;
- FILLER_55_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 196470 ) N ;
- FILLER_55_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 196470 ) N ;
- FILLER_55_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 196470 ) N ;
- FILLER_55_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 196470 ) N ;
- FILLER_55_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 196470 ) N ;
- FILLER_55_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 196470 ) N ;
- FILLER_55_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 196470 ) N ;
- FILLER_55_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 196470 ) N ;
- FILLER_55_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 196470 ) N ;
- FILLER_55_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 196470 ) N ;
- FILLER_55_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 196470 ) N ;
- FILLER_55_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 196470 ) N ;
- FILLER_55_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 196470 ) N ;
- FILLER_55_253 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 127200 196470 ) N ;
- FILLER_55_255 sky130_fd_sc_ls__fill_1 + PLACED ( 128160 196470 ) N ;
- FILLER_55_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 196470 ) N ;
- FILLER_55_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 196470 ) N ;
- FILLER_55_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 196470 ) N ;
- FILLER_55_276 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 138240 196470 ) N ;
- FILLER_55_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 196470 ) N ;
- FILLER_55_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 196470 ) N ;
- FILLER_55_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 196470 ) N ;
- FILLER_55_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 196470 ) N ;
- FILLER_55_315 sky130_fd_sc_ls__fill_1 + PLACED ( 156960 196470 ) N ;
- FILLER_55_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 196470 ) N ;
- FILLER_55_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 196470 ) N ;
- FILLER_55_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 196470 ) N ;
- FILLER_55_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 196470 ) N ;
- FILLER_55_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 196470 ) N ;
- FILLER_55_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 196470 ) N ;
- FILLER_55_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 196470 ) N ;
- FILLER_55_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 196470 ) N ;
- FILLER_55_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 196470 ) N ;
- FILLER_55_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 196470 ) N ;
- FILLER_55_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 196470 ) N ;
- FILLER_55_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 196470 ) N ;
- FILLER_55_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 196470 ) N ;
- FILLER_55_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 196470 ) N ;
- FILLER_55_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 196470 ) N ;
- FILLER_55_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 196470 ) N ;
- FILLER_55_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 196470 ) N ;
- FILLER_55_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 196470 ) N ;
- FILLER_55_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 196470 ) N ;
- FILLER_55_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 196470 ) N ;
- FILLER_55_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 196470 ) N ;
- FILLER_55_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 196470 ) N ;
- FILLER_55_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 196470 ) N ;
- FILLER_55_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 196470 ) N ;
- FILLER_55_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 196470 ) N ;
- FILLER_55_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 196470 ) N ;
- FILLER_55_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 196470 ) N ;
- FILLER_55_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 196470 ) N ;
- FILLER_55_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 196470 ) N ;
- FILLER_55_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 196470 ) N ;
- FILLER_55_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 196470 ) N ;
- FILLER_55_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 196470 ) N ;
- FILLER_55_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 196470 ) N ;
- FILLER_55_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 196470 ) N ;
- FILLER_55_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 196470 ) N ;
- FILLER_55_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 196470 ) N ;
- FILLER_55_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 196470 ) N ;
- FILLER_55_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 196470 ) N ;
- FILLER_55_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 196470 ) N ;
- FILLER_55_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 196470 ) N ;
- FILLER_55_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 196470 ) N ;
- FILLER_55_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 196470 ) N ;
- FILLER_56_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 199800 ) FS ;
- FILLER_56_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 199800 ) FS ;
- FILLER_56_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 199800 ) FS ;
- FILLER_56_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 199800 ) FS ;
- FILLER_56_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 199800 ) FS ;
- FILLER_56_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 199800 ) FS ;
- FILLER_56_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 199800 ) FS ;
- FILLER_56_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 199800 ) FS ;
- FILLER_56_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 199800 ) FS ;
- FILLER_56_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 199800 ) FS ;
- FILLER_56_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 199800 ) FS ;
- FILLER_56_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 199800 ) FS ;
- FILLER_56_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 199800 ) FS ;
- FILLER_56_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 199800 ) FS ;
- FILLER_56_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 199800 ) FS ;
- FILLER_56_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 199800 ) FS ;
- FILLER_56_129 sky130_fd_sc_ls__decap_8 + PLACED ( 67680 199800 ) FS ;
- FILLER_56_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 199800 ) FS ;
- FILLER_56_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 199800 ) FS ;
- FILLER_56_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 199800 ) FS ;
- FILLER_56_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 199800 ) FS ;
- FILLER_56_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 199800 ) FS ;
- FILLER_56_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 199800 ) FS ;
- FILLER_56_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 199800 ) FS ;
- FILLER_56_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 199800 ) FS ;
- FILLER_56_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 199800 ) FS ;
- FILLER_56_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 199800 ) FS ;
- FILLER_56_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 199800 ) FS ;
- FILLER_56_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 199800 ) FS ;
- FILLER_56_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 199800 ) FS ;
- FILLER_56_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 199800 ) FS ;
- FILLER_56_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 199800 ) FS ;
- FILLER_56_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 199800 ) FS ;
- FILLER_56_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 199800 ) FS ;
- FILLER_56_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 199800 ) FS ;
- FILLER_56_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 199800 ) FS ;
- FILLER_56_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 199800 ) FS ;
- FILLER_56_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 199800 ) FS ;
- FILLER_56_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 199800 ) FS ;
- FILLER_56_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 199800 ) FS ;
- FILLER_56_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 199800 ) FS ;
- FILLER_56_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 199800 ) FS ;
- FILLER_56_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 199800 ) FS ;
- FILLER_56_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 199800 ) FS ;
- FILLER_56_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 199800 ) FS ;
- FILLER_56_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 199800 ) FS ;
- FILLER_56_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 199800 ) FS ;
- FILLER_56_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 199800 ) FS ;
- FILLER_56_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 199800 ) FS ;
- FILLER_56_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 199800 ) FS ;
- FILLER_56_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 199800 ) FS ;
- FILLER_56_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 199800 ) FS ;
- FILLER_56_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 199800 ) FS ;
- FILLER_56_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 199800 ) FS ;
- FILLER_56_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 199800 ) FS ;
- FILLER_56_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 199800 ) FS ;
- FILLER_56_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 199800 ) FS ;
- FILLER_56_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 199800 ) FS ;
- FILLER_56_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 199800 ) FS ;
- FILLER_56_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 199800 ) FS ;
- FILLER_56_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 199800 ) FS ;
- FILLER_56_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 199800 ) FS ;
- FILLER_56_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 199800 ) FS ;
- FILLER_56_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 199800 ) FS ;
- FILLER_56_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 199800 ) FS ;
- FILLER_56_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 199800 ) FS ;
- FILLER_56_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 199800 ) FS ;
- FILLER_56_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 199800 ) FS ;
- FILLER_56_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 199800 ) FS ;
- FILLER_56_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 199800 ) FS ;
- FILLER_56_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 199800 ) FS ;
- FILLER_56_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 199800 ) FS ;
- FILLER_56_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 199800 ) FS ;
- FILLER_56_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 199800 ) FS ;
- FILLER_56_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 199800 ) FS ;
- FILLER_56_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 199800 ) FS ;
- FILLER_56_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 199800 ) FS ;
- FILLER_56_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 199800 ) FS ;
- FILLER_56_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 199800 ) FS ;
- FILLER_56_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 199800 ) FS ;
- FILLER_56_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 199800 ) FS ;
- FILLER_56_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 199800 ) FS ;
- FILLER_56_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 199800 ) FS ;
- FILLER_56_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 199800 ) FS ;
- FILLER_56_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 199800 ) FS ;
- FILLER_57_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 203130 ) N ;
- FILLER_57_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 203130 ) N ;
- FILLER_57_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 203130 ) N ;
- FILLER_57_24 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 17280 203130 ) N ;
- FILLER_57_31 sky130_fd_sc_ls__decap_8 + PLACED ( 20640 203130 ) N ;
- FILLER_57_39 sky130_fd_sc_ls__decap_8 + PLACED ( 24480 203130 ) N ;
- FILLER_57_47 sky130_fd_sc_ls__decap_8 + PLACED ( 28320 203130 ) N ;
- FILLER_57_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 203130 ) N ;
- FILLER_57_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 203130 ) N ;
- FILLER_57_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 203130 ) N ;
- FILLER_57_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 203130 ) N ;
- FILLER_57_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 203130 ) N ;
- FILLER_57_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 203130 ) N ;
- FILLER_57_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 203130 ) N ;
- FILLER_57_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 203130 ) N ;
- FILLER_57_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 203130 ) N ;
- FILLER_57_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 203130 ) N ;
- FILLER_57_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 203130 ) N ;
- FILLER_57_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 203130 ) N ;
- FILLER_57_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 203130 ) N ;
- FILLER_57_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 203130 ) N ;
- FILLER_57_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 203130 ) N ;
- FILLER_57_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 203130 ) N ;
- FILLER_57_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 203130 ) N ;
- FILLER_57_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 203130 ) N ;
- FILLER_57_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 203130 ) N ;
- FILLER_57_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 203130 ) N ;
- FILLER_57_198 sky130_fd_sc_ls__decap_4 + PLACED ( 100800 203130 ) N ;
- FILLER_57_205 sky130_fd_sc_ls__decap_8 + PLACED ( 104160 203130 ) N ;
- FILLER_57_213 sky130_fd_sc_ls__decap_4 + PLACED ( 108000 203130 ) N ;
- FILLER_57_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 203130 ) N ;
- FILLER_57_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 203130 ) N ;
- FILLER_57_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 203130 ) N ;
- FILLER_57_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 203130 ) N ;
- FILLER_57_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 203130 ) N ;
- FILLER_57_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 203130 ) N ;
- FILLER_57_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 203130 ) N ;
- FILLER_57_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 203130 ) N ;
- FILLER_57_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 203130 ) N ;
- FILLER_57_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 203130 ) N ;
- FILLER_57_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 203130 ) N ;
- FILLER_57_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 203130 ) N ;
- FILLER_57_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 203130 ) N ;
- FILLER_57_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 203130 ) N ;
- FILLER_57_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 203130 ) N ;
- FILLER_57_316 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 157440 203130 ) N ;
- FILLER_57_321 sky130_fd_sc_ls__decap_8 + PLACED ( 159840 203130 ) N ;
- FILLER_57_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 203130 ) N ;
- FILLER_57_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 203130 ) N ;
- FILLER_57_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 203130 ) N ;
- FILLER_57_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 203130 ) N ;
- FILLER_57_355 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176160 203130 ) N ;
- FILLER_57_362 sky130_fd_sc_ls__decap_8 + PLACED ( 179520 203130 ) N ;
- FILLER_57_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 203130 ) N ;
- FILLER_57_378 sky130_fd_sc_ls__decap_4 + PLACED ( 187200 203130 ) N ;
- FILLER_57_382 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189120 203130 ) N ;
- FILLER_57_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 203130 ) N ;
- FILLER_57_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 203130 ) N ;
- FILLER_57_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 203130 ) N ;
- FILLER_57_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 203130 ) N ;
- FILLER_57_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 203130 ) N ;
- FILLER_57_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 203130 ) N ;
- FILLER_57_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 203130 ) N ;
- FILLER_57_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 203130 ) N ;
- FILLER_57_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 203130 ) N ;
- FILLER_57_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 203130 ) N ;
- FILLER_57_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 203130 ) N ;
- FILLER_57_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 203130 ) N ;
- FILLER_57_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 203130 ) N ;
- FILLER_57_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 203130 ) N ;
- FILLER_57_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 203130 ) N ;
- FILLER_57_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 203130 ) N ;
- FILLER_57_491 sky130_fd_sc_ls__decap_4 + PLACED ( 241440 203130 ) N ;
- FILLER_57_496 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 243840 203130 ) N ;
- FILLER_57_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 203130 ) N ;
- FILLER_57_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 203130 ) N ;
- FILLER_57_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 203130 ) N ;
- FILLER_57_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 203130 ) N ;
- FILLER_57_535 sky130_fd_sc_ls__decap_8 + PLACED ( 262560 203130 ) N ;
- FILLER_57_543 sky130_fd_sc_ls__decap_4 + PLACED ( 266400 203130 ) N ;
- FILLER_57_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 203130 ) N ;
- FILLER_57_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 203130 ) N ;
- FILLER_57_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 203130 ) N ;
- FILLER_57_555 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 272160 203130 ) N ;
- FILLER_57_557 sky130_fd_sc_ls__fill_1 + PLACED ( 273120 203130 ) N ;
- FILLER_57_561 sky130_fd_sc_ls__decap_8 + PLACED ( 275040 203130 ) N ;
- FILLER_57_569 sky130_fd_sc_ls__decap_8 + PLACED ( 278880 203130 ) N ;
- FILLER_57_577 sky130_fd_sc_ls__decap_8 + PLACED ( 282720 203130 ) N ;
- FILLER_57_585 sky130_fd_sc_ls__decap_8 + PLACED ( 286560 203130 ) N ;
- FILLER_57_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 203130 ) N ;
- FILLER_58_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 206460 ) FS ;
- FILLER_58_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 206460 ) FS ;
- FILLER_58_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 206460 ) FS ;
- FILLER_58_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 206460 ) FS ;
- FILLER_58_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 206460 ) FS ;
- FILLER_58_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 206460 ) FS ;
- FILLER_58_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 206460 ) FS ;
- FILLER_58_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 206460 ) FS ;
- FILLER_58_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 206460 ) FS ;
- FILLER_58_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 206460 ) FS ;
- FILLER_58_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 206460 ) FS ;
- FILLER_58_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 206460 ) FS ;
- FILLER_58_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 206460 ) FS ;
- FILLER_58_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 206460 ) FS ;
- FILLER_58_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 206460 ) FS ;
- FILLER_58_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 206460 ) FS ;
- FILLER_58_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 206460 ) FS ;
- FILLER_58_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 206460 ) FS ;
- FILLER_58_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 206460 ) FS ;
- FILLER_58_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 206460 ) FS ;
- FILLER_58_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 206460 ) FS ;
- FILLER_58_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 206460 ) FS ;
- FILLER_58_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 206460 ) FS ;
- FILLER_58_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 206460 ) FS ;
- FILLER_58_179 sky130_fd_sc_ls__fill_1 + PLACED ( 91680 206460 ) FS ;
- FILLER_58_183 sky130_fd_sc_ls__decap_8 + PLACED ( 93600 206460 ) FS ;
- FILLER_58_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 206460 ) FS ;
- FILLER_58_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 206460 ) FS ;
- FILLER_58_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 206460 ) FS ;
- FILLER_58_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 206460 ) FS ;
- FILLER_58_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 206460 ) FS ;
- FILLER_58_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 206460 ) FS ;
- FILLER_58_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 206460 ) FS ;
- FILLER_58_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 206460 ) FS ;
- FILLER_58_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 206460 ) FS ;
- FILLER_58_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 206460 ) FS ;
- FILLER_58_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 206460 ) FS ;
- FILLER_58_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 206460 ) FS ;
- FILLER_58_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 206460 ) FS ;
- FILLER_58_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 206460 ) FS ;
- FILLER_58_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 206460 ) FS ;
- FILLER_58_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 206460 ) FS ;
- FILLER_58_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 206460 ) FS ;
- FILLER_58_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 206460 ) FS ;
- FILLER_58_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 206460 ) FS ;
- FILLER_58_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 206460 ) FS ;
- FILLER_58_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 206460 ) FS ;
- FILLER_58_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 206460 ) FS ;
- FILLER_58_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 206460 ) FS ;
- FILLER_58_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 206460 ) FS ;
- FILLER_58_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 206460 ) FS ;
- FILLER_58_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 206460 ) FS ;
- FILLER_58_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 206460 ) FS ;
- FILLER_58_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 206460 ) FS ;
- FILLER_58_383 sky130_fd_sc_ls__fill_1 + PLACED ( 189600 206460 ) FS ;
- FILLER_58_389 sky130_fd_sc_ls__decap_8 + PLACED ( 192480 206460 ) FS ;
- FILLER_58_397 sky130_fd_sc_ls__decap_8 + PLACED ( 196320 206460 ) FS ;
- FILLER_58_405 sky130_fd_sc_ls__decap_8 + PLACED ( 200160 206460 ) FS ;
- FILLER_58_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 206460 ) FS ;
- FILLER_58_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 206460 ) FS ;
- FILLER_58_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 206460 ) FS ;
- FILLER_58_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 206460 ) FS ;
- FILLER_58_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 206460 ) FS ;
- FILLER_58_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 206460 ) FS ;
- FILLER_58_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 206460 ) FS ;
- FILLER_58_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 206460 ) FS ;
- FILLER_58_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 206460 ) FS ;
- FILLER_58_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 206460 ) FS ;
- FILLER_58_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 206460 ) FS ;
- FILLER_58_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 206460 ) FS ;
- FILLER_58_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 206460 ) FS ;
- FILLER_58_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 206460 ) FS ;
- FILLER_58_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 206460 ) FS ;
- FILLER_58_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 206460 ) FS ;
- FILLER_58_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 206460 ) FS ;
- FILLER_58_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 206460 ) FS ;
- FILLER_58_545 sky130_fd_sc_ls__decap_4 + PLACED ( 267360 206460 ) FS ;
- FILLER_58_549 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 269280 206460 ) FS ;
- FILLER_58_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 206460 ) FS ;
- FILLER_58_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 206460 ) FS ;
- FILLER_58_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 206460 ) FS ;
- FILLER_58_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 206460 ) FS ;
- FILLER_58_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 206460 ) FS ;
- FILLER_58_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 206460 ) FS ;
- FILLER_58_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 206460 ) FS ;
- FILLER_59_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 209790 ) N ;
- FILLER_59_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 209790 ) N ;
- FILLER_59_20 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 15360 209790 ) N ;
- FILLER_59_27 sky130_fd_sc_ls__decap_8 + PLACED ( 18720 209790 ) N ;
- FILLER_59_35 sky130_fd_sc_ls__decap_8 + PLACED ( 22560 209790 ) N ;
- FILLER_59_43 sky130_fd_sc_ls__decap_8 + PLACED ( 26400 209790 ) N ;
- FILLER_59_51 sky130_fd_sc_ls__decap_4 + PLACED ( 30240 209790 ) N ;
- FILLER_59_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 209790 ) N ;
- FILLER_59_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 209790 ) N ;
- FILLER_59_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 209790 ) N ;
- FILLER_59_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 209790 ) N ;
- FILLER_59_86 sky130_fd_sc_ls__decap_8 + PLACED ( 47040 209790 ) N ;
- FILLER_59_94 sky130_fd_sc_ls__decap_8 + PLACED ( 50880 209790 ) N ;
- FILLER_59_102 sky130_fd_sc_ls__fill_1 + PLACED ( 54720 209790 ) N ;
- FILLER_59_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 209790 ) N ;
- FILLER_59_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 209790 ) N ;
- FILLER_59_119 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 62880 209790 ) N ;
- FILLER_59_121 sky130_fd_sc_ls__fill_1 + PLACED ( 63840 209790 ) N ;
- FILLER_59_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 209790 ) N ;
- FILLER_59_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 209790 ) N ;
- FILLER_59_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 209790 ) N ;
- FILLER_59_151 sky130_fd_sc_ls__decap_4 + PLACED ( 78240 209790 ) N ;
- FILLER_59_160 sky130_fd_sc_ls__decap_4 + PLACED ( 82560 209790 ) N ;
- FILLER_59_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 209790 ) N ;
- FILLER_59_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 209790 ) N ;
- FILLER_59_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 209790 ) N ;
- FILLER_59_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 209790 ) N ;
- FILLER_59_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 209790 ) N ;
- FILLER_59_198 sky130_fd_sc_ls__decap_4 + PLACED ( 100800 209790 ) N ;
- FILLER_59_207 sky130_fd_sc_ls__decap_8 + PLACED ( 105120 209790 ) N ;
- FILLER_59_215 sky130_fd_sc_ls__decap_4 + PLACED ( 108960 209790 ) N ;
- FILLER_59_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 209790 ) N ;
- FILLER_59_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 209790 ) N ;
- FILLER_59_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 209790 ) N ;
- FILLER_59_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 209790 ) N ;
- FILLER_59_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 209790 ) N ;
- FILLER_59_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 209790 ) N ;
- FILLER_59_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 209790 ) N ;
- FILLER_59_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 209790 ) N ;
- FILLER_59_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 209790 ) N ;
- FILLER_59_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 209790 ) N ;
- FILLER_59_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 209790 ) N ;
- FILLER_59_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 209790 ) N ;
- FILLER_59_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 209790 ) N ;
- FILLER_59_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 209790 ) N ;
- FILLER_59_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 209790 ) N ;
- FILLER_59_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 209790 ) N ;
- FILLER_59_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 209790 ) N ;
- FILLER_59_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 209790 ) N ;
- FILLER_59_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 209790 ) N ;
- FILLER_59_347 sky130_fd_sc_ls__decap_4 + PLACED ( 172320 209790 ) N ;
- FILLER_59_351 sky130_fd_sc_ls__fill_1 + PLACED ( 174240 209790 ) N ;
- FILLER_59_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 209790 ) N ;
- FILLER_59_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 209790 ) N ;
- FILLER_59_373 sky130_fd_sc_ls__decap_8 + PLACED ( 184800 209790 ) N ;
- FILLER_59_381 sky130_fd_sc_ls__decap_4 + PLACED ( 188640 209790 ) N ;
- FILLER_59_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 209790 ) N ;
- FILLER_59_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 209790 ) N ;
- FILLER_59_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 209790 ) N ;
- FILLER_59_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 209790 ) N ;
- FILLER_59_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 209790 ) N ;
- FILLER_59_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 209790 ) N ;
- FILLER_59_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 209790 ) N ;
- FILLER_59_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 209790 ) N ;
- FILLER_59_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 209790 ) N ;
- FILLER_59_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 209790 ) N ;
- FILLER_59_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 209790 ) N ;
- FILLER_59_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 209790 ) N ;
- FILLER_59_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 209790 ) N ;
- FILLER_59_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 209790 ) N ;
- FILLER_59_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 209790 ) N ;
- FILLER_59_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 209790 ) N ;
- FILLER_59_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 209790 ) N ;
- FILLER_59_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 209790 ) N ;
- FILLER_59_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 209790 ) N ;
- FILLER_59_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 209790 ) N ;
- FILLER_59_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 209790 ) N ;
- FILLER_59_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 209790 ) N ;
- FILLER_59_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 209790 ) N ;
- FILLER_59_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 209790 ) N ;
- FILLER_59_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 209790 ) N ;
- FILLER_59_559 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 274080 209790 ) N ;
- FILLER_59_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 209790 ) N ;
- FILLER_59_572 sky130_fd_sc_ls__decap_8 + PLACED ( 280320 209790 ) N ;
- FILLER_59_580 sky130_fd_sc_ls__decap_8 + PLACED ( 284160 209790 ) N ;
- FILLER_59_588 sky130_fd_sc_ls__decap_8 + PLACED ( 288000 209790 ) N ;
- FILLER_59_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 209790 ) N ;
- FILLER_60_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 213120 ) FS ;
- FILLER_60_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 213120 ) FS ;
- FILLER_60_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 213120 ) FS ;
- FILLER_60_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 213120 ) FS ;
- FILLER_60_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 213120 ) FS ;
- FILLER_60_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 213120 ) FS ;
- FILLER_60_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 213120 ) FS ;
- FILLER_60_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 213120 ) FS ;
- FILLER_60_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 213120 ) FS ;
- FILLER_60_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 213120 ) FS ;
- FILLER_60_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 213120 ) FS ;
- FILLER_60_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 213120 ) FS ;
- FILLER_60_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 213120 ) FS ;
- FILLER_60_100 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 53760 213120 ) FS ;
- FILLER_60_102 sky130_fd_sc_ls__fill_1 + PLACED ( 54720 213120 ) FS ;
- FILLER_60_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 213120 ) FS ;
- FILLER_60_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 213120 ) FS ;
- FILLER_60_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 213120 ) FS ;
- FILLER_60_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 213120 ) FS ;
- FILLER_60_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 213120 ) FS ;
- FILLER_60_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 213120 ) FS ;
- FILLER_60_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 213120 ) FS ;
- FILLER_60_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 213120 ) FS ;
- FILLER_60_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 213120 ) FS ;
- FILLER_60_171 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 87840 213120 ) FS ;
- FILLER_60_173 sky130_fd_sc_ls__fill_1 + PLACED ( 88800 213120 ) FS ;
- FILLER_60_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 213120 ) FS ;
- FILLER_60_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 213120 ) FS ;
- FILLER_60_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 213120 ) FS ;
- FILLER_60_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 213120 ) FS ;
- FILLER_60_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 213120 ) FS ;
- FILLER_60_210 sky130_fd_sc_ls__fill_1 + PLACED ( 106560 213120 ) FS ;
- FILLER_60_216 sky130_fd_sc_ls__decap_8 + PLACED ( 109440 213120 ) FS ;
- FILLER_60_224 sky130_fd_sc_ls__decap_8 + PLACED ( 113280 213120 ) FS ;
- FILLER_60_232 sky130_fd_sc_ls__decap_8 + PLACED ( 117120 213120 ) FS ;
- FILLER_60_240 sky130_fd_sc_ls__decap_8 + PLACED ( 120960 213120 ) FS ;
- FILLER_60_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 213120 ) FS ;
- FILLER_60_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 213120 ) FS ;
- FILLER_60_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 213120 ) FS ;
- FILLER_60_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 213120 ) FS ;
- FILLER_60_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 213120 ) FS ;
- FILLER_60_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 213120 ) FS ;
- FILLER_60_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 213120 ) FS ;
- FILLER_60_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 213120 ) FS ;
- FILLER_60_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 213120 ) FS ;
- FILLER_60_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 213120 ) FS ;
- FILLER_60_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 213120 ) FS ;
- FILLER_60_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 213120 ) FS ;
- FILLER_60_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 213120 ) FS ;
- FILLER_60_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 213120 ) FS ;
- FILLER_60_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 213120 ) FS ;
- FILLER_60_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 213120 ) FS ;
- FILLER_60_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 213120 ) FS ;
- FILLER_60_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 213120 ) FS ;
- FILLER_60_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 213120 ) FS ;
- FILLER_60_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 213120 ) FS ;
- FILLER_60_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 213120 ) FS ;
- FILLER_60_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 213120 ) FS ;
- FILLER_60_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 213120 ) FS ;
- FILLER_60_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 213120 ) FS ;
- FILLER_60_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 213120 ) FS ;
- FILLER_60_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 213120 ) FS ;
- FILLER_60_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 213120 ) FS ;
- FILLER_60_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 213120 ) FS ;
- FILLER_60_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 213120 ) FS ;
- FILLER_60_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 213120 ) FS ;
- FILLER_60_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 213120 ) FS ;
- FILLER_60_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 213120 ) FS ;
- FILLER_60_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 213120 ) FS ;
- FILLER_60_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 213120 ) FS ;
- FILLER_60_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 213120 ) FS ;
- FILLER_60_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 213120 ) FS ;
- FILLER_60_501 sky130_fd_sc_ls__fill_1 + PLACED ( 246240 213120 ) FS ;
- FILLER_60_505 sky130_fd_sc_ls__decap_8 + PLACED ( 248160 213120 ) FS ;
- FILLER_60_513 sky130_fd_sc_ls__decap_8 + PLACED ( 252000 213120 ) FS ;
- FILLER_60_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 213120 ) FS ;
- FILLER_60_524 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 257280 213120 ) FS ;
- FILLER_60_531 sky130_fd_sc_ls__decap_8 + PLACED ( 260640 213120 ) FS ;
- FILLER_60_539 sky130_fd_sc_ls__decap_8 + PLACED ( 264480 213120 ) FS ;
- FILLER_60_547 sky130_fd_sc_ls__decap_8 + PLACED ( 268320 213120 ) FS ;
- FILLER_60_555 sky130_fd_sc_ls__decap_8 + PLACED ( 272160 213120 ) FS ;
- FILLER_60_563 sky130_fd_sc_ls__decap_8 + PLACED ( 276000 213120 ) FS ;
- FILLER_60_571 sky130_fd_sc_ls__decap_4 + PLACED ( 279840 213120 ) FS ;
- FILLER_60_575 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281760 213120 ) FS ;
- FILLER_60_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 213120 ) FS ;
- FILLER_60_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 213120 ) FS ;
- FILLER_60_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 213120 ) FS ;
- FILLER_60_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 213120 ) FS ;
- FILLER_61_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 216450 ) N ;
- FILLER_61_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 216450 ) N ;
- FILLER_61_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 216450 ) N ;
- FILLER_61_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 216450 ) N ;
- FILLER_61_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 216450 ) N ;
- FILLER_61_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 216450 ) N ;
- FILLER_61_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 216450 ) N ;
- FILLER_61_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 216450 ) N ;
- FILLER_61_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 216450 ) N ;
- FILLER_61_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 216450 ) N ;
- FILLER_61_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 216450 ) N ;
- FILLER_61_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 216450 ) N ;
- FILLER_61_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 216450 ) N ;
- FILLER_61_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 216450 ) N ;
- FILLER_61_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 216450 ) N ;
- FILLER_61_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 216450 ) N ;
- FILLER_61_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 216450 ) N ;
- FILLER_61_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 216450 ) N ;
- FILLER_61_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 216450 ) N ;
- FILLER_61_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 216450 ) N ;
- FILLER_61_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 216450 ) N ;
- FILLER_61_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 216450 ) N ;
- FILLER_61_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 216450 ) N ;
- FILLER_61_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 216450 ) N ;
- FILLER_61_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 216450 ) N ;
- FILLER_61_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 216450 ) N ;
- FILLER_61_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 216450 ) N ;
- FILLER_61_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 216450 ) N ;
- FILLER_61_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 216450 ) N ;
- FILLER_61_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 216450 ) N ;
- FILLER_61_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 216450 ) N ;
- FILLER_61_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 216450 ) N ;
- FILLER_61_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 216450 ) N ;
- FILLER_61_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 216450 ) N ;
- FILLER_61_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 216450 ) N ;
- FILLER_61_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 216450 ) N ;
- FILLER_61_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 216450 ) N ;
- FILLER_61_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 216450 ) N ;
- FILLER_61_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 216450 ) N ;
- FILLER_61_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 216450 ) N ;
- FILLER_61_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 216450 ) N ;
- FILLER_61_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 216450 ) N ;
- FILLER_61_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 216450 ) N ;
- FILLER_61_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 216450 ) N ;
- FILLER_61_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 216450 ) N ;
- FILLER_61_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 216450 ) N ;
- FILLER_61_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 216450 ) N ;
- FILLER_61_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 216450 ) N ;
- FILLER_61_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 216450 ) N ;
- FILLER_61_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 216450 ) N ;
- FILLER_61_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 216450 ) N ;
- FILLER_61_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 216450 ) N ;
- FILLER_61_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 216450 ) N ;
- FILLER_61_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 216450 ) N ;
- FILLER_61_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 216450 ) N ;
- FILLER_61_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 216450 ) N ;
- FILLER_61_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 216450 ) N ;
- FILLER_61_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 216450 ) N ;
- FILLER_61_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 216450 ) N ;
- FILLER_61_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 216450 ) N ;
- FILLER_61_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 216450 ) N ;
- FILLER_61_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 216450 ) N ;
- FILLER_61_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 216450 ) N ;
- FILLER_61_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 216450 ) N ;
- FILLER_61_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 216450 ) N ;
- FILLER_61_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 216450 ) N ;
- FILLER_61_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 216450 ) N ;
- FILLER_61_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 216450 ) N ;
- FILLER_61_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 216450 ) N ;
- FILLER_61_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 216450 ) N ;
- FILLER_61_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 216450 ) N ;
- FILLER_61_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 216450 ) N ;
- FILLER_61_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 216450 ) N ;
- FILLER_61_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 216450 ) N ;
- FILLER_61_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 216450 ) N ;
- FILLER_61_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 216450 ) N ;
- FILLER_61_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 216450 ) N ;
- FILLER_61_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 216450 ) N ;
- FILLER_61_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 216450 ) N ;
- FILLER_61_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 216450 ) N ;
- FILLER_61_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 216450 ) N ;
- FILLER_61_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 216450 ) N ;
- FILLER_61_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 216450 ) N ;
- FILLER_61_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 216450 ) N ;
- FILLER_61_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 216450 ) N ;
- FILLER_61_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 216450 ) N ;
- FILLER_61_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 216450 ) N ;
- FILLER_62_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 219780 ) FS ;
- FILLER_62_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 219780 ) FS ;
- FILLER_62_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 219780 ) FS ;
- FILLER_62_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 219780 ) FS ;
- FILLER_62_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 219780 ) FS ;
- FILLER_62_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 219780 ) FS ;
- FILLER_62_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 219780 ) FS ;
- FILLER_62_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 219780 ) FS ;
- FILLER_62_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 219780 ) FS ;
- FILLER_62_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 219780 ) FS ;
- FILLER_62_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 219780 ) FS ;
- FILLER_62_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 219780 ) FS ;
- FILLER_62_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 219780 ) FS ;
- FILLER_62_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 219780 ) FS ;
- FILLER_62_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 219780 ) FS ;
- FILLER_62_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 219780 ) FS ;
- FILLER_62_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 219780 ) FS ;
- FILLER_62_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 219780 ) FS ;
- FILLER_62_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 219780 ) FS ;
- FILLER_62_139 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 72480 219780 ) FS ;
- FILLER_62_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 219780 ) FS ;
- FILLER_62_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 219780 ) FS ;
- FILLER_62_162 sky130_fd_sc_ls__decap_8 + PLACED ( 83520 219780 ) FS ;
- FILLER_62_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 219780 ) FS ;
- FILLER_62_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 219780 ) FS ;
- FILLER_62_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 219780 ) FS ;
- FILLER_62_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 219780 ) FS ;
- FILLER_62_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 219780 ) FS ;
- FILLER_62_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 219780 ) FS ;
- FILLER_62_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 219780 ) FS ;
- FILLER_62_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 219780 ) FS ;
- FILLER_62_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 219780 ) FS ;
- FILLER_62_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 219780 ) FS ;
- FILLER_62_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 219780 ) FS ;
- FILLER_62_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 219780 ) FS ;
- FILLER_62_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 219780 ) FS ;
- FILLER_62_249 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 125280 219780 ) FS ;
- FILLER_62_256 sky130_fd_sc_ls__decap_8 + PLACED ( 128640 219780 ) FS ;
- FILLER_62_264 sky130_fd_sc_ls__decap_4 + PLACED ( 132480 219780 ) FS ;
- FILLER_62_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 219780 ) FS ;
- FILLER_62_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 219780 ) FS ;
- FILLER_62_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 219780 ) FS ;
- FILLER_62_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 219780 ) FS ;
- FILLER_62_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 219780 ) FS ;
- FILLER_62_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 219780 ) FS ;
- FILLER_62_306 sky130_fd_sc_ls__fill_1 + PLACED ( 152640 219780 ) FS ;
- FILLER_62_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 219780 ) FS ;
- FILLER_62_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 219780 ) FS ;
- FILLER_62_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 219780 ) FS ;
- FILLER_62_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 219780 ) FS ;
- FILLER_62_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 219780 ) FS ;
- FILLER_62_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 219780 ) FS ;
- FILLER_62_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 219780 ) FS ;
- FILLER_62_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 219780 ) FS ;
- FILLER_62_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 219780 ) FS ;
- FILLER_62_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 219780 ) FS ;
- FILLER_62_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 219780 ) FS ;
- FILLER_62_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 219780 ) FS ;
- FILLER_62_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 219780 ) FS ;
- FILLER_62_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 219780 ) FS ;
- FILLER_62_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 219780 ) FS ;
- FILLER_62_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 219780 ) FS ;
- FILLER_62_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 219780 ) FS ;
- FILLER_62_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 219780 ) FS ;
- FILLER_62_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 219780 ) FS ;
- FILLER_62_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 219780 ) FS ;
- FILLER_62_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 219780 ) FS ;
- FILLER_62_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 219780 ) FS ;
- FILLER_62_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 219780 ) FS ;
- FILLER_62_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 219780 ) FS ;
- FILLER_62_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 219780 ) FS ;
- FILLER_62_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 219780 ) FS ;
- FILLER_62_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 219780 ) FS ;
- FILLER_62_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 219780 ) FS ;
- FILLER_62_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 219780 ) FS ;
- FILLER_62_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 219780 ) FS ;
- FILLER_62_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 219780 ) FS ;
- FILLER_62_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 219780 ) FS ;
- FILLER_62_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 219780 ) FS ;
- FILLER_62_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 219780 ) FS ;
- FILLER_62_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 219780 ) FS ;
- FILLER_62_550 sky130_fd_sc_ls__fill_1 + PLACED ( 269760 219780 ) FS ;
- FILLER_62_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 219780 ) FS ;
- FILLER_62_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 219780 ) FS ;
- FILLER_62_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 219780 ) FS ;
- FILLER_62_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 219780 ) FS ;
- FILLER_62_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 219780 ) FS ;
- FILLER_62_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 219780 ) FS ;
- FILLER_62_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 219780 ) FS ;
- FILLER_63_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 223110 ) N ;
- FILLER_63_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 223110 ) N ;
- FILLER_63_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 223110 ) N ;
- FILLER_63_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 223110 ) N ;
- FILLER_63_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 223110 ) N ;
- FILLER_63_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 223110 ) N ;
- FILLER_63_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 223110 ) N ;
- FILLER_63_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 223110 ) N ;
- FILLER_63_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 223110 ) N ;
- FILLER_63_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 223110 ) N ;
- FILLER_63_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 223110 ) N ;
- FILLER_63_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 223110 ) N ;
- FILLER_63_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 223110 ) N ;
- FILLER_63_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 223110 ) N ;
- FILLER_63_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 223110 ) N ;
- FILLER_63_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 223110 ) N ;
- FILLER_63_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 223110 ) N ;
- FILLER_63_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 223110 ) N ;
- FILLER_63_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 223110 ) N ;
- FILLER_63_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 223110 ) N ;
- FILLER_63_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 223110 ) N ;
- FILLER_63_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 223110 ) N ;
- FILLER_63_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 223110 ) N ;
- FILLER_63_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 223110 ) N ;
- FILLER_63_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 223110 ) N ;
- FILLER_63_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 223110 ) N ;
- FILLER_63_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 223110 ) N ;
- FILLER_63_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 223110 ) N ;
- FILLER_63_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 223110 ) N ;
- FILLER_63_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 223110 ) N ;
- FILLER_63_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 223110 ) N ;
- FILLER_63_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 223110 ) N ;
- FILLER_63_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 223110 ) N ;
- FILLER_63_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 223110 ) N ;
- FILLER_63_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 223110 ) N ;
- FILLER_63_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 223110 ) N ;
- FILLER_63_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 223110 ) N ;
- FILLER_63_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 223110 ) N ;
- FILLER_63_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 223110 ) N ;
- FILLER_63_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 223110 ) N ;
- FILLER_63_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 223110 ) N ;
- FILLER_63_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 223110 ) N ;
- FILLER_63_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 223110 ) N ;
- FILLER_63_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 223110 ) N ;
- FILLER_63_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 223110 ) N ;
- FILLER_63_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 223110 ) N ;
- FILLER_63_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 223110 ) N ;
- FILLER_63_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 223110 ) N ;
- FILLER_63_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 223110 ) N ;
- FILLER_63_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 223110 ) N ;
- FILLER_63_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 223110 ) N ;
- FILLER_63_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 223110 ) N ;
- FILLER_63_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 223110 ) N ;
- FILLER_63_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 223110 ) N ;
- FILLER_63_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 223110 ) N ;
- FILLER_63_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 223110 ) N ;
- FILLER_63_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 223110 ) N ;
- FILLER_63_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 223110 ) N ;
- FILLER_63_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 223110 ) N ;
- FILLER_63_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 223110 ) N ;
- FILLER_63_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 223110 ) N ;
- FILLER_63_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 223110 ) N ;
- FILLER_63_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 223110 ) N ;
- FILLER_63_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 223110 ) N ;
- FILLER_63_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 223110 ) N ;
- FILLER_63_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 223110 ) N ;
- FILLER_63_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 223110 ) N ;
- FILLER_63_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 223110 ) N ;
- FILLER_63_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 223110 ) N ;
- FILLER_63_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 223110 ) N ;
- FILLER_63_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 223110 ) N ;
- FILLER_63_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 223110 ) N ;
- FILLER_63_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 223110 ) N ;
- FILLER_63_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 223110 ) N ;
- FILLER_63_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 223110 ) N ;
- FILLER_63_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 223110 ) N ;
- FILLER_63_533 sky130_fd_sc_ls__decap_8 + PLACED ( 261600 223110 ) N ;
- FILLER_63_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 223110 ) N ;
- FILLER_63_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 223110 ) N ;
- FILLER_63_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 223110 ) N ;
- FILLER_63_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 223110 ) N ;
- FILLER_63_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 223110 ) N ;
- FILLER_63_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 223110 ) N ;
- FILLER_63_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 223110 ) N ;
- FILLER_63_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 223110 ) N ;
- FILLER_63_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 223110 ) N ;
- FILLER_64_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 226440 ) FS ;
- FILLER_64_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 226440 ) FS ;
- FILLER_64_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 226440 ) FS ;
- FILLER_64_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 226440 ) FS ;
- FILLER_64_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 226440 ) FS ;
- FILLER_64_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 226440 ) FS ;
- FILLER_64_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 226440 ) FS ;
- FILLER_64_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 226440 ) FS ;
- FILLER_64_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 226440 ) FS ;
- FILLER_64_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 226440 ) FS ;
- FILLER_64_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 226440 ) FS ;
- FILLER_64_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 226440 ) FS ;
- FILLER_64_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 226440 ) FS ;
- FILLER_64_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 226440 ) FS ;
- FILLER_64_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 226440 ) FS ;
- FILLER_64_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 226440 ) FS ;
- FILLER_64_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 226440 ) FS ;
- FILLER_64_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 226440 ) FS ;
- FILLER_64_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 226440 ) FS ;
- FILLER_64_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 226440 ) FS ;
- FILLER_64_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 226440 ) FS ;
- FILLER_64_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 226440 ) FS ;
- FILLER_64_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 226440 ) FS ;
- FILLER_64_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 226440 ) FS ;
- FILLER_64_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 226440 ) FS ;
- FILLER_64_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 226440 ) FS ;
- FILLER_64_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 226440 ) FS ;
- FILLER_64_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 226440 ) FS ;
- FILLER_64_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 226440 ) FS ;
- FILLER_64_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 226440 ) FS ;
- FILLER_64_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 226440 ) FS ;
- FILLER_64_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 226440 ) FS ;
- FILLER_64_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 226440 ) FS ;
- FILLER_64_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 226440 ) FS ;
- FILLER_64_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 226440 ) FS ;
- FILLER_64_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 226440 ) FS ;
- FILLER_64_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 226440 ) FS ;
- FILLER_64_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 226440 ) FS ;
- FILLER_64_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 226440 ) FS ;
- FILLER_64_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 226440 ) FS ;
- FILLER_64_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 226440 ) FS ;
- FILLER_64_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 226440 ) FS ;
- FILLER_64_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 226440 ) FS ;
- FILLER_64_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 226440 ) FS ;
- FILLER_64_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 226440 ) FS ;
- FILLER_64_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 226440 ) FS ;
- FILLER_64_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 226440 ) FS ;
- FILLER_64_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 226440 ) FS ;
- FILLER_64_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 226440 ) FS ;
- FILLER_64_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 226440 ) FS ;
- FILLER_64_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 226440 ) FS ;
- FILLER_64_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 226440 ) FS ;
- FILLER_64_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 226440 ) FS ;
- FILLER_64_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 226440 ) FS ;
- FILLER_64_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 226440 ) FS ;
- FILLER_64_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 226440 ) FS ;
- FILLER_64_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 226440 ) FS ;
- FILLER_64_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 226440 ) FS ;
- FILLER_64_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 226440 ) FS ;
- FILLER_64_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 226440 ) FS ;
- FILLER_64_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 226440 ) FS ;
- FILLER_64_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 226440 ) FS ;
- FILLER_64_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 226440 ) FS ;
- FILLER_64_446 sky130_fd_sc_ls__decap_4 + PLACED ( 219840 226440 ) FS ;
- FILLER_64_450 sky130_fd_sc_ls__fill_1 + PLACED ( 221760 226440 ) FS ;
- FILLER_64_456 sky130_fd_sc_ls__decap_8 + PLACED ( 224640 226440 ) FS ;
- FILLER_64_464 sky130_fd_sc_ls__decap_4 + PLACED ( 228480 226440 ) FS ;
- FILLER_64_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 226440 ) FS ;
- FILLER_64_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 226440 ) FS ;
- FILLER_64_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 226440 ) FS ;
- FILLER_64_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 226440 ) FS ;
- FILLER_64_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 226440 ) FS ;
- FILLER_64_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 226440 ) FS ;
- FILLER_64_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 226440 ) FS ;
- FILLER_64_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 226440 ) FS ;
- FILLER_64_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 226440 ) FS ;
- FILLER_64_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 226440 ) FS ;
- FILLER_64_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 226440 ) FS ;
- FILLER_64_548 sky130_fd_sc_ls__decap_4 + PLACED ( 268800 226440 ) FS ;
- FILLER_64_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 226440 ) FS ;
- FILLER_64_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 226440 ) FS ;
- FILLER_64_573 sky130_fd_sc_ls__decap_4 + PLACED ( 280800 226440 ) FS ;
- FILLER_64_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 226440 ) FS ;
- FILLER_64_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 226440 ) FS ;
- FILLER_64_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 226440 ) FS ;
- FILLER_64_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 226440 ) FS ;
- FILLER_65_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 229770 ) N ;
- FILLER_65_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 229770 ) N ;
- FILLER_65_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 229770 ) N ;
- FILLER_65_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 229770 ) N ;
- FILLER_65_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 229770 ) N ;
- FILLER_65_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 229770 ) N ;
- FILLER_65_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 229770 ) N ;
- FILLER_65_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 229770 ) N ;
- FILLER_65_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 229770 ) N ;
- FILLER_65_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 229770 ) N ;
- FILLER_65_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 229770 ) N ;
- FILLER_65_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 229770 ) N ;
- FILLER_65_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 229770 ) N ;
- FILLER_65_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 229770 ) N ;
- FILLER_65_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 229770 ) N ;
- FILLER_65_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 229770 ) N ;
- FILLER_65_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 229770 ) N ;
- FILLER_65_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 229770 ) N ;
- FILLER_65_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 229770 ) N ;
- FILLER_65_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 229770 ) N ;
- FILLER_65_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 229770 ) N ;
- FILLER_65_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 229770 ) N ;
- FILLER_65_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 229770 ) N ;
- FILLER_65_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 229770 ) N ;
- FILLER_65_166 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85440 229770 ) N ;
- FILLER_65_173 sky130_fd_sc_ls__decap_8 + PLACED ( 88800 229770 ) N ;
- FILLER_65_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 229770 ) N ;
- FILLER_65_189 sky130_fd_sc_ls__decap_8 + PLACED ( 96480 229770 ) N ;
- FILLER_65_197 sky130_fd_sc_ls__decap_8 + PLACED ( 100320 229770 ) N ;
- FILLER_65_205 sky130_fd_sc_ls__decap_8 + PLACED ( 104160 229770 ) N ;
- FILLER_65_213 sky130_fd_sc_ls__decap_4 + PLACED ( 108000 229770 ) N ;
- FILLER_65_217 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 109920 229770 ) N ;
- FILLER_65_219 sky130_fd_sc_ls__fill_1 + PLACED ( 110880 229770 ) N ;
- FILLER_65_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 229770 ) N ;
- FILLER_65_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 229770 ) N ;
- FILLER_65_237 sky130_fd_sc_ls__decap_4 + PLACED ( 119520 229770 ) N ;
- FILLER_65_241 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 121440 229770 ) N ;
- FILLER_65_246 sky130_fd_sc_ls__decap_8 + PLACED ( 123840 229770 ) N ;
- FILLER_65_254 sky130_fd_sc_ls__decap_8 + PLACED ( 127680 229770 ) N ;
- FILLER_65_262 sky130_fd_sc_ls__decap_8 + PLACED ( 131520 229770 ) N ;
- FILLER_65_270 sky130_fd_sc_ls__decap_4 + PLACED ( 135360 229770 ) N ;
- FILLER_65_274 sky130_fd_sc_ls__fill_1 + PLACED ( 137280 229770 ) N ;
- FILLER_65_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 229770 ) N ;
- FILLER_65_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 229770 ) N ;
- FILLER_65_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 229770 ) N ;
- FILLER_65_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 229770 ) N ;
- FILLER_65_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 229770 ) N ;
- FILLER_65_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 229770 ) N ;
- FILLER_65_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 229770 ) N ;
- FILLER_65_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 229770 ) N ;
- FILLER_65_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 229770 ) N ;
- FILLER_65_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 229770 ) N ;
- FILLER_65_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 229770 ) N ;
- FILLER_65_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 229770 ) N ;
- FILLER_65_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 229770 ) N ;
- FILLER_65_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 229770 ) N ;
- FILLER_65_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 229770 ) N ;
- FILLER_65_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 229770 ) N ;
- FILLER_65_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 229770 ) N ;
- FILLER_65_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 229770 ) N ;
- FILLER_65_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 229770 ) N ;
- FILLER_65_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 229770 ) N ;
- FILLER_65_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 229770 ) N ;
- FILLER_65_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 229770 ) N ;
- FILLER_65_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 229770 ) N ;
- FILLER_65_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 229770 ) N ;
- FILLER_65_441 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 217440 229770 ) N ;
- FILLER_65_448 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 220800 229770 ) N ;
- FILLER_65_455 sky130_fd_sc_ls__decap_8 + PLACED ( 224160 229770 ) N ;
- FILLER_65_463 sky130_fd_sc_ls__decap_8 + PLACED ( 228000 229770 ) N ;
- FILLER_65_471 sky130_fd_sc_ls__decap_8 + PLACED ( 231840 229770 ) N ;
- FILLER_65_479 sky130_fd_sc_ls__decap_8 + PLACED ( 235680 229770 ) N ;
- FILLER_65_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 229770 ) N ;
- FILLER_65_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 229770 ) N ;
- FILLER_65_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 229770 ) N ;
- FILLER_65_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 229770 ) N ;
- FILLER_65_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 229770 ) N ;
- FILLER_65_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 229770 ) N ;
- FILLER_65_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 229770 ) N ;
- FILLER_65_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 229770 ) N ;
- FILLER_65_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 229770 ) N ;
- FILLER_65_551 sky130_fd_sc_ls__decap_4 + PLACED ( 270240 229770 ) N ;
- FILLER_65_560 sky130_fd_sc_ls__decap_8 + PLACED ( 274560 229770 ) N ;
- FILLER_65_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 229770 ) N ;
- FILLER_65_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 229770 ) N ;
- FILLER_65_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 229770 ) N ;
- FILLER_65_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 229770 ) N ;
- FILLER_65_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 229770 ) N ;
- FILLER_66_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 233100 ) FS ;
- FILLER_66_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 233100 ) FS ;
- FILLER_66_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 233100 ) FS ;
- FILLER_66_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 233100 ) FS ;
- FILLER_66_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 233100 ) FS ;
- FILLER_66_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 233100 ) FS ;
- FILLER_66_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 233100 ) FS ;
- FILLER_66_57 sky130_fd_sc_ls__fill_1 + PLACED ( 33120 233100 ) FS ;
- FILLER_66_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 233100 ) FS ;
- FILLER_66_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 233100 ) FS ;
- FILLER_66_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 233100 ) FS ;
- FILLER_66_84 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 46080 233100 ) FS ;
- FILLER_66_91 sky130_fd_sc_ls__decap_8 + PLACED ( 49440 233100 ) FS ;
- FILLER_66_99 sky130_fd_sc_ls__decap_8 + PLACED ( 53280 233100 ) FS ;
- FILLER_66_107 sky130_fd_sc_ls__decap_8 + PLACED ( 57120 233100 ) FS ;
- FILLER_66_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 233100 ) FS ;
- FILLER_66_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 233100 ) FS ;
- FILLER_66_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 233100 ) FS ;
- FILLER_66_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 233100 ) FS ;
- FILLER_66_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 233100 ) FS ;
- FILLER_66_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 233100 ) FS ;
- FILLER_66_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 233100 ) FS ;
- FILLER_66_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 233100 ) FS ;
- FILLER_66_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 233100 ) FS ;
- FILLER_66_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 233100 ) FS ;
- FILLER_66_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 233100 ) FS ;
- FILLER_66_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 233100 ) FS ;
- FILLER_66_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 233100 ) FS ;
- FILLER_66_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 233100 ) FS ;
- FILLER_66_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 233100 ) FS ;
- FILLER_66_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 233100 ) FS ;
- FILLER_66_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 233100 ) FS ;
- FILLER_66_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 233100 ) FS ;
- FILLER_66_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 233100 ) FS ;
- FILLER_66_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 233100 ) FS ;
- FILLER_66_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 233100 ) FS ;
- FILLER_66_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 233100 ) FS ;
- FILLER_66_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 233100 ) FS ;
- FILLER_66_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 233100 ) FS ;
- FILLER_66_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 233100 ) FS ;
- FILLER_66_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 233100 ) FS ;
- FILLER_66_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 233100 ) FS ;
- FILLER_66_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 233100 ) FS ;
- FILLER_66_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 233100 ) FS ;
- FILLER_66_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 233100 ) FS ;
- FILLER_66_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 233100 ) FS ;
- FILLER_66_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 233100 ) FS ;
- FILLER_66_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 233100 ) FS ;
- FILLER_66_336 sky130_fd_sc_ls__fill_1 + PLACED ( 167040 233100 ) FS ;
- FILLER_66_342 sky130_fd_sc_ls__decap_8 + PLACED ( 169920 233100 ) FS ;
- FILLER_66_350 sky130_fd_sc_ls__decap_8 + PLACED ( 173760 233100 ) FS ;
- FILLER_66_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 233100 ) FS ;
- FILLER_66_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 233100 ) FS ;
- FILLER_66_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 233100 ) FS ;
- FILLER_66_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 233100 ) FS ;
- FILLER_66_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 233100 ) FS ;
- FILLER_66_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 233100 ) FS ;
- FILLER_66_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 233100 ) FS ;
- FILLER_66_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 233100 ) FS ;
- FILLER_66_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 233100 ) FS ;
- FILLER_66_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 233100 ) FS ;
- FILLER_66_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 233100 ) FS ;
- FILLER_66_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 233100 ) FS ;
- FILLER_66_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 233100 ) FS ;
- FILLER_66_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 233100 ) FS ;
- FILLER_66_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 233100 ) FS ;
- FILLER_66_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 233100 ) FS ;
- FILLER_66_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 233100 ) FS ;
- FILLER_66_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 233100 ) FS ;
- FILLER_66_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 233100 ) FS ;
- FILLER_66_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 233100 ) FS ;
- FILLER_66_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 233100 ) FS ;
- FILLER_66_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 233100 ) FS ;
- FILLER_66_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 233100 ) FS ;
- FILLER_66_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 233100 ) FS ;
- FILLER_66_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 233100 ) FS ;
- FILLER_66_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 233100 ) FS ;
- FILLER_66_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 233100 ) FS ;
- FILLER_66_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 233100 ) FS ;
- FILLER_66_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 233100 ) FS ;
- FILLER_66_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 233100 ) FS ;
- FILLER_66_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 233100 ) FS ;
- FILLER_66_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 233100 ) FS ;
- FILLER_66_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 233100 ) FS ;
- FILLER_66_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 233100 ) FS ;
- FILLER_66_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 233100 ) FS ;
- FILLER_67_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 236430 ) N ;
- FILLER_67_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 236430 ) N ;
- FILLER_67_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 236430 ) N ;
- FILLER_67_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 236430 ) N ;
- FILLER_67_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 236430 ) N ;
- FILLER_67_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 236430 ) N ;
- FILLER_67_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 236430 ) N ;
- FILLER_67_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 236430 ) N ;
- FILLER_67_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 236430 ) N ;
- FILLER_67_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 236430 ) N ;
- FILLER_67_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 236430 ) N ;
- FILLER_67_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 236430 ) N ;
- FILLER_67_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 236430 ) N ;
- FILLER_67_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 236430 ) N ;
- FILLER_67_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 236430 ) N ;
- FILLER_67_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 236430 ) N ;
- FILLER_67_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 236430 ) N ;
- FILLER_67_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 236430 ) N ;
- FILLER_67_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 236430 ) N ;
- FILLER_67_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 236430 ) N ;
- FILLER_67_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 236430 ) N ;
- FILLER_67_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 236430 ) N ;
- FILLER_67_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 236430 ) N ;
- FILLER_67_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 236430 ) N ;
- FILLER_67_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 236430 ) N ;
- FILLER_67_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 236430 ) N ;
- FILLER_67_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 236430 ) N ;
- FILLER_67_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 236430 ) N ;
- FILLER_67_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 236430 ) N ;
- FILLER_67_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 236430 ) N ;
- FILLER_67_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 236430 ) N ;
- FILLER_67_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 236430 ) N ;
- FILLER_67_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 236430 ) N ;
- FILLER_67_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 236430 ) N ;
- FILLER_67_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 236430 ) N ;
- FILLER_67_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 236430 ) N ;
- FILLER_67_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 236430 ) N ;
- FILLER_67_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 236430 ) N ;
- FILLER_67_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 236430 ) N ;
- FILLER_67_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 236430 ) N ;
- FILLER_67_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 236430 ) N ;
- FILLER_67_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 236430 ) N ;
- FILLER_67_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 236430 ) N ;
- FILLER_67_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 236430 ) N ;
- FILLER_67_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 236430 ) N ;
- FILLER_67_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 236430 ) N ;
- FILLER_67_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 236430 ) N ;
- FILLER_67_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 236430 ) N ;
- FILLER_67_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 236430 ) N ;
- FILLER_67_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 236430 ) N ;
- FILLER_67_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 236430 ) N ;
- FILLER_67_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 236430 ) N ;
- FILLER_67_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 236430 ) N ;
- FILLER_67_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 236430 ) N ;
- FILLER_67_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 236430 ) N ;
- FILLER_67_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 236430 ) N ;
- FILLER_67_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 236430 ) N ;
- FILLER_67_394 sky130_fd_sc_ls__decap_4 + PLACED ( 194880 236430 ) N ;
- FILLER_67_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 236430 ) N ;
- FILLER_67_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 236430 ) N ;
- FILLER_67_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 236430 ) N ;
- FILLER_67_427 sky130_fd_sc_ls__decap_8 + PLACED ( 210720 236430 ) N ;
- FILLER_67_435 sky130_fd_sc_ls__decap_4 + PLACED ( 214560 236430 ) N ;
- FILLER_67_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 236430 ) N ;
- FILLER_67_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 236430 ) N ;
- FILLER_67_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 236430 ) N ;
- FILLER_67_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 236430 ) N ;
- FILLER_67_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 236430 ) N ;
- FILLER_67_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 236430 ) N ;
- FILLER_67_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 236430 ) N ;
- FILLER_67_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 236430 ) N ;
- FILLER_67_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 236430 ) N ;
- FILLER_67_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 236430 ) N ;
- FILLER_67_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 236430 ) N ;
- FILLER_67_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 236430 ) N ;
- FILLER_67_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 236430 ) N ;
- FILLER_67_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 236430 ) N ;
- FILLER_67_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 236430 ) N ;
- FILLER_67_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 236430 ) N ;
- FILLER_67_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 236430 ) N ;
- FILLER_67_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 236430 ) N ;
- FILLER_67_559 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 274080 236430 ) N ;
- FILLER_67_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 236430 ) N ;
- FILLER_67_572 sky130_fd_sc_ls__decap_8 + PLACED ( 280320 236430 ) N ;
- FILLER_67_580 sky130_fd_sc_ls__decap_8 + PLACED ( 284160 236430 ) N ;
- FILLER_67_588 sky130_fd_sc_ls__decap_8 + PLACED ( 288000 236430 ) N ;
- FILLER_67_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 236430 ) N ;
- FILLER_68_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 239760 ) FS ;
- FILLER_68_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 239760 ) FS ;
- FILLER_68_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 239760 ) FS ;
- FILLER_68_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 239760 ) FS ;
- FILLER_68_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 239760 ) FS ;
- FILLER_68_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 239760 ) FS ;
- FILLER_68_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 239760 ) FS ;
- FILLER_68_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 239760 ) FS ;
- FILLER_68_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 239760 ) FS ;
- FILLER_68_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 239760 ) FS ;
- FILLER_68_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 239760 ) FS ;
- FILLER_68_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 239760 ) FS ;
- FILLER_68_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 239760 ) FS ;
- FILLER_68_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 239760 ) FS ;
- FILLER_68_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 239760 ) FS ;
- FILLER_68_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 239760 ) FS ;
- FILLER_68_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 239760 ) FS ;
- FILLER_68_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 239760 ) FS ;
- FILLER_68_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 239760 ) FS ;
- FILLER_68_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 239760 ) FS ;
- FILLER_68_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 239760 ) FS ;
- FILLER_68_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 239760 ) FS ;
- FILLER_68_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 239760 ) FS ;
- FILLER_68_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 239760 ) FS ;
- FILLER_68_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 239760 ) FS ;
- FILLER_68_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 239760 ) FS ;
- FILLER_68_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 239760 ) FS ;
- FILLER_68_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 239760 ) FS ;
- FILLER_68_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 239760 ) FS ;
- FILLER_68_206 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 104640 239760 ) FS ;
- FILLER_68_213 sky130_fd_sc_ls__decap_8 + PLACED ( 108000 239760 ) FS ;
- FILLER_68_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 239760 ) FS ;
- FILLER_68_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 239760 ) FS ;
- FILLER_68_237 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 119520 239760 ) FS ;
- FILLER_68_244 sky130_fd_sc_ls__decap_4 + PLACED ( 122880 239760 ) FS ;
- FILLER_68_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 239760 ) FS ;
- FILLER_68_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 239760 ) FS ;
- FILLER_68_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 239760 ) FS ;
- FILLER_68_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 239760 ) FS ;
- FILLER_68_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 239760 ) FS ;
- FILLER_68_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 239760 ) FS ;
- FILLER_68_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 239760 ) FS ;
- FILLER_68_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 239760 ) FS ;
- FILLER_68_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 239760 ) FS ;
- FILLER_68_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 239760 ) FS ;
- FILLER_68_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 239760 ) FS ;
- FILLER_68_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 239760 ) FS ;
- FILLER_68_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 239760 ) FS ;
- FILLER_68_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 239760 ) FS ;
- FILLER_68_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 239760 ) FS ;
- FILLER_68_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 239760 ) FS ;
- FILLER_68_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 239760 ) FS ;
- FILLER_68_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 239760 ) FS ;
- FILLER_68_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 239760 ) FS ;
- FILLER_68_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 239760 ) FS ;
- FILLER_68_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 239760 ) FS ;
- FILLER_68_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 239760 ) FS ;
- FILLER_68_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 239760 ) FS ;
- FILLER_68_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 239760 ) FS ;
- FILLER_68_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 239760 ) FS ;
- FILLER_68_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 239760 ) FS ;
- FILLER_68_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 239760 ) FS ;
- FILLER_68_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 239760 ) FS ;
- FILLER_68_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 239760 ) FS ;
- FILLER_68_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 239760 ) FS ;
- FILLER_68_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 239760 ) FS ;
- FILLER_68_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 239760 ) FS ;
- FILLER_68_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 239760 ) FS ;
- FILLER_68_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 239760 ) FS ;
- FILLER_68_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 239760 ) FS ;
- FILLER_68_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 239760 ) FS ;
- FILLER_68_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 239760 ) FS ;
- FILLER_68_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 239760 ) FS ;
- FILLER_68_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 239760 ) FS ;
- FILLER_68_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 239760 ) FS ;
- FILLER_68_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 239760 ) FS ;
- FILLER_68_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 239760 ) FS ;
- FILLER_68_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 239760 ) FS ;
- FILLER_68_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 239760 ) FS ;
- FILLER_68_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 239760 ) FS ;
- FILLER_68_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 239760 ) FS ;
- FILLER_68_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 239760 ) FS ;
- FILLER_68_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 239760 ) FS ;
- FILLER_68_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 239760 ) FS ;
- FILLER_68_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 239760 ) FS ;
- FILLER_68_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 239760 ) FS ;
- FILLER_69_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 243090 ) N ;
- FILLER_69_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 243090 ) N ;
- FILLER_69_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 243090 ) N ;
- FILLER_69_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 243090 ) N ;
- FILLER_69_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 243090 ) N ;
- FILLER_69_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 243090 ) N ;
- FILLER_69_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 243090 ) N ;
- FILLER_69_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 243090 ) N ;
- FILLER_69_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 243090 ) N ;
- FILLER_69_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 243090 ) N ;
- FILLER_69_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 243090 ) N ;
- FILLER_69_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 243090 ) N ;
- FILLER_69_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 243090 ) N ;
- FILLER_69_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 243090 ) N ;
- FILLER_69_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 243090 ) N ;
- FILLER_69_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 243090 ) N ;
- FILLER_69_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 243090 ) N ;
- FILLER_69_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 243090 ) N ;
- FILLER_69_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 243090 ) N ;
- FILLER_69_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 243090 ) N ;
- FILLER_69_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 243090 ) N ;
- FILLER_69_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 243090 ) N ;
- FILLER_69_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 243090 ) N ;
- FILLER_69_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 243090 ) N ;
- FILLER_69_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 243090 ) N ;
- FILLER_69_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 243090 ) N ;
- FILLER_69_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 243090 ) N ;
- FILLER_69_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 243090 ) N ;
- FILLER_69_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 243090 ) N ;
- FILLER_69_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 243090 ) N ;
- FILLER_69_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 243090 ) N ;
- FILLER_69_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 243090 ) N ;
- FILLER_69_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 243090 ) N ;
- FILLER_69_229 sky130_fd_sc_ls__fill_1 + PLACED ( 115680 243090 ) N ;
- FILLER_69_235 sky130_fd_sc_ls__decap_8 + PLACED ( 118560 243090 ) N ;
- FILLER_69_243 sky130_fd_sc_ls__decap_8 + PLACED ( 122400 243090 ) N ;
- FILLER_69_251 sky130_fd_sc_ls__decap_8 + PLACED ( 126240 243090 ) N ;
- FILLER_69_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 243090 ) N ;
- FILLER_69_267 sky130_fd_sc_ls__decap_8 + PLACED ( 133920 243090 ) N ;
- FILLER_69_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 243090 ) N ;
- FILLER_69_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 243090 ) N ;
- FILLER_69_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 243090 ) N ;
- FILLER_69_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 243090 ) N ;
- FILLER_69_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 243090 ) N ;
- FILLER_69_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 243090 ) N ;
- FILLER_69_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 243090 ) N ;
- FILLER_69_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 243090 ) N ;
- FILLER_69_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 243090 ) N ;
- FILLER_69_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 243090 ) N ;
- FILLER_69_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 243090 ) N ;
- FILLER_69_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 243090 ) N ;
- FILLER_69_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 243090 ) N ;
- FILLER_69_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 243090 ) N ;
- FILLER_69_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 243090 ) N ;
- FILLER_69_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 243090 ) N ;
- FILLER_69_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 243090 ) N ;
- FILLER_69_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 243090 ) N ;
- FILLER_69_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 243090 ) N ;
- FILLER_69_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 243090 ) N ;
- FILLER_69_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 243090 ) N ;
- FILLER_69_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 243090 ) N ;
- FILLER_69_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 243090 ) N ;
- FILLER_69_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 243090 ) N ;
- FILLER_69_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 243090 ) N ;
- FILLER_69_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 243090 ) N ;
- FILLER_69_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 243090 ) N ;
- FILLER_69_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 243090 ) N ;
- FILLER_69_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 243090 ) N ;
- FILLER_69_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 243090 ) N ;
- FILLER_69_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 243090 ) N ;
- FILLER_69_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 243090 ) N ;
- FILLER_69_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 243090 ) N ;
- FILLER_69_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 243090 ) N ;
- FILLER_69_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 243090 ) N ;
- FILLER_69_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 243090 ) N ;
- FILLER_69_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 243090 ) N ;
- FILLER_69_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 243090 ) N ;
- FILLER_69_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 243090 ) N ;
- FILLER_69_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 243090 ) N ;
- FILLER_69_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 243090 ) N ;
- FILLER_69_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 243090 ) N ;
- FILLER_69_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 243090 ) N ;
- FILLER_69_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 243090 ) N ;
- FILLER_69_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 243090 ) N ;
- FILLER_69_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 243090 ) N ;
- FILLER_69_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 243090 ) N ;
- FILLER_70_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 246420 ) FS ;
- FILLER_70_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 246420 ) FS ;
- FILLER_70_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 246420 ) FS ;
- FILLER_70_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 246420 ) FS ;
- FILLER_70_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 246420 ) FS ;
- FILLER_70_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 246420 ) FS ;
- FILLER_70_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 246420 ) FS ;
- FILLER_70_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 246420 ) FS ;
- FILLER_70_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 246420 ) FS ;
- FILLER_70_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 246420 ) FS ;
- FILLER_70_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 246420 ) FS ;
- FILLER_70_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 246420 ) FS ;
- FILLER_70_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 246420 ) FS ;
- FILLER_70_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 246420 ) FS ;
- FILLER_70_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 246420 ) FS ;
- FILLER_70_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 246420 ) FS ;
- FILLER_70_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 246420 ) FS ;
- FILLER_70_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 246420 ) FS ;
- FILLER_70_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 246420 ) FS ;
- FILLER_70_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 246420 ) FS ;
- FILLER_70_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 246420 ) FS ;
- FILLER_70_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 246420 ) FS ;
- FILLER_70_162 sky130_fd_sc_ls__decap_8 + PLACED ( 83520 246420 ) FS ;
- FILLER_70_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 246420 ) FS ;
- FILLER_70_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 246420 ) FS ;
- FILLER_70_186 sky130_fd_sc_ls__decap_4 + PLACED ( 95040 246420 ) FS ;
- FILLER_70_190 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 96960 246420 ) FS ;
- FILLER_70_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 246420 ) FS ;
- FILLER_70_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 246420 ) FS ;
- FILLER_70_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 246420 ) FS ;
- FILLER_70_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 246420 ) FS ;
- FILLER_70_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 246420 ) FS ;
- FILLER_70_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 246420 ) FS ;
- FILLER_70_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 246420 ) FS ;
- FILLER_70_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 246420 ) FS ;
- FILLER_70_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 246420 ) FS ;
- FILLER_70_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 246420 ) FS ;
- FILLER_70_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 246420 ) FS ;
- FILLER_70_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 246420 ) FS ;
- FILLER_70_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 246420 ) FS ;
- FILLER_70_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 246420 ) FS ;
- FILLER_70_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 246420 ) FS ;
- FILLER_70_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 246420 ) FS ;
- FILLER_70_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 246420 ) FS ;
- FILLER_70_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 246420 ) FS ;
- FILLER_70_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 246420 ) FS ;
- FILLER_70_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 246420 ) FS ;
- FILLER_70_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 246420 ) FS ;
- FILLER_70_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 246420 ) FS ;
- FILLER_70_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 246420 ) FS ;
- FILLER_70_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 246420 ) FS ;
- FILLER_70_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 246420 ) FS ;
- FILLER_70_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 246420 ) FS ;
- FILLER_70_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 246420 ) FS ;
- FILLER_70_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 246420 ) FS ;
- FILLER_70_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 246420 ) FS ;
- FILLER_70_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 246420 ) FS ;
- FILLER_70_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 246420 ) FS ;
- FILLER_70_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 246420 ) FS ;
- FILLER_70_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 246420 ) FS ;
- FILLER_70_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 246420 ) FS ;
- FILLER_70_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 246420 ) FS ;
- FILLER_70_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 246420 ) FS ;
- FILLER_70_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 246420 ) FS ;
- FILLER_70_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 246420 ) FS ;
- FILLER_70_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 246420 ) FS ;
- FILLER_70_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 246420 ) FS ;
- FILLER_70_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 246420 ) FS ;
- FILLER_70_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 246420 ) FS ;
- FILLER_70_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 246420 ) FS ;
- FILLER_70_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 246420 ) FS ;
- FILLER_70_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 246420 ) FS ;
- FILLER_70_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 246420 ) FS ;
- FILLER_70_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 246420 ) FS ;
- FILLER_70_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 246420 ) FS ;
- FILLER_70_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 246420 ) FS ;
- FILLER_70_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 246420 ) FS ;
- FILLER_70_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 246420 ) FS ;
- FILLER_70_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 246420 ) FS ;
- FILLER_70_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 246420 ) FS ;
- FILLER_70_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 246420 ) FS ;
- FILLER_70_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 246420 ) FS ;
- FILLER_70_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 246420 ) FS ;
- FILLER_70_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 246420 ) FS ;
- FILLER_70_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 246420 ) FS ;
- FILLER_70_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 246420 ) FS ;
- FILLER_70_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 246420 ) FS ;
- FILLER_71_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 249750 ) N ;
- FILLER_71_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 249750 ) N ;
- FILLER_71_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 249750 ) N ;
- FILLER_71_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 249750 ) N ;
- FILLER_71_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 249750 ) N ;
- FILLER_71_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 249750 ) N ;
- FILLER_71_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 249750 ) N ;
- FILLER_71_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 249750 ) N ;
- FILLER_71_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 249750 ) N ;
- FILLER_71_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 249750 ) N ;
- FILLER_71_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 249750 ) N ;
- FILLER_71_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 249750 ) N ;
- FILLER_71_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 249750 ) N ;
- FILLER_71_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 249750 ) N ;
- FILLER_71_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 249750 ) N ;
- FILLER_71_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 249750 ) N ;
- FILLER_71_111 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 59040 249750 ) N ;
- FILLER_71_118 sky130_fd_sc_ls__decap_8 + PLACED ( 62400 249750 ) N ;
- FILLER_71_126 sky130_fd_sc_ls__decap_8 + PLACED ( 66240 249750 ) N ;
- FILLER_71_134 sky130_fd_sc_ls__decap_8 + PLACED ( 70080 249750 ) N ;
- FILLER_71_142 sky130_fd_sc_ls__decap_8 + PLACED ( 73920 249750 ) N ;
- FILLER_71_150 sky130_fd_sc_ls__decap_8 + PLACED ( 77760 249750 ) N ;
- FILLER_71_158 sky130_fd_sc_ls__decap_4 + PLACED ( 81600 249750 ) N ;
- FILLER_71_162 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 83520 249750 ) N ;
- FILLER_71_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 249750 ) N ;
- FILLER_71_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 249750 ) N ;
- FILLER_71_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 249750 ) N ;
- FILLER_71_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 249750 ) N ;
- FILLER_71_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 249750 ) N ;
- FILLER_71_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 249750 ) N ;
- FILLER_71_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 249750 ) N ;
- FILLER_71_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 249750 ) N ;
- FILLER_71_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 249750 ) N ;
- FILLER_71_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 249750 ) N ;
- FILLER_71_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 249750 ) N ;
- FILLER_71_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 249750 ) N ;
- FILLER_71_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 249750 ) N ;
- FILLER_71_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 249750 ) N ;
- FILLER_71_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 249750 ) N ;
- FILLER_71_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 249750 ) N ;
- FILLER_71_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 249750 ) N ;
- FILLER_71_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 249750 ) N ;
- FILLER_71_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 249750 ) N ;
- FILLER_71_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 249750 ) N ;
- FILLER_71_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 249750 ) N ;
- FILLER_71_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 249750 ) N ;
- FILLER_71_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 249750 ) N ;
- FILLER_71_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 249750 ) N ;
- FILLER_71_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 249750 ) N ;
- FILLER_71_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 249750 ) N ;
- FILLER_71_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 249750 ) N ;
- FILLER_71_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 249750 ) N ;
- FILLER_71_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 249750 ) N ;
- FILLER_71_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 249750 ) N ;
- FILLER_71_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 249750 ) N ;
- FILLER_71_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 249750 ) N ;
- FILLER_71_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 249750 ) N ;
- FILLER_71_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 249750 ) N ;
- FILLER_71_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 249750 ) N ;
- FILLER_71_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 249750 ) N ;
- FILLER_71_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 249750 ) N ;
- FILLER_71_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 249750 ) N ;
- FILLER_71_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 249750 ) N ;
- FILLER_71_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 249750 ) N ;
- FILLER_71_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 249750 ) N ;
- FILLER_71_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 249750 ) N ;
- FILLER_71_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 249750 ) N ;
- FILLER_71_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 249750 ) N ;
- FILLER_71_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 249750 ) N ;
- FILLER_71_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 249750 ) N ;
- FILLER_71_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 249750 ) N ;
- FILLER_71_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 249750 ) N ;
- FILLER_71_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 249750 ) N ;
- FILLER_71_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 249750 ) N ;
- FILLER_71_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 249750 ) N ;
- FILLER_71_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 249750 ) N ;
- FILLER_71_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 249750 ) N ;
- FILLER_71_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 249750 ) N ;
- FILLER_71_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 249750 ) N ;
- FILLER_71_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 249750 ) N ;
- FILLER_71_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 249750 ) N ;
- FILLER_71_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 249750 ) N ;
- FILLER_71_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 249750 ) N ;
- FILLER_71_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 249750 ) N ;
- FILLER_71_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 249750 ) N ;
- FILLER_71_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 249750 ) N ;
- FILLER_71_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 249750 ) N ;
- FILLER_71_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 249750 ) N ;
- FILLER_72_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 253080 ) FS ;
- FILLER_72_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 253080 ) FS ;
- FILLER_72_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 253080 ) FS ;
- FILLER_72_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 253080 ) FS ;
- FILLER_72_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 253080 ) FS ;
- FILLER_72_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 253080 ) FS ;
- FILLER_72_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 253080 ) FS ;
- FILLER_72_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 253080 ) FS ;
- FILLER_72_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 253080 ) FS ;
- FILLER_72_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 253080 ) FS ;
- FILLER_72_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 253080 ) FS ;
- FILLER_72_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 253080 ) FS ;
- FILLER_72_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 253080 ) FS ;
- FILLER_72_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 253080 ) FS ;
- FILLER_72_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 253080 ) FS ;
- FILLER_72_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 253080 ) FS ;
- FILLER_72_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 253080 ) FS ;
- FILLER_72_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 253080 ) FS ;
- FILLER_72_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 253080 ) FS ;
- FILLER_72_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 253080 ) FS ;
- FILLER_72_143 sky130_fd_sc_ls__fill_1 + PLACED ( 74400 253080 ) FS ;
- FILLER_72_149 sky130_fd_sc_ls__decap_4 + PLACED ( 77280 253080 ) FS ;
- FILLER_72_156 sky130_fd_sc_ls__decap_4 + PLACED ( 80640 253080 ) FS ;
- FILLER_72_160 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 82560 253080 ) FS ;
- FILLER_72_167 sky130_fd_sc_ls__decap_8 + PLACED ( 85920 253080 ) FS ;
- FILLER_72_175 sky130_fd_sc_ls__decap_8 + PLACED ( 89760 253080 ) FS ;
- FILLER_72_183 sky130_fd_sc_ls__decap_8 + PLACED ( 93600 253080 ) FS ;
- FILLER_72_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 253080 ) FS ;
- FILLER_72_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 253080 ) FS ;
- FILLER_72_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 253080 ) FS ;
- FILLER_72_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 253080 ) FS ;
- FILLER_72_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 253080 ) FS ;
- FILLER_72_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 253080 ) FS ;
- FILLER_72_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 253080 ) FS ;
- FILLER_72_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 253080 ) FS ;
- FILLER_72_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 253080 ) FS ;
- FILLER_72_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 253080 ) FS ;
- FILLER_72_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 253080 ) FS ;
- FILLER_72_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 253080 ) FS ;
- FILLER_72_269 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 134880 253080 ) FS ;
- FILLER_72_271 sky130_fd_sc_ls__fill_1 + PLACED ( 135840 253080 ) FS ;
- FILLER_72_277 sky130_fd_sc_ls__decap_8 + PLACED ( 138720 253080 ) FS ;
- FILLER_72_285 sky130_fd_sc_ls__decap_8 + PLACED ( 142560 253080 ) FS ;
- FILLER_72_293 sky130_fd_sc_ls__decap_8 + PLACED ( 146400 253080 ) FS ;
- FILLER_72_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 253080 ) FS ;
- FILLER_72_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 253080 ) FS ;
- FILLER_72_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 253080 ) FS ;
- FILLER_72_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 253080 ) FS ;
- FILLER_72_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 253080 ) FS ;
- FILLER_72_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 253080 ) FS ;
- FILLER_72_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 253080 ) FS ;
- FILLER_72_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 253080 ) FS ;
- FILLER_72_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 253080 ) FS ;
- FILLER_72_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 253080 ) FS ;
- FILLER_72_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 253080 ) FS ;
- FILLER_72_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 253080 ) FS ;
- FILLER_72_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 253080 ) FS ;
- FILLER_72_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 253080 ) FS ;
- FILLER_72_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 253080 ) FS ;
- FILLER_72_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 253080 ) FS ;
- FILLER_72_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 253080 ) FS ;
- FILLER_72_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 253080 ) FS ;
- FILLER_72_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 253080 ) FS ;
- FILLER_72_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 253080 ) FS ;
- FILLER_72_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 253080 ) FS ;
- FILLER_72_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 253080 ) FS ;
- FILLER_72_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 253080 ) FS ;
- FILLER_72_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 253080 ) FS ;
- FILLER_72_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 253080 ) FS ;
- FILLER_72_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 253080 ) FS ;
- FILLER_72_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 253080 ) FS ;
- FILLER_72_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 253080 ) FS ;
- FILLER_72_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 253080 ) FS ;
- FILLER_72_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 253080 ) FS ;
- FILLER_72_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 253080 ) FS ;
- FILLER_72_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 253080 ) FS ;
- FILLER_72_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 253080 ) FS ;
- FILLER_72_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 253080 ) FS ;
- FILLER_72_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 253080 ) FS ;
- FILLER_72_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 253080 ) FS ;
- FILLER_72_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 253080 ) FS ;
- FILLER_72_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 253080 ) FS ;
- FILLER_72_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 253080 ) FS ;
- FILLER_72_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 253080 ) FS ;
- FILLER_72_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 253080 ) FS ;
- FILLER_72_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 253080 ) FS ;
- FILLER_72_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 253080 ) FS ;
- FILLER_72_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 253080 ) FS ;
- FILLER_73_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 256410 ) N ;
- FILLER_73_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 256410 ) N ;
- FILLER_73_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 256410 ) N ;
- FILLER_73_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 256410 ) N ;
- FILLER_73_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 256410 ) N ;
- FILLER_73_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 256410 ) N ;
- FILLER_73_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 256410 ) N ;
- FILLER_73_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 256410 ) N ;
- FILLER_73_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 256410 ) N ;
- FILLER_73_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 256410 ) N ;
- FILLER_73_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 256410 ) N ;
- FILLER_73_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 256410 ) N ;
- FILLER_73_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 256410 ) N ;
- FILLER_73_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 256410 ) N ;
- FILLER_73_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 256410 ) N ;
- FILLER_73_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 256410 ) N ;
- FILLER_73_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 256410 ) N ;
- FILLER_73_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 256410 ) N ;
- FILLER_73_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 256410 ) N ;
- FILLER_73_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 256410 ) N ;
- FILLER_73_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 256410 ) N ;
- FILLER_73_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 256410 ) N ;
- FILLER_73_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 256410 ) N ;
- FILLER_73_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 256410 ) N ;
- FILLER_73_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 256410 ) N ;
- FILLER_73_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 256410 ) N ;
- FILLER_73_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 256410 ) N ;
- FILLER_73_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 256410 ) N ;
- FILLER_73_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 256410 ) N ;
- FILLER_73_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 256410 ) N ;
- FILLER_73_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 256410 ) N ;
- FILLER_73_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 256410 ) N ;
- FILLER_73_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 256410 ) N ;
- FILLER_73_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 256410 ) N ;
- FILLER_73_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 256410 ) N ;
- FILLER_73_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 256410 ) N ;
- FILLER_73_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 256410 ) N ;
- FILLER_73_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 256410 ) N ;
- FILLER_73_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 256410 ) N ;
- FILLER_73_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 256410 ) N ;
- FILLER_73_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 256410 ) N ;
- FILLER_73_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 256410 ) N ;
- FILLER_73_291 sky130_fd_sc_ls__decap_8 + PLACED ( 145440 256410 ) N ;
- FILLER_73_299 sky130_fd_sc_ls__decap_8 + PLACED ( 149280 256410 ) N ;
- FILLER_73_307 sky130_fd_sc_ls__decap_8 + PLACED ( 153120 256410 ) N ;
- FILLER_73_315 sky130_fd_sc_ls__decap_8 + PLACED ( 156960 256410 ) N ;
- FILLER_73_323 sky130_fd_sc_ls__decap_4 + PLACED ( 160800 256410 ) N ;
- FILLER_73_327 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 162720 256410 ) N ;
- FILLER_73_329 sky130_fd_sc_ls__fill_1 + PLACED ( 163680 256410 ) N ;
- FILLER_73_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 256410 ) N ;
- FILLER_73_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 256410 ) N ;
- FILLER_73_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 256410 ) N ;
- FILLER_73_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 256410 ) N ;
- FILLER_73_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 256410 ) N ;
- FILLER_73_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 256410 ) N ;
- FILLER_73_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 256410 ) N ;
- FILLER_73_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 256410 ) N ;
- FILLER_73_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 256410 ) N ;
- FILLER_73_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 256410 ) N ;
- FILLER_73_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 256410 ) N ;
- FILLER_73_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 256410 ) N ;
- FILLER_73_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 256410 ) N ;
- FILLER_73_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 256410 ) N ;
- FILLER_73_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 256410 ) N ;
- FILLER_73_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 256410 ) N ;
- FILLER_73_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 256410 ) N ;
- FILLER_73_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 256410 ) N ;
- FILLER_73_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 256410 ) N ;
- FILLER_73_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 256410 ) N ;
- FILLER_73_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 256410 ) N ;
- FILLER_73_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 256410 ) N ;
- FILLER_73_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 256410 ) N ;
- FILLER_73_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 256410 ) N ;
- FILLER_73_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 256410 ) N ;
- FILLER_73_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 256410 ) N ;
- FILLER_73_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 256410 ) N ;
- FILLER_73_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 256410 ) N ;
- FILLER_73_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 256410 ) N ;
- FILLER_73_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 256410 ) N ;
- FILLER_73_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 256410 ) N ;
- FILLER_73_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 256410 ) N ;
- FILLER_73_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 256410 ) N ;
- FILLER_73_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 256410 ) N ;
- FILLER_73_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 256410 ) N ;
- FILLER_73_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 256410 ) N ;
- FILLER_73_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 256410 ) N ;
- FILLER_73_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 256410 ) N ;
- FILLER_73_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 256410 ) N ;
- FILLER_74_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 259740 ) FS ;
- FILLER_74_12 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 11520 259740 ) FS ;
- FILLER_74_19 sky130_fd_sc_ls__decap_8 + PLACED ( 14880 259740 ) FS ;
- FILLER_74_27 sky130_fd_sc_ls__fill_1 + PLACED ( 18720 259740 ) FS ;
- FILLER_74_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 259740 ) FS ;
- FILLER_74_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 259740 ) FS ;
- FILLER_74_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 259740 ) FS ;
- FILLER_74_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 259740 ) FS ;
- FILLER_74_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 259740 ) FS ;
- FILLER_74_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 259740 ) FS ;
- FILLER_74_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 259740 ) FS ;
- FILLER_74_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 259740 ) FS ;
- FILLER_74_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 259740 ) FS ;
- FILLER_74_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 259740 ) FS ;
- FILLER_74_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 259740 ) FS ;
- FILLER_74_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 259740 ) FS ;
- FILLER_74_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 259740 ) FS ;
- FILLER_74_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 259740 ) FS ;
- FILLER_74_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 259740 ) FS ;
- FILLER_74_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 259740 ) FS ;
- FILLER_74_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 259740 ) FS ;
- FILLER_74_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 259740 ) FS ;
- FILLER_74_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 259740 ) FS ;
- FILLER_74_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 259740 ) FS ;
- FILLER_74_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 259740 ) FS ;
- FILLER_74_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 259740 ) FS ;
- FILLER_74_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 259740 ) FS ;
- FILLER_74_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 259740 ) FS ;
- FILLER_74_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 259740 ) FS ;
- FILLER_74_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 259740 ) FS ;
- FILLER_74_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 259740 ) FS ;
- FILLER_74_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 259740 ) FS ;
- FILLER_74_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 259740 ) FS ;
- FILLER_74_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 259740 ) FS ;
- FILLER_74_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 259740 ) FS ;
- FILLER_74_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 259740 ) FS ;
- FILLER_74_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 259740 ) FS ;
- FILLER_74_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 259740 ) FS ;
- FILLER_74_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 259740 ) FS ;
- FILLER_74_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 259740 ) FS ;
- FILLER_74_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 259740 ) FS ;
- FILLER_74_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 259740 ) FS ;
- FILLER_74_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 259740 ) FS ;
- FILLER_74_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 259740 ) FS ;
- FILLER_74_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 259740 ) FS ;
- FILLER_74_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 259740 ) FS ;
- FILLER_74_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 259740 ) FS ;
- FILLER_74_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 259740 ) FS ;
- FILLER_74_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 259740 ) FS ;
- FILLER_74_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 259740 ) FS ;
- FILLER_74_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 259740 ) FS ;
- FILLER_74_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 259740 ) FS ;
- FILLER_74_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 259740 ) FS ;
- FILLER_74_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 259740 ) FS ;
- FILLER_74_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 259740 ) FS ;
- FILLER_74_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 259740 ) FS ;
- FILLER_74_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 259740 ) FS ;
- FILLER_74_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 259740 ) FS ;
- FILLER_74_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 259740 ) FS ;
- FILLER_74_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 259740 ) FS ;
- FILLER_74_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 259740 ) FS ;
- FILLER_74_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 259740 ) FS ;
- FILLER_74_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 259740 ) FS ;
- FILLER_74_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 259740 ) FS ;
- FILLER_74_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 259740 ) FS ;
- FILLER_74_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 259740 ) FS ;
- FILLER_74_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 259740 ) FS ;
- FILLER_74_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 259740 ) FS ;
- FILLER_74_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 259740 ) FS ;
- FILLER_74_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 259740 ) FS ;
- FILLER_74_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 259740 ) FS ;
- FILLER_74_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 259740 ) FS ;
- FILLER_74_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 259740 ) FS ;
- FILLER_74_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 259740 ) FS ;
- FILLER_74_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 259740 ) FS ;
- FILLER_74_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 259740 ) FS ;
- FILLER_74_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 259740 ) FS ;
- FILLER_74_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 259740 ) FS ;
- FILLER_74_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 259740 ) FS ;
- FILLER_74_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 259740 ) FS ;
- FILLER_74_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 259740 ) FS ;
- FILLER_74_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 259740 ) FS ;
- FILLER_74_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 259740 ) FS ;
- FILLER_74_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 259740 ) FS ;
- FILLER_74_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 259740 ) FS ;
- FILLER_74_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 259740 ) FS ;
- FILLER_74_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 259740 ) FS ;
- FILLER_75_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 263070 ) N ;
- FILLER_75_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 263070 ) N ;
- FILLER_75_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 263070 ) N ;
- FILLER_75_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 263070 ) N ;
- FILLER_75_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 263070 ) N ;
- FILLER_75_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 263070 ) N ;
- FILLER_75_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 263070 ) N ;
- FILLER_75_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 263070 ) N ;
- FILLER_75_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 263070 ) N ;
- FILLER_75_60 sky130_fd_sc_ls__fill_1 + PLACED ( 34560 263070 ) N ;
- FILLER_75_66 sky130_fd_sc_ls__decap_8 + PLACED ( 37440 263070 ) N ;
- FILLER_75_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 263070 ) N ;
- FILLER_75_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 263070 ) N ;
- FILLER_75_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 263070 ) N ;
- FILLER_75_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 263070 ) N ;
- FILLER_75_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 263070 ) N ;
- FILLER_75_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 263070 ) N ;
- FILLER_75_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 263070 ) N ;
- FILLER_75_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 263070 ) N ;
- FILLER_75_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 263070 ) N ;
- FILLER_75_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 263070 ) N ;
- FILLER_75_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 263070 ) N ;
- FILLER_75_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 263070 ) N ;
- FILLER_75_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 263070 ) N ;
- FILLER_75_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 263070 ) N ;
- FILLER_75_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 263070 ) N ;
- FILLER_75_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 263070 ) N ;
- FILLER_75_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 263070 ) N ;
- FILLER_75_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 263070 ) N ;
- FILLER_75_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 263070 ) N ;
- FILLER_75_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 263070 ) N ;
- FILLER_75_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 263070 ) N ;
- FILLER_75_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 263070 ) N ;
- FILLER_75_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 263070 ) N ;
- FILLER_75_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 263070 ) N ;
- FILLER_75_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 263070 ) N ;
- FILLER_75_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 263070 ) N ;
- FILLER_75_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 263070 ) N ;
- FILLER_75_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 263070 ) N ;
- FILLER_75_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 263070 ) N ;
- FILLER_75_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 263070 ) N ;
- FILLER_75_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 263070 ) N ;
- FILLER_75_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 263070 ) N ;
- FILLER_75_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 263070 ) N ;
- FILLER_75_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 263070 ) N ;
- FILLER_75_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 263070 ) N ;
- FILLER_75_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 263070 ) N ;
- FILLER_75_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 263070 ) N ;
- FILLER_75_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 263070 ) N ;
- FILLER_75_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 263070 ) N ;
- FILLER_75_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 263070 ) N ;
- FILLER_75_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 263070 ) N ;
- FILLER_75_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 263070 ) N ;
- FILLER_75_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 263070 ) N ;
- FILLER_75_379 sky130_fd_sc_ls__decap_4 + PLACED ( 187680 263070 ) N ;
- FILLER_75_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 263070 ) N ;
- FILLER_75_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 263070 ) N ;
- FILLER_75_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 263070 ) N ;
- FILLER_75_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 263070 ) N ;
- FILLER_75_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 263070 ) N ;
- FILLER_75_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 263070 ) N ;
- FILLER_75_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 263070 ) N ;
- FILLER_75_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 263070 ) N ;
- FILLER_75_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 263070 ) N ;
- FILLER_75_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 263070 ) N ;
- FILLER_75_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 263070 ) N ;
- FILLER_75_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 263070 ) N ;
- FILLER_75_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 263070 ) N ;
- FILLER_75_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 263070 ) N ;
- FILLER_75_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 263070 ) N ;
- FILLER_75_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 263070 ) N ;
- FILLER_75_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 263070 ) N ;
- FILLER_75_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 263070 ) N ;
- FILLER_75_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 263070 ) N ;
- FILLER_75_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 263070 ) N ;
- FILLER_75_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 263070 ) N ;
- FILLER_75_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 263070 ) N ;
- FILLER_75_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 263070 ) N ;
- FILLER_75_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 263070 ) N ;
- FILLER_75_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 263070 ) N ;
- FILLER_75_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 263070 ) N ;
- FILLER_75_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 263070 ) N ;
- FILLER_75_567 sky130_fd_sc_ls__decap_8 + PLACED ( 277920 263070 ) N ;
- FILLER_75_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 263070 ) N ;
- FILLER_75_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 263070 ) N ;
- FILLER_75_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 263070 ) N ;
- FILLER_75_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 263070 ) N ;
- FILLER_76_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 266400 ) FS ;
- FILLER_76_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 266400 ) FS ;
- FILLER_76_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 266400 ) FS ;
- FILLER_76_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 266400 ) FS ;
- FILLER_76_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 266400 ) FS ;
- FILLER_76_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 266400 ) FS ;
- FILLER_76_53 sky130_fd_sc_ls__decap_8 + PLACED ( 31200 266400 ) FS ;
- FILLER_76_61 sky130_fd_sc_ls__decap_8 + PLACED ( 35040 266400 ) FS ;
- FILLER_76_69 sky130_fd_sc_ls__decap_8 + PLACED ( 38880 266400 ) FS ;
- FILLER_76_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 266400 ) FS ;
- FILLER_76_81 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 44640 266400 ) FS ;
- FILLER_76_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 266400 ) FS ;
- FILLER_76_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 266400 ) FS ;
- FILLER_76_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 266400 ) FS ;
- FILLER_76_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 266400 ) FS ;
- FILLER_76_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 266400 ) FS ;
- FILLER_76_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 266400 ) FS ;
- FILLER_76_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 266400 ) FS ;
- FILLER_76_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 266400 ) FS ;
- FILLER_76_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 266400 ) FS ;
- FILLER_76_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 266400 ) FS ;
- FILLER_76_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 266400 ) FS ;
- FILLER_76_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 266400 ) FS ;
- FILLER_76_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 266400 ) FS ;
- FILLER_76_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 266400 ) FS ;
- FILLER_76_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 266400 ) FS ;
- FILLER_76_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 266400 ) FS ;
- FILLER_76_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 266400 ) FS ;
- FILLER_76_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 266400 ) FS ;
- FILLER_76_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 266400 ) FS ;
- FILLER_76_218 sky130_fd_sc_ls__decap_8 + PLACED ( 110400 266400 ) FS ;
- FILLER_76_226 sky130_fd_sc_ls__decap_8 + PLACED ( 114240 266400 ) FS ;
- FILLER_76_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 266400 ) FS ;
- FILLER_76_242 sky130_fd_sc_ls__decap_4 + PLACED ( 121920 266400 ) FS ;
- FILLER_76_246 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 123840 266400 ) FS ;
- FILLER_76_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 266400 ) FS ;
- FILLER_76_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 266400 ) FS ;
- FILLER_76_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 266400 ) FS ;
- FILLER_76_269 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 134880 266400 ) FS ;
- FILLER_76_271 sky130_fd_sc_ls__fill_1 + PLACED ( 135840 266400 ) FS ;
- FILLER_76_277 sky130_fd_sc_ls__decap_4 + PLACED ( 138720 266400 ) FS ;
- FILLER_76_281 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 140640 266400 ) FS ;
- FILLER_76_288 sky130_fd_sc_ls__decap_8 + PLACED ( 144000 266400 ) FS ;
- FILLER_76_296 sky130_fd_sc_ls__decap_4 + PLACED ( 147840 266400 ) FS ;
- FILLER_76_300 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 149760 266400 ) FS ;
- FILLER_76_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 266400 ) FS ;
- FILLER_76_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 266400 ) FS ;
- FILLER_76_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 266400 ) FS ;
- FILLER_76_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 266400 ) FS ;
- FILLER_76_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 266400 ) FS ;
- FILLER_76_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 266400 ) FS ;
- FILLER_76_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 266400 ) FS ;
- FILLER_76_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 266400 ) FS ;
- FILLER_76_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 266400 ) FS ;
- FILLER_76_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 266400 ) FS ;
- FILLER_76_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 266400 ) FS ;
- FILLER_76_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 266400 ) FS ;
- FILLER_76_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 266400 ) FS ;
- FILLER_76_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 266400 ) FS ;
- FILLER_76_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 266400 ) FS ;
- FILLER_76_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 266400 ) FS ;
- FILLER_76_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 266400 ) FS ;
- FILLER_76_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 266400 ) FS ;
- FILLER_76_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 266400 ) FS ;
- FILLER_76_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 266400 ) FS ;
- FILLER_76_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 266400 ) FS ;
- FILLER_76_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 266400 ) FS ;
- FILLER_76_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 266400 ) FS ;
- FILLER_76_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 266400 ) FS ;
- FILLER_76_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 266400 ) FS ;
- FILLER_76_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 266400 ) FS ;
- FILLER_76_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 266400 ) FS ;
- FILLER_76_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 266400 ) FS ;
- FILLER_76_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 266400 ) FS ;
- FILLER_76_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 266400 ) FS ;
- FILLER_76_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 266400 ) FS ;
- FILLER_76_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 266400 ) FS ;
- FILLER_76_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 266400 ) FS ;
- FILLER_76_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 266400 ) FS ;
- FILLER_76_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 266400 ) FS ;
- FILLER_76_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 266400 ) FS ;
- FILLER_76_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 266400 ) FS ;
- FILLER_76_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 266400 ) FS ;
- FILLER_76_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 266400 ) FS ;
- FILLER_76_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 266400 ) FS ;
- FILLER_76_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 266400 ) FS ;
- FILLER_76_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 266400 ) FS ;
- FILLER_76_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 266400 ) FS ;
- FILLER_77_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 269730 ) N ;
- FILLER_77_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 269730 ) N ;
- FILLER_77_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 269730 ) N ;
- FILLER_77_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 269730 ) N ;
- FILLER_77_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 269730 ) N ;
- FILLER_77_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 269730 ) N ;
- FILLER_77_52 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 30720 269730 ) N ;
- FILLER_77_54 sky130_fd_sc_ls__fill_1 + PLACED ( 31680 269730 ) N ;
- FILLER_77_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 269730 ) N ;
- FILLER_77_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 269730 ) N ;
- FILLER_77_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 269730 ) N ;
- FILLER_77_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 269730 ) N ;
- FILLER_77_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 269730 ) N ;
- FILLER_77_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 269730 ) N ;
- FILLER_77_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 269730 ) N ;
- FILLER_77_108 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57600 269730 ) N ;
- FILLER_77_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 269730 ) N ;
- FILLER_77_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 269730 ) N ;
- FILLER_77_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 269730 ) N ;
- FILLER_77_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 269730 ) N ;
- FILLER_77_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 269730 ) N ;
- FILLER_77_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 269730 ) N ;
- FILLER_77_159 sky130_fd_sc_ls__decap_4 + PLACED ( 82080 269730 ) N ;
- FILLER_77_163 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 84000 269730 ) N ;
- FILLER_77_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 269730 ) N ;
- FILLER_77_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 269730 ) N ;
- FILLER_77_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 269730 ) N ;
- FILLER_77_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 269730 ) N ;
- FILLER_77_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 269730 ) N ;
- FILLER_77_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 269730 ) N ;
- FILLER_77_214 sky130_fd_sc_ls__decap_4 + PLACED ( 108480 269730 ) N ;
- FILLER_77_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 269730 ) N ;
- FILLER_77_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 269730 ) N ;
- FILLER_77_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 269730 ) N ;
- FILLER_77_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 269730 ) N ;
- FILLER_77_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 269730 ) N ;
- FILLER_77_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 269730 ) N ;
- FILLER_77_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 269730 ) N ;
- FILLER_77_269 sky130_fd_sc_ls__decap_4 + PLACED ( 134880 269730 ) N ;
- FILLER_77_273 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 136800 269730 ) N ;
- FILLER_77_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 269730 ) N ;
- FILLER_77_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 269730 ) N ;
- FILLER_77_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 269730 ) N ;
- FILLER_77_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 269730 ) N ;
- FILLER_77_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 269730 ) N ;
- FILLER_77_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 269730 ) N ;
- FILLER_77_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 269730 ) N ;
- FILLER_77_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 269730 ) N ;
- FILLER_77_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 269730 ) N ;
- FILLER_77_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 269730 ) N ;
- FILLER_77_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 269730 ) N ;
- FILLER_77_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 269730 ) N ;
- FILLER_77_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 269730 ) N ;
- FILLER_77_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 269730 ) N ;
- FILLER_77_384 sky130_fd_sc_ls__fill_1 + PLACED ( 190080 269730 ) N ;
- FILLER_77_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 269730 ) N ;
- FILLER_77_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 269730 ) N ;
- FILLER_77_402 sky130_fd_sc_ls__decap_8 + PLACED ( 198720 269730 ) N ;
- FILLER_77_410 sky130_fd_sc_ls__decap_8 + PLACED ( 202560 269730 ) N ;
- FILLER_77_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 269730 ) N ;
- FILLER_77_426 sky130_fd_sc_ls__decap_8 + PLACED ( 210240 269730 ) N ;
- FILLER_77_434 sky130_fd_sc_ls__decap_4 + PLACED ( 214080 269730 ) N ;
- FILLER_77_438 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 216000 269730 ) N ;
- FILLER_77_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 269730 ) N ;
- FILLER_77_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 269730 ) N ;
- FILLER_77_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 269730 ) N ;
- FILLER_77_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 269730 ) N ;
- FILLER_77_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 269730 ) N ;
- FILLER_77_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 269730 ) N ;
- FILLER_77_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 269730 ) N ;
- FILLER_77_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 269730 ) N ;
- FILLER_77_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 269730 ) N ;
- FILLER_77_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 269730 ) N ;
- FILLER_77_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 269730 ) N ;
- FILLER_77_520 sky130_fd_sc_ls__decap_8 + PLACED ( 255360 269730 ) N ;
- FILLER_77_528 sky130_fd_sc_ls__decap_8 + PLACED ( 259200 269730 ) N ;
- FILLER_77_536 sky130_fd_sc_ls__decap_8 + PLACED ( 263040 269730 ) N ;
- FILLER_77_544 sky130_fd_sc_ls__decap_4 + PLACED ( 266880 269730 ) N ;
- FILLER_77_548 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268800 269730 ) N ;
- FILLER_77_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 269730 ) N ;
- FILLER_77_559 sky130_fd_sc_ls__decap_4 + PLACED ( 274080 269730 ) N ;
- FILLER_77_563 sky130_fd_sc_ls__fill_1 + PLACED ( 276000 269730 ) N ;
- FILLER_77_569 sky130_fd_sc_ls__decap_8 + PLACED ( 278880 269730 ) N ;
- FILLER_77_577 sky130_fd_sc_ls__decap_8 + PLACED ( 282720 269730 ) N ;
- FILLER_77_585 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 286560 269730 ) N ;
- FILLER_77_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 269730 ) N ;
- FILLER_78_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 273060 ) FS ;
- FILLER_78_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 273060 ) FS ;
- FILLER_78_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 273060 ) FS ;
- FILLER_78_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 273060 ) FS ;
- FILLER_78_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 273060 ) FS ;
- FILLER_78_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 273060 ) FS ;
- FILLER_78_53 sky130_fd_sc_ls__decap_4 + PLACED ( 31200 273060 ) FS ;
- FILLER_78_57 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 33120 273060 ) FS ;
- FILLER_78_62 sky130_fd_sc_ls__decap_8 + PLACED ( 35520 273060 ) FS ;
- FILLER_78_70 sky130_fd_sc_ls__decap_8 + PLACED ( 39360 273060 ) FS ;
- FILLER_78_78 sky130_fd_sc_ls__decap_4 + PLACED ( 43200 273060 ) FS ;
- FILLER_78_82 sky130_fd_sc_ls__fill_1 + PLACED ( 45120 273060 ) FS ;
- FILLER_78_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 273060 ) FS ;
- FILLER_78_92 sky130_fd_sc_ls__decap_8 + PLACED ( 49920 273060 ) FS ;
- FILLER_78_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 273060 ) FS ;
- FILLER_78_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 273060 ) FS ;
- FILLER_78_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 273060 ) FS ;
- FILLER_78_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 273060 ) FS ;
- FILLER_78_132 sky130_fd_sc_ls__decap_4 + PLACED ( 69120 273060 ) FS ;
- FILLER_78_136 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 71040 273060 ) FS ;
- FILLER_78_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 273060 ) FS ;
- FILLER_78_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 273060 ) FS ;
- FILLER_78_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 273060 ) FS ;
- FILLER_78_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 273060 ) FS ;
- FILLER_78_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 273060 ) FS ;
- FILLER_78_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 273060 ) FS ;
- FILLER_78_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 273060 ) FS ;
- FILLER_78_191 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 97440 273060 ) FS ;
- FILLER_78_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 273060 ) FS ;
- FILLER_78_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 273060 ) FS ;
- FILLER_78_209 sky130_fd_sc_ls__decap_8 + PLACED ( 106080 273060 ) FS ;
- FILLER_78_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 273060 ) FS ;
- FILLER_78_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 273060 ) FS ;
- FILLER_78_233 sky130_fd_sc_ls__decap_4 + PLACED ( 117600 273060 ) FS ;
- FILLER_78_237 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 119520 273060 ) FS ;
- FILLER_78_244 sky130_fd_sc_ls__decap_4 + PLACED ( 122880 273060 ) FS ;
- FILLER_78_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 273060 ) FS ;
- FILLER_78_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 273060 ) FS ;
- FILLER_78_265 sky130_fd_sc_ls__decap_8 + PLACED ( 132960 273060 ) FS ;
- FILLER_78_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 273060 ) FS ;
- FILLER_78_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 273060 ) FS ;
- FILLER_78_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 273060 ) FS ;
- FILLER_78_297 sky130_fd_sc_ls__decap_4 + PLACED ( 148320 273060 ) FS ;
- FILLER_78_301 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 150240 273060 ) FS ;
- FILLER_78_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 273060 ) FS ;
- FILLER_78_312 sky130_fd_sc_ls__decap_4 + PLACED ( 155520 273060 ) FS ;
- FILLER_78_316 sky130_fd_sc_ls__fill_1 + PLACED ( 157440 273060 ) FS ;
- FILLER_78_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 273060 ) FS ;
- FILLER_78_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 273060 ) FS ;
- FILLER_78_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 273060 ) FS ;
- FILLER_78_346 sky130_fd_sc_ls__decap_8 + PLACED ( 171840 273060 ) FS ;
- FILLER_78_354 sky130_fd_sc_ls__decap_4 + PLACED ( 175680 273060 ) FS ;
- FILLER_78_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 273060 ) FS ;
- FILLER_78_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 273060 ) FS ;
- FILLER_78_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 273060 ) FS ;
- FILLER_78_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 273060 ) FS ;
- FILLER_78_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 273060 ) FS ;
- FILLER_78_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 273060 ) FS ;
- FILLER_78_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 273060 ) FS ;
- FILLER_78_411 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 203040 273060 ) FS ;
- FILLER_78_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 273060 ) FS ;
- FILLER_78_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 273060 ) FS ;
- FILLER_78_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 273060 ) FS ;
- FILLER_78_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 273060 ) FS ;
- FILLER_78_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 273060 ) FS ;
- FILLER_78_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 273060 ) FS ;
- FILLER_78_462 sky130_fd_sc_ls__decap_4 + PLACED ( 227520 273060 ) FS ;
- FILLER_78_466 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 229440 273060 ) FS ;
- FILLER_78_469 sky130_fd_sc_ls__decap_8 + PLACED ( 230880 273060 ) FS ;
- FILLER_78_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 273060 ) FS ;
- FILLER_78_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 273060 ) FS ;
- FILLER_78_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 273060 ) FS ;
- FILLER_78_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 273060 ) FS ;
- FILLER_78_509 sky130_fd_sc_ls__decap_8 + PLACED ( 250080 273060 ) FS ;
- FILLER_78_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 273060 ) FS ;
- FILLER_78_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 273060 ) FS ;
- FILLER_78_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 273060 ) FS ;
- FILLER_78_532 sky130_fd_sc_ls__decap_8 + PLACED ( 261120 273060 ) FS ;
- FILLER_78_540 sky130_fd_sc_ls__decap_8 + PLACED ( 264960 273060 ) FS ;
- FILLER_78_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 273060 ) FS ;
- FILLER_78_556 sky130_fd_sc_ls__decap_8 + PLACED ( 272640 273060 ) FS ;
- FILLER_78_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 273060 ) FS ;
- FILLER_78_572 sky130_fd_sc_ls__decap_4 + PLACED ( 280320 273060 ) FS ;
- FILLER_78_576 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 282240 273060 ) FS ;
- FILLER_78_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 273060 ) FS ;
- FILLER_78_595 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 291360 273060 ) FS ;
- FILLER_79_8 sky130_fd_sc_ls__decap_8 + PLACED ( 9600 276390 ) N ;
- FILLER_79_16 sky130_fd_sc_ls__decap_8 + PLACED ( 13440 276390 ) N ;
- FILLER_79_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 276390 ) N ;
- FILLER_79_28 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 19200 276390 ) N ;
- FILLER_79_30 sky130_fd_sc_ls__fill_1 + PLACED ( 20160 276390 ) N ;
- FILLER_79_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 276390 ) N ;
- FILLER_79_41 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 25440 276390 ) N ;
- FILLER_79_43 sky130_fd_sc_ls__fill_1 + PLACED ( 26400 276390 ) N ;
- FILLER_79_49 sky130_fd_sc_ls__decap_4 + PLACED ( 29280 276390 ) N ;
- FILLER_79_53 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 31200 276390 ) N ;
- FILLER_79_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 276390 ) N ;
- FILLER_79_70 sky130_fd_sc_ls__decap_8 + PLACED ( 39360 276390 ) N ;
- FILLER_79_78 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 43200 276390 ) N ;
- FILLER_79_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 276390 ) N ;
- FILLER_79_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 276390 ) N ;
- FILLER_79_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 276390 ) N ;
- FILLER_79_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 276390 ) N ;
- FILLER_79_107 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 57120 276390 ) N ;
- FILLER_79_109 sky130_fd_sc_ls__fill_1 + PLACED ( 58080 276390 ) N ;
- FILLER_79_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 276390 ) N ;
- FILLER_79_119 sky130_fd_sc_ls__decap_4 + PLACED ( 62880 276390 ) N ;
- FILLER_79_123 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 64800 276390 ) N ;
- FILLER_79_128 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 67200 276390 ) N ;
- FILLER_79_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 276390 ) N ;
- FILLER_79_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 276390 ) N ;
- FILLER_79_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 276390 ) N ;
- FILLER_79_160 sky130_fd_sc_ls__decap_4 + PLACED ( 82560 276390 ) N ;
- FILLER_79_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 276390 ) N ;
- FILLER_79_166 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 85440 276390 ) N ;
- FILLER_79_173 sky130_fd_sc_ls__decap_8 + PLACED ( 88800 276390 ) N ;
- FILLER_79_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 276390 ) N ;
- FILLER_79_189 sky130_fd_sc_ls__decap_8 + PLACED ( 96480 276390 ) N ;
- FILLER_79_197 sky130_fd_sc_ls__fill_1 + PLACED ( 100320 276390 ) N ;
- FILLER_79_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 276390 ) N ;
- FILLER_79_210 sky130_fd_sc_ls__decap_8 + PLACED ( 106560 276390 ) N ;
- FILLER_79_218 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 110400 276390 ) N ;
- FILLER_79_221 sky130_fd_sc_ls__decap_8 + PLACED ( 111840 276390 ) N ;
- FILLER_79_235 sky130_fd_sc_ls__decap_8 + PLACED ( 118560 276390 ) N ;
- FILLER_79_243 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 122400 276390 ) N ;
- FILLER_79_251 sky130_fd_sc_ls__decap_8 + PLACED ( 126240 276390 ) N ;
- FILLER_79_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 276390 ) N ;
- FILLER_79_267 sky130_fd_sc_ls__decap_8 + PLACED ( 133920 276390 ) N ;
- FILLER_79_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 276390 ) N ;
- FILLER_79_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 276390 ) N ;
- FILLER_79_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 276390 ) N ;
- FILLER_79_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 276390 ) N ;
- FILLER_79_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 276390 ) N ;
- FILLER_79_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 276390 ) N ;
- FILLER_79_324 sky130_fd_sc_ls__decap_4 + PLACED ( 161280 276390 ) N ;
- FILLER_79_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 276390 ) N ;
- FILLER_79_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 276390 ) N ;
- FILLER_79_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 276390 ) N ;
- FILLER_79_347 sky130_fd_sc_ls__decap_8 + PLACED ( 172320 276390 ) N ;
- FILLER_79_355 sky130_fd_sc_ls__decap_4 + PLACED ( 176160 276390 ) N ;
- FILLER_79_359 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 178080 276390 ) N ;
- FILLER_79_361 sky130_fd_sc_ls__fill_1 + PLACED ( 179040 276390 ) N ;
- FILLER_79_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 276390 ) N ;
- FILLER_79_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 276390 ) N ;
- FILLER_79_383 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 189600 276390 ) N ;
- FILLER_79_386 sky130_fd_sc_ls__decap_4 + PLACED ( 191040 276390 ) N ;
- FILLER_79_390 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 192960 276390 ) N ;
- FILLER_79_392 sky130_fd_sc_ls__fill_1 + PLACED ( 193920 276390 ) N ;
- FILLER_79_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 276390 ) N ;
- FILLER_79_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 276390 ) N ;
- FILLER_79_411 sky130_fd_sc_ls__fill_1 + PLACED ( 203040 276390 ) N ;
- FILLER_79_416 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 205440 276390 ) N ;
- FILLER_79_423 sky130_fd_sc_ls__decap_8 + PLACED ( 208800 276390 ) N ;
- FILLER_79_431 sky130_fd_sc_ls__decap_8 + PLACED ( 212640 276390 ) N ;
- FILLER_79_439 sky130_fd_sc_ls__fill_1 + PLACED ( 216480 276390 ) N ;
- FILLER_79_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 276390 ) N ;
- FILLER_79_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 276390 ) N ;
- FILLER_79_457 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 225120 276390 ) N ;
- FILLER_79_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 276390 ) N ;
- FILLER_79_473 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 232800 276390 ) N ;
- FILLER_79_481 sky130_fd_sc_ls__decap_8 + PLACED ( 236640 276390 ) N ;
- FILLER_79_489 sky130_fd_sc_ls__decap_4 + PLACED ( 240480 276390 ) N ;
- FILLER_79_493 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 242400 276390 ) N ;
- FILLER_79_496 sky130_fd_sc_ls__decap_8 + PLACED ( 243840 276390 ) N ;
- FILLER_79_504 sky130_fd_sc_ls__decap_8 + PLACED ( 247680 276390 ) N ;
- FILLER_79_512 sky130_fd_sc_ls__decap_8 + PLACED ( 251520 276390 ) N ;
- FILLER_79_520 sky130_fd_sc_ls__decap_4 + PLACED ( 255360 276390 ) N ;
- FILLER_79_524 sky130_fd_sc_ls__fill_1 + PLACED ( 257280 276390 ) N ;
- FILLER_79_533 sky130_fd_sc_ls__decap_8 + PLACED ( 261600 276390 ) N ;
- FILLER_79_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 276390 ) N ;
- FILLER_79_549 sky130_fd_sc_ls__fill_1 + PLACED ( 269280 276390 ) N ;
- FILLER_79_551 sky130_fd_sc_ls__decap_8 + PLACED ( 270240 276390 ) N ;
- FILLER_79_559 sky130_fd_sc_ls__decap_8 + PLACED ( 274080 276390 ) N ;
- FILLER_79_572 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 280320 276390 ) N ;
- FILLER_79_582 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 285120 276390 ) N ;
- FILLER_79_584 sky130_fd_sc_ls__fill_1 + PLACED ( 286080 276390 ) N ;
- FILLER_79_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 276390 ) N ;
- FILLER_80_26 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 18240 279720 ) FS ;
- FILLER_80_29 sky130_fd_sc_ls__decap_4 + PLACED ( 19680 279720 ) FS ;
- FILLER_80_37 sky130_fd_sc_ls__decap_4 + PLACED ( 23520 279720 ) FS ;
- FILLER_80_41 sky130_fd_sc_ls__fill_1 + PLACED ( 25440 279720 ) FS ;
- FILLER_80_48 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 28800 279720 ) FS ;
- FILLER_80_56 sky130_fd_sc_ls__decap_4 + PLACED ( 32640 279720 ) FS ;
- FILLER_80_60 sky130_fd_sc_ls__fill_1 + PLACED ( 34560 279720 ) FS ;
- FILLER_80_65 sky130_fd_sc_ls__decap_8 + PLACED ( 36960 279720 ) FS ;
- FILLER_80_73 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 40800 279720 ) FS ;
- FILLER_80_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 279720 ) FS ;
- FILLER_80_84 sky130_fd_sc_ls__decap_8 + PLACED ( 46080 279720 ) FS ;
- FILLER_80_98 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 52800 279720 ) FS ;
- FILLER_80_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 279720 ) FS ;
- FILLER_80_114 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 60480 279720 ) FS ;
- FILLER_80_122 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 64320 279720 ) FS ;
- FILLER_80_124 sky130_fd_sc_ls__fill_1 + PLACED ( 65280 279720 ) FS ;
- FILLER_80_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 279720 ) FS ;
- FILLER_80_135 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 70560 279720 ) FS ;
- FILLER_80_137 sky130_fd_sc_ls__fill_1 + PLACED ( 71520 279720 ) FS ;
- FILLER_80_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 279720 ) FS ;
- FILLER_80_147 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 76320 279720 ) FS ;
- FILLER_80_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 279720 ) FS ;
- FILLER_80_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 279720 ) FS ;
- FILLER_80_173 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 88800 279720 ) FS ;
- FILLER_80_175 sky130_fd_sc_ls__fill_1 + PLACED ( 89760 279720 ) FS ;
- FILLER_80_180 sky130_fd_sc_ls__decap_4 + PLACED ( 92160 279720 ) FS ;
- FILLER_80_188 sky130_fd_sc_ls__decap_4 + PLACED ( 96000 279720 ) FS ;
- FILLER_80_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 279720 ) FS ;
- FILLER_80_194 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 98880 279720 ) FS ;
- FILLER_80_202 sky130_fd_sc_ls__decap_4 + PLACED ( 102720 279720 ) FS ;
- FILLER_80_206 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 104640 279720 ) FS ;
- FILLER_80_208 sky130_fd_sc_ls__fill_1 + PLACED ( 105600 279720 ) FS ;
- FILLER_80_213 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 108000 279720 ) FS ;
- FILLER_80_221 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 111840 279720 ) FS ;
- FILLER_80_229 sky130_fd_sc_ls__decap_4 + PLACED ( 115680 279720 ) FS ;
- FILLER_80_236 sky130_fd_sc_ls__decap_4 + PLACED ( 119040 279720 ) FS ;
- FILLER_80_244 sky130_fd_sc_ls__decap_4 + PLACED ( 122880 279720 ) FS ;
- FILLER_80_249 sky130_fd_sc_ls__decap_4 + PLACED ( 125280 279720 ) FS ;
- FILLER_80_253 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 127200 279720 ) FS ;
- FILLER_80_255 sky130_fd_sc_ls__fill_1 + PLACED ( 128160 279720 ) FS ;
- FILLER_80_262 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 131520 279720 ) FS ;
- FILLER_80_270 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 135360 279720 ) FS ;
- FILLER_80_272 sky130_fd_sc_ls__fill_1 + PLACED ( 136320 279720 ) FS ;
- FILLER_80_279 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 139680 279720 ) FS ;
- FILLER_80_287 sky130_fd_sc_ls__decap_4 + PLACED ( 143520 279720 ) FS ;
- FILLER_80_291 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 145440 279720 ) FS ;
- FILLER_80_299 sky130_fd_sc_ls__decap_4 + PLACED ( 149280 279720 ) FS ;
- FILLER_80_304 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 151680 279720 ) FS ;
- FILLER_80_320 sky130_fd_sc_ls__decap_4 + PLACED ( 159360 279720 ) FS ;
- FILLER_80_328 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 163200 279720 ) FS ;
- FILLER_80_336 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 167040 279720 ) FS ;
- FILLER_80_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 279720 ) FS ;
- FILLER_80_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 279720 ) FS ;
- FILLER_80_356 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 176640 279720 ) FS ;
- FILLER_80_359 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 178080 279720 ) FS ;
- FILLER_80_367 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 181920 279720 ) FS ;
- FILLER_80_375 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 185760 279720 ) FS ;
- FILLER_80_383 sky130_fd_sc_ls__decap_4 + PLACED ( 189600 279720 ) FS ;
- FILLER_80_387 sky130_fd_sc_ls__fill_1 + PLACED ( 191520 279720 ) FS ;
- FILLER_80_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 279720 ) FS ;
- FILLER_80_402 sky130_fd_sc_ls__fill_1 + PLACED ( 198720 279720 ) FS ;
- FILLER_80_409 sky130_fd_sc_ls__decap_4 + PLACED ( 202080 279720 ) FS ;
- FILLER_80_414 sky130_fd_sc_ls__decap_4 + PLACED ( 204480 279720 ) FS ;
- FILLER_80_418 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 206400 279720 ) FS ;
- FILLER_80_420 sky130_fd_sc_ls__fill_1 + PLACED ( 207360 279720 ) FS ;
- FILLER_80_427 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 210720 279720 ) FS ;
- FILLER_80_435 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 214560 279720 ) FS ;
- FILLER_80_443 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 218400 279720 ) FS ;
- FILLER_80_451 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 222240 279720 ) FS ;
- FILLER_80_453 sky130_fd_sc_ls__fill_1 + PLACED ( 223200 279720 ) FS ;
- FILLER_80_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 279720 ) FS ;
- FILLER_80_469 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 230880 279720 ) FS ;
- FILLER_80_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 279720 ) FS ;
- FILLER_80_485 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 238560 279720 ) FS ;
- FILLER_80_487 sky130_fd_sc_ls__fill_1 + PLACED ( 239520 279720 ) FS ;
- FILLER_80_492 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241920 279720 ) FS ;
- FILLER_80_500 sky130_fd_sc_ls__decap_4 + PLACED ( 245760 279720 ) FS ;
- FILLER_80_504 sky130_fd_sc_ls__fill_1 + PLACED ( 247680 279720 ) FS ;
- FILLER_80_509 sky130_fd_sc_ls__decap_4 + PLACED ( 250080 279720 ) FS ;
- FILLER_80_517 sky130_fd_sc_ls__decap_4 + PLACED ( 253920 279720 ) FS ;
- FILLER_80_521 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 255840 279720 ) FS ;
- FILLER_80_524 sky130_fd_sc_ls__decap_4 + PLACED ( 257280 279720 ) FS ;
- FILLER_80_532 sky130_fd_sc_ls__decap_4 + PLACED ( 261120 279720 ) FS ;
- FILLER_80_536 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 263040 279720 ) FS ;
- FILLER_80_542 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 265920 279720 ) FS ;
- FILLER_80_558 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 273600 279720 ) FS ;
- FILLER_80_574 sky130_fd_sc_ls__decap_4 + PLACED ( 281280 279720 ) FS ;
- FILLER_80_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 279720 ) FS ;
- FILLER_80_587 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 287520 279720 ) FS ;
- FILLER_80_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 279720 ) FS ;
- FILLER_81_9 sky130_fd_sc_ls__decap_4 + PLACED ( 10080 283050 ) N ;
- FILLER_81_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 283050 ) N ;
- FILLER_81_26 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 18240 283050 ) N ;
- FILLER_81_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 283050 ) N ;
- FILLER_81_37 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 23520 283050 ) N ;
- FILLER_81_44 sky130_fd_sc_ls__decap_4 + PLACED ( 26880 283050 ) N ;
- FILLER_81_52 sky130_fd_sc_ls__decap_4 + PLACED ( 30720 283050 ) N ;
- FILLER_81_57 sky130_fd_sc_ls__decap_4 + PLACED ( 33120 283050 ) N ;
- FILLER_81_66 sky130_fd_sc_ls__decap_4 + PLACED ( 37440 283050 ) N ;
- FILLER_81_70 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 39360 283050 ) N ;
- FILLER_81_76 sky130_fd_sc_ls__decap_8 + PLACED ( 42240 283050 ) N ;
- FILLER_81_85 sky130_fd_sc_ls__decap_4 + PLACED ( 46560 283050 ) N ;
- FILLER_81_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 283050 ) N ;
- FILLER_81_101 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 54240 283050 ) N ;
- FILLER_81_108 sky130_fd_sc_ls__decap_4 + PLACED ( 57600 283050 ) N ;
- FILLER_81_113 sky130_fd_sc_ls__decap_8 + PLACED ( 60000 283050 ) N ;
- FILLER_81_126 sky130_fd_sc_ls__decap_4 + PLACED ( 66240 283050 ) N ;
- FILLER_81_130 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 68160 283050 ) N ;
- FILLER_81_136 sky130_fd_sc_ls__decap_4 + PLACED ( 71040 283050 ) N ;
- FILLER_81_141 sky130_fd_sc_ls__decap_4 + PLACED ( 73440 283050 ) N ;
- FILLER_81_149 sky130_fd_sc_ls__decap_4 + PLACED ( 77280 283050 ) N ;
- FILLER_81_153 sky130_fd_sc_ls__fill_1 + PLACED ( 79200 283050 ) N ;
- FILLER_81_159 sky130_fd_sc_ls__decap_8 + PLACED ( 82080 283050 ) N ;
- FILLER_81_167 sky130_fd_sc_ls__fill_1 + PLACED ( 85920 283050 ) N ;
- FILLER_81_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 283050 ) N ;
- FILLER_81_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 283050 ) N ;
- FILLER_81_186 sky130_fd_sc_ls__fill_1 + PLACED ( 95040 283050 ) N ;
- FILLER_81_192 sky130_fd_sc_ls__decap_4 + PLACED ( 97920 283050 ) N ;
- FILLER_81_197 sky130_fd_sc_ls__decap_4 + PLACED ( 100320 283050 ) N ;
- FILLER_81_201 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 102240 283050 ) N ;
- FILLER_81_208 sky130_fd_sc_ls__decap_8 + PLACED ( 105600 283050 ) N ;
- FILLER_81_220 sky130_fd_sc_ls__decap_4 + PLACED ( 111360 283050 ) N ;
- FILLER_81_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 283050 ) N ;
- FILLER_81_233 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 117600 283050 ) N ;
- FILLER_81_235 sky130_fd_sc_ls__fill_1 + PLACED ( 118560 283050 ) N ;
- FILLER_81_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 283050 ) N ;
- FILLER_81_249 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 125280 283050 ) N ;
- FILLER_81_251 sky130_fd_sc_ls__fill_1 + PLACED ( 126240 283050 ) N ;
- FILLER_81_253 sky130_fd_sc_ls__decap_4 + PLACED ( 127200 283050 ) N ;
- FILLER_81_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 283050 ) N ;
- FILLER_81_274 sky130_fd_sc_ls__decap_4 + PLACED ( 137280 283050 ) N ;
- FILLER_81_278 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 139200 283050 ) N ;
- FILLER_81_281 sky130_fd_sc_ls__decap_4 + PLACED ( 140640 283050 ) N ;
- FILLER_81_285 sky130_fd_sc_ls__fill_1 + PLACED ( 142560 283050 ) N ;
- FILLER_81_290 sky130_fd_sc_ls__decap_8 + PLACED ( 144960 283050 ) N ;
- FILLER_81_298 sky130_fd_sc_ls__fill_1 + PLACED ( 148800 283050 ) N ;
- FILLER_81_304 sky130_fd_sc_ls__decap_4 + PLACED ( 151680 283050 ) N ;
- FILLER_81_309 sky130_fd_sc_ls__decap_8 + PLACED ( 154080 283050 ) N ;
- FILLER_81_317 sky130_fd_sc_ls__fill_1 + PLACED ( 157920 283050 ) N ;
- FILLER_81_322 sky130_fd_sc_ls__decap_4 + PLACED ( 160320 283050 ) N ;
- FILLER_81_330 sky130_fd_sc_ls__decap_4 + PLACED ( 164160 283050 ) N ;
- FILLER_81_334 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 166080 283050 ) N ;
- FILLER_81_337 sky130_fd_sc_ls__decap_4 + PLACED ( 167520 283050 ) N ;
- FILLER_81_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 283050 ) N ;
- FILLER_81_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 283050 ) N ;
- FILLER_81_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 283050 ) N ;
- FILLER_81_363 sky130_fd_sc_ls__fill_1 + PLACED ( 180000 283050 ) N ;
- FILLER_81_365 sky130_fd_sc_ls__decap_4 + PLACED ( 180960 283050 ) N ;
- FILLER_81_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 283050 ) N ;
- FILLER_81_383 sky130_fd_sc_ls__fill_1 + PLACED ( 189600 283050 ) N ;
- FILLER_81_388 sky130_fd_sc_ls__decap_4 + PLACED ( 192000 283050 ) N ;
- FILLER_81_393 sky130_fd_sc_ls__decap_8 + PLACED ( 194400 283050 ) N ;
- FILLER_81_407 sky130_fd_sc_ls__decap_4 + PLACED ( 201120 283050 ) N ;
- FILLER_81_415 sky130_fd_sc_ls__decap_4 + PLACED ( 204960 283050 ) N ;
- FILLER_81_419 sky130_fd_sc_ls__fill_1 + PLACED ( 206880 283050 ) N ;
- FILLER_81_421 sky130_fd_sc_ls__decap_4 + PLACED ( 207840 283050 ) N ;
- FILLER_81_429 sky130_fd_sc_ls__decap_4 + PLACED ( 211680 283050 ) N ;
- FILLER_81_433 sky130_fd_sc_ls__fill_1 + PLACED ( 213600 283050 ) N ;
- FILLER_81_440 sky130_fd_sc_ls__decap_8 + PLACED ( 216960 283050 ) N ;
- FILLER_81_449 sky130_fd_sc_ls__decap_4 + PLACED ( 221280 283050 ) N ;
- FILLER_81_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 283050 ) N ;
- FILLER_81_465 sky130_fd_sc_ls__fill_1 + PLACED ( 228960 283050 ) N ;
- FILLER_81_472 sky130_fd_sc_ls__decap_4 + PLACED ( 232320 283050 ) N ;
- FILLER_81_477 sky130_fd_sc_ls__decap_4 + PLACED ( 234720 283050 ) N ;
- FILLER_81_481 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 236640 283050 ) N ;
- FILLER_81_487 sky130_fd_sc_ls__decap_4 + PLACED ( 239520 283050 ) N ;
- FILLER_81_491 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 241440 283050 ) N ;
- FILLER_81_493 sky130_fd_sc_ls__fill_1 + PLACED ( 242400 283050 ) N ;
- FILLER_81_500 sky130_fd_sc_ls__decap_4 + PLACED ( 245760 283050 ) N ;
- FILLER_81_505 sky130_fd_sc_ls__decap_8 + PLACED ( 248160 283050 ) N ;
- FILLER_81_513 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 252000 283050 ) N ;
- FILLER_81_515 sky130_fd_sc_ls__fill_1 + PLACED ( 252960 283050 ) N ;
- FILLER_81_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 283050 ) N ;
- FILLER_81_530 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 260160 283050 ) N ;
- FILLER_81_533 sky130_fd_sc_ls__decap_4 + PLACED ( 261600 283050 ) N ;
- FILLER_81_543 sky130_fd_sc_ls__decap_4 + PLACED ( 266400 283050 ) N ;
- FILLER_81_547 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 268320 283050 ) N ;
- FILLER_81_553 sky130_fd_sc_ls__decap_4 + PLACED ( 271200 283050 ) N ;
- FILLER_81_557 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 273120 283050 ) N ;
- FILLER_81_559 sky130_fd_sc_ls__fill_1 + PLACED ( 274080 283050 ) N ;
- FILLER_81_561 sky130_fd_sc_ls__decap_4 + PLACED ( 275040 283050 ) N ;
- FILLER_81_571 sky130_fd_sc_ls__decap_4 + PLACED ( 279840 283050 ) N ;
- FILLER_81_575 sky130_fd_sc_ls__fill_diode_2 + PLACED ( 281760 283050 ) N ;
- FILLER_81_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 283050 ) N ;
- FILLER_81_584 sky130_fd_sc_ls__decap_4 + PLACED ( 286080 283050 ) N ;
- FILLER_81_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 283050 ) N ;
END COMPONENTS
PINS 620 ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1200 298000 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79920 298000 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87600 298000 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95760 298000 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103440 298000 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111600 298000 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119280 298000 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127440 298000 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135120 298000 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143280 298000 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150960 298000 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8880 298000 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158640 298000 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166800 298000 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174480 298000 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182640 298000 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190320 298000 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198480 298000 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 206160 298000 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214320 298000 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222000 298000 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 229680 298000 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16560 298000 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237840 298000 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 245520 298000 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 253680 298000 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 261360 298000 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 269520 298000 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 277200 298000 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 285360 298000 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 293040 298000 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24720 298000 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32400 298000 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40560 298000 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48240 298000 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56400 298000 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64080 298000 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72240 298000 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3600 298000 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82320 298000 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90480 298000 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98160 298000 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106320 298000 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114000 298000 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122160 298000 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129840 298000 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138000 298000 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145680 298000 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153360 298000 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11280 298000 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161520 298000 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169200 298000 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177360 298000 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185040 298000 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193200 298000 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200880 298000 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209040 298000 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216720 298000 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 224880 298000 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232560 298000 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19440 298000 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240240 298000 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248400 298000 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 256080 298000 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 264240 298000 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 271920 298000 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 280080 298000 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 287760 298000 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 295920 298000 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27120 298000 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35280 298000 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42960 298000 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51120 298000 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58800 298000 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66960 298000 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74640 298000 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6000 298000 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85200 298000 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92880 298000 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101040 298000 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108720 298000 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116880 298000 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124560 298000 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132720 298000 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140400 298000 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148560 298000 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156240 298000 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14160 298000 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163920 298000 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172080 298000 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179760 298000 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187920 298000 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195600 298000 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203760 298000 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211440 298000 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219600 298000 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227280 298000 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234960 298000 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21840 298000 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243120 298000 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 250800 298000 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 258960 298000 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 266640 298000 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 274800 298000 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 282480 298000 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 290640 298000 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 298320 298000 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30000 298000 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37680 298000 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45840 298000 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53520 298000 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61680 298000 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69360 298000 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77040 298000 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65040 2000 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248400 2000 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 250320 2000 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 252240 2000 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 254160 2000 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 256080 2000 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 257520 2000 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 259440 2000 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 261360 2000 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 263280 2000 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 265200 2000 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83280 2000 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 267120 2000 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 268560 2000 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 270480 2000 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 272400 2000 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 274320 2000 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 276240 2000 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 278160 2000 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 279600 2000 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 281520 2000 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 283440 2000 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85200 2000 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 285360 2000 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 287280 2000 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 289200 2000 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 290640 2000 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 292560 2000 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 294480 2000 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 296400 2000 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 298320 2000 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87120 2000 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88560 2000 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90480 2000 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92400 2000 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94320 2000 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96240 2000 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98160 2000 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99600 2000 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66960 2000 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101520 2000 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103440 2000 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105360 2000 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107280 2000 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109200 2000 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110640 2000 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112560 2000 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114480 2000 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116400 2000 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118320 2000 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68400 2000 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120240 2000 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121680 2000 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123600 2000 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125520 2000 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127440 2000 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129360 2000 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130800 2000 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132720 2000 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134640 2000 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136560 2000 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70320 2000 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138480 2000 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140400 2000 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141840 2000 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143760 2000 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145680 2000 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147600 2000 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149520 2000 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151440 2000 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152880 2000 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154800 2000 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72240 2000 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156720 2000 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158640 2000 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160560 2000 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162480 2000 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163920 2000 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165840 2000 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167760 2000 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169680 2000 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171600 2000 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173040 2000 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74160 2000 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174960 2000 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176880 2000 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178800 2000 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180720 2000 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182640 2000 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184080 2000 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186000 2000 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187920 2000 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189840 2000 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191760 2000 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76080 2000 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193680 2000 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195120 2000 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197040 2000 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198960 2000 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200880 2000 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 202800 2000 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204720 2000 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 206160 2000 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 208080 2000 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 210000 2000 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77520 2000 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211920 2000 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 213840 2000 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215280 2000 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 217200 2000 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219120 2000 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221040 2000 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222960 2000 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 224880 2000 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 226320 2000 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 228240 2000 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79440 2000 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230160 2000 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232080 2000 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234000 2000 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 235920 2000 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237360 2000 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 239280 2000 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 241200 2000 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243120 2000 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 245040 2000 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246960 2000 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81360 2000 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65520 2000 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 249360 2000 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 250800 2000 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 252720 2000 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 254640 2000 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 256560 2000 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 258480 2000 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 260400 2000 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 261840 2000 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 263760 2000 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 265680 2000 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83760 2000 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 267600 2000 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 269520 2000 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 271440 2000 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 272880 2000 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 274800 2000 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 276720 2000 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 278640 2000 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 280560 2000 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 282480 2000 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 283920 2000 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85680 2000 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 285840 2000 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 287760 2000 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 289680 2000 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 291600 2000 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 293040 2000 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 294960 2000 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 296880 2000 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 298800 2000 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87600 2000 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89520 2000 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91440 2000 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92880 2000 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94800 2000 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96720 2000 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98640 2000 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100560 2000 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67440 2000 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102480 2000 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103920 2000 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105840 2000 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107760 2000 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109680 2000 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111600 2000 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113040 2000 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114960 2000 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116880 2000 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118800 2000 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69360 2000 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120720 2000 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122640 2000 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124080 2000 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126000 2000 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127920 2000 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129840 2000 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131760 2000 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133680 2000 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135120 2000 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137040 2000 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70800 2000 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138960 2000 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140880 2000 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142800 2000 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144720 2000 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146160 2000 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148080 2000 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150000 2000 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151920 2000 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153840 2000 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155280 2000 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72720 2000 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157200 2000 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159120 2000 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161040 2000 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162960 2000 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164880 2000 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166320 2000 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168240 2000 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170160 2000 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172080 2000 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174000 2000 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74640 2000 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175920 2000 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177360 2000 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179280 2000 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181200 2000 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183120 2000 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185040 2000 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186960 2000 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188400 2000 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190320 2000 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192240 2000 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76560 2000 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194160 2000 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196080 2000 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197520 2000 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 199440 2000 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 201360 2000 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203280 2000 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205200 2000 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 207120 2000 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 208560 2000 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 210480 2000 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78480 2000 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 212400 2000 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214320 2000 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216240 2000 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 218160 2000 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219600 2000 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221520 2000 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 223440 2000 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225360 2000 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227280 2000 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 229200 2000 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80400 2000 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230640 2000 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232560 2000 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234480 2000 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 236400 2000 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 238320 2000 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240240 2000 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 241680 2000 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243600 2000 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 245520 2000 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 247440 2000 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81840 2000 ) N ;
- la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66000 2000 ) N ;
- la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 249840 2000 ) N ;
- la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 251760 2000 ) N ;
- la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 253680 2000 ) N ;
- la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 255120 2000 ) N ;
- la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 257040 2000 ) N ;
- la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 258960 2000 ) N ;
- la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 260880 2000 ) N ;
- la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 262800 2000 ) N ;
- la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 264720 2000 ) N ;
- la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 266160 2000 ) N ;
- la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84720 2000 ) N ;
- la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 268080 2000 ) N ;
- la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 270000 2000 ) N ;
- la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 271920 2000 ) N ;
- la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 273840 2000 ) N ;
- la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 275280 2000 ) N ;
- la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 277200 2000 ) N ;
- la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 279120 2000 ) N ;
- la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 281040 2000 ) N ;
- la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 282960 2000 ) N ;
- la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 284880 2000 ) N ;
- la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86160 2000 ) N ;
- la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 286320 2000 ) N ;
- la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 288240 2000 ) N ;
- la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 290160 2000 ) N ;
- la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 292080 2000 ) N ;
- la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 294000 2000 ) N ;
- la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 295920 2000 ) N ;
- la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 297360 2000 ) N ;
- la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 299280 2000 ) N ;
- la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88080 2000 ) N ;
- la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90000 2000 ) N ;
- la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91920 2000 ) N ;
- la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93840 2000 ) N ;
- la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95280 2000 ) N ;
- la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97200 2000 ) N ;
- la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99120 2000 ) N ;
- la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101040 2000 ) N ;
- la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67920 2000 ) N ;
- la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102960 2000 ) N ;
- la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104880 2000 ) N ;
- la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106320 2000 ) N ;
- la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108240 2000 ) N ;
- la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110160 2000 ) N ;
- la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112080 2000 ) N ;
- la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114000 2000 ) N ;
- la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115920 2000 ) N ;
- la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117360 2000 ) N ;
- la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119280 2000 ) N ;
- la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69840 2000 ) N ;
- la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121200 2000 ) N ;
- la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123120 2000 ) N ;
- la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125040 2000 ) N ;
- la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126960 2000 ) N ;
- la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128400 2000 ) N ;
- la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130320 2000 ) N ;
- la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132240 2000 ) N ;
- la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134160 2000 ) N ;
- la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136080 2000 ) N ;
- la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137520 2000 ) N ;
- la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71760 2000 ) N ;
- la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 139440 2000 ) N ;
- la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141360 2000 ) N ;
- la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143280 2000 ) N ;
- la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145200 2000 ) N ;
- la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147120 2000 ) N ;
- la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148560 2000 ) N ;
- la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150480 2000 ) N ;
- la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152400 2000 ) N ;
- la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154320 2000 ) N ;
- la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156240 2000 ) N ;
- la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73680 2000 ) N ;
- la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158160 2000 ) N ;
- la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159600 2000 ) N ;
- la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161520 2000 ) N ;
- la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163440 2000 ) N ;
- la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165360 2000 ) N ;
- la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167280 2000 ) N ;
- la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169200 2000 ) N ;
- la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170640 2000 ) N ;
- la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172560 2000 ) N ;
- la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174480 2000 ) N ;
- la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75120 2000 ) N ;
- la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176400 2000 ) N ;
- la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178320 2000 ) N ;
- la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180240 2000 ) N ;
- la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181680 2000 ) N ;
- la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183600 2000 ) N ;
- la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185520 2000 ) N ;
- la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187440 2000 ) N ;
- la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189360 2000 ) N ;
- la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190800 2000 ) N ;
- la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192720 2000 ) N ;
- la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77040 2000 ) N ;
- la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194640 2000 ) N ;
- la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196560 2000 ) N ;
- la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198480 2000 ) N ;
- la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200400 2000 ) N ;
- la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 201840 2000 ) N ;
- la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203760 2000 ) N ;
- la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205680 2000 ) N ;
- la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 207600 2000 ) N ;
- la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209520 2000 ) N ;
- la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211440 2000 ) N ;
- la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78960 2000 ) N ;
- la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 212880 2000 ) N ;
- la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214800 2000 ) N ;
- la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216720 2000 ) N ;
- la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 218640 2000 ) N ;
- la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 220560 2000 ) N ;
- la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222480 2000 ) N ;
- la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 223920 2000 ) N ;
- la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225840 2000 ) N ;
- la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227760 2000 ) N ;
- la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 229680 2000 ) N ;
- la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80880 2000 ) N ;
- la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 231600 2000 ) N ;
- la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 233040 2000 ) N ;
- la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234960 2000 ) N ;
- la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 236880 2000 ) N ;
- la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 238800 2000 ) N ;
- la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240720 2000 ) N ;
- la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 242640 2000 ) N ;
- la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244080 2000 ) N ;
- la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246000 2000 ) N ;
- la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 247920 2000 ) N ;
- la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82800 2000 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240 2000 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 720 2000 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1200 2000 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3600 2000 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24720 2000 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26160 2000 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 28080 2000 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30000 2000 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31920 2000 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33840 2000 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35280 2000 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37200 2000 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39120 2000 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41040 2000 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6000 2000 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42960 2000 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44880 2000 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46320 2000 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48240 2000 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50160 2000 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52080 2000 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54000 2000 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55920 2000 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57360 2000 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59280 2000 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8400 2000 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61200 2000 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63120 2000 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10800 2000 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13680 2000 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15120 2000 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 17040 2000 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18960 2000 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20880 2000 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22800 2000 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1680 2000 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4080 2000 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25200 2000 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27120 2000 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 28560 2000 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30480 2000 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32400 2000 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34320 2000 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36240 2000 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38160 2000 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39600 2000 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41520 2000 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6960 2000 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43440 2000 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45360 2000 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47280 2000 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49200 2000 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50640 2000 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52560 2000 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54480 2000 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56400 2000 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58320 2000 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60240 2000 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9360 2000 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61680 2000 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63600 2000 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11760 2000 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14160 2000 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16080 2000 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 17520 2000 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19440 2000 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21360 2000 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23280 2000 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5040 2000 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25680 2000 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27600 2000 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29520 2000 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31440 2000 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32880 2000 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34800 2000 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36720 2000 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38640 2000 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40560 2000 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42480 2000 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7440 2000 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43920 2000 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45840 2000 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47760 2000 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49680 2000 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51600 2000 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53040 2000 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54960 2000 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56880 2000 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58800 2000 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60720 2000 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9840 2000 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62640 2000 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64080 2000 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12240 2000 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14640 2000 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16560 2000 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18480 2000 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20400 2000 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21840 2000 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23760 2000 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5520 2000 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7920 2000 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10320 2000 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12720 2000 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2640 2000 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3120 2000 ) N ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -800 -136770 ) ( 800 136770 )
+ FIXED ( 175680 149850 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -800 -136770 ) ( 800 136770 )
+ FIXED ( 22080 149850 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -800 -136770 ) ( 800 136770 )
+ FIXED ( 252480 149850 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -800 -136770 ) ( 800 136770 )
+ FIXED ( 98880 149850 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -800 -136530 ) ( 800 136530 )
+ FIXED ( 178980 149850 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -800 -136530 ) ( 800 136530 )
+ FIXED ( 25380 149850 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -800 -136530 ) ( 800 136530 )
+ FIXED ( 255780 149850 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -800 -136530 ) ( 800 136530 )
+ FIXED ( 102180 149850 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -800 -136530 ) ( 800 136530 )
+ FIXED ( 182280 149850 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -800 -136530 ) ( 800 136530 )
+ FIXED ( 28680 149850 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -800 -136530 ) ( 800 136530 )
+ FIXED ( 259080 149850 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -800 -136530 ) ( 800 136530 )
+ FIXED ( 105480 149850 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -800 -136530 ) ( 800 136530 )
+ FIXED ( 185580 149850 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -800 -136530 ) ( 800 136530 )
+ FIXED ( 31980 149850 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -800 -136530 ) ( 800 136530 )
+ FIXED ( 262380 149850 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -800 -136530 ) ( 800 136530 )
+ FIXED ( 108780 149850 ) N + SPECIAL ;
END PINS
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 )
+ ROUTED met3 0 + SHAPE STRIPE ( 175680 286380 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 286380 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 286380 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 286380 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 286380 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 286380 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 279720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 279720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 279720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 279720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 279720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 279720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 273060 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 273060 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 273060 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 273060 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 273060 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 273060 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 266400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 266400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 266400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 266400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 266400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 266400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 259740 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 259740 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 259740 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 259740 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 259740 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 259740 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 253080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 253080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 253080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 253080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 253080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 253080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 246420 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 246420 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 246420 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 246420 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 246420 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 246420 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 239760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 239760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 239760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 239760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 239760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 239760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 233100 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 233100 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 233100 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 233100 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 233100 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 233100 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 226440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 226440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 226440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 226440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 226440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 226440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 219780 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 219780 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 219780 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 219780 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 219780 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 219780 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 213120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 213120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 213120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 213120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 213120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 213120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 206460 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 206460 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 206460 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 206460 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 206460 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 206460 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 199800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 199800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 199800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 199800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 199800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 199800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 193140 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 193140 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 193140 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 193140 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 193140 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 193140 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 186480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 186480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 186480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 186480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 186480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 186480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 179820 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 179820 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 179820 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 179820 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 179820 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 179820 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 173160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 173160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 173160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 173160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 173160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 173160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 166500 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 166500 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 166500 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 166500 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 166500 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 166500 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 159840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 159840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 159840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 159840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 159840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 159840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 153180 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 153180 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 153180 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 153180 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 153180 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 153180 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 146520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 146520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 146520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 146520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 146520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 146520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 139860 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 139860 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 139860 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 139860 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 139860 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 139860 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 133200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 133200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 133200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 133200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 133200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 133200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 126540 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 126540 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 126540 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 126540 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 126540 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 126540 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 119880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 119880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 119880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 119880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 119880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 119880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 113220 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 113220 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 113220 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 113220 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 113220 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 113220 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 106560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 106560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 106560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 106560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 106560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 106560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 99900 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 99900 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 99900 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 99900 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 99900 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 99900 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 93240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 93240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 93240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 93240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 93240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 93240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 86580 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 86580 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 86580 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 86580 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 86580 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 86580 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 79920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 79920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 79920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 79920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 79920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 79920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 73260 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 73260 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 73260 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 73260 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 73260 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 73260 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 66600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 66600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 66600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 66600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 66600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 66600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 59940 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 59940 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 59940 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 59940 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 59940 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 59940 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 53280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 53280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 53280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 53280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 53280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 53280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 46620 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 46620 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 46620 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 46620 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 46620 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 46620 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 39960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 39960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 39960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 39960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 39960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 39960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 33300 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 33300 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 33300 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 33300 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 33300 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 33300 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 26640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 26640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 26640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 26640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 26640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 26640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 19980 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 19980 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 19980 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 19980 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 19980 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 19980 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 13320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 13320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 13320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 13320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 13320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 13320 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 175680 13080 ) ( 175680 286620 )
NEW met4 1600 + SHAPE STRIPE ( 22080 13080 ) ( 22080 286620 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 286380 ) ( 294240 286380 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 279720 ) ( 294240 279720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 273060 ) ( 294240 273060 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 266400 ) ( 294240 266400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 259740 ) ( 294240 259740 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 253080 ) ( 294240 253080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 246420 ) ( 294240 246420 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 239760 ) ( 294240 239760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 233100 ) ( 294240 233100 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 226440 ) ( 294240 226440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 219780 ) ( 294240 219780 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 213120 ) ( 294240 213120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 206460 ) ( 294240 206460 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 199800 ) ( 294240 199800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 193140 ) ( 294240 193140 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 186480 ) ( 294240 186480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 179820 ) ( 294240 179820 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 173160 ) ( 294240 173160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 166500 ) ( 294240 166500 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 159840 ) ( 294240 159840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 153180 ) ( 294240 153180 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 146520 ) ( 294240 146520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 139860 ) ( 294240 139860 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 133200 ) ( 294240 133200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 126540 ) ( 294240 126540 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 119880 ) ( 294240 119880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 113220 ) ( 294240 113220 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 106560 ) ( 294240 106560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 99900 ) ( 294240 99900 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 93240 ) ( 294240 93240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 86580 ) ( 294240 86580 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 79920 ) ( 294240 79920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 73260 ) ( 294240 73260 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 66600 ) ( 294240 66600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 59940 ) ( 294240 59940 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 53280 ) ( 294240 53280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 46620 ) ( 294240 46620 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 39960 ) ( 294240 39960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 33300 ) ( 294240 33300 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 26640 ) ( 294240 26640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 19980 ) ( 294240 19980 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 13320 ) ( 294240 13320 )
+ USE POWER ;
- vssd1 ( PIN vssd1 )
+ ROUTED met3 0 + SHAPE STRIPE ( 252480 283050 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 283050 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 283050 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 283050 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 283050 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 283050 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 276390 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 276390 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 276390 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 276390 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 276390 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 276390 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 269730 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 269730 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 269730 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 269730 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 269730 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 269730 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 263070 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 263070 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 263070 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 263070 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 263070 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 263070 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 256410 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 256410 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 256410 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 256410 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 256410 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 256410 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 249750 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 249750 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 249750 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 249750 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 249750 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 249750 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 243090 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 243090 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 243090 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 243090 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 243090 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 243090 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 236430 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 236430 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 236430 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 236430 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 236430 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 236430 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 229770 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 229770 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 229770 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 229770 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 229770 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 229770 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 223110 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 223110 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 223110 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 223110 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 223110 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 223110 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 216450 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 216450 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 216450 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 216450 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 216450 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 216450 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 209790 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 209790 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 209790 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 209790 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 209790 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 209790 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 203130 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 203130 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 203130 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 203130 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 203130 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 203130 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 196470 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 196470 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 196470 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 196470 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 196470 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 196470 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 189810 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 189810 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 189810 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 189810 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 189810 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 189810 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 183150 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 183150 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 183150 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 183150 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 183150 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 183150 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 176490 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 176490 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 176490 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 176490 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 176490 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 176490 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 169830 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 169830 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 169830 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 169830 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 169830 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 169830 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 163170 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 163170 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 163170 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 163170 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 163170 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 163170 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 156510 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 156510 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 156510 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 156510 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 156510 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 156510 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 149850 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 149850 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 149850 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 149850 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 149850 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 149850 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 143190 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 143190 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 143190 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 143190 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 143190 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 143190 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 136530 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 136530 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 136530 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 136530 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 136530 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 136530 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 129870 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 129870 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 129870 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 129870 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 129870 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 129870 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 123210 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 123210 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 123210 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 123210 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 123210 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 123210 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 116550 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 116550 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 116550 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 116550 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 116550 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 116550 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 109890 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 109890 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 109890 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 109890 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 109890 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 109890 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 103230 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 103230 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 103230 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 103230 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 103230 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 103230 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 96570 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 96570 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 96570 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 96570 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 96570 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 96570 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 89910 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 89910 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 89910 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 89910 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 89910 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 89910 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 83250 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 83250 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 83250 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 83250 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 83250 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 83250 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 76590 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 76590 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 76590 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 76590 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 76590 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 76590 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 69930 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 69930 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 69930 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 69930 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 69930 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 69930 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 63270 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 63270 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 63270 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 63270 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 63270 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 63270 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 56610 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 56610 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 56610 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 56610 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 56610 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 56610 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 49950 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 49950 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 49950 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 49950 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 49950 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 49950 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 43290 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 43290 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 43290 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 43290 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 43290 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 43290 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 36630 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 36630 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 36630 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 36630 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 36630 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 36630 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 29970 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 29970 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 29970 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 29970 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 29970 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 29970 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 23310 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 23310 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 23310 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 23310 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 23310 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 23310 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 16650 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 16650 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 16650 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 16650 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 16650 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 16650 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 252480 13080 ) ( 252480 286620 )
NEW met4 1600 + SHAPE STRIPE ( 98880 13080 ) ( 98880 286620 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 283050 ) ( 294240 283050 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 276390 ) ( 294240 276390 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 269730 ) ( 294240 269730 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 263070 ) ( 294240 263070 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 256410 ) ( 294240 256410 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 249750 ) ( 294240 249750 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 243090 ) ( 294240 243090 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 236430 ) ( 294240 236430 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 229770 ) ( 294240 229770 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 223110 ) ( 294240 223110 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 216450 ) ( 294240 216450 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 209790 ) ( 294240 209790 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 203130 ) ( 294240 203130 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 196470 ) ( 294240 196470 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 189810 ) ( 294240 189810 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 183150 ) ( 294240 183150 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 176490 ) ( 294240 176490 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 169830 ) ( 294240 169830 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 163170 ) ( 294240 163170 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 156510 ) ( 294240 156510 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 149850 ) ( 294240 149850 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 143190 ) ( 294240 143190 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 136530 ) ( 294240 136530 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 129870 ) ( 294240 129870 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 123210 ) ( 294240 123210 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 116550 ) ( 294240 116550 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 109890 ) ( 294240 109890 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 103230 ) ( 294240 103230 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 96570 ) ( 294240 96570 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 89910 ) ( 294240 89910 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 83250 ) ( 294240 83250 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 76590 ) ( 294240 76590 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 69930 ) ( 294240 69930 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 63270 ) ( 294240 63270 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 56610 ) ( 294240 56610 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 49950 ) ( 294240 49950 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 43290 ) ( 294240 43290 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 36630 ) ( 294240 36630 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 29970 ) ( 294240 29970 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 23310 ) ( 294240 23310 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 16650 ) ( 294240 16650 )
+ USE GROUND ;
- vccd2 ( PIN vccd2 )
+ ROUTED met4 1600 + SHAPE STRIPE ( 178980 13320 ) ( 178980 286380 )
NEW met4 1600 + SHAPE STRIPE ( 25380 13320 ) ( 25380 286380 )
+ USE POWER ;
- vssd2 ( PIN vssd2 )
+ ROUTED met4 1600 + SHAPE STRIPE ( 255780 13320 ) ( 255780 286380 )
NEW met4 1600 + SHAPE STRIPE ( 102180 13320 ) ( 102180 286380 )
+ USE GROUND ;
- vdda1 ( PIN vdda1 )
+ ROUTED met4 1600 + SHAPE STRIPE ( 182280 13320 ) ( 182280 286380 )
NEW met4 1600 + SHAPE STRIPE ( 28680 13320 ) ( 28680 286380 )
+ USE POWER ;
- vssa1 ( PIN vssa1 )
+ ROUTED met4 1600 + SHAPE STRIPE ( 259080 13320 ) ( 259080 286380 )
NEW met4 1600 + SHAPE STRIPE ( 105480 13320 ) ( 105480 286380 )
+ USE GROUND ;
- vdda2 ( PIN vdda2 )
+ ROUTED met4 1600 + SHAPE STRIPE ( 185580 13320 ) ( 185580 286380 )
NEW met4 1600 + SHAPE STRIPE ( 31980 13320 ) ( 31980 286380 )
+ USE POWER ;
- vssa2 ( PIN vssa2 )
+ ROUTED met4 1600 + SHAPE STRIPE ( 262380 13320 ) ( 262380 286380 )
NEW met4 1600 + SHAPE STRIPE ( 108780 13320 ) ( 108780 286380 )
+ USE GROUND ;
END SPECIALNETS
NETS 1208 ;
- io_in[0] ( PIN io_in[0] ) ( input1 A )
+ ROUTED met1 ( 1200 284715 ) ( 9840 284715 )
NEW met2 ( 1200 284715 ) ( 1200 296370 0 )
NEW li1 ( 9840 284715 ) L1M1_PR_MR
NEW met1 ( 1200 284715 ) M1M2_PR
+ USE SIGNAL ;
- io_in[10] ( PIN io_in[10] ) ( input2 A )
+ ROUTED met1 ( 79920 284715 ) ( 81840 284715 )
NEW met2 ( 79920 284715 ) ( 79920 296370 0 )
NEW li1 ( 81840 284715 ) L1M1_PR_MR
NEW met1 ( 79920 284715 ) M1M2_PR
+ USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) ( input3 A )
+ ROUTED met1 ( 87600 284715 ) ( 90960 284715 )
NEW met2 ( 87600 284715 ) ( 87600 296370 0 )
NEW li1 ( 90960 284715 ) L1M1_PR_MR
NEW met1 ( 87600 284715 ) M1M2_PR
+ USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) ( input4 A )
+ ROUTED met1 ( 95760 284715 ) ( 97680 284715 )
NEW met2 ( 95760 284715 ) ( 95760 296370 0 )
NEW li1 ( 97680 284715 ) L1M1_PR_MR
NEW met1 ( 95760 284715 ) M1M2_PR
+ USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) ( input5 A )
+ ROUTED met1 ( 103440 284715 ) ( 105360 284715 )
NEW met2 ( 103440 284715 ) ( 103440 296370 0 )
NEW li1 ( 105360 284715 ) L1M1_PR_MR
NEW met1 ( 103440 284715 ) M1M2_PR
+ USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) ( input6 A )
+ ROUTED met1 ( 110160 284715 ) ( 111600 284715 )
NEW met2 ( 111600 284715 ) ( 111600 296370 0 )
NEW li1 ( 110160 284715 ) L1M1_PR_MR
NEW met1 ( 111600 284715 ) M1M2_PR
+ USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) ( input7 A )
+ ROUTED met1 ( 119280 284715 ) ( 121200 284715 )
NEW met2 ( 119280 284715 ) ( 119280 296370 0 )
NEW li1 ( 121200 284715 ) L1M1_PR_MR
NEW met1 ( 119280 284715 ) M1M2_PR
+ USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) ( input8 A )
+ ROUTED met1 ( 127440 284715 ) ( 129840 284715 )
NEW met2 ( 127440 284715 ) ( 127440 296370 0 )
NEW met1 ( 127440 284715 ) M1M2_PR
NEW li1 ( 129840 284715 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) ( input9 A )
+ ROUTED met2 ( 135120 284715 ) ( 135120 296370 0 )
NEW met1 ( 135120 284715 ) ( 137040 284715 )
NEW met1 ( 135120 284715 ) M1M2_PR
NEW li1 ( 137040 284715 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) ( input10 A )
+ ROUTED met2 ( 143280 284715 ) ( 143280 296370 0 )
NEW li1 ( 143280 284715 ) L1M1_PR_MR
NEW met1 ( 143280 284715 ) M1M2_PR
NEW met1 ( 143280 284715 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) ( input11 A )
+ ROUTED met2 ( 150960 284715 ) ( 151440 284715 )
NEW met2 ( 150960 284715 ) ( 150960 296370 0 )
NEW li1 ( 151440 284715 ) L1M1_PR_MR
NEW met1 ( 151440 284715 ) M1M2_PR
NEW met1 ( 151440 284715 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) ( input12 A )
+ ROUTED met1 ( 14160 284715 ) ( 14160 285085 )
NEW met1 ( 8880 285085 ) ( 14160 285085 )
NEW met2 ( 8880 285085 ) ( 8880 296370 0 )
NEW li1 ( 14160 284715 ) L1M1_PR_MR
NEW met1 ( 8880 285085 ) M1M2_PR
+ USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) ( input13 A )
+ ROUTED met2 ( 158640 284715 ) ( 158640 296370 0 )
NEW li1 ( 158640 284715 ) L1M1_PR_MR
NEW met1 ( 158640 284715 ) M1M2_PR
NEW met1 ( 158640 284715 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) ( input14 A )
+ ROUTED met1 ( 166800 284715 ) ( 171600 284715 )
NEW met2 ( 166800 284715 ) ( 166800 296370 0 )
NEW li1 ( 171600 284715 ) L1M1_PR_MR
NEW met1 ( 166800 284715 ) M1M2_PR
+ USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) ( input15 A )
+ ROUTED met2 ( 174480 284715 ) ( 174480 296370 0 )
NEW li1 ( 174480 284715 ) L1M1_PR_MR
NEW met1 ( 174480 284715 ) M1M2_PR
NEW met1 ( 174480 284715 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) ( input16 A )
+ ROUTED met1 ( 182640 284345 ) ( 185040 284345 )
NEW met2 ( 182640 284345 ) ( 182640 296370 0 )
NEW met1 ( 182640 284345 ) M1M2_PR
NEW li1 ( 185040 284345 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) ( input17 A )
+ ROUTED met2 ( 190320 284715 ) ( 190320 296370 0 )
NEW li1 ( 190320 284715 ) L1M1_PR_MR
NEW met1 ( 190320 284715 ) M1M2_PR
NEW met1 ( 190320 284715 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) ( input18 A )
+ ROUTED met1 ( 198480 284345 ) ( 200400 284345 )
NEW met2 ( 198480 284345 ) ( 198480 296370 0 )
NEW met1 ( 198480 284345 ) M1M2_PR
NEW li1 ( 200400 284345 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) ( input19 A )
+ ROUTED met1 ( 206160 284715 ) ( 210000 284715 )
NEW met2 ( 206160 284715 ) ( 206160 296370 0 )
NEW met1 ( 206160 284715 ) M1M2_PR
NEW li1 ( 210000 284715 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) ( input20 A )
+ ROUTED met1 ( 214320 284345 ) ( 216240 284345 )
NEW met2 ( 214320 284345 ) ( 214320 296370 0 )
NEW met1 ( 214320 284345 ) M1M2_PR
NEW li1 ( 216240 284345 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[28] ( PIN io_in[28] ) ( input21 A )
+ ROUTED met1 ( 222000 284715 ) ( 223440 284715 )
NEW met2 ( 222000 284715 ) ( 222000 296370 0 )
NEW met1 ( 222000 284715 ) M1M2_PR
NEW li1 ( 223440 284715 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) ( input22 A )
+ ROUTED met1 ( 229680 284345 ) ( 231600 284345 )
NEW met2 ( 229680 284345 ) ( 229680 296370 0 )
NEW met1 ( 229680 284345 ) M1M2_PR
NEW li1 ( 231600 284345 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) ( input23 A )
+ ROUTED met1 ( 16560 285085 ) ( 29040 285085 )
NEW met1 ( 29040 284715 ) ( 29040 285085 )
NEW met2 ( 16560 285085 ) ( 16560 296370 0 )
NEW met1 ( 16560 285085 ) M1M2_PR
NEW li1 ( 29040 284715 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) ( input24 A )
+ ROUTED met2 ( 237840 284715 ) ( 237840 296370 0 )
NEW li1 ( 237840 284715 ) L1M1_PR_MR
NEW met1 ( 237840 284715 ) M1M2_PR
NEW met1 ( 237840 284715 ) RECT ( 0 -70 355 70 )
+ USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) ( input25 A )
+ ROUTED met1 ( 245040 284345 ) ( 245520 284345 )
NEW met2 ( 245520 284345 ) ( 245520 296370 0 )
NEW met1 ( 245520 284345 ) M1M2_PR
NEW li1 ( 245040 284345 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[32] ( PIN io_in[32] ) ( input26 A )
+ ROUTED met1 ( 253680 284345 ) ( 255600 284345 )
NEW met2 ( 253680 284345 ) ( 253680 296370 0 )
NEW met1 ( 253680 284345 ) M1M2_PR
NEW li1 ( 255600 284345 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[33] ( PIN io_in[33] ) ( input27 A )
+ ROUTED met1 ( 261360 284345 ) ( 265680 284345 )
NEW met2 ( 261360 284345 ) ( 261360 296370 0 )
NEW met1 ( 261360 284345 ) M1M2_PR
NEW li1 ( 265680 284345 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[34] ( PIN io_in[34] ) ( input28 A )
+ ROUTED met2 ( 269520 284715 ) ( 269520 296370 0 )
NEW li1 ( 269520 284715 ) L1M1_PR_MR
NEW met1 ( 269520 284715 ) M1M2_PR
NEW met1 ( 269520 284715 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) ( input29 A )
+ ROUTED met1 ( 277200 284345 ) ( 279120 284345 )
NEW met2 ( 277200 284345 ) ( 277200 296370 0 )
NEW met1 ( 277200 284345 ) M1M2_PR
NEW li1 ( 279120 284345 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[36] ( PIN io_in[36] ) ( input30 A )
+ ROUTED met2 ( 285360 284345 ) ( 285360 296370 0 )
NEW li1 ( 285360 284345 ) L1M1_PR_MR
NEW met1 ( 285360 284345 ) M1M2_PR
NEW met1 ( 285360 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- io_in[37] ( PIN io_in[37] ) ( input31 A )
+ ROUTED met1 ( 289200 281385 ) ( 293040 281385 )
NEW met2 ( 293040 281385 ) ( 293040 296370 0 )
NEW li1 ( 289200 281385 ) L1M1_PR_MR
NEW met1 ( 293040 281385 ) M1M2_PR
+ USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) ( input32 A )
+ ROUTED met1 ( 24720 284715 ) ( 26640 284715 )
NEW met2 ( 24720 284715 ) ( 24720 296370 0 )
NEW li1 ( 26640 284715 ) L1M1_PR_MR
NEW met1 ( 24720 284715 ) M1M2_PR
+ USE SIGNAL ;
- io_in[4] ( PIN io_in[4] ) ( input33 A )
+ ROUTED met2 ( 32400 284715 ) ( 32400 296370 0 )
NEW met1 ( 32400 284715 ) ( 37200 284715 )
NEW met1 ( 32400 284715 ) M1M2_PR
NEW li1 ( 37200 284715 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) ( input34 A )
+ ROUTED met2 ( 40560 284715 ) ( 40560 296370 0 )
NEW li1 ( 40560 284715 ) L1M1_PR_MR
NEW met1 ( 40560 284715 ) M1M2_PR
NEW met1 ( 40560 284715 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) ( input35 A )
+ ROUTED met1 ( 48240 285085 ) ( 49680 285085 )
NEW met2 ( 48240 285085 ) ( 48240 296370 0 )
NEW li1 ( 49680 285085 ) L1M1_PR_MR
NEW met1 ( 48240 285085 ) M1M2_PR
+ USE SIGNAL ;
- io_in[7] ( PIN io_in[7] ) ( input36 A )
+ ROUTED met1 ( 57360 284715 ) ( 57360 285085 )
NEW met1 ( 56400 285085 ) ( 57360 285085 )
NEW met2 ( 56400 285085 ) ( 56400 296370 0 )
NEW li1 ( 57360 284715 ) L1M1_PR_MR
NEW met1 ( 56400 285085 ) M1M2_PR
+ USE SIGNAL ;
- io_in[8] ( PIN io_in[8] ) ( input37 A )
+ ROUTED met1 ( 64080 284715 ) ( 66000 284715 )
NEW met2 ( 64080 284715 ) ( 64080 296370 0 )
NEW li1 ( 66000 284715 ) L1M1_PR_MR
NEW met1 ( 64080 284715 ) M1M2_PR
+ USE SIGNAL ;
- io_in[9] ( PIN io_in[9] ) ( input38 A )
+ ROUTED met1 ( 72240 284715 ) ( 75600 284715 )
NEW met2 ( 72240 284715 ) ( 72240 296370 0 )
NEW met1 ( 72240 284715 ) M1M2_PR
NEW li1 ( 75600 284715 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) ( output368 X )
+ ROUTED met1 ( 3600 282495 ) ( 8400 282495 )
NEW met2 ( 3600 282495 ) ( 3600 296370 0 )
NEW met1 ( 3600 282495 ) M1M2_PR
NEW li1 ( 8400 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[10] ( PIN io_oeb[10] ) ( output369 X )
+ ROUTED met1 ( 69840 285085 ) ( 82320 285085 )
NEW met2 ( 82320 285085 ) ( 82320 296370 0 )
NEW met1 ( 82320 285085 ) M1M2_PR
NEW li1 ( 69840 285085 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[11] ( PIN io_oeb[11] ) ( output370 X )
+ ROUTED met1 ( 90480 282495 ) ( 90960 282495 )
NEW met2 ( 90480 282495 ) ( 90480 296370 0 )
NEW met1 ( 90480 282495 ) M1M2_PR
NEW li1 ( 90960 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[12] ( PIN io_oeb[12] ) ( output371 X )
+ ROUTED met2 ( 98160 288000 ) ( 98160 296370 0 )
NEW met2 ( 98160 288000 ) ( 100080 288000 )
NEW met2 ( 100080 282495 ) ( 100080 288000 )
NEW met1 ( 100080 282495 ) ( 101520 282495 )
NEW met1 ( 100080 282495 ) M1M2_PR
NEW li1 ( 101520 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[13] ( PIN io_oeb[13] ) ( output372 X )
+ ROUTED met1 ( 106320 282495 ) ( 106800 282495 )
NEW met2 ( 106320 282495 ) ( 106320 296370 0 )
NEW met1 ( 106320 282495 ) M1M2_PR
NEW li1 ( 106800 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[14] ( PIN io_oeb[14] ) ( output373 X )
+ ROUTED met1 ( 114000 282495 ) ( 114480 282495 )
NEW met2 ( 114000 282495 ) ( 114000 296370 0 )
NEW met1 ( 114000 282495 ) M1M2_PR
NEW li1 ( 114480 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[15] ( PIN io_oeb[15] ) ( output374 X )
+ ROUTED met1 ( 121680 282495 ) ( 122160 282495 )
NEW met2 ( 122160 282495 ) ( 122160 296370 0 )
NEW li1 ( 121680 282495 ) L1M1_PR_MR
NEW met1 ( 122160 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[16] ( PIN io_oeb[16] ) ( output375 X )
+ ROUTED met1 ( 129840 282495 ) ( 130320 282495 )
NEW met2 ( 129840 282495 ) ( 129840 296370 0 )
NEW met1 ( 129840 282495 ) M1M2_PR
NEW li1 ( 130320 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[17] ( PIN io_oeb[17] ) ( output376 X )
+ ROUTED met1 ( 138000 282495 ) ( 138960 282495 )
NEW met2 ( 138000 282495 ) ( 138000 296370 0 )
NEW li1 ( 138960 282495 ) L1M1_PR_MR
NEW met1 ( 138000 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[18] ( PIN io_oeb[18] ) ( output377 X )
+ ROUTED met1 ( 145680 283605 ) ( 162960 283605 )
NEW met2 ( 145680 283605 ) ( 145680 296370 0 )
NEW met1 ( 145680 283605 ) M1M2_PR
NEW li1 ( 162960 283605 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[19] ( PIN io_oeb[19] ) ( output378 X )
+ ROUTED met1 ( 153360 282495 ) ( 154800 282495 )
NEW met2 ( 153360 282495 ) ( 153360 296370 0 )
NEW li1 ( 154800 282495 ) L1M1_PR_MR
NEW met1 ( 153360 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[1] ( PIN io_oeb[1] ) ( output379 X )
+ ROUTED met1 ( 11280 282495 ) ( 12240 282495 )
NEW met2 ( 11280 282495 ) ( 11280 296370 0 )
NEW met1 ( 11280 282495 ) M1M2_PR
NEW li1 ( 12240 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) ( output380 X )
+ ROUTED met1 ( 161520 282495 ) ( 162000 282495 )
NEW met2 ( 161520 282495 ) ( 161520 296370 0 )
NEW met1 ( 161520 282495 ) M1M2_PR
NEW li1 ( 162000 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) ( output381 X )
+ ROUTED met1 ( 169200 282495 ) ( 170160 282495 )
NEW met2 ( 169200 282495 ) ( 169200 296370 0 )
NEW li1 ( 170160 282495 ) L1M1_PR_MR
NEW met1 ( 169200 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) ( output382 X )
+ ROUTED met1 ( 181200 280645 ) ( 181200 281015 )
NEW met1 ( 177360 280645 ) ( 181200 280645 )
NEW met2 ( 177360 280645 ) ( 177360 296370 0 )
NEW li1 ( 181200 281015 ) L1M1_PR_MR
NEW met1 ( 177360 280645 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) ( output383 X )
+ ROUTED met2 ( 201840 280645 ) ( 201840 283605 )
NEW met1 ( 201840 283605 ) ( 203760 283605 )
NEW met2 ( 185040 288000 ) ( 185040 296370 0 )
NEW met2 ( 185520 280645 ) ( 185520 288000 )
NEW met2 ( 185040 288000 ) ( 185520 288000 )
NEW met1 ( 185520 280645 ) ( 201840 280645 )
NEW met1 ( 201840 280645 ) M1M2_PR
NEW met1 ( 201840 283605 ) M1M2_PR
NEW li1 ( 203760 283605 ) L1M1_PR_MR
NEW met1 ( 185520 280645 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) ( output384 X )
+ ROUTED met1 ( 193200 282495 ) ( 193680 282495 )
NEW met2 ( 193200 282495 ) ( 193200 296370 0 )
NEW met1 ( 193200 282495 ) M1M2_PR
NEW li1 ( 193680 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) ( output385 X )
+ ROUTED met1 ( 200880 282495 ) ( 201360 282495 )
NEW met2 ( 200880 282495 ) ( 200880 296370 0 )
NEW li1 ( 201360 282495 ) L1M1_PR_MR
NEW met1 ( 200880 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) ( output386 X )
+ ROUTED met1 ( 209040 282495 ) ( 210000 282495 )
NEW met2 ( 209040 282495 ) ( 209040 296370 0 )
NEW li1 ( 210000 282495 ) L1M1_PR_MR
NEW met1 ( 209040 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[27] ( PIN io_oeb[27] ) ( output387 X )
+ ROUTED met1 ( 216720 282495 ) ( 217680 282495 )
NEW met2 ( 216720 282495 ) ( 216720 296370 0 )
NEW li1 ( 217680 282495 ) L1M1_PR_MR
NEW met1 ( 216720 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[28] ( PIN io_oeb[28] ) ( output388 X )
+ ROUTED met1 ( 224880 282495 ) ( 225840 282495 )
NEW met2 ( 224880 282495 ) ( 224880 296370 0 )
NEW li1 ( 225840 282495 ) L1M1_PR_MR
NEW met1 ( 224880 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[29] ( PIN io_oeb[29] ) ( output389 X )
+ ROUTED met1 ( 232560 282495 ) ( 234000 282495 )
NEW met2 ( 232560 282495 ) ( 232560 296370 0 )
NEW li1 ( 234000 282495 ) L1M1_PR_MR
NEW met1 ( 232560 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[2] ( PIN io_oeb[2] ) ( output390 X )
+ ROUTED met1 ( 19440 282495 ) ( 22320 282495 )
NEW met2 ( 19440 282495 ) ( 19440 296370 0 )
NEW met1 ( 19440 282495 ) M1M2_PR
NEW li1 ( 22320 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[30] ( PIN io_oeb[30] ) ( output391 X )
+ ROUTED met1 ( 240240 282495 ) ( 240720 282495 )
NEW met2 ( 240240 282495 ) ( 240240 296370 0 )
NEW met1 ( 240240 282495 ) M1M2_PR
NEW li1 ( 240720 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[31] ( PIN io_oeb[31] ) ( output392 X )
+ ROUTED met1 ( 248400 282495 ) ( 248880 282495 )
NEW met2 ( 248400 282495 ) ( 248400 296370 0 )
NEW met1 ( 248400 282495 ) M1M2_PR
NEW li1 ( 248880 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[32] ( PIN io_oeb[32] ) ( output393 X )
+ ROUTED met1 ( 256080 282495 ) ( 259920 282495 )
NEW met2 ( 256080 282495 ) ( 256080 296370 0 )
NEW met1 ( 256080 282495 ) M1M2_PR
NEW li1 ( 259920 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[33] ( PIN io_oeb[33] ) ( output394 X )
+ ROUTED met2 ( 264240 288000 ) ( 264240 296370 0 )
NEW met2 ( 264240 288000 ) ( 264720 288000 )
NEW met2 ( 264720 282495 ) ( 264720 288000 )
NEW li1 ( 264720 282495 ) L1M1_PR_MR
NEW met1 ( 264720 282495 ) M1M2_PR
NEW met1 ( 264720 282495 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- io_oeb[34] ( PIN io_oeb[34] ) ( output395 X )
+ ROUTED met1 ( 271920 282495 ) ( 272880 282495 )
NEW met2 ( 271920 282495 ) ( 271920 296370 0 )
NEW li1 ( 272880 282495 ) L1M1_PR_MR
NEW met1 ( 271920 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[35] ( PIN io_oeb[35] ) ( output396 X )
+ ROUTED met1 ( 280080 282495 ) ( 280560 282495 )
NEW met2 ( 280080 282495 ) ( 280080 296370 0 )
NEW li1 ( 280560 282495 ) L1M1_PR_MR
NEW met1 ( 280080 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[36] ( PIN io_oeb[36] ) ( output397 X )
+ ROUTED met2 ( 287760 278425 ) ( 287760 296370 0 )
NEW met1 ( 287760 278425 ) ( 288720 278425 )
NEW li1 ( 288720 278425 ) L1M1_PR_MR
NEW met1 ( 287760 278425 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[37] ( PIN io_oeb[37] ) ( output398 X )
+ ROUTED met1 ( 289680 275835 ) ( 295920 275835 )
NEW met2 ( 295920 275835 ) ( 295920 296370 0 )
NEW met1 ( 295920 275835 ) M1M2_PR
NEW li1 ( 289680 275835 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[3] ( PIN io_oeb[3] ) ( output399 X )
+ ROUTED met1 ( 27120 282495 ) ( 27600 282495 )
NEW met2 ( 27120 282495 ) ( 27120 296370 0 )
NEW met1 ( 27120 282495 ) M1M2_PR
NEW li1 ( 27600 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[4] ( PIN io_oeb[4] ) ( output400 X )
+ ROUTED met1 ( 35280 282495 ) ( 35760 282495 )
NEW met2 ( 35280 282495 ) ( 35280 296370 0 )
NEW met1 ( 35280 282495 ) M1M2_PR
NEW li1 ( 35760 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[5] ( PIN io_oeb[5] ) ( output401 X )
+ ROUTED met1 ( 42480 282495 ) ( 42960 282495 )
NEW met2 ( 42960 282495 ) ( 42960 296370 0 )
NEW li1 ( 42480 282495 ) L1M1_PR_MR
NEW met1 ( 42960 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[6] ( PIN io_oeb[6] ) ( output402 X )
+ ROUTED met1 ( 51120 282495 ) ( 51600 282495 )
NEW met2 ( 51120 282495 ) ( 51120 296370 0 )
NEW met1 ( 51120 282495 ) M1M2_PR
NEW li1 ( 51600 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[7] ( PIN io_oeb[7] ) ( output403 X )
+ ROUTED met1 ( 58800 282495 ) ( 59280 282495 )
NEW met2 ( 58800 282495 ) ( 58800 296370 0 )
NEW met1 ( 58800 282495 ) M1M2_PR
NEW li1 ( 59280 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_oeb[8] ( PIN io_oeb[8] ) ( output404 X )
+ ROUTED met1 ( 66960 282495 ) ( 67920 282495 )
NEW met2 ( 66960 282495 ) ( 66960 296370 0 )
NEW li1 ( 67920 282495 ) L1M1_PR_MR
NEW met1 ( 66960 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[9] ( PIN io_oeb[9] ) ( output405 X )
+ ROUTED met1 ( 74640 282495 ) ( 75120 282495 )
NEW met2 ( 74640 282495 ) ( 74640 296370 0 )
NEW met1 ( 74640 282495 ) M1M2_PR
NEW li1 ( 75120 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[0] ( PIN io_out[0] ) ( output406 X )
+ ROUTED met1 ( 6000 278425 ) ( 8400 278425 )
NEW met2 ( 6000 278425 ) ( 6000 296370 0 )
NEW met1 ( 6000 278425 ) M1M2_PR
NEW li1 ( 8400 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[10] ( PIN io_out[10] ) ( output407 X )
+ ROUTED met1 ( 85200 282495 ) ( 85680 282495 )
NEW met2 ( 85200 282495 ) ( 85200 296370 0 )
NEW met1 ( 85200 282495 ) M1M2_PR
NEW li1 ( 85680 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[11] ( PIN io_out[11] ) ( output408 X )
+ ROUTED met1 ( 92880 282495 ) ( 94800 282495 )
NEW met2 ( 92880 282495 ) ( 92880 296370 0 )
NEW met1 ( 92880 282495 ) M1M2_PR
NEW li1 ( 94800 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[12] ( PIN io_out[12] ) ( output409 X )
+ ROUTED met1 ( 101040 278425 ) ( 101520 278425 )
NEW met2 ( 101040 278425 ) ( 101040 296370 0 )
NEW met1 ( 101040 278425 ) M1M2_PR
NEW li1 ( 101520 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[13] ( PIN io_out[13] ) ( output410 X )
+ ROUTED met1 ( 108720 282495 ) ( 110640 282495 )
NEW met2 ( 108720 282495 ) ( 108720 296370 0 )
NEW met1 ( 108720 282495 ) M1M2_PR
NEW li1 ( 110640 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[14] ( PIN io_out[14] ) ( output411 X )
+ ROUTED met1 ( 116880 278425 ) ( 117840 278425 )
NEW met2 ( 116880 278425 ) ( 116880 296370 0 )
NEW met1 ( 116880 278425 ) M1M2_PR
NEW li1 ( 117840 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[15] ( PIN io_out[15] ) ( output412 X )
+ ROUTED met1 ( 124560 278425 ) ( 125040 278425 )
NEW met2 ( 124560 278425 ) ( 124560 296370 0 )
NEW met1 ( 124560 278425 ) M1M2_PR
NEW li1 ( 125040 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[16] ( PIN io_out[16] ) ( output413 X )
+ ROUTED met1 ( 132720 282495 ) ( 134640 282495 )
NEW met2 ( 132720 282495 ) ( 132720 296370 0 )
NEW li1 ( 134640 282495 ) L1M1_PR_MR
NEW met1 ( 132720 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_out[17] ( PIN io_out[17] ) ( output414 X )
+ ROUTED met1 ( 140400 282495 ) ( 142320 282495 )
NEW met2 ( 140400 282495 ) ( 140400 296370 0 )
NEW met1 ( 140400 282495 ) M1M2_PR
NEW li1 ( 142320 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[18] ( PIN io_out[18] ) ( output415 X )
+ ROUTED met2 ( 148560 282495 ) ( 148560 296370 0 )
NEW li1 ( 148560 282495 ) L1M1_PR_MR
NEW met1 ( 148560 282495 ) M1M2_PR
NEW met1 ( 148560 282495 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- io_out[19] ( PIN io_out[19] ) ( output416 X )
+ ROUTED met1 ( 156240 282495 ) ( 158160 282495 )
NEW met2 ( 156240 282495 ) ( 156240 296370 0 )
NEW met1 ( 156240 282495 ) M1M2_PR
NEW li1 ( 158160 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[1] ( PIN io_out[1] ) ( output417 X )
+ ROUTED met1 ( 14160 282495 ) ( 16080 282495 )
NEW met2 ( 14160 282495 ) ( 14160 296370 0 )
NEW met1 ( 14160 282495 ) M1M2_PR
NEW li1 ( 16080 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) ( output418 X )
+ ROUTED met1 ( 166320 280645 ) ( 166320 281015 )
NEW met1 ( 163920 280645 ) ( 166320 280645 )
NEW met2 ( 163920 280645 ) ( 163920 296370 0 )
NEW li1 ( 166320 281015 ) L1M1_PR_MR
NEW met1 ( 163920 280645 ) M1M2_PR
+ USE SIGNAL ;
- io_out[21] ( PIN io_out[21] ) ( output419 X )
+ ROUTED met1 ( 172080 282495 ) ( 173520 282495 )
NEW met2 ( 172080 282495 ) ( 172080 296370 0 )
NEW met1 ( 172080 282495 ) M1M2_PR
NEW li1 ( 173520 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[22] ( PIN io_out[22] ) ( output420 X )
+ ROUTED met1 ( 180240 282495 ) ( 185040 282495 )
NEW met2 ( 179760 282495 ) ( 180240 282495 )
NEW met2 ( 179760 282495 ) ( 179760 296370 0 )
NEW li1 ( 185040 282495 ) L1M1_PR_MR
NEW met1 ( 180240 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_out[23] ( PIN io_out[23] ) ( output421 X )
+ ROUTED met1 ( 187920 282495 ) ( 188880 282495 )
NEW met2 ( 187920 282495 ) ( 187920 296370 0 )
NEW li1 ( 188880 282495 ) L1M1_PR_MR
NEW met1 ( 187920 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_out[24] ( PIN io_out[24] ) ( output422 X )
+ ROUTED met1 ( 195600 278425 ) ( 196560 278425 )
NEW met2 ( 195600 278425 ) ( 195600 296370 0 )
NEW met1 ( 195600 278425 ) M1M2_PR
NEW li1 ( 196560 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[25] ( PIN io_out[25] ) ( output423 X )
+ ROUTED met1 ( 203760 278425 ) ( 204240 278425 )
NEW met2 ( 203760 278425 ) ( 203760 296370 0 )
NEW met1 ( 203760 278425 ) M1M2_PR
NEW li1 ( 204240 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[26] ( PIN io_out[26] ) ( output424 X )
+ ROUTED met1 ( 211440 282495 ) ( 213840 282495 )
NEW met2 ( 211440 282495 ) ( 211440 296370 0 )
NEW li1 ( 213840 282495 ) L1M1_PR_MR
NEW met1 ( 211440 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_out[27] ( PIN io_out[27] ) ( output425 X )
+ ROUTED met1 ( 219600 282495 ) ( 221520 282495 )
NEW met2 ( 219600 282495 ) ( 219600 296370 0 )
NEW li1 ( 221520 282495 ) L1M1_PR_MR
NEW met1 ( 219600 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_out[28] ( PIN io_out[28] ) ( output426 X )
+ ROUTED met1 ( 227280 278425 ) ( 228240 278425 )
NEW met2 ( 227280 278425 ) ( 227280 296370 0 )
NEW met1 ( 227280 278425 ) M1M2_PR
NEW li1 ( 228240 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[29] ( PIN io_out[29] ) ( output427 X )
+ ROUTED met1 ( 234960 278425 ) ( 235920 278425 )
NEW met2 ( 234960 278425 ) ( 234960 296370 0 )
NEW met1 ( 234960 278425 ) M1M2_PR
NEW li1 ( 235920 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[2] ( PIN io_out[2] ) ( output428 X )
+ ROUTED met2 ( 21840 288000 ) ( 21840 296370 0 )
NEW met2 ( 21840 288000 ) ( 23280 288000 )
NEW met2 ( 23280 278425 ) ( 23280 288000 )
NEW met1 ( 22320 278425 ) ( 23280 278425 )
NEW met1 ( 23280 278425 ) M1M2_PR
NEW li1 ( 22320 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[30] ( PIN io_out[30] ) ( output429 X )
+ ROUTED met1 ( 243120 282495 ) ( 245040 282495 )
NEW met2 ( 243120 282495 ) ( 243120 296370 0 )
NEW li1 ( 245040 282495 ) L1M1_PR_MR
NEW met1 ( 243120 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_out[31] ( PIN io_out[31] ) ( output430 X )
+ ROUTED met1 ( 250800 282495 ) ( 252720 282495 )
NEW met2 ( 250800 282495 ) ( 250800 296370 0 )
NEW met1 ( 250800 282495 ) M1M2_PR
NEW li1 ( 252720 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[32] ( PIN io_out[32] ) ( output431 X )
+ ROUTED met1 ( 258960 278425 ) ( 259920 278425 )
NEW met2 ( 258960 278425 ) ( 258960 296370 0 )
NEW met1 ( 258960 278425 ) M1M2_PR
NEW li1 ( 259920 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[33] ( PIN io_out[33] ) ( output432 X )
+ ROUTED met1 ( 266640 282495 ) ( 269040 282495 )
NEW met2 ( 266640 282495 ) ( 266640 296370 0 )
NEW li1 ( 269040 282495 ) L1M1_PR_MR
NEW met1 ( 266640 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_out[34] ( PIN io_out[34] ) ( output433 X )
+ ROUTED met1 ( 274800 282495 ) ( 276720 282495 )
NEW met2 ( 274800 282495 ) ( 274800 296370 0 )
NEW li1 ( 276720 282495 ) L1M1_PR_MR
NEW met1 ( 274800 282495 ) M1M2_PR
+ USE SIGNAL ;
- io_out[35] ( PIN io_out[35] ) ( output434 X )
+ ROUTED met1 ( 282480 278425 ) ( 283440 278425 )
NEW met2 ( 282480 278425 ) ( 282480 296370 0 )
NEW met1 ( 282480 278425 ) M1M2_PR
NEW li1 ( 283440 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[36] ( PIN io_out[36] ) ( output435 X )
+ ROUTED met1 ( 289680 271765 ) ( 290640 271765 )
NEW met2 ( 290640 271765 ) ( 290640 296370 0 )
NEW met1 ( 290640 271765 ) M1M2_PR
NEW li1 ( 289680 271765 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[37] ( PIN io_out[37] ) ( output436 X )
+ ROUTED met1 ( 289680 269175 ) ( 298320 269175 )
NEW met2 ( 298320 269175 ) ( 298320 296370 0 )
NEW met1 ( 298320 269175 ) M1M2_PR
NEW li1 ( 289680 269175 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[3] ( PIN io_out[3] ) ( output437 X )
+ ROUTED met1 ( 30000 282495 ) ( 31440 282495 )
NEW met2 ( 30000 282495 ) ( 30000 296370 0 )
NEW met1 ( 30000 282495 ) M1M2_PR
NEW li1 ( 31440 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[4] ( PIN io_out[4] ) ( output438 X )
+ ROUTED met1 ( 37680 278425 ) ( 38160 278425 )
NEW met2 ( 37680 278425 ) ( 37680 296370 0 )
NEW met1 ( 37680 278425 ) M1M2_PR
NEW li1 ( 38160 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[5] ( PIN io_out[5] ) ( output439 X )
+ ROUTED met1 ( 45840 278425 ) ( 46800 278425 )
NEW met2 ( 45840 278425 ) ( 45840 296370 0 )
NEW met1 ( 45840 278425 ) M1M2_PR
NEW li1 ( 46800 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[6] ( PIN io_out[6] ) ( output440 X )
+ ROUTED met1 ( 53520 282495 ) ( 55440 282495 )
NEW met2 ( 53520 282495 ) ( 53520 296370 0 )
NEW met1 ( 53520 282495 ) M1M2_PR
NEW li1 ( 55440 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[7] ( PIN io_out[7] ) ( output441 X )
+ ROUTED met1 ( 61680 282495 ) ( 63120 282495 )
NEW met2 ( 61680 282495 ) ( 61680 296370 0 )
NEW met1 ( 61680 282495 ) M1M2_PR
NEW li1 ( 63120 282495 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[8] ( PIN io_out[8] ) ( output442 X )
+ ROUTED met1 ( 69360 278425 ) ( 69840 278425 )
NEW met2 ( 69360 278425 ) ( 69360 296370 0 )
NEW met1 ( 69360 278425 ) M1M2_PR
NEW li1 ( 69840 278425 ) L1M1_PR_MR
+ USE SIGNAL ;
- io_out[9] ( PIN io_out[9] ) ( output443 X )
+ ROUTED met1 ( 79440 280645 ) ( 79440 281015 )
NEW met1 ( 77040 280645 ) ( 79440 280645 )
NEW met2 ( 77040 280645 ) ( 77040 296370 0 )
NEW li1 ( 79440 281015 ) L1M1_PR_MR
NEW met1 ( 77040 280645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[0] ( PIN la_data_in[0] ) ( input39 A )
+ ROUTED met2 ( 65040 3330 0 ) ( 65040 14985 )
NEW li1 ( 65040 14985 ) L1M1_PR_MR
NEW met1 ( 65040 14985 ) M1M2_PR
NEW met1 ( 65040 14985 ) RECT ( 0 -70 355 70 )
+ USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] ) ( input40 A )
+ ROUTED met2 ( 248400 3330 0 ) ( 248400 14985 )
NEW met1 ( 248400 14985 ) ( 250320 14985 )
NEW met1 ( 248400 14985 ) M1M2_PR
NEW li1 ( 250320 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) ( input41 A )
+ ROUTED met2 ( 250320 3330 0 ) ( 250320 14245 )
NEW met1 ( 250320 14245 ) ( 250320 14615 )
NEW met1 ( 250320 14615 ) ( 254160 14615 )
NEW met1 ( 254160 14615 ) ( 254160 14985 )
NEW met1 ( 250320 14245 ) M1M2_PR
NEW li1 ( 254160 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) ( input42 A )
+ ROUTED met2 ( 252240 3330 0 ) ( 252240 9250 )
NEW met2 ( 252240 9250 ) ( 253680 9250 )
NEW met2 ( 253680 9250 ) ( 253680 18315 )
NEW met1 ( 252720 18315 ) ( 253680 18315 )
NEW met1 ( 253680 18315 ) M1M2_PR
NEW li1 ( 252720 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) ( input43 A )
+ ROUTED met2 ( 254160 3330 0 ) ( 254160 18315 )
NEW met1 ( 254160 18315 ) ( 256080 18315 )
NEW met1 ( 254160 18315 ) M1M2_PR
NEW li1 ( 256080 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) ( input44 A )
+ ROUTED met2 ( 256080 3330 0 ) ( 256080 18315 )
NEW met2 ( 256080 18315 ) ( 256560 18315 )
NEW met1 ( 256560 18315 ) ( 259920 18315 )
NEW met1 ( 256560 18315 ) M1M2_PR
NEW li1 ( 259920 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) ( input45 A )
+ ROUTED met2 ( 257520 3330 0 ) ( 257520 14985 )
NEW met1 ( 257520 14985 ) ( 263760 14985 )
NEW met1 ( 257520 14985 ) M1M2_PR
NEW li1 ( 263760 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) ( input46 A )
+ ROUTED met2 ( 259440 3330 0 ) ( 259440 9250 )
NEW met2 ( 259440 9250 ) ( 259920 9250 )
NEW met2 ( 259920 9250 ) ( 259920 18315 )
NEW met2 ( 259920 18315 ) ( 260400 18315 )
NEW met1 ( 260400 18315 ) ( 263760 18315 )
NEW met1 ( 260400 18315 ) M1M2_PR
NEW li1 ( 263760 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) ( input47 A )
+ ROUTED met2 ( 261360 3330 0 ) ( 261360 14615 )
NEW met1 ( 261360 14615 ) ( 264240 14615 )
NEW met1 ( 264240 14615 ) ( 264240 14985 )
NEW met1 ( 264240 14985 ) ( 267600 14985 )
NEW met1 ( 261360 14615 ) M1M2_PR
NEW li1 ( 267600 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) ( input48 A )
+ ROUTED met2 ( 263280 3330 0 ) ( 263280 21645 )
NEW li1 ( 263280 21645 ) L1M1_PR_MR
NEW met1 ( 263280 21645 ) M1M2_PR
NEW met1 ( 263280 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) ( input49 A )
+ ROUTED met2 ( 265200 3330 0 ) ( 265200 21275 )
NEW met1 ( 265200 21275 ) ( 267120 21275 )
NEW met1 ( 267120 21275 ) ( 267120 21645 )
NEW met1 ( 265200 21275 ) M1M2_PR
NEW li1 ( 267120 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) ( input50 A )
+ ROUTED met2 ( 83280 3330 0 ) ( 83280 14985 )
NEW li1 ( 83280 14985 ) L1M1_PR_MR
NEW met1 ( 83280 14985 ) M1M2_PR
NEW met1 ( 83280 14985 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) ( input51 A )
+ ROUTED met2 ( 267120 3330 0 ) ( 267120 18315 )
NEW met1 ( 267120 18315 ) ( 272400 18315 )
NEW met1 ( 267120 18315 ) M1M2_PR
NEW li1 ( 272400 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) ( input52 A )
+ ROUTED met2 ( 268560 3330 0 ) ( 268560 7770 )
NEW met2 ( 268560 7770 ) ( 269040 7770 )
NEW met2 ( 269040 7770 ) ( 269040 14985 )
NEW met1 ( 269040 14985 ) ( 277200 14985 )
NEW met1 ( 269040 14985 ) M1M2_PR
NEW li1 ( 277200 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) ( input53 A )
+ ROUTED met2 ( 270480 3330 0 ) ( 270480 21645 )
NEW met1 ( 270480 21645 ) ( 270960 21645 )
NEW met1 ( 270480 21645 ) M1M2_PR
NEW li1 ( 270960 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) ( input54 A )
+ ROUTED met2 ( 272400 3330 0 ) ( 272400 17575 )
NEW met1 ( 272400 17575 ) ( 276240 17575 )
NEW met1 ( 276240 17575 ) ( 276240 18315 )
NEW met1 ( 272400 17575 ) M1M2_PR
NEW li1 ( 276240 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) ( input55 A )
+ ROUTED met2 ( 274320 3330 0 ) ( 274320 14615 )
NEW met1 ( 274320 14615 ) ( 281040 14615 )
NEW met1 ( 281040 14615 ) ( 281040 14985 )
NEW met1 ( 274320 14615 ) M1M2_PR
NEW li1 ( 281040 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) ( input56 A )
+ ROUTED met2 ( 276240 3330 0 ) ( 276240 17205 )
NEW met1 ( 276240 17205 ) ( 280080 17205 )
NEW met1 ( 280080 17205 ) ( 280080 18315 )
NEW met1 ( 276240 17205 ) M1M2_PR
NEW li1 ( 280080 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) ( input57 A )
+ ROUTED met2 ( 278160 3330 0 ) ( 278160 21645 )
NEW li1 ( 278160 21645 ) L1M1_PR_MR
NEW met1 ( 278160 21645 ) M1M2_PR
NEW met1 ( 278160 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) ( input58 A )
+ ROUTED met2 ( 279600 3330 0 ) ( 279600 18685 )
NEW met1 ( 279600 18685 ) ( 283920 18685 )
NEW met1 ( 283920 18315 ) ( 283920 18685 )
NEW met1 ( 279600 18685 ) M1M2_PR
NEW li1 ( 283920 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) ( input59 A )
+ ROUTED met2 ( 281520 3330 0 ) ( 281520 17575 )
NEW met1 ( 281520 17575 ) ( 287760 17575 )
NEW met1 ( 287760 17575 ) ( 287760 18315 )
NEW met1 ( 281520 17575 ) M1M2_PR
NEW li1 ( 287760 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) ( input60 A )
+ ROUTED met2 ( 283440 3330 0 ) ( 283440 21645 )
NEW met1 ( 283440 21645 ) ( 285840 21645 )
NEW met1 ( 283440 21645 ) M1M2_PR
NEW li1 ( 285840 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) ( input61 A )
+ ROUTED met1 ( 85200 14985 ) ( 89040 14985 )
NEW met2 ( 85200 3330 0 ) ( 85200 14985 )
NEW met1 ( 85200 14985 ) M1M2_PR
NEW li1 ( 89040 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] ) ( input62 A )
+ ROUTED met2 ( 285360 3330 0 ) ( 285360 24975 )
NEW li1 ( 285360 24975 ) L1M1_PR_MR
NEW met1 ( 285360 24975 ) M1M2_PR
NEW met1 ( 285360 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) ( input63 A )
+ ROUTED met2 ( 287280 3330 0 ) ( 287280 28305 )
NEW li1 ( 287280 28305 ) L1M1_PR_MR
NEW met1 ( 287280 28305 ) M1M2_PR
NEW met1 ( 287280 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) ( input64 A )
+ ROUTED met2 ( 289200 3330 0 ) ( 289200 24605 )
NEW met1 ( 282000 24605 ) ( 282000 24975 )
NEW met1 ( 282000 24605 ) ( 289200 24605 )
NEW met1 ( 289200 24605 ) M1M2_PR
NEW li1 ( 282000 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) ( input65 A )
+ ROUTED met2 ( 290640 3330 0 ) ( 290640 31635 )
NEW met1 ( 289200 31635 ) ( 290640 31635 )
NEW met1 ( 290640 31635 ) M1M2_PR
NEW li1 ( 289200 31635 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) ( input66 A )
+ ROUTED met2 ( 292560 3330 0 ) ( 292560 34965 )
NEW met1 ( 289200 34965 ) ( 292560 34965 )
NEW met1 ( 292560 34965 ) M1M2_PR
NEW li1 ( 289200 34965 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) ( input67 A )
+ ROUTED met2 ( 294480 3330 0 ) ( 294480 31265 )
NEW met1 ( 285360 31265 ) ( 285360 31635 )
NEW met1 ( 285360 31265 ) ( 294480 31265 )
NEW met1 ( 294480 31265 ) M1M2_PR
NEW li1 ( 285360 31635 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) ( input68 A )
+ ROUTED met2 ( 296400 3330 0 ) ( 296400 24235 )
NEW met1 ( 278160 24235 ) ( 278160 24975 )
NEW met1 ( 278160 24235 ) ( 296400 24235 )
NEW met1 ( 296400 24235 ) M1M2_PR
NEW li1 ( 278160 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) ( input69 A )
+ ROUTED met2 ( 298320 3330 0 ) ( 298320 27935 )
NEW met1 ( 280080 27935 ) ( 280080 28305 )
NEW met1 ( 280080 27935 ) ( 298320 27935 )
NEW met1 ( 298320 27935 ) M1M2_PR
NEW li1 ( 280080 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) ( input70 A )
+ ROUTED met1 ( 87120 18315 ) ( 87600 18315 )
NEW met2 ( 87120 3330 0 ) ( 87120 18315 )
NEW met1 ( 87120 18315 ) M1M2_PR
NEW li1 ( 87600 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) ( input71 A )
+ ROUTED met1 ( 88560 14615 ) ( 90000 14615 )
NEW met1 ( 90000 14615 ) ( 90000 14985 )
NEW met1 ( 90000 14985 ) ( 92880 14985 )
NEW met2 ( 88560 3330 0 ) ( 88560 14615 )
NEW met1 ( 88560 14615 ) M1M2_PR
NEW li1 ( 92880 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) ( input72 A )
+ ROUTED met1 ( 90480 18315 ) ( 91440 18315 )
NEW met2 ( 90480 3330 0 ) ( 90480 18315 )
NEW met1 ( 90480 18315 ) M1M2_PR
NEW li1 ( 91440 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) ( input73 A )
+ ROUTED met1 ( 92400 18315 ) ( 95280 18315 )
NEW met2 ( 92400 3330 0 ) ( 92400 18315 )
NEW met1 ( 92400 18315 ) M1M2_PR
NEW li1 ( 95280 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) ( input74 A )
+ ROUTED met2 ( 94320 15170 ) ( 94800 15170 )
NEW met2 ( 94800 14985 ) ( 94800 15170 )
NEW met1 ( 94800 14985 ) ( 102480 14985 )
NEW met2 ( 94320 3330 0 ) ( 94320 15170 )
NEW met1 ( 94800 14985 ) M1M2_PR
NEW li1 ( 102480 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) ( input75 A )
+ ROUTED met1 ( 96240 18315 ) ( 99120 18315 )
NEW met2 ( 96240 3330 0 ) ( 96240 18315 )
NEW met1 ( 96240 18315 ) M1M2_PR
NEW li1 ( 99120 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) ( input76 A )
+ ROUTED met1 ( 98160 14615 ) ( 106320 14615 )
NEW met1 ( 106320 14615 ) ( 106320 14985 )
NEW met2 ( 98160 3330 0 ) ( 98160 14615 )
NEW met1 ( 98160 14615 ) M1M2_PR
NEW li1 ( 106320 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) ( input77 A )
+ ROUTED met2 ( 99600 3330 0 ) ( 99600 9250 )
NEW met2 ( 99600 9250 ) ( 100080 9250 )
NEW met1 ( 100080 18315 ) ( 102960 18315 )
NEW met2 ( 100080 9250 ) ( 100080 18315 )
NEW met1 ( 100080 18315 ) M1M2_PR
NEW li1 ( 102960 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) ( input78 A )
+ ROUTED met1 ( 66960 14985 ) ( 68880 14985 )
NEW met2 ( 66960 3330 0 ) ( 66960 14985 )
NEW met1 ( 66960 14985 ) M1M2_PR
NEW li1 ( 68880 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) ( input79 A )
+ ROUTED met2 ( 101520 3330 0 ) ( 101520 21645 )
NEW li1 ( 101520 21645 ) L1M1_PR_MR
NEW met1 ( 101520 21645 ) M1M2_PR
NEW met1 ( 101520 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) ( input80 A )
+ ROUTED met1 ( 103440 18315 ) ( 106800 18315 )
NEW met2 ( 103440 3330 0 ) ( 103440 18315 )
NEW met1 ( 103440 18315 ) M1M2_PR
NEW li1 ( 106800 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) ( input81 A )
+ ROUTED met2 ( 105360 3330 0 ) ( 105360 21645 )
NEW li1 ( 105360 21645 ) L1M1_PR_MR
NEW met1 ( 105360 21645 ) M1M2_PR
NEW met1 ( 105360 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) ( input82 A )
+ ROUTED met2 ( 107280 3330 0 ) ( 107280 14985 )
NEW met1 ( 107280 14985 ) ( 115920 14985 )
NEW li1 ( 115920 14985 ) L1M1_PR_MR
NEW met1 ( 107280 14985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) ( input83 A )
+ ROUTED met2 ( 109200 3330 0 ) ( 109200 21645 )
NEW li1 ( 109200 21645 ) L1M1_PR_MR
NEW met1 ( 109200 21645 ) M1M2_PR
NEW met1 ( 109200 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) ( input84 A )
+ ROUTED met1 ( 110640 18315 ) ( 114000 18315 )
NEW met2 ( 110640 3330 0 ) ( 110640 18315 )
NEW met1 ( 110640 18315 ) M1M2_PR
NEW li1 ( 114000 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) ( input85 A )
+ ROUTED met1 ( 119760 14615 ) ( 119760 14985 )
NEW met2 ( 112560 3330 0 ) ( 112560 14615 )
NEW met1 ( 112560 14615 ) ( 119760 14615 )
NEW li1 ( 119760 14985 ) L1M1_PR_MR
NEW met1 ( 112560 14615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) ( input86 A )
+ ROUTED met2 ( 114480 3330 0 ) ( 114480 18315 )
NEW met1 ( 114480 18315 ) ( 117840 18315 )
NEW li1 ( 117840 18315 ) L1M1_PR_MR
NEW met1 ( 114480 18315 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) ( input87 A )
+ ROUTED met2 ( 116400 3330 0 ) ( 116400 21645 )
NEW li1 ( 116400 21645 ) L1M1_PR_MR
NEW met1 ( 116400 21645 ) M1M2_PR
NEW met1 ( 116400 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) ( input88 A )
+ ROUTED met2 ( 118320 3330 0 ) ( 118320 18315 )
NEW met1 ( 118320 18315 ) ( 121680 18315 )
NEW met1 ( 118320 18315 ) M1M2_PR
NEW li1 ( 121680 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) ( input89 A )
+ ROUTED met2 ( 68400 3330 0 ) ( 68400 18315 )
NEW li1 ( 68400 18315 ) L1M1_PR_MR
NEW met1 ( 68400 18315 ) M1M2_PR
NEW met1 ( 68400 18315 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) ( input90 A )
+ ROUTED met2 ( 120240 3330 0 ) ( 120240 14985 )
NEW met1 ( 120240 14985 ) ( 129360 14985 )
NEW met1 ( 120240 14985 ) M1M2_PR
NEW li1 ( 129360 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) ( input91 A )
+ ROUTED met2 ( 121680 3330 0 ) ( 121680 9250 )
NEW met2 ( 121680 9250 ) ( 122160 9250 )
NEW met2 ( 122160 9250 ) ( 122160 18315 )
NEW met1 ( 122160 18315 ) ( 125520 18315 )
NEW met1 ( 122160 18315 ) M1M2_PR
NEW li1 ( 125520 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) ( input92 A )
+ ROUTED met2 ( 123600 3330 0 ) ( 123600 17945 )
NEW met1 ( 123600 17945 ) ( 129360 17945 )
NEW met1 ( 129360 17945 ) ( 129360 18315 )
NEW met1 ( 123600 17945 ) M1M2_PR
NEW li1 ( 129360 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) ( input93 A )
+ ROUTED met2 ( 125520 3330 0 ) ( 125520 14615 )
NEW met1 ( 125520 14615 ) ( 133200 14615 )
NEW met1 ( 133200 14615 ) ( 133200 14985 )
NEW met1 ( 125520 14615 ) M1M2_PR
NEW li1 ( 133200 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) ( input94 A )
+ ROUTED met2 ( 127440 3330 0 ) ( 127440 21645 )
NEW li1 ( 127440 21645 ) L1M1_PR_MR
NEW met1 ( 127440 21645 ) M1M2_PR
NEW met1 ( 127440 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) ( input95 A )
+ ROUTED met2 ( 129360 3330 0 ) ( 129360 17575 )
NEW met1 ( 129360 17575 ) ( 133200 17575 )
NEW met1 ( 133200 17575 ) ( 133200 18315 )
NEW met1 ( 129360 17575 ) M1M2_PR
NEW li1 ( 133200 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) ( input96 A )
+ ROUTED met2 ( 130800 3330 0 ) ( 130800 21645 )
NEW met1 ( 130800 21645 ) ( 131280 21645 )
NEW met1 ( 130800 21645 ) M1M2_PR
NEW li1 ( 131280 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) ( input97 A )
+ ROUTED met2 ( 132720 3330 0 ) ( 132720 21645 )
NEW met1 ( 132720 21645 ) ( 135120 21645 )
NEW met1 ( 132720 21645 ) M1M2_PR
NEW li1 ( 135120 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) ( input98 A )
+ ROUTED met2 ( 134640 3330 0 ) ( 134640 14985 )
NEW met1 ( 134640 14985 ) ( 142800 14985 )
NEW met1 ( 134640 14985 ) M1M2_PR
NEW li1 ( 142800 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) ( input99 A )
+ ROUTED met2 ( 136560 3330 0 ) ( 136560 18315 )
NEW met1 ( 136560 18315 ) ( 140400 18315 )
NEW met1 ( 136560 18315 ) M1M2_PR
NEW li1 ( 140400 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) ( input100 A )
+ ROUTED met2 ( 70320 3330 0 ) ( 70320 5550 )
NEW met2 ( 70320 5550 ) ( 70800 5550 )
NEW met1 ( 70800 18315 ) ( 72240 18315 )
NEW met2 ( 70800 5550 ) ( 70800 18315 )
NEW met1 ( 70800 18315 ) M1M2_PR
NEW li1 ( 72240 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) ( input101 A )
+ ROUTED met2 ( 138480 3330 0 ) ( 138480 14615 )
NEW met1 ( 138480 14615 ) ( 146640 14615 )
NEW met1 ( 146640 14615 ) ( 146640 14985 )
NEW met1 ( 138480 14615 ) M1M2_PR
NEW li1 ( 146640 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) ( input102 A )
+ ROUTED met2 ( 140400 3330 0 ) ( 140400 17575 )
NEW met1 ( 140400 17575 ) ( 144240 17575 )
NEW met1 ( 144240 17575 ) ( 144240 18315 )
NEW met1 ( 140400 17575 ) M1M2_PR
NEW li1 ( 144240 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) ( input103 A )
+ ROUTED met2 ( 141840 3330 0 ) ( 141840 21645 )
NEW li1 ( 141840 21645 ) L1M1_PR_MR
NEW met1 ( 141840 21645 ) M1M2_PR
NEW met1 ( 141840 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) ( input104 A )
+ ROUTED met2 ( 143760 3330 0 ) ( 143760 18685 )
NEW met1 ( 143760 18685 ) ( 148080 18685 )
NEW met1 ( 148080 18315 ) ( 148080 18685 )
NEW met1 ( 143760 18685 ) M1M2_PR
NEW li1 ( 148080 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) ( input105 A )
+ ROUTED met2 ( 145680 3330 0 ) ( 145680 21645 )
NEW li1 ( 145680 21645 ) L1M1_PR_MR
NEW met1 ( 145680 21645 ) M1M2_PR
NEW met1 ( 145680 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) ( input106 A )
+ ROUTED met2 ( 147600 3330 0 ) ( 147600 17945 )
NEW met1 ( 147600 17945 ) ( 151920 17945 )
NEW met1 ( 151920 17945 ) ( 151920 18315 )
NEW met1 ( 147600 17945 ) M1M2_PR
NEW li1 ( 151920 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) ( input107 A )
+ ROUTED met2 ( 149520 3330 0 ) ( 149520 14985 )
NEW met1 ( 149520 14985 ) ( 156240 14985 )
NEW met1 ( 149520 14985 ) M1M2_PR
NEW li1 ( 156240 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) ( input108 A )
+ ROUTED met2 ( 151440 3330 0 ) ( 151440 9250 )
NEW met2 ( 151440 9250 ) ( 151920 9250 )
NEW met2 ( 151920 9250 ) ( 151920 18315 )
NEW met2 ( 151920 18315 ) ( 152400 18315 )
NEW met1 ( 152400 18315 ) ( 155760 18315 )
NEW met1 ( 152400 18315 ) M1M2_PR
NEW li1 ( 155760 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) ( input109 A )
+ ROUTED met2 ( 152880 3330 0 ) ( 152880 14615 )
NEW met1 ( 152880 14615 ) ( 160080 14615 )
NEW met1 ( 160080 14615 ) ( 160080 14985 )
NEW met1 ( 152880 14615 ) M1M2_PR
NEW li1 ( 160080 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) ( input110 A )
+ ROUTED met2 ( 154800 3330 0 ) ( 154800 21645 )
NEW li1 ( 154800 21645 ) L1M1_PR_MR
NEW met1 ( 154800 21645 ) M1M2_PR
NEW met1 ( 154800 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) ( input111 A )
+ ROUTED met2 ( 72240 3330 0 ) ( 72240 7770 )
NEW met2 ( 72240 7770 ) ( 72720 7770 )
NEW met1 ( 72720 14985 ) ( 75600 14985 )
NEW met2 ( 72720 7770 ) ( 72720 14985 )
NEW met1 ( 72720 14985 ) M1M2_PR
NEW li1 ( 75600 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) ( input112 A )
+ ROUTED met2 ( 156720 3330 0 ) ( 156720 18315 )
NEW met1 ( 156720 18315 ) ( 159600 18315 )
NEW met1 ( 156720 18315 ) M1M2_PR
NEW li1 ( 159600 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) ( input113 A )
+ ROUTED met2 ( 158640 3330 0 ) ( 158640 21645 )
NEW li1 ( 158640 21645 ) L1M1_PR_MR
NEW met1 ( 158640 21645 ) M1M2_PR
NEW met1 ( 158640 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) ( input114 A )
+ ROUTED met2 ( 160560 3330 0 ) ( 160560 14985 )
NEW met1 ( 160560 14985 ) ( 169680 14985 )
NEW met1 ( 160560 14985 ) M1M2_PR
NEW li1 ( 169680 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) ( input115 A )
+ ROUTED met2 ( 162480 3330 0 ) ( 162480 18315 )
NEW met1 ( 162480 18315 ) ( 166800 18315 )
NEW met1 ( 162480 18315 ) M1M2_PR
NEW li1 ( 166800 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) ( input116 A )
+ ROUTED met2 ( 163920 3330 0 ) ( 163920 21645 )
NEW li1 ( 163920 21645 ) L1M1_PR_MR
NEW met1 ( 163920 21645 ) M1M2_PR
NEW met1 ( 163920 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) ( input117 A )
+ ROUTED met2 ( 165840 3330 0 ) ( 165840 7770 )
NEW met2 ( 165840 7770 ) ( 166800 7770 )
NEW met2 ( 166800 7770 ) ( 166800 14615 )
NEW met1 ( 166800 14615 ) ( 173520 14615 )
NEW met1 ( 173520 14615 ) ( 173520 14985 )
NEW met1 ( 166800 14615 ) M1M2_PR
NEW li1 ( 173520 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) ( input118 A )
+ ROUTED met2 ( 167760 3330 0 ) ( 167760 18315 )
NEW met1 ( 167760 18315 ) ( 170640 18315 )
NEW met1 ( 167760 18315 ) M1M2_PR
NEW li1 ( 170640 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) ( input119 A )
+ ROUTED met2 ( 169680 3330 0 ) ( 169680 21645 )
NEW li1 ( 169680 21645 ) L1M1_PR_MR
NEW met1 ( 169680 21645 ) M1M2_PR
NEW met1 ( 169680 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) ( input120 A )
+ ROUTED met2 ( 171600 3330 0 ) ( 171600 18315 )
NEW met1 ( 171600 18315 ) ( 174480 18315 )
NEW met1 ( 171600 18315 ) M1M2_PR
NEW li1 ( 174480 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) ( input121 A )
+ ROUTED met2 ( 173040 3330 0 ) ( 173040 21645 )
NEW met1 ( 173040 21645 ) ( 173520 21645 )
NEW met1 ( 173040 21645 ) M1M2_PR
NEW li1 ( 173520 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) ( input122 A )
+ ROUTED met1 ( 74160 18315 ) ( 76080 18315 )
NEW met2 ( 74160 3330 0 ) ( 74160 18315 )
NEW met1 ( 74160 18315 ) M1M2_PR
NEW li1 ( 76080 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) ( input123 A )
+ ROUTED met2 ( 174960 3330 0 ) ( 174960 9250 )
NEW met2 ( 174480 9250 ) ( 174960 9250 )
NEW met2 ( 174480 9250 ) ( 174480 18315 )
NEW met2 ( 174480 18315 ) ( 174960 18315 )
NEW met1 ( 174960 18315 ) ( 178320 18315 )
NEW met1 ( 174960 18315 ) M1M2_PR
NEW li1 ( 178320 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) ( input124 A )
+ ROUTED met2 ( 176880 3330 0 ) ( 176880 10915 )
NEW met1 ( 176880 10915 ) ( 182160 10915 )
NEW met2 ( 182160 10915 ) ( 182160 14985 )
NEW met1 ( 182160 14985 ) ( 183120 14985 )
NEW met1 ( 176880 10915 ) M1M2_PR
NEW met1 ( 182160 10915 ) M1M2_PR
NEW met1 ( 182160 14985 ) M1M2_PR
NEW li1 ( 183120 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) ( input125 A )
+ ROUTED met2 ( 178800 3330 0 ) ( 178800 18315 )
NEW met1 ( 178800 18315 ) ( 182160 18315 )
NEW met1 ( 178800 18315 ) M1M2_PR
NEW li1 ( 182160 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) ( input126 A )
+ ROUTED met2 ( 180720 3330 0 ) ( 180720 14615 )
NEW met1 ( 180720 14615 ) ( 186960 14615 )
NEW met1 ( 186960 14615 ) ( 186960 14985 )
NEW met1 ( 180720 14615 ) M1M2_PR
NEW li1 ( 186960 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) ( input127 A )
+ ROUTED met2 ( 182640 3330 0 ) ( 182640 18315 )
NEW met1 ( 182640 18315 ) ( 186000 18315 )
NEW met1 ( 182640 18315 ) M1M2_PR
NEW li1 ( 186000 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) ( input128 A )
+ ROUTED met2 ( 184080 3330 0 ) ( 184080 21645 )
NEW li1 ( 184080 21645 ) L1M1_PR_MR
NEW met1 ( 184080 21645 ) M1M2_PR
NEW met1 ( 184080 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) ( input129 A )
+ ROUTED met1 ( 187200 21645 ) ( 187920 21645 )
NEW met2 ( 186000 3330 0 ) ( 186000 21275 )
NEW met1 ( 186000 21275 ) ( 187200 21275 )
NEW met1 ( 187200 21275 ) ( 187200 21645 )
NEW li1 ( 187920 21645 ) L1M1_PR_MR
NEW met1 ( 186000 21275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) ( input130 A )
+ ROUTED met2 ( 187920 3330 0 ) ( 187920 14615 )
NEW met1 ( 187920 14615 ) ( 187920 14985 )
NEW met1 ( 187920 14985 ) ( 196560 14985 )
NEW met1 ( 187920 14615 ) M1M2_PR
NEW li1 ( 196560 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) ( input131 A )
+ ROUTED met2 ( 189840 3330 0 ) ( 189840 18315 )
NEW met1 ( 189840 18315 ) ( 193200 18315 )
NEW met1 ( 189840 18315 ) M1M2_PR
NEW li1 ( 193200 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) ( input132 A )
+ ROUTED met2 ( 191760 3330 0 ) ( 191760 7770 )
NEW met2 ( 191760 7770 ) ( 192240 7770 )
NEW met2 ( 192240 7770 ) ( 192240 15355 )
NEW met1 ( 192240 15355 ) ( 193680 15355 )
NEW met1 ( 193680 15355 ) ( 193680 15725 )
NEW met1 ( 193680 15725 ) ( 200400 15725 )
NEW met1 ( 200400 14985 ) ( 200400 15725 )
NEW met1 ( 192240 15355 ) M1M2_PR
NEW li1 ( 200400 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) ( input133 A )
+ ROUTED met2 ( 76080 16650 ) ( 76560 16650 )
NEW met2 ( 76560 16650 ) ( 76560 18315 )
NEW met1 ( 76560 18315 ) ( 79920 18315 )
NEW met2 ( 76080 3330 0 ) ( 76080 16650 )
NEW met1 ( 76560 18315 ) M1M2_PR
NEW li1 ( 79920 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) ( input134 A )
+ ROUTED met2 ( 193680 3330 0 ) ( 193680 18315 )
NEW met1 ( 193680 18315 ) ( 197040 18315 )
NEW met1 ( 193680 18315 ) M1M2_PR
NEW li1 ( 197040 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) ( input135 A )
+ ROUTED met2 ( 195120 3330 0 ) ( 195120 21645 )
NEW li1 ( 195120 21645 ) L1M1_PR_MR
NEW met1 ( 195120 21645 ) M1M2_PR
NEW met1 ( 195120 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) ( input136 A )
+ ROUTED met2 ( 197040 3330 0 ) ( 197040 9250 )
NEW met2 ( 197040 9250 ) ( 197520 9250 )
NEW met2 ( 197520 9250 ) ( 197520 18315 )
NEW met1 ( 197520 18315 ) ( 200880 18315 )
NEW met1 ( 197520 18315 ) M1M2_PR
NEW li1 ( 200880 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) ( input137 A )
+ ROUTED met2 ( 198960 3330 0 ) ( 198960 21645 )
NEW li1 ( 198960 21645 ) L1M1_PR_MR
NEW met1 ( 198960 21645 ) M1M2_PR
NEW met1 ( 198960 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) ( input138 A )
+ ROUTED met2 ( 200880 3330 0 ) ( 200880 17575 )
NEW met1 ( 200880 17575 ) ( 204720 17575 )
NEW met1 ( 204720 17575 ) ( 204720 18315 )
NEW met1 ( 200880 17575 ) M1M2_PR
NEW li1 ( 204720 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) ( input139 A )
+ ROUTED met2 ( 202800 3330 0 ) ( 202800 14985 )
NEW met1 ( 202800 14985 ) ( 210000 14985 )
NEW met1 ( 202800 14985 ) M1M2_PR
NEW li1 ( 210000 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) ( input140 A )
+ ROUTED met2 ( 204720 3330 0 ) ( 204720 9250 )
NEW met2 ( 204720 9250 ) ( 205200 9250 )
NEW met2 ( 205200 9250 ) ( 205200 18315 )
NEW met1 ( 205200 18315 ) ( 208560 18315 )
NEW met1 ( 205200 18315 ) M1M2_PR
NEW li1 ( 208560 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) ( input141 A )
+ ROUTED met2 ( 206160 3330 0 ) ( 206160 14615 )
NEW met1 ( 206160 14615 ) ( 213840 14615 )
NEW met1 ( 213840 14615 ) ( 213840 14985 )
NEW met1 ( 206160 14615 ) M1M2_PR
NEW li1 ( 213840 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) ( input142 A )
+ ROUTED met2 ( 208080 3330 0 ) ( 208080 17575 )
NEW met1 ( 208080 17575 ) ( 212400 17575 )
NEW met1 ( 212400 17575 ) ( 212400 18315 )
NEW met1 ( 208080 17575 ) M1M2_PR
NEW li1 ( 212400 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) ( input143 A )
+ ROUTED met2 ( 210000 3330 0 ) ( 210000 21645 )
NEW li1 ( 210000 21645 ) L1M1_PR_MR
NEW met1 ( 210000 21645 ) M1M2_PR
NEW met1 ( 210000 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) ( input144 A )
+ ROUTED met2 ( 77520 3330 0 ) ( 77520 21645 )
NEW li1 ( 77520 21645 ) L1M1_PR_MR
NEW met1 ( 77520 21645 ) M1M2_PR
NEW met1 ( 77520 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] ) ( input145 A )
+ ROUTED met2 ( 211920 3330 0 ) ( 211920 21645 )
NEW met1 ( 211920 21645 ) ( 213840 21645 )
NEW met1 ( 211920 21645 ) M1M2_PR
NEW li1 ( 213840 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) ( input146 A )
+ ROUTED met2 ( 213840 3330 0 ) ( 213840 18315 )
NEW met1 ( 213840 18315 ) ( 219600 18315 )
NEW met1 ( 213840 18315 ) M1M2_PR
NEW li1 ( 219600 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) ( input147 A )
+ ROUTED met2 ( 215280 3330 0 ) ( 215280 14985 )
NEW met1 ( 215280 14985 ) ( 223440 14985 )
NEW met1 ( 215280 14985 ) M1M2_PR
NEW li1 ( 223440 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) ( input148 A )
+ ROUTED met2 ( 217200 3330 0 ) ( 217200 21645 )
NEW met1 ( 217200 21645 ) ( 217680 21645 )
NEW met1 ( 217200 21645 ) M1M2_PR
NEW li1 ( 217680 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) ( input149 A )
+ ROUTED met2 ( 219120 3330 0 ) ( 219120 17945 )
NEW met1 ( 219120 17945 ) ( 223440 17945 )
NEW met1 ( 223440 17945 ) ( 223440 18315 )
NEW met1 ( 219120 17945 ) M1M2_PR
NEW li1 ( 223440 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) ( input150 A )
+ ROUTED met2 ( 221040 3330 0 ) ( 221040 15355 )
NEW met1 ( 221040 15355 ) ( 227280 15355 )
NEW met1 ( 227280 14985 ) ( 227280 15355 )
NEW met1 ( 221040 15355 ) M1M2_PR
NEW li1 ( 227280 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) ( input151 A )
+ ROUTED met2 ( 222960 3330 0 ) ( 222960 17575 )
NEW met1 ( 222960 17575 ) ( 227280 17575 )
NEW met1 ( 227280 17575 ) ( 227280 18315 )
NEW met1 ( 222960 17575 ) M1M2_PR
NEW li1 ( 227280 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) ( input152 A )
+ ROUTED met2 ( 224880 3330 0 ) ( 224880 21645 )
NEW li1 ( 224880 21645 ) L1M1_PR_MR
NEW met1 ( 224880 21645 ) M1M2_PR
NEW met1 ( 224880 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) ( input153 A )
+ ROUTED met2 ( 226320 3330 0 ) ( 226320 18685 )
NEW met1 ( 226320 18685 ) ( 231120 18685 )
NEW met1 ( 231120 18315 ) ( 231120 18685 )
NEW met1 ( 226320 18685 ) M1M2_PR
NEW li1 ( 231120 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) ( input154 A )
+ ROUTED met2 ( 228240 3330 0 ) ( 228240 14985 )
NEW met1 ( 228240 14985 ) ( 236880 14985 )
NEW met1 ( 228240 14985 ) M1M2_PR
NEW li1 ( 236880 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) ( input155 A )
+ ROUTED met2 ( 79440 3330 0 ) ( 79440 10730 )
NEW met2 ( 79440 10730 ) ( 79920 10730 )
NEW met1 ( 79920 21645 ) ( 81360 21645 )
NEW met2 ( 79920 10730 ) ( 79920 21645 )
NEW met1 ( 79920 21645 ) M1M2_PR
NEW li1 ( 81360 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] ) ( input156 A )
+ ROUTED met2 ( 230160 3330 0 ) ( 230160 17945 )
NEW met1 ( 230160 17945 ) ( 234960 17945 )
NEW met1 ( 234960 17945 ) ( 234960 18315 )
NEW met1 ( 230160 17945 ) M1M2_PR
NEW li1 ( 234960 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) ( input157 A )
+ ROUTED met2 ( 232080 3330 0 ) ( 232080 15355 )
NEW met1 ( 232080 15355 ) ( 237600 15355 )
NEW met1 ( 237600 14985 ) ( 237600 15355 )
NEW met1 ( 237600 14985 ) ( 240720 14985 )
NEW met1 ( 232080 15355 ) M1M2_PR
NEW li1 ( 240720 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) ( input158 A )
+ ROUTED met2 ( 234000 3330 0 ) ( 234000 21645 )
NEW li1 ( 234000 21645 ) L1M1_PR_MR
NEW met1 ( 234000 21645 ) M1M2_PR
NEW met1 ( 234000 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) ( input159 A )
+ ROUTED met2 ( 235920 3330 0 ) ( 235920 18315 )
NEW met1 ( 235920 18315 ) ( 238800 18315 )
NEW met1 ( 235920 18315 ) M1M2_PR
NEW li1 ( 238800 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) ( input160 A )
+ ROUTED met2 ( 237360 3330 0 ) ( 237360 21275 )
NEW met1 ( 237840 21275 ) ( 237840 21645 )
NEW met1 ( 237360 21275 ) ( 237840 21275 )
NEW met1 ( 237360 21275 ) M1M2_PR
NEW li1 ( 237840 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) ( input161 A )
+ ROUTED met2 ( 239280 3330 0 ) ( 239280 21645 )
NEW met1 ( 239280 21645 ) ( 241680 21645 )
NEW met1 ( 239280 21645 ) M1M2_PR
NEW li1 ( 241680 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) ( input162 A )
+ ROUTED met2 ( 241200 3330 0 ) ( 241200 18315 )
NEW met1 ( 241200 18315 ) ( 246000 18315 )
NEW met1 ( 241200 18315 ) M1M2_PR
NEW li1 ( 246000 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) ( input163 A )
+ ROUTED met2 ( 243120 3330 0 ) ( 243120 21275 )
NEW met1 ( 243120 21275 ) ( 245520 21275 )
NEW met1 ( 245520 21275 ) ( 245520 21645 )
NEW met1 ( 243120 21275 ) M1M2_PR
NEW li1 ( 245520 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) ( input164 A )
+ ROUTED met2 ( 245040 3330 0 ) ( 245040 20905 )
NEW met1 ( 245040 20905 ) ( 249360 20905 )
NEW met1 ( 249360 20905 ) ( 249360 21645 )
NEW met1 ( 245040 20905 ) M1M2_PR
NEW li1 ( 249360 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) ( input165 A )
+ ROUTED met2 ( 246960 3330 0 ) ( 246960 24975 )
NEW li1 ( 246960 24975 ) L1M1_PR_MR
NEW met1 ( 246960 24975 ) M1M2_PR
NEW met1 ( 246960 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) ( input166 A )
+ ROUTED met1 ( 81360 20905 ) ( 85200 20905 )
NEW met1 ( 85200 20905 ) ( 85200 21645 )
NEW met2 ( 81360 3330 0 ) ( 81360 20905 )
NEW met1 ( 81360 20905 ) M1M2_PR
NEW li1 ( 85200 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[0] ( PIN la_data_out[0] ) ( output444 X )
+ ROUTED met1 ( 65520 14615 ) ( 69360 14615 )
NEW met2 ( 69360 14615 ) ( 69360 30525 )
NEW met2 ( 65520 3330 0 ) ( 65520 14615 )
NEW met1 ( 65520 14615 ) M1M2_PR
NEW met1 ( 69360 14615 ) M1M2_PR
NEW li1 ( 69360 30525 ) L1M1_PR_MR
NEW met1 ( 69360 30525 ) M1M2_PR
NEW met1 ( 69360 30525 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_out[100] ( PIN la_data_out[100] ) ( output445 X )
+ ROUTED met2 ( 249360 3330 0 ) ( 249360 30525 )
NEW met1 ( 249360 30525 ) ( 254640 30525 )
NEW met1 ( 249360 30525 ) M1M2_PR
NEW li1 ( 254640 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[101] ( PIN la_data_out[101] ) ( output446 X )
+ ROUTED met2 ( 250800 3330 0 ) ( 250800 34595 )
NEW met1 ( 250800 34595 ) ( 251760 34595 )
NEW met1 ( 250800 34595 ) M1M2_PR
NEW li1 ( 251760 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[102] ( PIN la_data_out[102] ) ( output447 X )
+ ROUTED met2 ( 252720 3330 0 ) ( 252720 8325 )
NEW met1 ( 252720 8325 ) ( 255600 8325 )
NEW met2 ( 255600 8325 ) ( 255600 30525 )
NEW met1 ( 255600 30525 ) ( 258000 30525 )
NEW met1 ( 252720 8325 ) M1M2_PR
NEW met1 ( 255600 8325 ) M1M2_PR
NEW met1 ( 255600 30525 ) M1M2_PR
NEW li1 ( 258000 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[103] ( PIN la_data_out[103] ) ( output448 X )
+ ROUTED met2 ( 254640 3330 0 ) ( 254640 7955 )
NEW met1 ( 254640 7955 ) ( 258000 7955 )
NEW met2 ( 258000 7955 ) ( 258000 31265 )
NEW met1 ( 258000 31265 ) ( 261840 31265 )
NEW met1 ( 261840 30525 ) ( 261840 31265 )
NEW met1 ( 254640 7955 ) M1M2_PR
NEW met1 ( 258000 7955 ) M1M2_PR
NEW met1 ( 258000 31265 ) M1M2_PR
NEW li1 ( 261840 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[104] ( PIN la_data_out[104] ) ( output449 X )
+ ROUTED met2 ( 256560 3330 0 ) ( 256560 17390 )
NEW met2 ( 256560 17390 ) ( 257040 17390 )
NEW met2 ( 257040 17390 ) ( 257040 34595 )
NEW met1 ( 257040 34595 ) ( 260400 34595 )
NEW met1 ( 257040 34595 ) M1M2_PR
NEW li1 ( 260400 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[105] ( PIN la_data_out[105] ) ( output450 X )
+ ROUTED met1 ( 258480 37185 ) ( 258960 37185 )
NEW met2 ( 258480 3330 0 ) ( 258480 37185 )
NEW met1 ( 258480 37185 ) M1M2_PR
NEW li1 ( 258960 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[106] ( PIN la_data_out[106] ) ( output451 X )
+ ROUTED met2 ( 260400 3330 0 ) ( 260400 17575 )
NEW met1 ( 260400 17575 ) ( 262320 17575 )
NEW met2 ( 262320 17575 ) ( 262320 34595 )
NEW met1 ( 262320 34595 ) ( 263760 34595 )
NEW met1 ( 260400 17575 ) M1M2_PR
NEW met1 ( 262320 17575 ) M1M2_PR
NEW met1 ( 262320 34595 ) M1M2_PR
NEW li1 ( 263760 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[107] ( PIN la_data_out[107] ) ( output452 X )
+ ROUTED met1 ( 261840 37185 ) ( 262800 37185 )
NEW met2 ( 261840 3330 0 ) ( 261840 37185 )
NEW met1 ( 261840 37185 ) M1M2_PR
NEW li1 ( 262800 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[108] ( PIN la_data_out[108] ) ( output453 X )
+ ROUTED met1 ( 263760 37185 ) ( 266640 37185 )
NEW met2 ( 263760 3330 0 ) ( 263760 37185 )
NEW met1 ( 263760 37185 ) M1M2_PR
NEW li1 ( 266640 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[109] ( PIN la_data_out[109] ) ( output454 X )
+ ROUTED met1 ( 265680 40885 ) ( 266640 40885 )
NEW met1 ( 266640 40885 ) ( 266640 41255 )
NEW met2 ( 265680 3330 0 ) ( 265680 40885 )
NEW met1 ( 265680 40885 ) M1M2_PR
NEW li1 ( 266640 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[10] ( PIN la_data_out[10] ) ( output455 X )
+ ROUTED met1 ( 83760 30525 ) ( 88080 30525 )
NEW met2 ( 83760 3330 0 ) ( 83760 30525 )
NEW met1 ( 83760 30525 ) M1M2_PR
NEW li1 ( 88080 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[110] ( PIN la_data_out[110] ) ( output456 X )
+ ROUTED met1 ( 267600 41255 ) ( 270000 41255 )
NEW met2 ( 267600 3330 0 ) ( 267600 41255 )
NEW met1 ( 267600 41255 ) M1M2_PR
NEW li1 ( 270000 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[111] ( PIN la_data_out[111] ) ( output457 X )
+ ROUTED met1 ( 269520 45325 ) ( 273360 45325 )
NEW met2 ( 269520 3330 0 ) ( 269520 45325 )
NEW met1 ( 269520 45325 ) M1M2_PR
NEW li1 ( 273360 45325 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[112] ( PIN la_data_out[112] ) ( output458 X )
+ ROUTED met1 ( 271440 47545 ) ( 272400 47545 )
NEW met1 ( 272400 47545 ) ( 272400 47915 )
NEW met2 ( 271440 3330 0 ) ( 271440 47545 )
NEW met1 ( 271440 47545 ) M1M2_PR
NEW li1 ( 272400 47915 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[113] ( PIN la_data_out[113] ) ( output459 X )
+ ROUTED met1 ( 272880 43845 ) ( 272880 44215 )
NEW met1 ( 272880 44215 ) ( 277200 44215 )
NEW met1 ( 277200 43845 ) ( 277200 44215 )
NEW met2 ( 272880 3330 0 ) ( 272880 43845 )
NEW met1 ( 272880 43845 ) M1M2_PR
NEW li1 ( 277200 43845 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[114] ( PIN la_data_out[114] ) ( output460 X )
+ ROUTED met1 ( 274800 47915 ) ( 275760 47915 )
NEW met2 ( 274800 3330 0 ) ( 274800 47915 )
NEW met1 ( 274800 47915 ) M1M2_PR
NEW li1 ( 275760 47915 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[115] ( PIN la_data_out[115] ) ( output461 X )
+ ROUTED met1 ( 276720 47545 ) ( 280080 47545 )
NEW met1 ( 280080 47545 ) ( 280080 47915 )
NEW met2 ( 276720 3330 0 ) ( 276720 47545 )
NEW met1 ( 276720 47545 ) M1M2_PR
NEW li1 ( 280080 47915 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[116] ( PIN la_data_out[116] ) ( output462 X )
+ ROUTED met1 ( 278640 50505 ) ( 279120 50505 )
NEW met2 ( 278640 3330 0 ) ( 278640 50505 )
NEW met1 ( 278640 50505 ) M1M2_PR
NEW li1 ( 279120 50505 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[117] ( PIN la_data_out[117] ) ( output463 X )
+ ROUTED met1 ( 280560 50505 ) ( 282960 50505 )
NEW met2 ( 280560 3330 0 ) ( 280560 50505 )
NEW met1 ( 280560 50505 ) M1M2_PR
NEW li1 ( 282960 50505 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[118] ( PIN la_data_out[118] ) ( output464 X )
+ ROUTED met2 ( 282480 3330 0 ) ( 282480 18130 )
NEW met2 ( 281520 18130 ) ( 282480 18130 )
NEW met1 ( 281520 52355 ) ( 286800 52355 )
NEW met1 ( 286800 51985 ) ( 286800 52355 )
NEW met2 ( 281520 18130 ) ( 281520 52355 )
NEW met1 ( 281520 52355 ) M1M2_PR
NEW li1 ( 286800 51985 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[119] ( PIN la_data_out[119] ) ( output465 X )
+ ROUTED met2 ( 283920 3330 0 ) ( 283920 22570 )
NEW met2 ( 282960 22570 ) ( 283920 22570 )
NEW met1 ( 282960 54575 ) ( 286320 54575 )
NEW met2 ( 282960 22570 ) ( 282960 54575 )
NEW met1 ( 282960 54575 ) M1M2_PR
NEW li1 ( 286320 54575 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[11] ( PIN la_data_out[11] ) ( output466 X )
+ ROUTED met1 ( 85680 34595 ) ( 86160 34595 )
NEW met2 ( 85680 3330 0 ) ( 85680 34595 )
NEW met1 ( 85680 34595 ) M1M2_PR
NEW li1 ( 86160 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[120] ( PIN la_data_out[120] ) ( output467 X )
+ ROUTED met1 ( 285840 57165 ) ( 286800 57165 )
NEW met2 ( 285840 3330 0 ) ( 285840 57165 )
NEW met1 ( 285840 57165 ) M1M2_PR
NEW li1 ( 286800 57165 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[121] ( PIN la_data_out[121] ) ( output468 X )
+ ROUTED met2 ( 287760 3330 0 ) ( 287760 61235 )
NEW met1 ( 287760 61235 ) ( 288240 61235 )
NEW li1 ( 288240 61235 ) L1M1_PR_MR
NEW met1 ( 287760 61235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[122] ( PIN la_data_out[122] ) ( output469 X )
+ ROUTED met2 ( 289680 3330 0 ) ( 289680 20905 )
NEW met1 ( 280080 20905 ) ( 289680 20905 )
NEW met1 ( 270960 45695 ) ( 280080 45695 )
NEW li1 ( 270960 44215 ) ( 270960 45695 )
NEW met1 ( 267120 44215 ) ( 270960 44215 )
NEW met1 ( 267120 43845 ) ( 267120 44215 )
NEW met2 ( 280080 20905 ) ( 280080 45695 )
NEW met1 ( 289680 20905 ) M1M2_PR
NEW met1 ( 280080 20905 ) M1M2_PR
NEW met1 ( 280080 45695 ) M1M2_PR
NEW li1 ( 270960 45695 ) L1M1_PR_MR
NEW li1 ( 270960 44215 ) L1M1_PR_MR
NEW li1 ( 267120 43845 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[123] ( PIN la_data_out[123] ) ( output470 X )
+ ROUTED met2 ( 291600 3330 0 ) ( 291600 56055 )
NEW met1 ( 280080 56055 ) ( 291600 56055 )
NEW met1 ( 291600 56055 ) M1M2_PR
NEW li1 ( 280080 56055 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[124] ( PIN la_data_out[124] ) ( output471 X )
+ ROUTED met2 ( 293040 3330 0 ) ( 293040 50875 )
NEW met1 ( 275760 50505 ) ( 275760 50875 )
NEW met1 ( 275760 50875 ) ( 293040 50875 )
NEW met1 ( 293040 50875 ) M1M2_PR
NEW li1 ( 275760 50505 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[125] ( PIN la_data_out[125] ) ( output472 X )
+ ROUTED met2 ( 294960 3330 0 ) ( 294960 42735 )
NEW met1 ( 262320 42735 ) ( 294960 42735 )
NEW met1 ( 294960 42735 ) M1M2_PR
NEW li1 ( 262320 42735 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[126] ( PIN la_data_out[126] ) ( output473 X )
+ ROUTED met2 ( 296880 3330 0 ) ( 296880 37555 )
NEW met1 ( 255600 37185 ) ( 255600 37555 )
NEW met1 ( 255600 37555 ) ( 296880 37555 )
NEW met1 ( 296880 37555 ) M1M2_PR
NEW li1 ( 255600 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[127] ( PIN la_data_out[127] ) ( output474 X )
+ ROUTED met2 ( 298800 3330 0 ) ( 298800 32375 )
NEW met1 ( 290640 32375 ) ( 298800 32375 )
NEW met2 ( 290640 32375 ) ( 290640 57905 )
NEW met1 ( 288000 57905 ) ( 290640 57905 )
NEW met1 ( 288000 57905 ) ( 288000 58645 )
NEW met1 ( 282960 58645 ) ( 288000 58645 )
NEW met1 ( 298800 32375 ) M1M2_PR
NEW met1 ( 290640 32375 ) M1M2_PR
NEW met1 ( 290640 57905 ) M1M2_PR
NEW li1 ( 282960 58645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[12] ( PIN la_data_out[12] ) ( output475 X )
+ ROUTED met1 ( 87600 30895 ) ( 91920 30895 )
NEW met1 ( 91920 30525 ) ( 91920 30895 )
NEW met2 ( 87600 3330 0 ) ( 87600 30895 )
NEW met1 ( 87600 30895 ) M1M2_PR
NEW li1 ( 91920 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[13] ( PIN la_data_out[13] ) ( output476 X )
+ ROUTED met1 ( 89520 34595 ) ( 90000 34595 )
NEW met2 ( 89520 3330 0 ) ( 89520 34595 )
NEW met1 ( 89520 34595 ) M1M2_PR
NEW li1 ( 90000 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[14] ( PIN la_data_out[14] ) ( output477 X )
+ ROUTED met2 ( 91440 22570 ) ( 92400 22570 )
NEW met2 ( 92400 22570 ) ( 92400 30525 )
NEW met1 ( 92400 30525 ) ( 95760 30525 )
NEW met2 ( 91440 3330 0 ) ( 91440 22570 )
NEW met1 ( 92400 30525 ) M1M2_PR
NEW li1 ( 95760 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[15] ( PIN la_data_out[15] ) ( output478 X )
+ ROUTED met1 ( 92880 34595 ) ( 93840 34595 )
NEW met2 ( 92880 3330 0 ) ( 92880 34595 )
NEW met1 ( 92880 34595 ) M1M2_PR
NEW li1 ( 93840 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[16] ( PIN la_data_out[16] ) ( output479 X )
+ ROUTED met2 ( 94800 3330 0 ) ( 94800 14400 )
NEW met2 ( 94800 14400 ) ( 95280 14400 )
NEW met2 ( 95280 14400 ) ( 95280 15725 )
NEW met2 ( 94800 15725 ) ( 95280 15725 )
NEW met2 ( 94800 15725 ) ( 94800 30895 )
NEW met1 ( 94800 30895 ) ( 99600 30895 )
NEW met1 ( 99600 30525 ) ( 99600 30895 )
NEW met1 ( 94800 30895 ) M1M2_PR
NEW li1 ( 99600 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[17] ( PIN la_data_out[17] ) ( output480 X )
+ ROUTED met1 ( 96720 31635 ) ( 103440 31635 )
NEW met1 ( 103440 31635 ) ( 103440 32005 )
NEW met2 ( 96720 3330 0 ) ( 96720 31635 )
NEW met1 ( 96720 31635 ) M1M2_PR
NEW li1 ( 103440 32005 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[18] ( PIN la_data_out[18] ) ( output481 X )
+ ROUTED met2 ( 97680 15910 ) ( 98640 15910 )
NEW met2 ( 97680 15910 ) ( 97680 34595 )
NEW met1 ( 97680 34595 ) ( 101520 34595 )
NEW met2 ( 98640 3330 0 ) ( 98640 15910 )
NEW met1 ( 97680 34595 ) M1M2_PR
NEW li1 ( 101520 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[19] ( PIN la_data_out[19] ) ( output482 X )
+ ROUTED met1 ( 100560 30525 ) ( 107280 30525 )
NEW met2 ( 100560 3330 0 ) ( 100560 30525 )
NEW met1 ( 100560 30525 ) M1M2_PR
NEW li1 ( 107280 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[1] ( PIN la_data_out[1] ) ( output483 X )
+ ROUTED met1 ( 67440 34595 ) ( 67920 34595 )
NEW met2 ( 67440 3330 0 ) ( 67440 34595 )
NEW met1 ( 67440 34595 ) M1M2_PR
NEW li1 ( 67920 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[20] ( PIN la_data_out[20] ) ( output484 X )
+ ROUTED met1 ( 102480 34595 ) ( 105360 34595 )
NEW met2 ( 102480 3330 0 ) ( 102480 34595 )
NEW met1 ( 102480 34595 ) M1M2_PR
NEW li1 ( 105360 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[21] ( PIN la_data_out[21] ) ( output485 X )
+ ROUTED met1 ( 103920 37185 ) ( 104400 37185 )
NEW met2 ( 103920 3330 0 ) ( 103920 37185 )
NEW met1 ( 103920 37185 ) M1M2_PR
NEW li1 ( 104400 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[22] ( PIN la_data_out[22] ) ( output486 X )
+ ROUTED met2 ( 105840 34595 ) ( 106320 34595 )
NEW met1 ( 106320 34595 ) ( 109200 34595 )
NEW met2 ( 105840 3330 0 ) ( 105840 34595 )
NEW met1 ( 106320 34595 ) M1M2_PR
NEW li1 ( 109200 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[23] ( PIN la_data_out[23] ) ( output487 X )
+ ROUTED met1 ( 107760 30525 ) ( 114480 30525 )
NEW met2 ( 107760 3330 0 ) ( 107760 30525 )
NEW met1 ( 107760 30525 ) M1M2_PR
NEW li1 ( 114480 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[24] ( PIN la_data_out[24] ) ( output488 X )
+ ROUTED met2 ( 109680 34595 ) ( 110160 34595 )
NEW met1 ( 110160 34595 ) ( 113040 34595 )
NEW met2 ( 109680 3330 0 ) ( 109680 34595 )
NEW met1 ( 110160 34595 ) M1M2_PR
NEW li1 ( 113040 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[25] ( PIN la_data_out[25] ) ( output489 X )
+ ROUTED met1 ( 118320 30525 ) ( 118320 30895 )
NEW met2 ( 111600 3330 0 ) ( 111600 30895 )
NEW met1 ( 111600 30895 ) ( 118320 30895 )
NEW li1 ( 118320 30525 ) L1M1_PR_MR
NEW met1 ( 111600 30895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[26] ( PIN la_data_out[26] ) ( output490 X )
+ ROUTED met2 ( 113040 3330 0 ) ( 113040 14400 )
NEW met2 ( 113040 14400 ) ( 113520 14400 )
NEW met2 ( 113520 14400 ) ( 113520 34595 )
NEW met1 ( 113520 34595 ) ( 116880 34595 )
NEW li1 ( 116880 34595 ) L1M1_PR_MR
NEW met1 ( 113520 34595 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[27] ( PIN la_data_out[27] ) ( output491 X )
+ ROUTED met1 ( 122160 30525 ) ( 122160 31265 )
NEW met2 ( 114960 3330 0 ) ( 114960 31265 )
NEW met1 ( 114960 31265 ) ( 122160 31265 )
NEW li1 ( 122160 30525 ) L1M1_PR_MR
NEW met1 ( 114960 31265 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[28] ( PIN la_data_out[28] ) ( output492 X )
+ ROUTED met2 ( 116880 3330 0 ) ( 116880 34595 )
NEW met2 ( 116880 34595 ) ( 117360 34595 )
NEW met1 ( 117360 34595 ) ( 121200 34595 )
NEW met1 ( 117360 34595 ) M1M2_PR
NEW li1 ( 121200 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[29] ( PIN la_data_out[29] ) ( output493 X )
+ ROUTED met2 ( 118800 3330 0 ) ( 118800 18130 )
NEW met2 ( 118800 18130 ) ( 119280 18130 )
NEW met2 ( 119280 18130 ) ( 119280 37185 )
NEW li1 ( 119280 37185 ) L1M1_PR_MR
NEW met1 ( 119280 37185 ) M1M2_PR
NEW met1 ( 119280 37185 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_out[2] ( PIN la_data_out[2] ) ( output494 X )
+ ROUTED met2 ( 69360 3330 0 ) ( 69360 13690 )
NEW met2 ( 68880 13690 ) ( 69360 13690 )
NEW met1 ( 68880 21275 ) ( 72720 21275 )
NEW met2 ( 72720 21275 ) ( 72720 30525 )
NEW met1 ( 72720 30525 ) ( 73200 30525 )
NEW met2 ( 68880 13690 ) ( 68880 21275 )
NEW met1 ( 68880 21275 ) M1M2_PR
NEW met1 ( 72720 21275 ) M1M2_PR
NEW met1 ( 72720 30525 ) M1M2_PR
NEW li1 ( 73200 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[30] ( PIN la_data_out[30] ) ( output495 X )
+ ROUTED met1 ( 120720 37185 ) ( 123120 37185 )
NEW met2 ( 120720 3330 0 ) ( 120720 37185 )
NEW met1 ( 120720 37185 ) M1M2_PR
NEW li1 ( 123120 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[31] ( PIN la_data_out[31] ) ( output496 X )
+ ROUTED met2 ( 122640 3330 0 ) ( 122640 34595 )
NEW met1 ( 122640 34595 ) ( 127920 34595 )
NEW met1 ( 122640 34595 ) M1M2_PR
NEW li1 ( 127920 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[32] ( PIN la_data_out[32] ) ( output497 X )
+ ROUTED met1 ( 124080 37185 ) ( 126960 37185 )
NEW met2 ( 124080 3330 0 ) ( 124080 37185 )
NEW met1 ( 124080 37185 ) M1M2_PR
NEW li1 ( 126960 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[33] ( PIN la_data_out[33] ) ( output498 X )
+ ROUTED met2 ( 126000 3330 0 ) ( 126000 34225 )
NEW met1 ( 126000 34225 ) ( 131760 34225 )
NEW met1 ( 131760 34225 ) ( 131760 34595 )
NEW met1 ( 126000 34225 ) M1M2_PR
NEW li1 ( 131760 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[34] ( PIN la_data_out[34] ) ( output499 X )
+ ROUTED met1 ( 127920 38665 ) ( 130800 38665 )
NEW met2 ( 127920 3330 0 ) ( 127920 38665 )
NEW met1 ( 127920 38665 ) M1M2_PR
NEW li1 ( 130800 38665 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[35] ( PIN la_data_out[35] ) ( output500 X )
+ ROUTED met2 ( 129840 3330 0 ) ( 129840 33855 )
NEW met1 ( 129840 33855 ) ( 132240 33855 )
NEW met1 ( 132240 33855 ) ( 132240 34595 )
NEW met1 ( 132240 34595 ) ( 135600 34595 )
NEW met1 ( 129840 33855 ) M1M2_PR
NEW li1 ( 135600 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[36] ( PIN la_data_out[36] ) ( output501 X )
+ ROUTED met2 ( 131760 3330 0 ) ( 131760 16095 )
NEW met2 ( 138960 16095 ) ( 138960 30525 )
NEW met1 ( 138960 30525 ) ( 140880 30525 )
NEW met1 ( 131760 16095 ) ( 138960 16095 )
NEW met1 ( 131760 16095 ) M1M2_PR
NEW met1 ( 138960 16095 ) M1M2_PR
NEW met1 ( 138960 30525 ) M1M2_PR
NEW li1 ( 140880 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[37] ( PIN la_data_out[37] ) ( output502 X )
+ ROUTED met1 ( 133680 37185 ) ( 135120 37185 )
NEW met2 ( 133680 3330 0 ) ( 133680 37185 )
NEW met1 ( 133680 37185 ) M1M2_PR
NEW li1 ( 135120 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[38] ( PIN la_data_out[38] ) ( output503 X )
+ ROUTED met2 ( 135120 3330 0 ) ( 135120 34225 )
NEW met1 ( 135120 34225 ) ( 136800 34225 )
NEW met1 ( 136800 34225 ) ( 136800 34595 )
NEW met1 ( 136800 34595 ) ( 139440 34595 )
NEW met1 ( 135120 34225 ) M1M2_PR
NEW li1 ( 139440 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[39] ( PIN la_data_out[39] ) ( output504 X )
+ ROUTED met2 ( 137040 3330 0 ) ( 137040 15910 )
NEW met2 ( 137040 15910 ) ( 137520 15910 )
NEW met2 ( 137520 15910 ) ( 137520 30895 )
NEW met1 ( 137520 30895 ) ( 144720 30895 )
NEW met1 ( 144720 30525 ) ( 144720 30895 )
NEW met1 ( 137520 30895 ) M1M2_PR
NEW li1 ( 144720 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[3] ( PIN la_data_out[3] ) ( output505 X )
+ ROUTED met2 ( 70800 3330 0 ) ( 70800 4810 )
NEW met2 ( 70800 4810 ) ( 71280 4810 )
NEW met1 ( 71280 30895 ) ( 77040 30895 )
NEW met1 ( 77040 30525 ) ( 77040 30895 )
NEW met2 ( 71280 4810 ) ( 71280 30895 )
NEW met1 ( 71280 30895 ) M1M2_PR
NEW li1 ( 77040 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[40] ( PIN la_data_out[40] ) ( output506 X )
+ ROUTED met2 ( 138960 3330 0 ) ( 138960 15355 )
NEW met2 ( 138480 15355 ) ( 138960 15355 )
NEW met2 ( 138480 15355 ) ( 138480 34225 )
NEW met1 ( 138480 34225 ) ( 143280 34225 )
NEW met1 ( 143280 34225 ) ( 143280 34595 )
NEW met1 ( 138480 34225 ) M1M2_PR
NEW li1 ( 143280 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[41] ( PIN la_data_out[41] ) ( output507 X )
+ ROUTED met1 ( 140880 37185 ) ( 141360 37185 )
NEW met2 ( 140880 3330 0 ) ( 140880 37185 )
NEW met1 ( 140880 37185 ) M1M2_PR
NEW li1 ( 141360 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[42] ( PIN la_data_out[42] ) ( output508 X )
+ ROUTED met2 ( 142800 3330 0 ) ( 142800 33855 )
NEW met1 ( 142800 33855 ) ( 147120 33855 )
NEW met1 ( 147120 33855 ) ( 147120 34595 )
NEW met1 ( 142800 33855 ) M1M2_PR
NEW li1 ( 147120 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[43] ( PIN la_data_out[43] ) ( output509 X )
+ ROUTED met2 ( 144720 3330 0 ) ( 144720 14615 )
NEW met2 ( 144240 14615 ) ( 144720 14615 )
NEW met2 ( 144240 14615 ) ( 144240 16095 )
NEW met1 ( 144240 16095 ) ( 149040 16095 )
NEW met2 ( 149040 16095 ) ( 149040 30525 )
NEW met1 ( 149040 30525 ) ( 152880 30525 )
NEW met1 ( 144240 16095 ) M1M2_PR
NEW met1 ( 149040 16095 ) M1M2_PR
NEW met1 ( 149040 30525 ) M1M2_PR
NEW li1 ( 152880 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[44] ( PIN la_data_out[44] ) ( output510 X )
+ ROUTED met1 ( 146160 37185 ) ( 146640 37185 )
NEW met2 ( 146160 3330 0 ) ( 146160 37185 )
NEW met1 ( 146160 37185 ) M1M2_PR
NEW li1 ( 146640 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[45] ( PIN la_data_out[45] ) ( output511 X )
+ ROUTED met1 ( 148080 38665 ) ( 150960 38665 )
NEW met2 ( 148080 3330 0 ) ( 148080 38665 )
NEW met1 ( 148080 38665 ) M1M2_PR
NEW li1 ( 150960 38665 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[46] ( PIN la_data_out[46] ) ( output512 X )
+ ROUTED met2 ( 150000 3330 0 ) ( 150000 34595 )
NEW met1 ( 150000 34595 ) ( 154800 34595 )
NEW met1 ( 150000 34595 ) M1M2_PR
NEW li1 ( 154800 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[47] ( PIN la_data_out[47] ) ( output513 X )
+ ROUTED met2 ( 151920 3330 0 ) ( 151920 8695 )
NEW met1 ( 151920 8695 ) ( 153360 8695 )
NEW met2 ( 153360 8695 ) ( 153360 30525 )
NEW met1 ( 153360 30525 ) ( 160560 30525 )
NEW met1 ( 151920 8695 ) M1M2_PR
NEW met1 ( 153360 8695 ) M1M2_PR
NEW met1 ( 153360 30525 ) M1M2_PR
NEW li1 ( 160560 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[48] ( PIN la_data_out[48] ) ( output514 X )
+ ROUTED met2 ( 153840 3330 0 ) ( 153840 29045 )
NEW met1 ( 153840 29045 ) ( 158160 29045 )
NEW met2 ( 158160 29045 ) ( 158160 34595 )
NEW met1 ( 153840 29045 ) M1M2_PR
NEW met1 ( 158160 29045 ) M1M2_PR
NEW li1 ( 158160 34595 ) L1M1_PR_MR
NEW met1 ( 158160 34595 ) M1M2_PR
NEW met1 ( 158160 34595 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_out[49] ( PIN la_data_out[49] ) ( output515 X )
+ ROUTED met1 ( 155280 37185 ) ( 155760 37185 )
NEW met2 ( 155280 3330 0 ) ( 155280 37185 )
NEW met1 ( 155280 37185 ) M1M2_PR
NEW li1 ( 155760 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[4] ( PIN la_data_out[4] ) ( output516 X )
+ ROUTED met2 ( 72720 3330 0 ) ( 72720 7030 )
NEW met2 ( 72720 7030 ) ( 73200 7030 )
NEW met1 ( 73200 34595 ) ( 75120 34595 )
NEW met2 ( 73200 7030 ) ( 73200 34595 )
NEW met1 ( 73200 34595 ) M1M2_PR
NEW li1 ( 75120 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[50] ( PIN la_data_out[50] ) ( output517 X )
+ ROUTED met2 ( 157200 3330 0 ) ( 157200 33855 )
NEW met1 ( 157200 33855 ) ( 159600 33855 )
NEW met1 ( 159600 33855 ) ( 159600 34225 )
NEW li1 ( 159600 34225 ) ( 159600 35335 )
NEW met1 ( 159600 35335 ) ( 162080 35335 )
NEW met1 ( 157200 33855 ) M1M2_PR
NEW li1 ( 159600 34225 ) L1M1_PR_MR
NEW li1 ( 159600 35335 ) L1M1_PR_MR
NEW li1 ( 162080 35335 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[51] ( PIN la_data_out[51] ) ( output518 X )
+ ROUTED met2 ( 159120 3330 0 ) ( 159120 32005 )
NEW met1 ( 159120 32005 ) ( 167280 32005 )
NEW met1 ( 159120 32005 ) M1M2_PR
NEW li1 ( 167280 32005 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[52] ( PIN la_data_out[52] ) ( output519 X )
+ ROUTED met2 ( 161040 3330 0 ) ( 161040 33855 )
NEW met1 ( 161040 33855 ) ( 165840 33855 )
NEW met1 ( 165840 33855 ) ( 165840 34595 )
NEW met1 ( 161040 33855 ) M1M2_PR
NEW li1 ( 165840 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[53] ( PIN la_data_out[53] ) ( output520 X )
+ ROUTED met2 ( 162960 3330 0 ) ( 162960 16095 )
NEW met1 ( 162960 16095 ) ( 168720 16095 )
NEW met2 ( 168720 16095 ) ( 168720 30525 )
NEW met1 ( 168720 30525 ) ( 171120 30525 )
NEW met1 ( 162960 16095 ) M1M2_PR
NEW met1 ( 168720 16095 ) M1M2_PR
NEW met1 ( 168720 30525 ) M1M2_PR
NEW li1 ( 171120 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[54] ( PIN la_data_out[54] ) ( output521 X )
+ ROUTED met2 ( 164880 3330 0 ) ( 164880 11470 )
NEW met2 ( 164400 11470 ) ( 164880 11470 )
NEW met2 ( 164400 11470 ) ( 164400 17575 )
NEW met1 ( 164400 17575 ) ( 167280 17575 )
NEW met2 ( 167280 17575 ) ( 167280 34595 )
NEW met1 ( 167280 34595 ) ( 169680 34595 )
NEW met1 ( 164400 17575 ) M1M2_PR
NEW met1 ( 167280 17575 ) M1M2_PR
NEW met1 ( 167280 34595 ) M1M2_PR
NEW li1 ( 169680 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[55] ( PIN la_data_out[55] ) ( output522 X )
+ ROUTED met2 ( 166320 3330 0 ) ( 166320 7215 )
NEW met1 ( 165840 7215 ) ( 166320 7215 )
NEW met1 ( 165840 7215 ) ( 165840 8325 )
NEW met2 ( 165840 8325 ) ( 165840 17575 )
NEW met2 ( 165360 17575 ) ( 165840 17575 )
NEW met2 ( 165360 17575 ) ( 165360 19055 )
NEW met1 ( 165360 19055 ) ( 171600 19055 )
NEW met2 ( 171600 19055 ) ( 171600 30525 )
NEW met1 ( 171600 30525 ) ( 175440 30525 )
NEW met1 ( 166320 7215 ) M1M2_PR
NEW met1 ( 165840 8325 ) M1M2_PR
NEW met1 ( 165360 19055 ) M1M2_PR
NEW met1 ( 171600 19055 ) M1M2_PR
NEW met1 ( 171600 30525 ) M1M2_PR
NEW li1 ( 175440 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[56] ( PIN la_data_out[56] ) ( output523 X )
+ ROUTED met1 ( 168240 37185 ) ( 169200 37185 )
NEW met2 ( 168240 3330 0 ) ( 168240 37185 )
NEW met1 ( 168240 37185 ) M1M2_PR
NEW li1 ( 169200 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[57] ( PIN la_data_out[57] ) ( output524 X )
+ ROUTED met2 ( 170160 3330 0 ) ( 170160 34595 )
NEW met1 ( 170160 34595 ) ( 173520 34595 )
NEW met1 ( 170160 34595 ) M1M2_PR
NEW li1 ( 173520 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[58] ( PIN la_data_out[58] ) ( output525 X )
+ ROUTED met1 ( 172080 37185 ) ( 173040 37185 )
NEW met2 ( 172080 3330 0 ) ( 172080 37185 )
NEW met1 ( 172080 37185 ) M1M2_PR
NEW li1 ( 173040 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[59] ( PIN la_data_out[59] ) ( output526 X )
+ ROUTED met2 ( 174000 3330 0 ) ( 174000 18130 )
NEW met2 ( 173520 18130 ) ( 174000 18130 )
NEW met1 ( 173520 37185 ) ( 176400 37185 )
NEW met2 ( 173520 18130 ) ( 173520 37185 )
NEW met1 ( 173520 37185 ) M1M2_PR
NEW li1 ( 176400 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[5] ( PIN la_data_out[5] ) ( output527 X )
+ ROUTED met1 ( 74640 31265 ) ( 80880 31265 )
NEW met1 ( 80880 30525 ) ( 80880 31265 )
NEW met2 ( 74640 3330 0 ) ( 74640 31265 )
NEW met1 ( 74640 31265 ) M1M2_PR
NEW li1 ( 80880 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[60] ( PIN la_data_out[60] ) ( output528 X )
+ ROUTED met2 ( 175920 3330 0 ) ( 175920 12025 )
NEW met1 ( 175920 12025 ) ( 177840 12025 )
NEW met2 ( 177840 12025 ) ( 177840 34595 )
NEW met1 ( 177840 34595 ) ( 180720 34595 )
NEW met1 ( 175920 12025 ) M1M2_PR
NEW met1 ( 177840 12025 ) M1M2_PR
NEW met1 ( 177840 34595 ) M1M2_PR
NEW li1 ( 180720 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[61] ( PIN la_data_out[61] ) ( output529 X )
+ ROUTED met2 ( 177360 3330 0 ) ( 177360 10545 )
NEW met1 ( 177360 10545 ) ( 179760 10545 )
NEW met2 ( 179760 10545 ) ( 179760 30525 )
NEW met1 ( 179760 30525 ) ( 186000 30525 )
NEW met1 ( 177360 10545 ) M1M2_PR
NEW met1 ( 179760 10545 ) M1M2_PR
NEW met1 ( 179760 30525 ) M1M2_PR
NEW li1 ( 186000 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[62] ( PIN la_data_out[62] ) ( output530 X )
+ ROUTED met1 ( 179280 37185 ) ( 180240 37185 )
NEW met2 ( 179280 3330 0 ) ( 179280 37185 )
NEW met1 ( 179280 37185 ) M1M2_PR
NEW li1 ( 180240 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[63] ( PIN la_data_out[63] ) ( output531 X )
+ ROUTED met2 ( 181200 3330 0 ) ( 181200 34595 )
NEW met1 ( 181200 34595 ) ( 184560 34595 )
NEW met1 ( 181200 34595 ) M1M2_PR
NEW li1 ( 184560 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[64] ( PIN la_data_out[64] ) ( output532 X )
+ ROUTED met1 ( 183120 37185 ) ( 184560 37185 )
NEW met2 ( 183120 3330 0 ) ( 183120 37185 )
NEW met1 ( 183120 37185 ) M1M2_PR
NEW li1 ( 184560 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[65] ( PIN la_data_out[65] ) ( output533 X )
+ ROUTED met1 ( 187920 34225 ) ( 187920 34595 )
NEW met1 ( 187920 34225 ) ( 188880 34225 )
NEW met1 ( 188880 34225 ) ( 188880 34595 )
NEW met2 ( 185040 3330 0 ) ( 185040 34595 )
NEW met1 ( 185040 34595 ) ( 187920 34595 )
NEW li1 ( 188880 34595 ) L1M1_PR_MR
NEW met1 ( 185040 34595 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[66] ( PIN la_data_out[66] ) ( output534 X )
+ ROUTED met1 ( 192240 33855 ) ( 192240 34595 )
NEW met2 ( 186960 3330 0 ) ( 186960 33855 )
NEW met1 ( 186960 33855 ) ( 192240 33855 )
NEW li1 ( 192240 34595 ) L1M1_PR_MR
NEW met1 ( 186960 33855 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[67] ( PIN la_data_out[67] ) ( output535 X )
+ ROUTED met2 ( 188400 3330 0 ) ( 188400 17575 )
NEW met1 ( 188400 17575 ) ( 194160 17575 )
NEW met2 ( 194160 17575 ) ( 194160 34595 )
NEW met1 ( 194160 34595 ) ( 196560 34595 )
NEW met1 ( 188400 17575 ) M1M2_PR
NEW met1 ( 194160 17575 ) M1M2_PR
NEW met1 ( 194160 34595 ) M1M2_PR
NEW li1 ( 196560 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[68] ( PIN la_data_out[68] ) ( output536 X )
+ ROUTED met1 ( 190320 37185 ) ( 193680 37185 )
NEW met2 ( 190320 3330 0 ) ( 190320 37185 )
NEW met1 ( 190320 37185 ) M1M2_PR
NEW li1 ( 193680 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[69] ( PIN la_data_out[69] ) ( output537 X )
+ ROUTED met2 ( 192240 3330 0 ) ( 192240 7215 )
NEW met1 ( 191280 7215 ) ( 192240 7215 )
NEW met2 ( 191280 7215 ) ( 191280 17205 )
NEW met1 ( 191280 17205 ) ( 198000 17205 )
NEW met2 ( 198000 17205 ) ( 198000 34595 )
NEW met1 ( 198000 34595 ) ( 200400 34595 )
NEW met1 ( 192240 7215 ) M1M2_PR
NEW met1 ( 191280 7215 ) M1M2_PR
NEW met1 ( 191280 17205 ) M1M2_PR
NEW met1 ( 198000 17205 ) M1M2_PR
NEW met1 ( 198000 34595 ) M1M2_PR
NEW li1 ( 200400 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[6] ( PIN la_data_out[6] ) ( output538 X )
+ ROUTED met1 ( 76560 15725 ) ( 78960 15725 )
NEW met2 ( 78960 15725 ) ( 78960 34595 )
NEW met2 ( 76560 3330 0 ) ( 76560 15725 )
NEW met1 ( 76560 15725 ) M1M2_PR
NEW met1 ( 78960 15725 ) M1M2_PR
NEW li1 ( 78960 34595 ) L1M1_PR_MR
NEW met1 ( 78960 34595 ) M1M2_PR
NEW met1 ( 78960 34595 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_data_out[70] ( PIN la_data_out[70] ) ( output539 X )
+ ROUTED met2 ( 194160 3330 0 ) ( 194160 15355 )
NEW met1 ( 194160 15355 ) ( 196080 15355 )
NEW met2 ( 196080 15355 ) ( 196080 36000 )
NEW met2 ( 196080 36000 ) ( 196560 36000 )
NEW met2 ( 196560 36000 ) ( 196560 37185 )
NEW met1 ( 196560 37185 ) ( 198000 37185 )
NEW met1 ( 194160 15355 ) M1M2_PR
NEW met1 ( 196080 15355 ) M1M2_PR
NEW met1 ( 196560 37185 ) M1M2_PR
NEW li1 ( 198000 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[71] ( PIN la_data_out[71] ) ( output540 X )
+ ROUTED met2 ( 196080 3330 0 ) ( 196080 14615 )
NEW met1 ( 196080 14615 ) ( 197040 14615 )
NEW met1 ( 197040 14615 ) ( 197040 15355 )
NEW met1 ( 197040 15355 ) ( 199440 15355 )
NEW met2 ( 199440 15355 ) ( 199440 30525 )
NEW met1 ( 199440 30525 ) ( 206640 30525 )
NEW met1 ( 196080 14615 ) M1M2_PR
NEW met1 ( 199440 15355 ) M1M2_PR
NEW met1 ( 199440 30525 ) M1M2_PR
NEW li1 ( 206640 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[72] ( PIN la_data_out[72] ) ( output541 X )
+ ROUTED met2 ( 197520 3330 0 ) ( 197520 7770 )
NEW met2 ( 197520 7770 ) ( 198480 7770 )
NEW met1 ( 198480 38295 ) ( 201840 38295 )
NEW met1 ( 201840 38295 ) ( 201840 38665 )
NEW met2 ( 198480 7770 ) ( 198480 38295 )
NEW met1 ( 198480 38295 ) M1M2_PR
NEW li1 ( 201840 38665 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[73] ( PIN la_data_out[73] ) ( output542 X )
+ ROUTED met2 ( 199440 3330 0 ) ( 199440 6290 )
NEW met2 ( 199440 6290 ) ( 199920 6290 )
NEW met2 ( 199920 6290 ) ( 199920 20350 )
NEW met2 ( 199920 20350 ) ( 200400 20350 )
NEW met2 ( 200400 20350 ) ( 200400 35335 )
NEW met1 ( 200400 35335 ) ( 207200 35335 )
NEW met1 ( 200400 35335 ) M1M2_PR
NEW li1 ( 207200 35335 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[74] ( PIN la_data_out[74] ) ( output543 X )
+ ROUTED met1 ( 201360 37185 ) ( 201360 37555 )
NEW met1 ( 201360 37555 ) ( 205200 37555 )
NEW met1 ( 205200 37185 ) ( 205200 37555 )
NEW met2 ( 201360 3330 0 ) ( 201360 37185 )
NEW met1 ( 201360 37185 ) M1M2_PR
NEW li1 ( 205200 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[75] ( PIN la_data_out[75] ) ( output544 X )
+ ROUTED met2 ( 203280 3330 0 ) ( 203280 30895 )
NEW met1 ( 203280 30895 ) ( 207120 30895 )
NEW met1 ( 207120 30525 ) ( 207120 30895 )
NEW met1 ( 207120 30525 ) ( 213840 30525 )
NEW met1 ( 203280 30895 ) M1M2_PR
NEW li1 ( 213840 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[76] ( PIN la_data_out[76] ) ( output545 X )
+ ROUTED met2 ( 205200 3330 0 ) ( 205200 8695 )
NEW met1 ( 205200 8695 ) ( 206640 8695 )
NEW met2 ( 206640 8695 ) ( 206640 34225 )
NEW met1 ( 206640 34225 ) ( 210960 34225 )
NEW met1 ( 210960 34225 ) ( 210960 34595 )
NEW met1 ( 205200 8695 ) M1M2_PR
NEW met1 ( 206640 8695 ) M1M2_PR
NEW met1 ( 206640 34225 ) M1M2_PR
NEW li1 ( 210960 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[77] ( PIN la_data_out[77] ) ( output546 X )
+ ROUTED met1 ( 207120 38665 ) ( 209520 38665 )
NEW met2 ( 207120 3330 0 ) ( 207120 38665 )
NEW met1 ( 207120 38665 ) M1M2_PR
NEW li1 ( 209520 38665 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[78] ( PIN la_data_out[78] ) ( output547 X )
+ ROUTED met2 ( 208560 3330 0 ) ( 208560 18130 )
NEW met2 ( 208080 18130 ) ( 208560 18130 )
NEW met2 ( 208080 18130 ) ( 208080 33855 )
NEW met1 ( 208080 33855 ) ( 211440 33855 )
NEW met1 ( 211440 33855 ) ( 211440 34595 )
NEW met1 ( 211440 34595 ) ( 214800 34595 )
NEW met1 ( 208080 33855 ) M1M2_PR
NEW li1 ( 214800 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[79] ( PIN la_data_out[79] ) ( output548 X )
+ ROUTED met2 ( 210480 3330 0 ) ( 210480 14985 )
NEW met2 ( 210480 14985 ) ( 210960 14985 )
NEW met2 ( 210960 14985 ) ( 210960 30895 )
NEW met1 ( 210960 30895 ) ( 220080 30895 )
NEW met1 ( 220080 30525 ) ( 220080 30895 )
NEW met1 ( 210960 30895 ) M1M2_PR
NEW li1 ( 220080 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[7] ( PIN la_data_out[7] ) ( output549 X )
+ ROUTED met1 ( 78480 37185 ) ( 78960 37185 )
NEW met2 ( 78480 3330 0 ) ( 78480 37185 )
NEW met1 ( 78480 37185 ) M1M2_PR
NEW li1 ( 78960 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[80] ( PIN la_data_out[80] ) ( output550 X )
+ ROUTED met1 ( 212400 37185 ) ( 213360 37185 )
NEW met2 ( 212400 3330 0 ) ( 212400 37185 )
NEW met1 ( 212400 37185 ) M1M2_PR
NEW li1 ( 213360 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[81] ( PIN la_data_out[81] ) ( output551 X )
+ ROUTED met2 ( 214320 3330 0 ) ( 214320 17390 )
NEW met2 ( 214320 17390 ) ( 215280 17390 )
NEW met2 ( 215280 17390 ) ( 215280 34595 )
NEW met1 ( 215280 34595 ) ( 219120 34595 )
NEW met1 ( 215280 34595 ) M1M2_PR
NEW li1 ( 219120 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[82] ( PIN la_data_out[82] ) ( output552 X )
+ ROUTED met2 ( 216240 3330 0 ) ( 216240 13875 )
NEW met1 ( 216240 13875 ) ( 220560 13875 )
NEW met1 ( 220560 13875 ) ( 220560 14615 )
NEW met1 ( 220560 14615 ) ( 222000 14615 )
NEW met2 ( 222000 14615 ) ( 222000 30525 )
NEW met1 ( 222000 30525 ) ( 223920 30525 )
NEW met1 ( 216240 13875 ) M1M2_PR
NEW met1 ( 222000 14615 ) M1M2_PR
NEW met1 ( 222000 30525 ) M1M2_PR
NEW li1 ( 223920 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[83] ( PIN la_data_out[83] ) ( output553 X )
+ ROUTED met2 ( 218160 3330 0 ) ( 218160 35335 )
NEW met1 ( 218160 35335 ) ( 222560 35335 )
NEW met1 ( 218160 35335 ) M1M2_PR
NEW li1 ( 222560 35335 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[84] ( PIN la_data_out[84] ) ( output554 X )
+ ROUTED met1 ( 219600 37185 ) ( 220560 37185 )
NEW met2 ( 219600 3330 0 ) ( 219600 37185 )
NEW met1 ( 219600 37185 ) M1M2_PR
NEW li1 ( 220560 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[85] ( PIN la_data_out[85] ) ( output555 X )
+ ROUTED met2 ( 221520 3330 0 ) ( 221520 33855 )
NEW met1 ( 221520 33855 ) ( 226800 33855 )
NEW met1 ( 226800 33855 ) ( 226800 34595 )
NEW met1 ( 221520 33855 ) M1M2_PR
NEW li1 ( 226800 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[86] ( PIN la_data_out[86] ) ( output556 X )
+ ROUTED met1 ( 223440 37185 ) ( 224400 37185 )
NEW met2 ( 223440 3330 0 ) ( 223440 37185 )
NEW met1 ( 223440 37185 ) M1M2_PR
NEW li1 ( 224400 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[87] ( PIN la_data_out[87] ) ( output557 X )
+ ROUTED met1 ( 225360 38665 ) ( 228240 38665 )
NEW met2 ( 225360 3330 0 ) ( 225360 38665 )
NEW met1 ( 225360 38665 ) M1M2_PR
NEW li1 ( 228240 38665 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[88] ( PIN la_data_out[88] ) ( output558 X )
+ ROUTED met2 ( 227280 3330 0 ) ( 227280 15725 )
NEW met2 ( 226800 15725 ) ( 227280 15725 )
NEW met2 ( 226800 15725 ) ( 226800 25530 )
NEW met2 ( 226800 25530 ) ( 227280 25530 )
NEW met2 ( 227280 25530 ) ( 227280 34595 )
NEW met1 ( 227280 34595 ) ( 233520 34595 )
NEW met1 ( 227280 34595 ) M1M2_PR
NEW li1 ( 233520 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[89] ( PIN la_data_out[89] ) ( output559 X )
+ ROUTED met1 ( 229200 38665 ) ( 232080 38665 )
NEW met2 ( 229200 3330 0 ) ( 229200 38665 )
NEW met1 ( 229200 38665 ) M1M2_PR
NEW li1 ( 232080 38665 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[8] ( PIN la_data_out[8] ) ( output560 X )
+ ROUTED met1 ( 80400 41255 ) ( 80880 41255 )
NEW met2 ( 80400 3330 0 ) ( 80400 41255 )
NEW met1 ( 80400 41255 ) M1M2_PR
NEW li1 ( 80880 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[90] ( PIN la_data_out[90] ) ( output561 X )
+ ROUTED met2 ( 230640 3330 0 ) ( 230640 13690 )
NEW met2 ( 230640 13690 ) ( 231120 13690 )
NEW met2 ( 231120 13690 ) ( 231120 15725 )
NEW met2 ( 231120 15725 ) ( 231600 15725 )
NEW met2 ( 231600 15725 ) ( 231600 34225 )
NEW met1 ( 231600 34225 ) ( 234000 34225 )
NEW met1 ( 234000 34225 ) ( 234000 34595 )
NEW met1 ( 234000 34595 ) ( 237840 34595 )
NEW met1 ( 231600 34225 ) M1M2_PR
NEW li1 ( 237840 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[91] ( PIN la_data_out[91] ) ( output562 X )
+ ROUTED met1 ( 232560 38665 ) ( 235920 38665 )
NEW met2 ( 232560 3330 0 ) ( 232560 38665 )
NEW met1 ( 232560 38665 ) M1M2_PR
NEW li1 ( 235920 38665 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[92] ( PIN la_data_out[92] ) ( output563 X )
+ ROUTED met2 ( 234480 3330 0 ) ( 234480 34225 )
NEW met1 ( 241200 34225 ) ( 241200 34595 )
NEW met1 ( 234480 34225 ) ( 241200 34225 )
NEW met1 ( 234480 34225 ) M1M2_PR
NEW li1 ( 241200 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[93] ( PIN la_data_out[93] ) ( output564 X )
+ ROUTED met2 ( 236400 3330 0 ) ( 236400 37185 )
NEW met1 ( 236400 37185 ) ( 239280 37185 )
NEW met1 ( 236400 37185 ) M1M2_PR
NEW li1 ( 239280 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[94] ( PIN la_data_out[94] ) ( output565 X )
+ ROUTED met2 ( 238320 3330 0 ) ( 238320 15170 )
NEW met2 ( 237840 15170 ) ( 238320 15170 )
NEW met2 ( 237840 15170 ) ( 237840 17575 )
NEW met1 ( 237840 17575 ) ( 242160 17575 )
NEW met2 ( 242160 17575 ) ( 242160 34595 )
NEW met1 ( 242160 34595 ) ( 245520 34595 )
NEW met1 ( 237840 17575 ) M1M2_PR
NEW met1 ( 242160 17575 ) M1M2_PR
NEW met1 ( 242160 34595 ) M1M2_PR
NEW li1 ( 245520 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[95] ( PIN la_data_out[95] ) ( output566 X )
+ ROUTED met1 ( 240240 41255 ) ( 240720 41255 )
NEW met2 ( 240240 3330 0 ) ( 240240 41255 )
NEW met1 ( 240240 41255 ) M1M2_PR
NEW li1 ( 240720 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[96] ( PIN la_data_out[96] ) ( output567 X )
+ ROUTED met1 ( 241680 37185 ) ( 246960 37185 )
NEW met2 ( 241680 3330 0 ) ( 241680 37185 )
NEW met1 ( 241680 37185 ) M1M2_PR
NEW li1 ( 246960 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[97] ( PIN la_data_out[97] ) ( output568 X )
+ ROUTED met1 ( 243600 41255 ) ( 244560 41255 )
NEW met2 ( 243600 3330 0 ) ( 243600 41255 )
NEW met1 ( 243600 41255 ) M1M2_PR
NEW li1 ( 244560 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[98] ( PIN la_data_out[98] ) ( output569 X )
+ ROUTED met1 ( 245520 37555 ) ( 250800 37555 )
NEW met1 ( 250800 37185 ) ( 250800 37555 )
NEW met2 ( 245520 3330 0 ) ( 245520 37555 )
NEW met1 ( 245520 37555 ) M1M2_PR
NEW li1 ( 250800 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[99] ( PIN la_data_out[99] ) ( output570 X )
+ ROUTED met1 ( 247440 41255 ) ( 248400 41255 )
NEW met2 ( 247440 3330 0 ) ( 247440 41255 )
NEW met1 ( 247440 41255 ) M1M2_PR
NEW li1 ( 248400 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_data_out[9] ( PIN la_data_out[9] ) ( output571 X )
+ ROUTED met1 ( 81840 41255 ) ( 84720 41255 )
NEW met2 ( 81840 3330 0 ) ( 81840 41255 )
NEW met1 ( 81840 41255 ) M1M2_PR
NEW li1 ( 84720 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[0] ( PIN la_oen[0] ) ( input167 A )
+ ROUTED met1 ( 65040 18315 ) ( 66000 18315 )
NEW met2 ( 66000 3330 0 ) ( 66000 18315 )
NEW met1 ( 66000 18315 ) M1M2_PR
NEW li1 ( 65040 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[100] ( PIN la_oen[100] ) ( input168 A )
+ ROUTED met2 ( 249840 3330 0 ) ( 249840 21275 )
NEW met1 ( 249840 21275 ) ( 253200 21275 )
NEW met1 ( 253200 21275 ) ( 253200 21645 )
NEW met1 ( 249840 21275 ) M1M2_PR
NEW li1 ( 253200 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[101] ( PIN la_oen[101] ) ( input169 A )
+ ROUTED met2 ( 251760 3330 0 ) ( 251760 12950 )
NEW met2 ( 251280 12950 ) ( 251760 12950 )
NEW met2 ( 251280 12950 ) ( 251280 24050 )
NEW met2 ( 251280 24050 ) ( 252240 24050 )
NEW met2 ( 252240 24050 ) ( 252240 24975 )
NEW li1 ( 252240 24975 ) L1M1_PR_MR
NEW met1 ( 252240 24975 ) M1M2_PR
NEW met1 ( 252240 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[102] ( PIN la_oen[102] ) ( input170 A )
+ ROUTED met2 ( 253680 3330 0 ) ( 253680 8695 )
NEW met1 ( 253680 8695 ) ( 254640 8695 )
NEW met2 ( 254640 8695 ) ( 254640 24975 )
NEW met1 ( 254640 24975 ) ( 255600 24975 )
NEW met1 ( 253680 8695 ) M1M2_PR
NEW met1 ( 254640 8695 ) M1M2_PR
NEW met1 ( 254640 24975 ) M1M2_PR
NEW li1 ( 255600 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[103] ( PIN la_oen[103] ) ( input171 A )
+ ROUTED met2 ( 255120 3330 0 ) ( 255120 21275 )
NEW met1 ( 255120 21275 ) ( 259440 21275 )
NEW met1 ( 259440 21275 ) ( 259440 21645 )
NEW met1 ( 255120 21275 ) M1M2_PR
NEW li1 ( 259440 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[104] ( PIN la_oen[104] ) ( input172 A )
+ ROUTED met2 ( 257040 3330 0 ) ( 257040 14615 )
NEW met1 ( 257040 14615 ) ( 259440 14615 )
NEW met2 ( 259440 14615 ) ( 259440 24975 )
NEW met1 ( 257040 14615 ) M1M2_PR
NEW met1 ( 259440 14615 ) M1M2_PR
NEW li1 ( 259440 24975 ) L1M1_PR_MR
NEW met1 ( 259440 24975 ) M1M2_PR
NEW met1 ( 259440 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[105] ( PIN la_oen[105] ) ( input173 A )
+ ROUTED met2 ( 258960 3330 0 ) ( 258960 15725 )
NEW met1 ( 258960 15725 ) ( 261360 15725 )
NEW met2 ( 261360 15725 ) ( 261360 24975 )
NEW met1 ( 261360 24975 ) ( 263280 24975 )
NEW met1 ( 258960 15725 ) M1M2_PR
NEW met1 ( 261360 15725 ) M1M2_PR
NEW met1 ( 261360 24975 ) M1M2_PR
NEW li1 ( 263280 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[106] ( PIN la_oen[106] ) ( input174 A )
+ ROUTED met2 ( 260880 3330 0 ) ( 260880 28305 )
NEW li1 ( 260880 28305 ) L1M1_PR_MR
NEW met1 ( 260880 28305 ) M1M2_PR
NEW met1 ( 260880 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[107] ( PIN la_oen[107] ) ( input175 A )
+ ROUTED met2 ( 262800 3330 0 ) ( 262800 28305 )
NEW met1 ( 262800 28305 ) ( 264720 28305 )
NEW met1 ( 262800 28305 ) M1M2_PR
NEW li1 ( 264720 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[108] ( PIN la_oen[108] ) ( input176 A )
+ ROUTED met2 ( 264720 3330 0 ) ( 264720 14615 )
NEW met1 ( 264720 14615 ) ( 268560 14615 )
NEW met2 ( 268560 14615 ) ( 268560 28305 )
NEW met1 ( 264720 14615 ) M1M2_PR
NEW met1 ( 268560 14615 ) M1M2_PR
NEW li1 ( 268560 28305 ) L1M1_PR_MR
NEW met1 ( 268560 28305 ) M1M2_PR
NEW met1 ( 268560 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[109] ( PIN la_oen[109] ) ( input177 A )
+ ROUTED met2 ( 266160 3330 0 ) ( 266160 14430 )
NEW met2 ( 266160 14430 ) ( 266640 14430 )
NEW met2 ( 266640 14430 ) ( 266640 24975 )
NEW met1 ( 266640 24975 ) ( 272400 24975 )
NEW met1 ( 266640 24975 ) M1M2_PR
NEW li1 ( 272400 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[10] ( PIN la_oen[10] ) ( input178 A )
+ ROUTED met2 ( 84720 15725 ) ( 85200 15725 )
NEW met2 ( 85200 15725 ) ( 85200 20535 )
NEW met1 ( 85200 20535 ) ( 89040 20535 )
NEW met1 ( 89040 20535 ) ( 89040 21645 )
NEW met2 ( 84720 3330 0 ) ( 84720 15725 )
NEW met1 ( 85200 20535 ) M1M2_PR
NEW li1 ( 89040 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[110] ( PIN la_oen[110] ) ( input179 A )
+ ROUTED met2 ( 268080 3330 0 ) ( 268080 27935 )
NEW met1 ( 268080 27935 ) ( 272400 27935 )
NEW met1 ( 272400 27935 ) ( 272400 28305 )
NEW met1 ( 268080 27935 ) M1M2_PR
NEW li1 ( 272400 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[111] ( PIN la_oen[111] ) ( input180 A )
+ ROUTED met2 ( 270000 3330 0 ) ( 270000 31635 )
NEW met1 ( 270000 31635 ) ( 272400 31635 )
NEW met1 ( 270000 31635 ) M1M2_PR
NEW li1 ( 272400 31635 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[112] ( PIN la_oen[112] ) ( input181 A )
+ ROUTED met2 ( 271920 3330 0 ) ( 271920 18130 )
NEW met2 ( 271920 18130 ) ( 272400 18130 )
NEW met2 ( 272400 18130 ) ( 272400 30895 )
NEW met1 ( 272400 30895 ) ( 276240 30895 )
NEW met1 ( 276240 30895 ) ( 276240 31635 )
NEW met1 ( 272400 30895 ) M1M2_PR
NEW li1 ( 276240 31635 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[113] ( PIN la_oen[113] ) ( input182 A )
+ ROUTED met2 ( 273840 3330 0 ) ( 273840 34965 )
NEW li1 ( 273840 34965 ) L1M1_PR_MR
NEW met1 ( 273840 34965 ) M1M2_PR
NEW met1 ( 273840 34965 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[114] ( PIN la_oen[114] ) ( input183 A )
+ ROUTED met2 ( 275280 3330 0 ) ( 275280 32005 )
NEW met1 ( 275280 32005 ) ( 280080 32005 )
NEW met1 ( 280080 31635 ) ( 280080 32005 )
NEW met1 ( 275280 32005 ) M1M2_PR
NEW li1 ( 280080 31635 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[115] ( PIN la_oen[115] ) ( input184 A )
+ ROUTED met2 ( 277200 3330 0 ) ( 277200 34965 )
NEW met1 ( 277200 34965 ) ( 277680 34965 )
NEW met1 ( 277200 34965 ) M1M2_PR
NEW li1 ( 277680 34965 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[116] ( PIN la_oen[116] ) ( input185 A )
+ ROUTED met2 ( 279120 3330 0 ) ( 279120 38295 )
NEW li1 ( 279120 38295 ) L1M1_PR_MR
NEW met1 ( 279120 38295 ) M1M2_PR
NEW met1 ( 279120 38295 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[117] ( PIN la_oen[117] ) ( input186 A )
+ ROUTED met1 ( 281040 38295 ) ( 282960 38295 )
NEW met2 ( 281040 3330 0 ) ( 281040 38295 )
NEW met1 ( 281040 38295 ) M1M2_PR
NEW li1 ( 282960 38295 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[118] ( PIN la_oen[118] ) ( input187 A )
+ ROUTED met2 ( 282960 3330 0 ) ( 282960 14985 )
NEW met1 ( 282960 14985 ) ( 286800 14985 )
NEW met2 ( 286800 14985 ) ( 286800 38295 )
NEW met1 ( 282960 14985 ) M1M2_PR
NEW met1 ( 286800 14985 ) M1M2_PR
NEW li1 ( 286800 38295 ) L1M1_PR_MR
NEW met1 ( 286800 38295 ) M1M2_PR
NEW met1 ( 286800 38295 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[119] ( PIN la_oen[119] ) ( input188 A )
+ ROUTED met1 ( 284880 41625 ) ( 285840 41625 )
NEW met2 ( 284880 3330 0 ) ( 284880 41625 )
NEW met1 ( 284880 41625 ) M1M2_PR
NEW li1 ( 285840 41625 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[11] ( PIN la_oen[11] ) ( input189 A )
+ ROUTED met2 ( 86160 3330 0 ) ( 86160 14400 )
NEW met2 ( 86160 14400 ) ( 86640 14400 )
NEW met2 ( 86640 14400 ) ( 86640 24975 )
NEW met1 ( 86640 24975 ) ( 87600 24975 )
NEW met1 ( 86640 24975 ) M1M2_PR
NEW li1 ( 87600 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[120] ( PIN la_oen[120] ) ( input190 A )
+ ROUTED met2 ( 286320 3330 0 ) ( 286320 44955 )
NEW li1 ( 286320 44955 ) L1M1_PR_MR
NEW met1 ( 286320 44955 ) M1M2_PR
NEW met1 ( 286320 44955 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[121] ( PIN la_oen[121] ) ( input191 A )
+ ROUTED met2 ( 288240 3330 0 ) ( 288240 48285 )
NEW li1 ( 288240 48285 ) L1M1_PR_MR
NEW met1 ( 288240 48285 ) M1M2_PR
NEW met1 ( 288240 48285 ) RECT ( 0 -70 355 70 )
+ USE SIGNAL ;
- la_oen[122] ( PIN la_oen[122] ) ( input192 A )
+ ROUTED met2 ( 290160 3330 0 ) ( 290160 20535 )
NEW met1 ( 266640 31635 ) ( 268080 31635 )
NEW met1 ( 268080 30525 ) ( 268080 31635 )
NEW met1 ( 268080 30525 ) ( 282480 30525 )
NEW met2 ( 282480 20535 ) ( 282480 30525 )
NEW met1 ( 282480 20535 ) ( 290160 20535 )
NEW met1 ( 290160 20535 ) M1M2_PR
NEW li1 ( 266640 31635 ) L1M1_PR_MR
NEW met1 ( 282480 30525 ) M1M2_PR
NEW met1 ( 282480 20535 ) M1M2_PR
+ USE SIGNAL ;
- la_oen[123] ( PIN la_oen[123] ) ( input193 A )
+ ROUTED met2 ( 292080 3330 0 ) ( 292080 41255 )
NEW met1 ( 280080 41255 ) ( 280080 41625 )
NEW met1 ( 280080 41255 ) ( 292080 41255 )
NEW met1 ( 292080 41255 ) M1M2_PR
NEW li1 ( 280080 41625 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[124] ( PIN la_oen[124] ) ( input194 A )
+ ROUTED met2 ( 294000 3330 0 ) ( 294000 37925 )
NEW met1 ( 275760 37925 ) ( 275760 38295 )
NEW met1 ( 275760 37925 ) ( 294000 37925 )
NEW met1 ( 294000 37925 ) M1M2_PR
NEW li1 ( 275760 38295 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[125] ( PIN la_oen[125] ) ( input195 A )
+ ROUTED met2 ( 295920 3330 0 ) ( 295920 21275 )
NEW met1 ( 284400 21275 ) ( 295920 21275 )
NEW met1 ( 282960 44955 ) ( 284400 44955 )
NEW met2 ( 284400 21275 ) ( 284400 44955 )
NEW met1 ( 295920 21275 ) M1M2_PR
NEW met1 ( 284400 21275 ) M1M2_PR
NEW met1 ( 284400 44955 ) M1M2_PR
NEW li1 ( 282960 44955 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[126] ( PIN la_oen[126] ) ( input196 A )
+ ROUTED met2 ( 297360 3330 0 ) ( 297360 14615 )
NEW met1 ( 288720 14615 ) ( 297360 14615 )
NEW met2 ( 288720 14615 ) ( 288720 29045 )
NEW met1 ( 270480 34965 ) ( 272880 34965 )
NEW met1 ( 272880 34595 ) ( 272880 34965 )
NEW met1 ( 272880 34595 ) ( 282000 34595 )
NEW met2 ( 282000 29045 ) ( 282000 34595 )
NEW met1 ( 282000 29045 ) ( 288720 29045 )
NEW met1 ( 297360 14615 ) M1M2_PR
NEW met1 ( 288720 14615 ) M1M2_PR
NEW met1 ( 288720 29045 ) M1M2_PR
NEW li1 ( 270480 34965 ) L1M1_PR_MR
NEW met1 ( 282000 34595 ) M1M2_PR
NEW met1 ( 282000 29045 ) M1M2_PR
+ USE SIGNAL ;
- la_oen[127] ( PIN la_oen[127] ) ( input197 A )
+ ROUTED met2 ( 299280 3330 0 ) ( 299280 40885 )
NEW met1 ( 276240 41625 ) ( 279600 41625 )
NEW met1 ( 279600 40885 ) ( 279600 41625 )
NEW met1 ( 279600 40885 ) ( 299280 40885 )
NEW met1 ( 299280 40885 ) M1M2_PR
NEW li1 ( 276240 41625 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[12] ( PIN la_oen[12] ) ( input198 A )
+ ROUTED met1 ( 88080 22015 ) ( 92880 22015 )
NEW met1 ( 92880 21645 ) ( 92880 22015 )
NEW met2 ( 88080 3330 0 ) ( 88080 22015 )
NEW met1 ( 88080 22015 ) M1M2_PR
NEW li1 ( 92880 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[13] ( PIN la_oen[13] ) ( input199 A )
+ ROUTED met1 ( 90000 24975 ) ( 91440 24975 )
NEW met2 ( 90000 3330 0 ) ( 90000 24975 )
NEW met1 ( 90000 24975 ) M1M2_PR
NEW li1 ( 91440 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[14] ( PIN la_oen[14] ) ( input200 A )
+ ROUTED met1 ( 91920 19425 ) ( 95280 19425 )
NEW met2 ( 95280 19425 ) ( 95280 24975 )
NEW met2 ( 91920 3330 0 ) ( 91920 19425 )
NEW met1 ( 91920 19425 ) M1M2_PR
NEW met1 ( 95280 19425 ) M1M2_PR
NEW li1 ( 95280 24975 ) L1M1_PR_MR
NEW met1 ( 95280 24975 ) M1M2_PR
NEW met1 ( 95280 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[15] ( PIN la_oen[15] ) ( input201 A )
+ ROUTED met2 ( 93840 3330 0 ) ( 93840 28305 )
NEW li1 ( 93840 28305 ) L1M1_PR_MR
NEW met1 ( 93840 28305 ) M1M2_PR
NEW met1 ( 93840 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[16] ( PIN la_oen[16] ) ( input202 A )
+ ROUTED met2 ( 95280 3330 0 ) ( 95280 12950 )
NEW met2 ( 95280 12950 ) ( 95760 12950 )
NEW met1 ( 95760 24975 ) ( 99120 24975 )
NEW met2 ( 95760 12950 ) ( 95760 24975 )
NEW met1 ( 95760 24975 ) M1M2_PR
NEW li1 ( 99120 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[17] ( PIN la_oen[17] ) ( input203 A )
+ ROUTED met1 ( 97200 19425 ) ( 102000 19425 )
NEW met2 ( 102000 19425 ) ( 102000 24975 )
NEW met1 ( 102000 24975 ) ( 102960 24975 )
NEW met2 ( 97200 3330 0 ) ( 97200 19425 )
NEW met1 ( 97200 19425 ) M1M2_PR
NEW met1 ( 102000 19425 ) M1M2_PR
NEW met1 ( 102000 24975 ) M1M2_PR
NEW li1 ( 102960 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[18] ( PIN la_oen[18] ) ( input204 A )
+ ROUTED met1 ( 99120 16095 ) ( 101040 16095 )
NEW met2 ( 101040 16095 ) ( 101040 28305 )
NEW met2 ( 99120 3330 0 ) ( 99120 16095 )
NEW met1 ( 99120 16095 ) M1M2_PR
NEW met1 ( 101040 16095 ) M1M2_PR
NEW li1 ( 101040 28305 ) L1M1_PR_MR
NEW met1 ( 101040 28305 ) M1M2_PR
NEW met1 ( 101040 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[19] ( PIN la_oen[19] ) ( input205 A )
+ ROUTED met2 ( 101040 3330 0 ) ( 101040 12765 )
NEW met1 ( 101040 12765 ) ( 104400 12765 )
NEW met1 ( 104400 24975 ) ( 106800 24975 )
NEW met2 ( 104400 12765 ) ( 104400 24975 )
NEW met1 ( 101040 12765 ) M1M2_PR
NEW met1 ( 104400 12765 ) M1M2_PR
NEW met1 ( 104400 24975 ) M1M2_PR
NEW li1 ( 106800 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[1] ( PIN la_oen[1] ) ( input206 A )
+ ROUTED met2 ( 67920 3330 0 ) ( 67920 21645 )
NEW li1 ( 67920 21645 ) L1M1_PR_MR
NEW met1 ( 67920 21645 ) M1M2_PR
NEW met1 ( 67920 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[20] ( PIN la_oen[20] ) ( input207 A )
+ ROUTED met1 ( 102960 28305 ) ( 104880 28305 )
NEW met2 ( 102960 3330 0 ) ( 102960 28305 )
NEW met1 ( 102960 28305 ) M1M2_PR
NEW li1 ( 104880 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[21] ( PIN la_oen[21] ) ( input208 A )
+ ROUTED met1 ( 104880 17945 ) ( 108720 17945 )
NEW met2 ( 108720 17945 ) ( 108720 28305 )
NEW met2 ( 104880 3330 0 ) ( 104880 17945 )
NEW met1 ( 104880 17945 ) M1M2_PR
NEW met1 ( 108720 17945 ) M1M2_PR
NEW li1 ( 108720 28305 ) L1M1_PR_MR
NEW met1 ( 108720 28305 ) M1M2_PR
NEW met1 ( 108720 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[22] ( PIN la_oen[22] ) ( input209 A )
+ ROUTED met1 ( 106320 19425 ) ( 114000 19425 )
NEW met2 ( 114000 19425 ) ( 114000 24975 )
NEW met2 ( 106320 3330 0 ) ( 106320 19425 )
NEW met1 ( 106320 19425 ) M1M2_PR
NEW met1 ( 114000 19425 ) M1M2_PR
NEW li1 ( 114000 24975 ) L1M1_PR_MR
NEW met1 ( 114000 24975 ) M1M2_PR
NEW met1 ( 114000 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[23] ( PIN la_oen[23] ) ( input210 A )
+ ROUTED met1 ( 108240 27935 ) ( 112560 27935 )
NEW met1 ( 112560 27935 ) ( 112560 28305 )
NEW met2 ( 108240 3330 0 ) ( 108240 27935 )
NEW met1 ( 108240 27935 ) M1M2_PR
NEW li1 ( 112560 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[24] ( PIN la_oen[24] ) ( input211 A )
+ ROUTED met1 ( 120240 21275 ) ( 120240 21645 )
NEW met2 ( 110160 3330 0 ) ( 110160 21275 )
NEW met1 ( 110160 21275 ) ( 120240 21275 )
NEW li1 ( 120240 21645 ) L1M1_PR_MR
NEW met1 ( 110160 21275 ) M1M2_PR
+ USE SIGNAL ;
- la_oen[25] ( PIN la_oen[25] ) ( input212 A )
+ ROUTED met2 ( 117840 15355 ) ( 117840 24975 )
NEW met2 ( 112080 3330 0 ) ( 112080 15355 )
NEW met1 ( 112080 15355 ) ( 117840 15355 )
NEW met1 ( 117840 15355 ) M1M2_PR
NEW li1 ( 117840 24975 ) L1M1_PR_MR
NEW met1 ( 117840 24975 ) M1M2_PR
NEW met1 ( 112080 15355 ) M1M2_PR
NEW met1 ( 117840 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[26] ( PIN la_oen[26] ) ( input213 A )
+ ROUTED met2 ( 115440 15725 ) ( 115440 28305 )
NEW met1 ( 115440 28305 ) ( 116400 28305 )
NEW met2 ( 114000 3330 0 ) ( 114000 15725 )
NEW met1 ( 114000 15725 ) ( 115440 15725 )
NEW met1 ( 115440 15725 ) M1M2_PR
NEW met1 ( 115440 28305 ) M1M2_PR
NEW li1 ( 116400 28305 ) L1M1_PR_MR
NEW met1 ( 114000 15725 ) M1M2_PR
+ USE SIGNAL ;
- la_oen[27] ( PIN la_oen[27] ) ( input214 A )
+ ROUTED met2 ( 115920 3330 0 ) ( 115920 24605 )
NEW met1 ( 115920 24605 ) ( 121680 24605 )
NEW met1 ( 121680 24605 ) ( 121680 24975 )
NEW met1 ( 115920 24605 ) M1M2_PR
NEW li1 ( 121680 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[28] ( PIN la_oen[28] ) ( input215 A )
+ ROUTED met2 ( 117360 3330 0 ) ( 117360 28305 )
NEW met1 ( 117360 28305 ) ( 120240 28305 )
NEW met1 ( 117360 28305 ) M1M2_PR
NEW li1 ( 120240 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[29] ( PIN la_oen[29] ) ( input216 A )
+ ROUTED met2 ( 119280 3330 0 ) ( 119280 15355 )
NEW met1 ( 119280 15355 ) ( 125520 15355 )
NEW met2 ( 125520 15355 ) ( 125520 24975 )
NEW met1 ( 119280 15355 ) M1M2_PR
NEW met1 ( 125520 15355 ) M1M2_PR
NEW li1 ( 125520 24975 ) L1M1_PR_MR
NEW met1 ( 125520 24975 ) M1M2_PR
NEW met1 ( 125520 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[2] ( PIN la_oen[2] ) ( input217 A )
+ ROUTED met2 ( 69840 3330 0 ) ( 69840 24975 )
NEW li1 ( 69840 24975 ) L1M1_PR_MR
NEW met1 ( 69840 24975 ) M1M2_PR
NEW met1 ( 69840 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[30] ( PIN la_oen[30] ) ( input218 A )
+ ROUTED met2 ( 121200 3330 0 ) ( 121200 19055 )
NEW met1 ( 121200 19055 ) ( 129360 19055 )
NEW met2 ( 129360 19055 ) ( 129360 24975 )
NEW met1 ( 121200 19055 ) M1M2_PR
NEW met1 ( 129360 19055 ) M1M2_PR
NEW li1 ( 129360 24975 ) L1M1_PR_MR
NEW met1 ( 129360 24975 ) M1M2_PR
NEW met1 ( 129360 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[31] ( PIN la_oen[31] ) ( input219 A )
+ ROUTED met2 ( 123120 3330 0 ) ( 123120 28305 )
NEW met1 ( 123120 28305 ) ( 127440 28305 )
NEW met1 ( 123120 28305 ) M1M2_PR
NEW li1 ( 127440 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[32] ( PIN la_oen[32] ) ( input220 A )
+ ROUTED met2 ( 125040 3330 0 ) ( 125040 15725 )
NEW met1 ( 125040 15725 ) ( 133200 15725 )
NEW met2 ( 133200 15725 ) ( 133200 24975 )
NEW met1 ( 125040 15725 ) M1M2_PR
NEW met1 ( 133200 15725 ) M1M2_PR
NEW li1 ( 133200 24975 ) L1M1_PR_MR
NEW met1 ( 133200 24975 ) M1M2_PR
NEW met1 ( 133200 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[33] ( PIN la_oen[33] ) ( input221 A )
+ ROUTED met2 ( 126960 3330 0 ) ( 126960 15355 )
NEW met1 ( 126960 15355 ) ( 131280 15355 )
NEW met2 ( 131280 15355 ) ( 131280 28305 )
NEW met1 ( 126960 15355 ) M1M2_PR
NEW met1 ( 131280 15355 ) M1M2_PR
NEW li1 ( 131280 28305 ) L1M1_PR_MR
NEW met1 ( 131280 28305 ) M1M2_PR
NEW met1 ( 131280 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[34] ( PIN la_oen[34] ) ( input222 A )
+ ROUTED met2 ( 128400 3330 0 ) ( 128400 31635 )
NEW li1 ( 128400 31635 ) L1M1_PR_MR
NEW met1 ( 128400 31635 ) M1M2_PR
NEW met1 ( 128400 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[35] ( PIN la_oen[35] ) ( input223 A )
+ ROUTED met2 ( 130320 3330 0 ) ( 130320 27935 )
NEW met1 ( 130320 27935 ) ( 135120 27935 )
NEW met1 ( 135120 27935 ) ( 135120 28305 )
NEW met1 ( 130320 27935 ) M1M2_PR
NEW li1 ( 135120 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[36] ( PIN la_oen[36] ) ( input224 A )
+ ROUTED met2 ( 132240 3330 0 ) ( 132240 19055 )
NEW met2 ( 140400 19055 ) ( 140400 24975 )
NEW met1 ( 132240 19055 ) ( 140400 19055 )
NEW met1 ( 132240 19055 ) M1M2_PR
NEW met1 ( 140400 19055 ) M1M2_PR
NEW li1 ( 140400 24975 ) L1M1_PR_MR
NEW met1 ( 140400 24975 ) M1M2_PR
NEW met1 ( 140400 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[37] ( PIN la_oen[37] ) ( input225 A )
+ ROUTED met2 ( 134160 3330 0 ) ( 134160 31635 )
NEW li1 ( 134160 31635 ) L1M1_PR_MR
NEW met1 ( 134160 31635 ) M1M2_PR
NEW met1 ( 134160 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[38] ( PIN la_oen[38] ) ( input226 A )
+ ROUTED met2 ( 136080 3330 0 ) ( 136080 18870 )
NEW met2 ( 137040 18870 ) ( 137040 28305 )
NEW met1 ( 137040 28305 ) ( 138960 28305 )
NEW met2 ( 136080 18870 ) ( 137040 18870 )
NEW met1 ( 137040 28305 ) M1M2_PR
NEW li1 ( 138960 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[39] ( PIN la_oen[39] ) ( input227 A )
+ ROUTED met2 ( 137520 3330 0 ) ( 137520 15355 )
NEW met1 ( 137520 15355 ) ( 144720 15355 )
NEW met2 ( 144720 15355 ) ( 144720 24975 )
NEW met1 ( 137520 15355 ) M1M2_PR
NEW met1 ( 144720 15355 ) M1M2_PR
NEW li1 ( 144720 24975 ) L1M1_PR_MR
NEW met1 ( 144720 24975 ) M1M2_PR
NEW met1 ( 144720 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[3] ( PIN la_oen[3] ) ( input228 A )
+ ROUTED met2 ( 71760 3330 0 ) ( 71760 14400 )
NEW met2 ( 71760 14400 ) ( 72240 14400 )
NEW met2 ( 72240 14400 ) ( 72240 24975 )
NEW met1 ( 72240 24975 ) ( 73680 24975 )
NEW met1 ( 72240 24975 ) M1M2_PR
NEW li1 ( 73680 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[40] ( PIN la_oen[40] ) ( input229 A )
+ ROUTED met2 ( 139440 3330 0 ) ( 139440 28305 )
NEW met1 ( 139440 28305 ) ( 142800 28305 )
NEW met1 ( 139440 28305 ) M1M2_PR
NEW li1 ( 142800 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[41] ( PIN la_oen[41] ) ( input230 A )
+ ROUTED met2 ( 141360 3330 0 ) ( 141360 15725 )
NEW met1 ( 141360 15725 ) ( 146640 15725 )
NEW met2 ( 146640 15725 ) ( 146640 24975 )
NEW met1 ( 146640 24975 ) ( 148080 24975 )
NEW met1 ( 141360 15725 ) M1M2_PR
NEW met1 ( 146640 15725 ) M1M2_PR
NEW met1 ( 146640 24975 ) M1M2_PR
NEW li1 ( 148080 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[42] ( PIN la_oen[42] ) ( input231 A )
+ ROUTED met2 ( 143280 3330 0 ) ( 143280 19610 )
NEW met2 ( 143280 19610 ) ( 144240 19610 )
NEW met2 ( 144240 19610 ) ( 144240 28305 )
NEW met1 ( 144240 28305 ) ( 146640 28305 )
NEW met1 ( 144240 28305 ) M1M2_PR
NEW li1 ( 146640 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[43] ( PIN la_oen[43] ) ( input232 A )
+ ROUTED met2 ( 145200 3330 0 ) ( 145200 19055 )
NEW met1 ( 145200 19055 ) ( 151920 19055 )
NEW met2 ( 151920 19055 ) ( 151920 24975 )
NEW met1 ( 145200 19055 ) M1M2_PR
NEW met1 ( 151920 19055 ) M1M2_PR
NEW li1 ( 151920 24975 ) L1M1_PR_MR
NEW met1 ( 151920 24975 ) M1M2_PR
NEW met1 ( 151920 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[44] ( PIN la_oen[44] ) ( input233 A )
+ ROUTED met2 ( 147120 3330 0 ) ( 147120 15355 )
NEW met1 ( 147120 15355 ) ( 155760 15355 )
NEW met2 ( 155760 15355 ) ( 155760 24975 )
NEW met1 ( 147120 15355 ) M1M2_PR
NEW met1 ( 155760 15355 ) M1M2_PR
NEW li1 ( 155760 24975 ) L1M1_PR_MR
NEW met1 ( 155760 24975 ) M1M2_PR
NEW met1 ( 155760 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[45] ( PIN la_oen[45] ) ( input234 A )
+ ROUTED met2 ( 148560 3330 0 ) ( 148560 31635 )
NEW li1 ( 148560 31635 ) L1M1_PR_MR
NEW met1 ( 148560 31635 ) M1M2_PR
NEW met1 ( 148560 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[46] ( PIN la_oen[46] ) ( input235 A )
+ ROUTED met2 ( 150480 3330 0 ) ( 150480 14430 )
NEW met2 ( 150480 14430 ) ( 151440 14430 )
NEW met2 ( 151440 14430 ) ( 151440 28305 )
NEW met1 ( 151440 28305 ) ( 153840 28305 )
NEW met1 ( 151440 28305 ) M1M2_PR
NEW li1 ( 153840 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[47] ( PIN la_oen[47] ) ( input236 A )
+ ROUTED met2 ( 152400 3330 0 ) ( 152400 16095 )
NEW met1 ( 152400 16095 ) ( 159600 16095 )
NEW met2 ( 159600 16095 ) ( 159600 24975 )
NEW met1 ( 152400 16095 ) M1M2_PR
NEW met1 ( 159600 16095 ) M1M2_PR
NEW li1 ( 159600 24975 ) L1M1_PR_MR
NEW met1 ( 159600 24975 ) M1M2_PR
NEW met1 ( 159600 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[48] ( PIN la_oen[48] ) ( input237 A )
+ ROUTED met2 ( 154320 3330 0 ) ( 154320 28305 )
NEW met1 ( 154320 28305 ) ( 157680 28305 )
NEW met1 ( 154320 28305 ) M1M2_PR
NEW li1 ( 157680 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[49] ( PIN la_oen[49] ) ( input238 A )
+ ROUTED met2 ( 156240 3330 0 ) ( 156240 31635 )
NEW li1 ( 156240 31635 ) L1M1_PR_MR
NEW met1 ( 156240 31635 ) M1M2_PR
NEW met1 ( 156240 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[4] ( PIN la_oen[4] ) ( input239 A )
+ ROUTED met2 ( 73680 19610 ) ( 74160 19610 )
NEW met2 ( 74160 19610 ) ( 74160 24975 )
NEW met1 ( 74160 24975 ) ( 77520 24975 )
NEW met2 ( 73680 3330 0 ) ( 73680 19610 )
NEW met1 ( 74160 24975 ) M1M2_PR
NEW li1 ( 77520 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[50] ( PIN la_oen[50] ) ( input240 A )
+ ROUTED met2 ( 158160 3330 0 ) ( 158160 22570 )
NEW met2 ( 158160 22570 ) ( 158640 22570 )
NEW met2 ( 158640 22570 ) ( 158640 28305 )
NEW met1 ( 158640 28305 ) ( 161520 28305 )
NEW met1 ( 158640 28305 ) M1M2_PR
NEW li1 ( 161520 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[51] ( PIN la_oen[51] ) ( input241 A )
+ ROUTED met2 ( 159600 3330 0 ) ( 159600 15355 )
NEW met1 ( 159600 15355 ) ( 166800 15355 )
NEW met2 ( 166800 15355 ) ( 166800 24975 )
NEW met1 ( 159600 15355 ) M1M2_PR
NEW met1 ( 166800 15355 ) M1M2_PR
NEW li1 ( 166800 24975 ) L1M1_PR_MR
NEW met1 ( 166800 24975 ) M1M2_PR
NEW met1 ( 166800 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[52] ( PIN la_oen[52] ) ( input242 A )
+ ROUTED met2 ( 161520 3330 0 ) ( 161520 17945 )
NEW met1 ( 161520 17945 ) ( 165840 17945 )
NEW met2 ( 165840 17945 ) ( 165840 28305 )
NEW met1 ( 161520 17945 ) M1M2_PR
NEW met1 ( 165840 17945 ) M1M2_PR
NEW li1 ( 165840 28305 ) L1M1_PR_MR
NEW met1 ( 165840 28305 ) M1M2_PR
NEW met1 ( 165840 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[53] ( PIN la_oen[53] ) ( input243 A )
+ ROUTED met2 ( 163440 3330 0 ) ( 163440 15725 )
NEW met1 ( 163440 15725 ) ( 170640 15725 )
NEW met2 ( 170640 15725 ) ( 170640 24975 )
NEW met1 ( 163440 15725 ) M1M2_PR
NEW met1 ( 170640 15725 ) M1M2_PR
NEW li1 ( 170640 24975 ) L1M1_PR_MR
NEW met1 ( 170640 24975 ) M1M2_PR
NEW met1 ( 170640 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[54] ( PIN la_oen[54] ) ( input244 A )
+ ROUTED met2 ( 165360 3330 0 ) ( 165360 13875 )
NEW met1 ( 165360 13875 ) ( 166320 13875 )
NEW met2 ( 166320 13875 ) ( 166320 28305 )
NEW met1 ( 166320 28305 ) ( 169200 28305 )
NEW met1 ( 165360 13875 ) M1M2_PR
NEW met1 ( 166320 13875 ) M1M2_PR
NEW met1 ( 166320 28305 ) M1M2_PR
NEW li1 ( 169200 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[55] ( PIN la_oen[55] ) ( input245 A )
+ ROUTED met2 ( 167280 3330 0 ) ( 167280 15355 )
NEW met1 ( 167280 15355 ) ( 175440 15355 )
NEW met2 ( 175440 15355 ) ( 175440 18870 )
NEW met2 ( 174480 18870 ) ( 175440 18870 )
NEW met2 ( 174480 18870 ) ( 174480 24975 )
NEW met1 ( 167280 15355 ) M1M2_PR
NEW met1 ( 175440 15355 ) M1M2_PR
NEW li1 ( 174480 24975 ) L1M1_PR_MR
NEW met1 ( 174480 24975 ) M1M2_PR
NEW met1 ( 174480 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[56] ( PIN la_oen[56] ) ( input246 A )
+ ROUTED met2 ( 169200 3330 0 ) ( 169200 18685 )
NEW met1 ( 169200 18685 ) ( 174000 18685 )
NEW met2 ( 174000 18685 ) ( 174000 28305 )
NEW met1 ( 173520 28305 ) ( 174000 28305 )
NEW met1 ( 169200 18685 ) M1M2_PR
NEW met1 ( 174000 18685 ) M1M2_PR
NEW met1 ( 174000 28305 ) M1M2_PR
NEW li1 ( 173520 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[57] ( PIN la_oen[57] ) ( input247 A )
+ ROUTED met2 ( 170640 3330 0 ) ( 170640 10730 )
NEW met2 ( 170640 10730 ) ( 171120 10730 )
NEW met2 ( 171120 10730 ) ( 171120 21275 )
NEW met1 ( 171120 21275 ) ( 174000 21275 )
NEW met1 ( 174000 21275 ) ( 174000 21645 )
NEW met1 ( 174000 21645 ) ( 180240 21645 )
NEW met1 ( 171120 21275 ) M1M2_PR
NEW li1 ( 180240 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[58] ( PIN la_oen[58] ) ( input248 A )
+ ROUTED met2 ( 172560 3330 0 ) ( 172560 22570 )
NEW met2 ( 172560 22570 ) ( 173040 22570 )
NEW met2 ( 173040 22570 ) ( 173040 24605 )
NEW met1 ( 173040 24605 ) ( 178320 24605 )
NEW met1 ( 178320 24605 ) ( 178320 24975 )
NEW met1 ( 173040 24605 ) M1M2_PR
NEW li1 ( 178320 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[59] ( PIN la_oen[59] ) ( input249 A )
+ ROUTED met2 ( 174480 3330 0 ) ( 174480 8695 )
NEW met1 ( 173520 8695 ) ( 174480 8695 )
NEW met2 ( 173520 8695 ) ( 173520 15725 )
NEW met1 ( 173520 15725 ) ( 182160 15725 )
NEW met2 ( 182160 15725 ) ( 182160 24975 )
NEW met1 ( 174480 8695 ) M1M2_PR
NEW met1 ( 173520 8695 ) M1M2_PR
NEW met1 ( 173520 15725 ) M1M2_PR
NEW met1 ( 182160 15725 ) M1M2_PR
NEW li1 ( 182160 24975 ) L1M1_PR_MR
NEW met1 ( 182160 24975 ) M1M2_PR
NEW met1 ( 182160 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[5] ( PIN la_oen[5] ) ( input250 A )
+ ROUTED met2 ( 75120 3330 0 ) ( 75120 28305 )
NEW li1 ( 75120 28305 ) L1M1_PR_MR
NEW met1 ( 75120 28305 ) M1M2_PR
NEW met1 ( 75120 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[60] ( PIN la_oen[60] ) ( input251 A )
+ ROUTED met2 ( 176400 3330 0 ) ( 176400 12025 )
NEW met2 ( 176400 12025 ) ( 177360 12025 )
NEW met2 ( 177360 12025 ) ( 177360 17205 )
NEW met1 ( 177360 17205 ) ( 180240 17205 )
NEW met2 ( 180240 17205 ) ( 180240 28305 )
NEW met1 ( 177360 17205 ) M1M2_PR
NEW met1 ( 180240 17205 ) M1M2_PR
NEW li1 ( 180240 28305 ) L1M1_PR_MR
NEW met1 ( 180240 28305 ) M1M2_PR
NEW met1 ( 180240 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[61] ( PIN la_oen[61] ) ( input252 A )
+ ROUTED met2 ( 178320 3330 0 ) ( 178320 16095 )
NEW met1 ( 178320 16095 ) ( 183600 16095 )
NEW met2 ( 183600 16095 ) ( 183600 24975 )
NEW met1 ( 183600 24975 ) ( 186000 24975 )
NEW met1 ( 178320 16095 ) M1M2_PR
NEW met1 ( 183600 16095 ) M1M2_PR
NEW met1 ( 183600 24975 ) M1M2_PR
NEW li1 ( 186000 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[62] ( PIN la_oen[62] ) ( input253 A )
+ ROUTED met2 ( 180240 3330 0 ) ( 180240 15355 )
NEW met2 ( 180240 15355 ) ( 180720 15355 )
NEW met2 ( 180720 15355 ) ( 180720 28305 )
NEW met1 ( 180720 28305 ) ( 184080 28305 )
NEW met1 ( 180720 28305 ) M1M2_PR
NEW li1 ( 184080 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[63] ( PIN la_oen[63] ) ( input254 A )
+ ROUTED met2 ( 181680 3330 0 ) ( 181680 31635 )
NEW li1 ( 181680 31635 ) L1M1_PR_MR
NEW met1 ( 181680 31635 ) M1M2_PR
NEW met1 ( 181680 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[64] ( PIN la_oen[64] ) ( input255 A )
+ ROUTED met2 ( 187920 15355 ) ( 187920 28305 )
NEW met2 ( 183600 3330 0 ) ( 183600 15355 )
NEW met1 ( 183600 15355 ) ( 187920 15355 )
NEW met1 ( 187920 15355 ) M1M2_PR
NEW li1 ( 187920 28305 ) L1M1_PR_MR
NEW met1 ( 187920 28305 ) M1M2_PR
NEW met1 ( 183600 15355 ) M1M2_PR
NEW met1 ( 187920 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[65] ( PIN la_oen[65] ) ( input256 A )
+ ROUTED met2 ( 193200 15725 ) ( 193200 24975 )
NEW met2 ( 185520 3330 0 ) ( 185520 15725 )
NEW met1 ( 185520 15725 ) ( 193200 15725 )
NEW met1 ( 193200 15725 ) M1M2_PR
NEW li1 ( 193200 24975 ) L1M1_PR_MR
NEW met1 ( 193200 24975 ) M1M2_PR
NEW met1 ( 185520 15725 ) M1M2_PR
NEW met1 ( 193200 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[66] ( PIN la_oen[66] ) ( input257 A )
+ ROUTED met2 ( 187440 3330 0 ) ( 187440 27935 )
NEW met1 ( 187440 27935 ) ( 191760 27935 )
NEW met1 ( 191760 27935 ) ( 191760 28305 )
NEW met1 ( 187440 27935 ) M1M2_PR
NEW li1 ( 191760 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[67] ( PIN la_oen[67] ) ( input258 A )
+ ROUTED met2 ( 189360 3330 0 ) ( 189360 16095 )
NEW met1 ( 189360 16095 ) ( 197040 16095 )
NEW met2 ( 197040 16095 ) ( 197040 24975 )
NEW met1 ( 189360 16095 ) M1M2_PR
NEW met1 ( 197040 16095 ) M1M2_PR
NEW li1 ( 197040 24975 ) L1M1_PR_MR
NEW met1 ( 197040 24975 ) M1M2_PR
NEW met1 ( 197040 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[68] ( PIN la_oen[68] ) ( input259 A )
+ ROUTED met2 ( 190800 3330 0 ) ( 190800 14615 )
NEW met1 ( 190800 14615 ) ( 195600 14615 )
NEW met2 ( 195600 14615 ) ( 195600 28305 )
NEW met1 ( 190800 14615 ) M1M2_PR
NEW met1 ( 195600 14615 ) M1M2_PR
NEW li1 ( 195600 28305 ) L1M1_PR_MR
NEW met1 ( 195600 28305 ) M1M2_PR
NEW met1 ( 195600 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[69] ( PIN la_oen[69] ) ( input260 A )
+ ROUTED met2 ( 192720 3330 0 ) ( 192720 19055 )
NEW met1 ( 192720 19055 ) ( 200880 19055 )
NEW met2 ( 200880 19055 ) ( 200880 24975 )
NEW met1 ( 192720 19055 ) M1M2_PR
NEW met1 ( 200880 19055 ) M1M2_PR
NEW li1 ( 200880 24975 ) L1M1_PR_MR
NEW met1 ( 200880 24975 ) M1M2_PR
NEW met1 ( 200880 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[6] ( PIN la_oen[6] ) ( input261 A )
+ ROUTED met1 ( 77040 15355 ) ( 82320 15355 )
NEW met2 ( 82320 15355 ) ( 82320 24975 )
NEW met1 ( 81840 24975 ) ( 82320 24975 )
NEW met2 ( 77040 3330 0 ) ( 77040 15355 )
NEW met1 ( 77040 15355 ) M1M2_PR
NEW met1 ( 82320 15355 ) M1M2_PR
NEW met1 ( 82320 24975 ) M1M2_PR
NEW li1 ( 81840 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[70] ( PIN la_oen[70] ) ( input262 A )
+ ROUTED met2 ( 194640 3330 0 ) ( 194640 31635 )
NEW li1 ( 194640 31635 ) L1M1_PR_MR
NEW met1 ( 194640 31635 ) M1M2_PR
NEW met1 ( 194640 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[71] ( PIN la_oen[71] ) ( input263 A )
+ ROUTED met2 ( 196560 3330 0 ) ( 196560 28305 )
NEW met1 ( 196560 28305 ) ( 199440 28305 )
NEW met1 ( 196560 28305 ) M1M2_PR
NEW li1 ( 199440 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[72] ( PIN la_oen[72] ) ( input264 A )
+ ROUTED met2 ( 198480 3330 0 ) ( 198480 7215 )
NEW met1 ( 198480 7215 ) ( 199440 7215 )
NEW met2 ( 199440 7215 ) ( 199440 14615 )
NEW met1 ( 199440 14615 ) ( 204720 14615 )
NEW met2 ( 204720 14615 ) ( 204720 24975 )
NEW met1 ( 198480 7215 ) M1M2_PR
NEW met1 ( 199440 7215 ) M1M2_PR
NEW met1 ( 199440 14615 ) M1M2_PR
NEW met1 ( 204720 14615 ) M1M2_PR
NEW li1 ( 204720 24975 ) L1M1_PR_MR
NEW met1 ( 204720 24975 ) M1M2_PR
NEW met1 ( 204720 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[73] ( PIN la_oen[73] ) ( input265 A )
+ ROUTED met2 ( 200400 3330 0 ) ( 200400 19425 )
NEW met1 ( 200400 19425 ) ( 208560 19425 )
NEW met2 ( 208560 19425 ) ( 208560 24975 )
NEW met1 ( 200400 19425 ) M1M2_PR
NEW met1 ( 208560 19425 ) M1M2_PR
NEW li1 ( 208560 24975 ) L1M1_PR_MR
NEW met1 ( 208560 24975 ) M1M2_PR
NEW met1 ( 208560 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[74] ( PIN la_oen[74] ) ( input266 A )
+ ROUTED met2 ( 201840 3330 0 ) ( 201840 31635 )
NEW li1 ( 201840 31635 ) L1M1_PR_MR
NEW met1 ( 201840 31635 ) M1M2_PR
NEW met1 ( 201840 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[75] ( PIN la_oen[75] ) ( input267 A )
+ ROUTED met2 ( 203760 3330 0 ) ( 203760 28305 )
NEW met1 ( 203760 28305 ) ( 206640 28305 )
NEW met1 ( 203760 28305 ) M1M2_PR
NEW li1 ( 206640 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[76] ( PIN la_oen[76] ) ( input268 A )
+ ROUTED met2 ( 205680 3330 0 ) ( 205680 15355 )
NEW met1 ( 205680 15355 ) ( 210480 15355 )
NEW met2 ( 210480 15355 ) ( 210480 24975 )
NEW met1 ( 210480 24975 ) ( 212400 24975 )
NEW met1 ( 205680 15355 ) M1M2_PR
NEW met1 ( 210480 15355 ) M1M2_PR
NEW met1 ( 210480 24975 ) M1M2_PR
NEW li1 ( 212400 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[77] ( PIN la_oen[77] ) ( input269 A )
+ ROUTED met2 ( 207600 3330 0 ) ( 207600 15725 )
NEW met1 ( 207600 15725 ) ( 209040 15725 )
NEW met2 ( 209040 15725 ) ( 209040 28305 )
NEW met1 ( 209040 28305 ) ( 210480 28305 )
NEW met1 ( 207600 15725 ) M1M2_PR
NEW met1 ( 209040 15725 ) M1M2_PR
NEW met1 ( 209040 28305 ) M1M2_PR
NEW li1 ( 210480 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[78] ( PIN la_oen[78] ) ( input270 A )
+ ROUTED met2 ( 209520 3330 0 ) ( 209520 31635 )
NEW li1 ( 209520 31635 ) L1M1_PR_MR
NEW met1 ( 209520 31635 ) M1M2_PR
NEW met1 ( 209520 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[79] ( PIN la_oen[79] ) ( input271 A )
+ ROUTED met2 ( 211440 3330 0 ) ( 211440 28305 )
NEW met1 ( 211440 28305 ) ( 214320 28305 )
NEW met1 ( 211440 28305 ) M1M2_PR
NEW li1 ( 214320 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[7] ( PIN la_oen[7] ) ( input272 A )
+ ROUTED met2 ( 78960 3330 0 ) ( 78960 14400 )
NEW met2 ( 78960 14400 ) ( 79440 14400 )
NEW met2 ( 79440 14400 ) ( 79440 28305 )
NEW li1 ( 79440 28305 ) L1M1_PR_MR
NEW met1 ( 79440 28305 ) M1M2_PR
NEW met1 ( 79440 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[80] ( PIN la_oen[80] ) ( input273 A )
+ ROUTED met2 ( 212880 3330 0 ) ( 212880 15355 )
NEW met1 ( 212880 15355 ) ( 216720 15355 )
NEW met2 ( 216720 15355 ) ( 216720 24975 )
NEW met1 ( 216720 24975 ) ( 219600 24975 )
NEW met1 ( 212880 15355 ) M1M2_PR
NEW met1 ( 216720 15355 ) M1M2_PR
NEW met1 ( 216720 24975 ) M1M2_PR
NEW li1 ( 219600 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[81] ( PIN la_oen[81] ) ( input274 A )
+ ROUTED met2 ( 214800 3330 0 ) ( 214800 16095 )
NEW met1 ( 214800 16095 ) ( 216240 16095 )
NEW met2 ( 216240 16095 ) ( 216240 28305 )
NEW met1 ( 216240 28305 ) ( 218160 28305 )
NEW met1 ( 214800 16095 ) M1M2_PR
NEW met1 ( 216240 16095 ) M1M2_PR
NEW met1 ( 216240 28305 ) M1M2_PR
NEW li1 ( 218160 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[82] ( PIN la_oen[82] ) ( input275 A )
+ ROUTED met2 ( 216720 3330 0 ) ( 216720 14615 )
NEW met1 ( 216720 14615 ) ( 217680 14615 )
NEW met2 ( 217680 14615 ) ( 217680 15725 )
NEW met1 ( 217680 15725 ) ( 223920 15725 )
NEW met2 ( 223920 15725 ) ( 223920 24975 )
NEW met1 ( 216720 14615 ) M1M2_PR
NEW met1 ( 217680 14615 ) M1M2_PR
NEW met1 ( 217680 15725 ) M1M2_PR
NEW met1 ( 223920 15725 ) M1M2_PR
NEW li1 ( 223920 24975 ) L1M1_PR_MR
NEW met1 ( 223920 24975 ) M1M2_PR
NEW met1 ( 223920 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[83] ( PIN la_oen[83] ) ( input276 A )
+ ROUTED met2 ( 218640 3330 0 ) ( 218640 28305 )
NEW met1 ( 218640 28305 ) ( 222000 28305 )
NEW met1 ( 218640 28305 ) M1M2_PR
NEW li1 ( 222000 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[84] ( PIN la_oen[84] ) ( input277 A )
+ ROUTED met2 ( 220560 3330 0 ) ( 220560 16095 )
NEW met1 ( 220560 16095 ) ( 227280 16095 )
NEW met2 ( 227280 16095 ) ( 227280 24975 )
NEW met1 ( 220560 16095 ) M1M2_PR
NEW met1 ( 227280 16095 ) M1M2_PR
NEW li1 ( 227280 24975 ) L1M1_PR_MR
NEW met1 ( 227280 24975 ) M1M2_PR
NEW met1 ( 227280 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[85] ( PIN la_oen[85] ) ( input278 A )
+ ROUTED met2 ( 222480 3330 0 ) ( 222480 14615 )
NEW met1 ( 222480 14615 ) ( 225840 14615 )
NEW met2 ( 225840 14615 ) ( 225840 28305 )
NEW met1 ( 222480 14615 ) M1M2_PR
NEW met1 ( 225840 14615 ) M1M2_PR
NEW li1 ( 225840 28305 ) L1M1_PR_MR
NEW met1 ( 225840 28305 ) M1M2_PR
NEW met1 ( 225840 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[86] ( PIN la_oen[86] ) ( input279 A )
+ ROUTED met2 ( 223920 3330 0 ) ( 223920 12950 )
NEW met2 ( 223920 12950 ) ( 224400 12950 )
NEW met2 ( 224400 12950 ) ( 224400 17205 )
NEW met1 ( 224400 17205 ) ( 231120 17205 )
NEW met2 ( 231120 17205 ) ( 231120 24975 )
NEW met1 ( 224400 17205 ) M1M2_PR
NEW met1 ( 231120 17205 ) M1M2_PR
NEW li1 ( 231120 24975 ) L1M1_PR_MR
NEW met1 ( 231120 24975 ) M1M2_PR
NEW met1 ( 231120 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[87] ( PIN la_oen[87] ) ( input280 A )
+ ROUTED met2 ( 225840 3330 0 ) ( 225840 13875 )
NEW met1 ( 225840 13875 ) ( 226320 13875 )
NEW met1 ( 226320 13875 ) ( 226320 14615 )
NEW met1 ( 226320 14615 ) ( 228720 14615 )
NEW met2 ( 228720 14615 ) ( 228720 16095 )
NEW met1 ( 228720 16095 ) ( 232080 16095 )
NEW met2 ( 232080 16095 ) ( 232080 24975 )
NEW met1 ( 232080 24975 ) ( 234960 24975 )
NEW met1 ( 225840 13875 ) M1M2_PR
NEW met1 ( 228720 14615 ) M1M2_PR
NEW met1 ( 228720 16095 ) M1M2_PR
NEW met1 ( 232080 16095 ) M1M2_PR
NEW met1 ( 232080 24975 ) M1M2_PR
NEW li1 ( 234960 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[88] ( PIN la_oen[88] ) ( input281 A )
+ ROUTED met2 ( 227760 3330 0 ) ( 227760 31635 )
NEW li1 ( 227760 31635 ) L1M1_PR_MR
NEW met1 ( 227760 31635 ) M1M2_PR
NEW met1 ( 227760 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[89] ( PIN la_oen[89] ) ( input282 A )
+ ROUTED met2 ( 229680 3330 0 ) ( 229680 18870 )
NEW met2 ( 229680 18870 ) ( 230640 18870 )
NEW met2 ( 230640 18870 ) ( 230640 28305 )
NEW met1 ( 230640 28305 ) ( 233040 28305 )
NEW met1 ( 230640 28305 ) M1M2_PR
NEW li1 ( 233040 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[8] ( PIN la_oen[8] ) ( input283 A )
+ ROUTED met1 ( 80880 28305 ) ( 82800 28305 )
NEW met2 ( 80880 3330 0 ) ( 80880 28305 )
NEW met1 ( 80880 28305 ) M1M2_PR
NEW li1 ( 82800 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[90] ( PIN la_oen[90] ) ( input284 A )
+ ROUTED met2 ( 231600 3330 0 ) ( 231600 15355 )
NEW met1 ( 231600 15355 ) ( 231600 15725 )
NEW met2 ( 238320 15725 ) ( 238320 24975 )
NEW met1 ( 238320 24975 ) ( 238800 24975 )
NEW met1 ( 231600 15725 ) ( 238320 15725 )
NEW met1 ( 231600 15355 ) M1M2_PR
NEW met1 ( 238320 15725 ) M1M2_PR
NEW met1 ( 238320 24975 ) M1M2_PR
NEW li1 ( 238800 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[91] ( PIN la_oen[91] ) ( input285 A )
+ ROUTED met2 ( 233040 3330 0 ) ( 233040 28305 )
NEW met2 ( 233040 28305 ) ( 233520 28305 )
NEW met1 ( 233520 28305 ) ( 236880 28305 )
NEW met1 ( 233520 28305 ) M1M2_PR
NEW li1 ( 236880 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[92] ( PIN la_oen[92] ) ( input286 A )
+ ROUTED met2 ( 234960 3330 0 ) ( 234960 31635 )
NEW li1 ( 234960 31635 ) L1M1_PR_MR
NEW met1 ( 234960 31635 ) M1M2_PR
NEW met1 ( 234960 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[93] ( PIN la_oen[93] ) ( input287 A )
+ ROUTED met2 ( 236880 3330 0 ) ( 236880 21830 )
NEW met2 ( 237840 21830 ) ( 237840 28305 )
NEW met1 ( 237840 28305 ) ( 240720 28305 )
NEW met2 ( 236880 21830 ) ( 237840 21830 )
NEW met1 ( 237840 28305 ) M1M2_PR
NEW li1 ( 240720 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[94] ( PIN la_oen[94] ) ( input288 A )
+ ROUTED met2 ( 238800 3330 0 ) ( 238800 31635 )
NEW li1 ( 238800 31635 ) L1M1_PR_MR
NEW met1 ( 238800 31635 ) M1M2_PR
NEW met1 ( 238800 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[95] ( PIN la_oen[95] ) ( input289 A )
+ ROUTED met2 ( 240720 3330 0 ) ( 240720 15725 )
NEW met1 ( 240720 15725 ) ( 244560 15725 )
NEW met2 ( 244560 15725 ) ( 244560 21275 )
NEW met2 ( 244560 21275 ) ( 245040 21275 )
NEW met2 ( 245040 21275 ) ( 245040 28305 )
NEW met1 ( 240720 15725 ) M1M2_PR
NEW met1 ( 244560 15725 ) M1M2_PR
NEW li1 ( 245040 28305 ) L1M1_PR_MR
NEW met1 ( 245040 28305 ) M1M2_PR
NEW met1 ( 245040 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[96] ( PIN la_oen[96] ) ( input290 A )
+ ROUTED met2 ( 242640 3330 0 ) ( 242640 16095 )
NEW met1 ( 242640 16095 ) ( 248400 16095 )
NEW met2 ( 248400 16095 ) ( 248400 28305 )
NEW met1 ( 242640 16095 ) M1M2_PR
NEW met1 ( 248400 16095 ) M1M2_PR
NEW li1 ( 248400 28305 ) L1M1_PR_MR
NEW met1 ( 248400 28305 ) M1M2_PR
NEW met1 ( 248400 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- la_oen[97] ( PIN la_oen[97] ) ( input291 A )
+ ROUTED met2 ( 244080 3330 0 ) ( 244080 31635 )
NEW met1 ( 244080 31635 ) ( 246000 31635 )
NEW met1 ( 244080 31635 ) M1M2_PR
NEW li1 ( 246000 31635 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[98] ( PIN la_oen[98] ) ( input292 A )
+ ROUTED met2 ( 246000 3330 0 ) ( 246000 19055 )
NEW met1 ( 246000 19055 ) ( 253680 19055 )
NEW met2 ( 253680 19055 ) ( 253680 28305 )
NEW met1 ( 252720 28305 ) ( 253680 28305 )
NEW met1 ( 246000 19055 ) M1M2_PR
NEW met1 ( 253680 19055 ) M1M2_PR
NEW met1 ( 253680 28305 ) M1M2_PR
NEW li1 ( 252720 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[99] ( PIN la_oen[99] ) ( input293 A )
+ ROUTED met2 ( 247920 3330 0 ) ( 247920 31635 )
NEW met1 ( 247920 31635 ) ( 249840 31635 )
NEW met1 ( 247920 31635 ) M1M2_PR
NEW li1 ( 249840 31635 ) L1M1_PR_MR
+ USE SIGNAL ;
- la_oen[9] ( PIN la_oen[9] ) ( input294 A )
+ ROUTED met1 ( 82800 19425 ) ( 87120 19425 )
NEW met2 ( 87120 19425 ) ( 87120 28305 )
NEW met2 ( 82800 3330 0 ) ( 82800 19425 )
NEW met1 ( 82800 19425 ) M1M2_PR
NEW met1 ( 87120 19425 ) M1M2_PR
NEW li1 ( 87120 28305 ) L1M1_PR_MR
NEW met1 ( 87120 28305 ) M1M2_PR
NEW met1 ( 87120 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) ( input295 A )
+ ROUTED met2 ( 240 3330 0 ) ( 240 14985 )
NEW met1 ( 240 14985 ) ( 7920 14985 )
NEW met1 ( 240 14985 ) M1M2_PR
NEW li1 ( 7920 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- wb_rst_i ( PIN wb_rst_i ) ( input296 A )
+ ROUTED met2 ( 720 3330 0 ) ( 720 18315 )
NEW met1 ( 720 18315 ) ( 7920 18315 )
NEW met1 ( 720 18315 ) M1M2_PR
NEW li1 ( 7920 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_ack_o ( PIN wbs_ack_o ) ( output572 X )
+ ROUTED met2 ( 1200 3330 0 ) ( 1200 15725 )
NEW met1 ( 1200 15725 ) ( 8880 15725 )
NEW met2 ( 8880 15725 ) ( 8880 36000 )
NEW met2 ( 8400 36000 ) ( 8880 36000 )
NEW met2 ( 8400 36000 ) ( 8400 41255 )
NEW met1 ( 1200 15725 ) M1M2_PR
NEW met1 ( 8880 15725 ) M1M2_PR
NEW li1 ( 8400 41255 ) L1M1_PR_MR
NEW met1 ( 8400 41255 ) M1M2_PR
NEW met1 ( 8400 41255 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( input297 A )
+ ROUTED met2 ( 3600 3330 0 ) ( 3600 14615 )
NEW met1 ( 3600 14615 ) ( 8400 14615 )
NEW met1 ( 8400 14615 ) ( 8400 14985 )
NEW met1 ( 8400 14985 ) ( 11760 14985 )
NEW met1 ( 3600 14615 ) M1M2_PR
NEW li1 ( 11760 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( input298 A )
+ ROUTED met2 ( 24720 3330 0 ) ( 24720 14985 )
NEW li1 ( 24720 14985 ) L1M1_PR_MR
NEW met1 ( 24720 14985 ) M1M2_PR
NEW met1 ( 24720 14985 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( input299 A )
+ ROUTED met1 ( 26160 14985 ) ( 28560 14985 )
NEW met2 ( 26160 3330 0 ) ( 26160 14985 )
NEW met1 ( 26160 14985 ) M1M2_PR
NEW li1 ( 28560 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( input300 A )
+ ROUTED met2 ( 28080 3330 0 ) ( 28080 18315 )
NEW li1 ( 28080 18315 ) L1M1_PR_MR
NEW met1 ( 28080 18315 ) M1M2_PR
NEW met1 ( 28080 18315 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( input301 A )
+ ROUTED met1 ( 30000 14985 ) ( 35280 14985 )
NEW met2 ( 30000 3330 0 ) ( 30000 14985 )
NEW met1 ( 30000 14985 ) M1M2_PR
NEW li1 ( 35280 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( input302 A )
+ ROUTED met1 ( 31920 18315 ) ( 34800 18315 )
NEW met2 ( 31920 3330 0 ) ( 31920 18315 )
NEW met1 ( 31920 18315 ) M1M2_PR
NEW li1 ( 34800 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( input303 A )
+ ROUTED met1 ( 33840 14615 ) ( 39120 14615 )
NEW met1 ( 39120 14615 ) ( 39120 14985 )
NEW met2 ( 33840 3330 0 ) ( 33840 14615 )
NEW met1 ( 33840 14615 ) M1M2_PR
NEW li1 ( 39120 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( input304 A )
+ ROUTED met1 ( 35280 18315 ) ( 38640 18315 )
NEW met2 ( 35280 3330 0 ) ( 35280 18315 )
NEW met1 ( 35280 18315 ) M1M2_PR
NEW li1 ( 38640 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( input305 A )
+ ROUTED met2 ( 37200 3330 0 ) ( 37200 21645 )
NEW li1 ( 37200 21645 ) L1M1_PR_MR
NEW met1 ( 37200 21645 ) M1M2_PR
NEW met1 ( 37200 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( input306 A )
+ ROUTED met1 ( 39120 18315 ) ( 42480 18315 )
NEW met2 ( 39120 3330 0 ) ( 39120 18315 )
NEW met1 ( 39120 18315 ) M1M2_PR
NEW li1 ( 42480 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( input307 A )
+ ROUTED met1 ( 41040 14985 ) ( 48720 14985 )
NEW met2 ( 41040 3330 0 ) ( 41040 14985 )
NEW met1 ( 41040 14985 ) M1M2_PR
NEW li1 ( 48720 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( input308 A )
+ ROUTED met2 ( 6000 3330 0 ) ( 6000 21645 )
NEW met1 ( 6000 21645 ) ( 7920 21645 )
NEW met1 ( 6000 21645 ) M1M2_PR
NEW li1 ( 7920 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( input309 A )
+ ROUTED met1 ( 42960 18315 ) ( 46320 18315 )
NEW met2 ( 42960 3330 0 ) ( 42960 18315 )
NEW met1 ( 42960 18315 ) M1M2_PR
NEW li1 ( 46320 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( input310 A )
+ ROUTED met1 ( 44880 14615 ) ( 52560 14615 )
NEW met1 ( 52560 14615 ) ( 52560 14985 )
NEW met2 ( 44880 3330 0 ) ( 44880 14615 )
NEW met1 ( 44880 14615 ) M1M2_PR
NEW li1 ( 52560 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( input311 A )
+ ROUTED met2 ( 46320 3330 0 ) ( 46320 14400 )
NEW met2 ( 46320 14400 ) ( 46800 14400 )
NEW met2 ( 46800 14400 ) ( 46800 18315 )
NEW met1 ( 46800 18315 ) ( 50160 18315 )
NEW met1 ( 46800 18315 ) M1M2_PR
NEW li1 ( 50160 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( input312 A )
+ ROUTED met2 ( 48240 3330 0 ) ( 48240 21645 )
NEW li1 ( 48240 21645 ) L1M1_PR_MR
NEW met1 ( 48240 21645 ) M1M2_PR
NEW met1 ( 48240 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( input313 A )
+ ROUTED met1 ( 50160 17575 ) ( 54000 17575 )
NEW met1 ( 54000 17575 ) ( 54000 18315 )
NEW met2 ( 50160 3330 0 ) ( 50160 17575 )
NEW met1 ( 50160 17575 ) M1M2_PR
NEW li1 ( 54000 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( input314 A )
+ ROUTED met2 ( 52080 3330 0 ) ( 52080 21645 )
NEW li1 ( 52080 21645 ) L1M1_PR_MR
NEW met1 ( 52080 21645 ) M1M2_PR
NEW met1 ( 52080 21645 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( input315 A )
+ ROUTED met1 ( 54000 21645 ) ( 55920 21645 )
NEW met2 ( 54000 3330 0 ) ( 54000 21645 )
NEW met1 ( 54000 21645 ) M1M2_PR
NEW li1 ( 55920 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( input316 A )
+ ROUTED met1 ( 55920 20905 ) ( 56400 20905 )
NEW met1 ( 56400 20905 ) ( 56400 21645 )
NEW met1 ( 56400 21645 ) ( 59760 21645 )
NEW met2 ( 55920 3330 0 ) ( 55920 20905 )
NEW met1 ( 55920 20905 ) M1M2_PR
NEW li1 ( 59760 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( input317 A )
+ ROUTED met1 ( 57360 21275 ) ( 63600 21275 )
NEW met1 ( 63600 21275 ) ( 63600 21645 )
NEW met2 ( 57360 3330 0 ) ( 57360 21275 )
NEW met1 ( 57360 21275 ) M1M2_PR
NEW li1 ( 63600 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( input318 A )
+ ROUTED met1 ( 59280 24975 ) ( 61200 24975 )
NEW met2 ( 59280 3330 0 ) ( 59280 24975 )
NEW met1 ( 59280 24975 ) M1M2_PR
NEW li1 ( 61200 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( input319 A )
+ ROUTED met2 ( 8400 3330 0 ) ( 8400 18315 )
NEW met1 ( 8400 18315 ) ( 11760 18315 )
NEW met1 ( 8400 18315 ) M1M2_PR
NEW li1 ( 11760 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( input320 A )
+ ROUTED met2 ( 65040 16095 ) ( 65040 24975 )
NEW met2 ( 61200 3330 0 ) ( 61200 16095 )
NEW met1 ( 61200 16095 ) ( 65040 16095 )
NEW met1 ( 65040 16095 ) M1M2_PR
NEW li1 ( 65040 24975 ) L1M1_PR_MR
NEW met1 ( 65040 24975 ) M1M2_PR
NEW met1 ( 61200 16095 ) M1M2_PR
NEW met1 ( 65040 24975 ) RECT ( 0 -70 355 70 )
+ USE SIGNAL ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( input321 A )
+ ROUTED met2 ( 63120 3330 0 ) ( 63120 28305 )
NEW li1 ( 63120 28305 ) L1M1_PR_MR
NEW met1 ( 63120 28305 ) M1M2_PR
NEW met1 ( 63120 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( input322 A )
+ ROUTED met2 ( 10800 3330 0 ) ( 10800 14615 )
NEW met1 ( 10800 14615 ) ( 14400 14615 )
NEW met1 ( 14400 14615 ) ( 14400 14985 )
NEW met1 ( 14400 14985 ) ( 15600 14985 )
NEW met1 ( 10800 14615 ) M1M2_PR
NEW li1 ( 15600 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( input323 A )
+ ROUTED met2 ( 13680 3330 0 ) ( 13680 18315 )
NEW met1 ( 13680 18315 ) ( 15600 18315 )
NEW met1 ( 13680 18315 ) M1M2_PR
NEW li1 ( 15600 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( input324 A )
+ ROUTED met2 ( 15120 3330 0 ) ( 15120 9250 )
NEW met2 ( 15120 9250 ) ( 15600 9250 )
NEW met1 ( 15600 17575 ) ( 19440 17575 )
NEW met1 ( 19440 17575 ) ( 19440 18315 )
NEW met2 ( 15600 9250 ) ( 15600 17575 )
NEW met1 ( 15600 17575 ) M1M2_PR
NEW li1 ( 19440 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( input325 A )
+ ROUTED met1 ( 17040 18685 ) ( 23280 18685 )
NEW met1 ( 23280 18315 ) ( 23280 18685 )
NEW met2 ( 17040 3330 0 ) ( 17040 18685 )
NEW met1 ( 17040 18685 ) M1M2_PR
NEW li1 ( 23280 18315 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( input326 A )
+ ROUTED met1 ( 18960 21275 ) ( 21840 21275 )
NEW met1 ( 21840 21275 ) ( 21840 21645 )
NEW met2 ( 18960 3330 0 ) ( 18960 21275 )
NEW met1 ( 18960 21275 ) M1M2_PR
NEW li1 ( 21840 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( input327 A )
+ ROUTED met2 ( 20880 3330 0 ) ( 20880 24975 )
NEW li1 ( 20880 24975 ) L1M1_PR_MR
NEW met1 ( 20880 24975 ) M1M2_PR
NEW met1 ( 20880 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( input328 A )
+ ROUTED met2 ( 22800 3330 0 ) ( 22800 10915 )
NEW met1 ( 22800 10915 ) ( 24240 10915 )
NEW met1 ( 24240 21645 ) ( 25680 21645 )
NEW met2 ( 24240 10915 ) ( 24240 21645 )
NEW met1 ( 22800 10915 ) M1M2_PR
NEW met1 ( 24240 10915 ) M1M2_PR
NEW met1 ( 24240 21645 ) M1M2_PR
NEW li1 ( 25680 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( input329 A )
+ ROUTED met2 ( 1680 3330 0 ) ( 1680 24975 )
NEW met1 ( 1680 24975 ) ( 7920 24975 )
NEW met1 ( 1680 24975 ) M1M2_PR
NEW li1 ( 7920 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( input330 A )
+ ROUTED met2 ( 4080 3330 0 ) ( 4080 22015 )
NEW met1 ( 4080 22015 ) ( 11760 22015 )
NEW met1 ( 11760 21645 ) ( 11760 22015 )
NEW met1 ( 4080 22015 ) M1M2_PR
NEW li1 ( 11760 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( input331 A )
+ ROUTED met1 ( 25200 21275 ) ( 28080 21275 )
NEW met1 ( 28080 21275 ) ( 28080 21645 )
NEW met1 ( 28080 21645 ) ( 29520 21645 )
NEW met2 ( 25200 3330 0 ) ( 25200 21275 )
NEW met1 ( 25200 21275 ) M1M2_PR
NEW li1 ( 29520 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( input332 A )
+ ROUTED met2 ( 27120 3330 0 ) ( 27120 24975 )
NEW li1 ( 27120 24975 ) L1M1_PR_MR
NEW met1 ( 27120 24975 ) M1M2_PR
NEW met1 ( 27120 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( input333 A )
+ ROUTED met1 ( 28560 21275 ) ( 33360 21275 )
NEW met1 ( 33360 21275 ) ( 33360 21645 )
NEW met2 ( 28560 3330 0 ) ( 28560 21275 )
NEW met1 ( 28560 21275 ) M1M2_PR
NEW li1 ( 33360 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( input334 A )
+ ROUTED met1 ( 30480 24975 ) ( 34800 24975 )
NEW met2 ( 30480 3330 0 ) ( 30480 24975 )
NEW met1 ( 30480 24975 ) M1M2_PR
NEW li1 ( 34800 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( input335 A )
+ ROUTED met1 ( 32400 20905 ) ( 41040 20905 )
NEW met1 ( 41040 20905 ) ( 41040 21645 )
NEW met2 ( 32400 3330 0 ) ( 32400 20905 )
NEW met1 ( 32400 20905 ) M1M2_PR
NEW li1 ( 41040 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( input336 A )
+ ROUTED met2 ( 34320 3330 0 ) ( 34320 28305 )
NEW li1 ( 34320 28305 ) L1M1_PR_MR
NEW met1 ( 34320 28305 ) M1M2_PR
NEW met1 ( 34320 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( input337 A )
+ ROUTED met1 ( 36240 28305 ) ( 38160 28305 )
NEW met2 ( 36240 3330 0 ) ( 36240 28305 )
NEW met1 ( 36240 28305 ) M1M2_PR
NEW li1 ( 38160 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( input338 A )
+ ROUTED met1 ( 38160 27565 ) ( 40080 27565 )
NEW li1 ( 40080 27565 ) ( 40080 28305 )
NEW met1 ( 40080 28305 ) ( 42000 28305 )
NEW met2 ( 38160 3330 0 ) ( 38160 27565 )
NEW met1 ( 38160 27565 ) M1M2_PR
NEW li1 ( 40080 27565 ) L1M1_PR_MR
NEW li1 ( 40080 28305 ) L1M1_PR_MR
NEW li1 ( 42000 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( input339 A )
+ ROUTED met1 ( 39600 22755 ) ( 46320 22755 )
NEW met2 ( 46320 22755 ) ( 46320 24975 )
NEW met2 ( 39600 3330 0 ) ( 39600 22755 )
NEW met1 ( 39600 22755 ) M1M2_PR
NEW met1 ( 46320 22755 ) M1M2_PR
NEW li1 ( 46320 24975 ) L1M1_PR_MR
NEW met1 ( 46320 24975 ) M1M2_PR
NEW met1 ( 46320 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( input340 A )
+ ROUTED met1 ( 41520 19425 ) ( 53520 19425 )
NEW met2 ( 53520 19425 ) ( 53520 24975 )
NEW met1 ( 50640 24975 ) ( 53520 24975 )
NEW met2 ( 41520 3330 0 ) ( 41520 19425 )
NEW met1 ( 41520 19425 ) M1M2_PR
NEW met1 ( 53520 19425 ) M1M2_PR
NEW met1 ( 53520 24975 ) M1M2_PR
NEW li1 ( 50640 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( input341 A )
+ ROUTED met2 ( 6960 3330 0 ) ( 6960 21275 )
NEW met1 ( 6960 21275 ) ( 14400 21275 )
NEW met1 ( 14400 21275 ) ( 14400 21645 )
NEW met1 ( 14400 21645 ) ( 15600 21645 )
NEW met1 ( 6960 21275 ) M1M2_PR
NEW li1 ( 15600 21645 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( input342 A )
+ ROUTED met2 ( 43440 21090 ) ( 43920 21090 )
NEW met2 ( 43920 21090 ) ( 43920 28305 )
NEW met1 ( 43920 28305 ) ( 48240 28305 )
NEW met2 ( 43440 3330 0 ) ( 43440 21090 )
NEW met1 ( 43920 28305 ) M1M2_PR
NEW li1 ( 48240 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( input343 A )
+ ROUTED met1 ( 45360 19055 ) ( 54960 19055 )
NEW met2 ( 54960 19055 ) ( 54960 24975 )
NEW met1 ( 54480 24975 ) ( 54960 24975 )
NEW met2 ( 45360 3330 0 ) ( 45360 19055 )
NEW met1 ( 45360 19055 ) M1M2_PR
NEW met1 ( 54960 19055 ) M1M2_PR
NEW met1 ( 54960 24975 ) M1M2_PR
NEW li1 ( 54480 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( input344 A )
+ ROUTED met2 ( 47280 3330 0 ) ( 47280 31635 )
NEW li1 ( 47280 31635 ) L1M1_PR_MR
NEW met1 ( 47280 31635 ) M1M2_PR
NEW met1 ( 47280 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( input345 A )
+ ROUTED met1 ( 49200 21275 ) ( 51120 21275 )
NEW met2 ( 51120 21275 ) ( 51120 28305 )
NEW met1 ( 51120 28305 ) ( 52080 28305 )
NEW met2 ( 49200 3330 0 ) ( 49200 21275 )
NEW met1 ( 49200 21275 ) M1M2_PR
NEW met1 ( 51120 21275 ) M1M2_PR
NEW met1 ( 51120 28305 ) M1M2_PR
NEW li1 ( 52080 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( input346 A )
+ ROUTED met1 ( 50640 31635 ) ( 51120 31635 )
NEW met2 ( 50640 3330 0 ) ( 50640 31635 )
NEW met1 ( 50640 31635 ) M1M2_PR
NEW li1 ( 51120 31635 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( input347 A )
+ ROUTED met1 ( 52560 28305 ) ( 55920 28305 )
NEW met2 ( 52560 3330 0 ) ( 52560 28305 )
NEW met1 ( 52560 28305 ) M1M2_PR
NEW li1 ( 55920 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( input348 A )
+ ROUTED met1 ( 54480 31635 ) ( 54960 31635 )
NEW met2 ( 54480 3330 0 ) ( 54480 31635 )
NEW met1 ( 54480 31635 ) M1M2_PR
NEW li1 ( 54960 31635 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( input349 A )
+ ROUTED met2 ( 56400 3330 0 ) ( 56400 34965 )
NEW li1 ( 56400 34965 ) L1M1_PR_MR
NEW met1 ( 56400 34965 ) M1M2_PR
NEW met1 ( 56400 34965 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( input350 A )
+ ROUTED met1 ( 58320 31635 ) ( 61200 31635 )
NEW met2 ( 58320 3330 0 ) ( 58320 31635 )
NEW met1 ( 58320 31635 ) M1M2_PR
NEW li1 ( 61200 31635 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( input351 A )
+ ROUTED met2 ( 66960 17205 ) ( 66960 28305 )
NEW met2 ( 60240 3330 0 ) ( 60240 17205 )
NEW met1 ( 60240 17205 ) ( 66960 17205 )
NEW met1 ( 66960 17205 ) M1M2_PR
NEW li1 ( 66960 28305 ) L1M1_PR_MR
NEW met1 ( 66960 28305 ) M1M2_PR
NEW met1 ( 60240 17205 ) M1M2_PR
NEW met1 ( 66960 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( input352 A )
+ ROUTED met2 ( 9360 3330 0 ) ( 9360 24975 )
NEW met1 ( 9360 24975 ) ( 11760 24975 )
NEW met1 ( 9360 24975 ) M1M2_PR
NEW li1 ( 11760 24975 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( input353 A )
+ ROUTED met2 ( 65520 15355 ) ( 65520 31635 )
NEW met2 ( 61680 3330 0 ) ( 61680 15355 )
NEW met1 ( 61680 15355 ) ( 65520 15355 )
NEW met1 ( 65520 15355 ) M1M2_PR
NEW li1 ( 65520 31635 ) L1M1_PR_MR
NEW met1 ( 65520 31635 ) M1M2_PR
NEW met1 ( 61680 15355 ) M1M2_PR
NEW met1 ( 65520 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( input354 A )
+ ROUTED met2 ( 63600 3330 0 ) ( 63600 34965 )
NEW li1 ( 63600 34965 ) L1M1_PR_MR
NEW met1 ( 63600 34965 ) M1M2_PR
NEW met1 ( 63600 34965 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( input355 A )
+ ROUTED met2 ( 11760 3330 0 ) ( 11760 19055 )
NEW met2 ( 15600 19055 ) ( 15600 24975 )
NEW met1 ( 11760 19055 ) ( 15600 19055 )
NEW met1 ( 11760 19055 ) M1M2_PR
NEW met1 ( 15600 19055 ) M1M2_PR
NEW li1 ( 15600 24975 ) L1M1_PR_MR
NEW met1 ( 15600 24975 ) M1M2_PR
NEW met1 ( 15600 24975 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( input356 A )
+ ROUTED met2 ( 14160 3330 0 ) ( 14160 14430 )
NEW met2 ( 14640 14430 ) ( 14640 28305 )
NEW met2 ( 14160 14430 ) ( 14640 14430 )
NEW li1 ( 14640 28305 ) L1M1_PR_MR
NEW met1 ( 14640 28305 ) M1M2_PR
NEW met1 ( 14640 28305 ) RECT ( 0 -70 355 70 )
+ USE SIGNAL ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( input357 A )
+ ROUTED met2 ( 16080 3330 0 ) ( 16080 31635 )
NEW li1 ( 16080 31635 ) L1M1_PR_MR
NEW met1 ( 16080 31635 ) M1M2_PR
NEW met1 ( 16080 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( input358 A )
+ ROUTED met1 ( 17520 20905 ) ( 24720 20905 )
NEW met2 ( 24720 20905 ) ( 24720 28305 )
NEW met1 ( 22320 28305 ) ( 24720 28305 )
NEW met2 ( 17520 3330 0 ) ( 17520 20905 )
NEW met1 ( 17520 20905 ) M1M2_PR
NEW met1 ( 24720 20905 ) M1M2_PR
NEW met1 ( 24720 28305 ) M1M2_PR
NEW li1 ( 22320 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( input359 A )
+ ROUTED met1 ( 19440 31635 ) ( 19920 31635 )
NEW met2 ( 19440 3330 0 ) ( 19440 31635 )
NEW met1 ( 19440 31635 ) M1M2_PR
NEW li1 ( 19920 31635 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( input360 A )
+ ROUTED met2 ( 21360 3330 0 ) ( 21360 12765 )
NEW met1 ( 19920 12765 ) ( 21360 12765 )
NEW met1 ( 19920 16095 ) ( 25680 16095 )
NEW met2 ( 25680 16095 ) ( 25680 28305 )
NEW met2 ( 19920 12765 ) ( 19920 16095 )
NEW met1 ( 21360 12765 ) M1M2_PR
NEW met1 ( 19920 12765 ) M1M2_PR
NEW met1 ( 19920 16095 ) M1M2_PR
NEW met1 ( 25680 16095 ) M1M2_PR
NEW li1 ( 25680 28305 ) L1M1_PR_MR
NEW met1 ( 25680 28305 ) M1M2_PR
NEW met1 ( 25680 28305 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( input361 A )
+ ROUTED met2 ( 23280 3330 0 ) ( 23280 10545 )
NEW li1 ( 23280 10545 ) ( 23280 12765 )
NEW met1 ( 23280 31635 ) ( 23760 31635 )
NEW met2 ( 23280 12765 ) ( 23280 31635 )
NEW li1 ( 23280 10545 ) L1M1_PR_MR
NEW met1 ( 23280 10545 ) M1M2_PR
NEW li1 ( 23280 12765 ) L1M1_PR_MR
NEW met1 ( 23280 12765 ) M1M2_PR
NEW met1 ( 23280 31635 ) M1M2_PR
NEW li1 ( 23760 31635 ) L1M1_PR_MR
NEW met1 ( 23280 10545 ) RECT ( -355 -70 0 70 )
NEW met1 ( 23280 12765 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( output573 X )
+ ROUTED met2 ( 5040 3330 0 ) ( 5040 17945 )
NEW met1 ( 5040 17945 ) ( 10800 17945 )
NEW met1 ( 10800 37185 ) ( 12240 37185 )
NEW met2 ( 10800 17945 ) ( 10800 37185 )
NEW met1 ( 5040 17945 ) M1M2_PR
NEW met1 ( 10800 17945 ) M1M2_PR
NEW met1 ( 10800 37185 ) M1M2_PR
NEW li1 ( 12240 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( output574 X )
+ ROUTED met2 ( 25680 3330 0 ) ( 25680 13875 )
NEW met1 ( 25680 13875 ) ( 29040 13875 )
NEW met1 ( 29040 27935 ) ( 29040 28305 )
NEW met1 ( 29040 27935 ) ( 30000 27935 )
NEW met2 ( 29040 13875 ) ( 29040 28305 )
NEW met1 ( 25680 13875 ) M1M2_PR
NEW met1 ( 29040 13875 ) M1M2_PR
NEW met1 ( 29040 28305 ) M1M2_PR
NEW li1 ( 30000 27935 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( output575 X )
+ ROUTED met1 ( 27600 30525 ) ( 28080 30525 )
NEW met2 ( 27600 3330 0 ) ( 27600 30525 )
NEW met1 ( 27600 30525 ) M1M2_PR
NEW li1 ( 28080 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( output576 X )
+ ROUTED met1 ( 29520 34595 ) ( 30000 34595 )
NEW met2 ( 29520 3330 0 ) ( 29520 34595 )
NEW met1 ( 29520 34595 ) M1M2_PR
NEW li1 ( 30000 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( output577 X )
+ ROUTED met1 ( 31440 30525 ) ( 35280 30525 )
NEW met2 ( 31440 3330 0 ) ( 31440 30525 )
NEW met1 ( 31440 30525 ) M1M2_PR
NEW li1 ( 35280 30525 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( output578 X )
+ ROUTED met1 ( 32880 34595 ) ( 33840 34595 )
NEW met2 ( 32880 3330 0 ) ( 32880 34595 )
NEW met1 ( 32880 34595 ) M1M2_PR
NEW li1 ( 33840 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( output579 X )
+ ROUTED met1 ( 34800 34595 ) ( 37680 34595 )
NEW met2 ( 34800 3330 0 ) ( 34800 34595 )
NEW met1 ( 34800 34595 ) M1M2_PR
NEW li1 ( 37680 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( output580 X )
+ ROUTED met1 ( 36720 20535 ) ( 41520 20535 )
NEW met2 ( 41520 20535 ) ( 41520 34595 )
NEW met2 ( 36720 3330 0 ) ( 36720 20535 )
NEW met1 ( 36720 20535 ) M1M2_PR
NEW met1 ( 41520 20535 ) M1M2_PR
NEW li1 ( 41520 34595 ) L1M1_PR_MR
NEW met1 ( 41520 34595 ) M1M2_PR
NEW met1 ( 41520 34595 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( output581 X )
+ ROUTED met1 ( 38640 41995 ) ( 39200 41995 )
NEW met2 ( 38640 3330 0 ) ( 38640 41995 )
NEW met1 ( 38640 41995 ) M1M2_PR
NEW li1 ( 39200 41995 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( output582 X )
+ ROUTED met1 ( 40560 19055 ) ( 44880 19055 )
NEW met2 ( 44880 19055 ) ( 44880 35335 )
NEW met1 ( 44880 35335 ) ( 49120 35335 )
NEW met2 ( 40560 3330 0 ) ( 40560 19055 )
NEW met1 ( 40560 19055 ) M1M2_PR
NEW met1 ( 44880 19055 ) M1M2_PR
NEW met1 ( 44880 35335 ) M1M2_PR
NEW li1 ( 49120 35335 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( output583 X )
+ ROUTED met2 ( 42480 37370 ) ( 43440 37370 )
NEW met2 ( 43440 37370 ) ( 43440 38295 )
NEW met1 ( 43440 38295 ) ( 46800 38295 )
NEW met1 ( 46800 38295 ) ( 46800 38665 )
NEW met2 ( 42480 3330 0 ) ( 42480 37370 )
NEW met1 ( 43440 38295 ) M1M2_PR
NEW li1 ( 46800 38665 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( output584 X )
+ ROUTED met2 ( 7440 3330 0 ) ( 7440 16095 )
NEW met1 ( 7440 16095 ) ( 12240 16095 )
NEW met2 ( 12240 16095 ) ( 12240 41255 )
NEW met1 ( 7440 16095 ) M1M2_PR
NEW met1 ( 12240 16095 ) M1M2_PR
NEW li1 ( 12240 41255 ) L1M1_PR_MR
NEW met1 ( 12240 41255 ) M1M2_PR
NEW met1 ( 12240 41255 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( output585 X )
+ ROUTED met1 ( 43920 20535 ) ( 50160 20535 )
NEW met2 ( 50160 20535 ) ( 50160 34595 )
NEW met1 ( 50160 34595 ) ( 52560 34595 )
NEW met2 ( 43920 3330 0 ) ( 43920 20535 )
NEW met1 ( 43920 20535 ) M1M2_PR
NEW met1 ( 50160 20535 ) M1M2_PR
NEW met1 ( 50160 34595 ) M1M2_PR
NEW li1 ( 52560 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( output586 X )
+ ROUTED met1 ( 45840 37185 ) ( 50640 37185 )
NEW met2 ( 45840 3330 0 ) ( 45840 37185 )
NEW met1 ( 45840 37185 ) M1M2_PR
NEW li1 ( 50640 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( output587 X )
+ ROUTED met1 ( 47760 41255 ) ( 48720 41255 )
NEW met2 ( 47760 3330 0 ) ( 47760 41255 )
NEW met1 ( 47760 41255 ) M1M2_PR
NEW li1 ( 48720 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( output588 X )
+ ROUTED met1 ( 49680 37925 ) ( 54880 37925 )
NEW met2 ( 49680 3330 0 ) ( 49680 37925 )
NEW met1 ( 49680 37925 ) M1M2_PR
NEW li1 ( 54880 37925 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( output589 X )
+ ROUTED met1 ( 51600 41255 ) ( 52560 41255 )
NEW met2 ( 51600 3330 0 ) ( 51600 41255 )
NEW met1 ( 51600 41255 ) M1M2_PR
NEW li1 ( 52560 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( output590 X )
+ ROUTED met1 ( 53040 41255 ) ( 56400 41255 )
NEW met2 ( 53040 3330 0 ) ( 53040 41255 )
NEW met1 ( 53040 41255 ) M1M2_PR
NEW li1 ( 56400 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( output591 X )
+ ROUTED met2 ( 54960 3330 0 ) ( 54960 14400 )
NEW met2 ( 54960 14400 ) ( 55440 14400 )
NEW met2 ( 55440 14400 ) ( 55440 37185 )
NEW met1 ( 55440 37185 ) ( 61680 37185 )
NEW met1 ( 55440 37185 ) M1M2_PR
NEW li1 ( 61680 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( output592 X )
+ ROUTED met2 ( 56880 27750 ) ( 57360 27750 )
NEW met2 ( 57360 27750 ) ( 57360 41255 )
NEW met1 ( 57360 41255 ) ( 60240 41255 )
NEW met2 ( 56880 3330 0 ) ( 56880 27750 )
NEW met1 ( 57360 41255 ) M1M2_PR
NEW li1 ( 60240 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( output593 X )
+ ROUTED met1 ( 58800 20905 ) ( 62160 20905 )
NEW met2 ( 62160 20905 ) ( 62160 37185 )
NEW met2 ( 58800 3330 0 ) ( 58800 20905 )
NEW met1 ( 62160 37185 ) ( 65520 37185 )
NEW li1 ( 65520 37185 ) L1M1_PR_MR
NEW met1 ( 58800 20905 ) M1M2_PR
NEW met1 ( 62160 20905 ) M1M2_PR
NEW met1 ( 62160 37185 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( output594 X )
+ ROUTED met1 ( 60720 41255 ) ( 64080 41255 )
NEW met2 ( 60720 3330 0 ) ( 60720 41255 )
NEW met1 ( 60720 41255 ) M1M2_PR
NEW li1 ( 64080 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( output595 X )
+ ROUTED met2 ( 9840 3330 0 ) ( 9840 18685 )
NEW met2 ( 16560 18685 ) ( 16560 37185 )
NEW met1 ( 16080 37185 ) ( 16560 37185 )
NEW met1 ( 9840 18685 ) ( 16560 18685 )
NEW met1 ( 9840 18685 ) M1M2_PR
NEW met1 ( 16560 18685 ) M1M2_PR
NEW met1 ( 16560 37185 ) M1M2_PR
NEW li1 ( 16080 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( output596 X )
+ ROUTED met2 ( 62640 3330 0 ) ( 62640 38665 )
NEW met1 ( 62640 38665 ) ( 69360 38665 )
NEW li1 ( 69360 38665 ) L1M1_PR_MR
NEW met1 ( 62640 38665 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( output597 X )
+ ROUTED met2 ( 64080 3330 0 ) ( 64080 14400 )
NEW met2 ( 64080 14400 ) ( 64560 14400 )
NEW met2 ( 64560 14400 ) ( 64560 41255 )
NEW met1 ( 64560 41255 ) ( 67920 41255 )
NEW li1 ( 67920 41255 ) L1M1_PR_MR
NEW met1 ( 64560 41255 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( output598 X )
+ ROUTED met2 ( 12240 3330 0 ) ( 12240 15355 )
NEW met1 ( 12240 15355 ) ( 14400 15355 )
NEW met1 ( 14400 15355 ) ( 14400 15725 )
NEW met1 ( 14400 15725 ) ( 26160 15725 )
NEW met2 ( 26160 15725 ) ( 26160 34595 )
NEW met2 ( 25680 34595 ) ( 26160 34595 )
NEW met1 ( 22320 34595 ) ( 25680 34595 )
NEW met1 ( 12240 15355 ) M1M2_PR
NEW met1 ( 26160 15725 ) M1M2_PR
NEW met1 ( 25680 34595 ) M1M2_PR
NEW li1 ( 22320 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( output599 X )
+ ROUTED met2 ( 14640 3330 0 ) ( 14640 13690 )
NEW met2 ( 14640 13690 ) ( 15120 13690 )
NEW met1 ( 15120 41255 ) ( 16080 41255 )
NEW met2 ( 15120 13690 ) ( 15120 41255 )
NEW met1 ( 15120 41255 ) M1M2_PR
NEW li1 ( 16080 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( output600 X )
+ ROUTED met1 ( 16560 17205 ) ( 19920 17205 )
NEW met2 ( 19920 17205 ) ( 19920 37185 )
NEW met2 ( 16560 3330 0 ) ( 16560 17205 )
NEW met1 ( 16560 17205 ) M1M2_PR
NEW met1 ( 19920 17205 ) M1M2_PR
NEW li1 ( 19920 37185 ) L1M1_PR_MR
NEW met1 ( 19920 37185 ) M1M2_PR
NEW met1 ( 19920 37185 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( output601 X )
+ ROUTED met1 ( 18480 34965 ) ( 26160 34965 )
NEW met1 ( 26160 34595 ) ( 26160 34965 )
NEW met2 ( 18480 3330 0 ) ( 18480 34965 )
NEW met1 ( 18480 34965 ) M1M2_PR
NEW li1 ( 26160 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( output602 X )
+ ROUTED met1 ( 20400 37185 ) ( 23760 37185 )
NEW met2 ( 20400 3330 0 ) ( 20400 37185 )
NEW met1 ( 20400 37185 ) M1M2_PR
NEW li1 ( 23760 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( output603 X )
+ ROUTED met2 ( 21840 3330 0 ) ( 21840 12210 )
NEW met2 ( 21840 12210 ) ( 23760 12210 )
NEW met2 ( 23280 32190 ) ( 23760 32190 )
NEW met2 ( 23280 32190 ) ( 23280 40885 )
NEW met1 ( 22320 40885 ) ( 23280 40885 )
NEW met1 ( 22320 40885 ) ( 22320 41255 )
NEW met2 ( 23760 12210 ) ( 23760 32190 )
NEW met1 ( 23280 40885 ) M1M2_PR
NEW li1 ( 22320 41255 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( output604 X )
+ ROUTED met2 ( 23760 3330 0 ) ( 23760 10175 )
NEW met1 ( 23760 10175 ) ( 26640 10175 )
NEW met1 ( 26640 37185 ) ( 27600 37185 )
NEW met2 ( 26640 10175 ) ( 26640 37185 )
NEW met1 ( 23760 10175 ) M1M2_PR
NEW met1 ( 26640 10175 ) M1M2_PR
NEW met1 ( 26640 37185 ) M1M2_PR
NEW li1 ( 27600 37185 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( input362 A )
+ ROUTED met2 ( 5520 3330 0 ) ( 5520 28305 )
NEW met1 ( 5520 28305 ) ( 7920 28305 )
NEW met1 ( 5520 28305 ) M1M2_PR
NEW li1 ( 7920 28305 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( input363 A )
+ ROUTED met2 ( 7920 3330 0 ) ( 7920 31635 )
NEW li1 ( 7920 31635 ) L1M1_PR_MR
NEW met1 ( 7920 31635 ) M1M2_PR
NEW met1 ( 7920 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( input364 A )
+ ROUTED met2 ( 10320 3330 0 ) ( 10320 31635 )
NEW met1 ( 10320 31635 ) ( 11760 31635 )
NEW met1 ( 10320 31635 ) M1M2_PR
NEW li1 ( 11760 31635 ) L1M1_PR_MR
+ USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( input365 A )
+ ROUTED met2 ( 12720 3330 0 ) ( 12720 34965 )
NEW li1 ( 12720 34965 ) L1M1_PR_MR
NEW met1 ( 12720 34965 ) M1M2_PR
NEW met1 ( 12720 34965 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) ( input366 A )
+ ROUTED met2 ( 2640 3330 0 ) ( 2640 19055 )
NEW met1 ( 2640 19055 ) ( 8400 19055 )
NEW met2 ( 8400 19055 ) ( 8400 34965 )
NEW met1 ( 2640 19055 ) M1M2_PR
NEW met1 ( 8400 19055 ) M1M2_PR
NEW li1 ( 8400 34965 ) L1M1_PR_MR
NEW met1 ( 8400 34965 ) M1M2_PR
NEW met1 ( 8400 34965 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i ) ( input367 A )
+ ROUTED met2 ( 3120 3330 0 ) ( 3120 17575 )
NEW met1 ( 3120 17575 ) ( 7440 17575 )
NEW met1 ( 7440 38295 ) ( 7920 38295 )
NEW met2 ( 7440 17575 ) ( 7440 38295 )
NEW met1 ( 3120 17575 ) M1M2_PR
NEW met1 ( 7440 17575 ) M1M2_PR
NEW met1 ( 7440 38295 ) M1M2_PR
NEW li1 ( 7920 38295 ) L1M1_PR_MR
+ USE SIGNAL ;
- net1 ( input1 X ) ( AND2X1 A )
+ ROUTED met2 ( 10800 237600 ) ( 11280 237600 )
NEW met2 ( 10800 237600 ) ( 10800 284345 )
NEW met1 ( 8880 284345 ) ( 10800 284345 )
NEW met2 ( 39840 24790 ) ( 39840 24975 )
NEW met2 ( 39120 24790 ) ( 39840 24790 )
NEW met2 ( 39120 24790 ) ( 39120 33855 )
NEW met1 ( 11280 33855 ) ( 39120 33855 )
NEW met2 ( 11280 33855 ) ( 11280 237600 )
NEW met1 ( 10800 284345 ) M1M2_PR
NEW li1 ( 8880 284345 ) L1M1_PR_MR
NEW met1 ( 39840 24975 ) M1M2_PR_MR
NEW met1 ( 39120 33855 ) M1M2_PR
NEW met1 ( 11280 33855 ) M1M2_PR
+ USE SIGNAL ;
- net2 ( input2 X ) ( AOI22X1 A )
+ ROUTED met2 ( 80880 44585 ) ( 80880 284345 )
NEW met2 ( 44880 44030 ) ( 44880 44585 )
NEW met2 ( 42000 44030 ) ( 44880 44030 )
NEW met2 ( 42000 44030 ) ( 42000 44585 )
NEW met1 ( 42000 44215 ) ( 42000 44585 )
NEW met1 ( 41280 44215 0 ) ( 42000 44215 )
NEW met1 ( 44880 44585 ) ( 80880 44585 )
NEW li1 ( 80880 284345 ) L1M1_PR_MR
NEW met1 ( 80880 284345 ) M1M2_PR
NEW met1 ( 80880 44585 ) M1M2_PR
NEW met1 ( 44880 44585 ) M1M2_PR
NEW met1 ( 42000 44585 ) M1M2_PR
NEW met1 ( 80880 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net3 ( input3 X ) ( AOI22X1 B )
+ ROUTED met2 ( 90000 47545 ) ( 90000 284345 )
NEW met1 ( 39840 45325 0 ) ( 40560 45325 )
NEW met1 ( 40560 44955 ) ( 40560 45325 )
NEW met2 ( 40560 44955 ) ( 40560 47545 )
NEW met1 ( 40560 47545 ) ( 90000 47545 )
NEW li1 ( 90000 284345 ) L1M1_PR_MR
NEW met1 ( 90000 284345 ) M1M2_PR
NEW met1 ( 90000 47545 ) M1M2_PR
NEW met1 ( 40560 44955 ) M1M2_PR
NEW met1 ( 40560 47545 ) M1M2_PR
NEW met1 ( 90000 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net4 ( input4 X ) ( AOI22X1 C )
+ ROUTED met2 ( 96720 49025 ) ( 96720 284345 )
NEW met1 ( 42720 45325 0 ) ( 42960 45325 )
NEW met1 ( 42960 45325 ) ( 42960 45695 )
NEW met2 ( 42960 45695 ) ( 42960 49025 )
NEW met1 ( 42960 49025 ) ( 96720 49025 )
NEW li1 ( 96720 284345 ) L1M1_PR_MR
NEW met1 ( 96720 284345 ) M1M2_PR
NEW met1 ( 96720 49025 ) M1M2_PR
NEW met1 ( 42960 45695 ) M1M2_PR
NEW met1 ( 42960 49025 ) M1M2_PR
NEW met1 ( 96720 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net5 ( input5 X ) ( AOI22X1 D )
+ ROUTED met2 ( 104400 45325 ) ( 104400 284345 )
NEW met1 ( 44160 45325 0 ) ( 104400 45325 )
NEW li1 ( 104400 284345 ) L1M1_PR_MR
NEW met1 ( 104400 284345 ) M1M2_PR
NEW met1 ( 104400 45325 ) M1M2_PR
NEW met1 ( 104400 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net6 ( input6 X )
+ USE SIGNAL ;
- net7 ( input7 X ) ( BUFX2 A )
+ ROUTED met2 ( 120240 55685 ) ( 120240 284345 )
NEW met1 ( 39840 50875 0 ) ( 40560 50875 )
NEW met2 ( 40560 50875 ) ( 40560 55685 )
NEW met1 ( 40560 55685 ) ( 120240 55685 )
NEW met1 ( 120240 55685 ) M1M2_PR
NEW li1 ( 120240 284345 ) L1M1_PR_MR
NEW met1 ( 120240 284345 ) M1M2_PR
NEW met1 ( 40560 50875 ) M1M2_PR
NEW met1 ( 40560 55685 ) M1M2_PR
NEW met1 ( 120240 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net8 ( input8 X )
+ USE SIGNAL ;
- net9 ( input9 X ) ( BUFX4 A )
+ ROUTED met2 ( 136080 60865 ) ( 136080 284345 )
NEW met1 ( 39840 58645 0 ) ( 40560 58645 )
NEW met2 ( 40560 58645 ) ( 40560 60865 )
NEW met1 ( 40560 60865 ) ( 136080 60865 )
NEW met1 ( 136080 60865 ) M1M2_PR
NEW li1 ( 136080 284345 ) L1M1_PR_MR
NEW met1 ( 136080 284345 ) M1M2_PR
NEW met1 ( 40560 58645 ) M1M2_PR
NEW met1 ( 40560 60865 ) M1M2_PR
NEW met1 ( 136080 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net10 ( input10 X )
+ USE SIGNAL ;
- net11 ( input11 X ) ( CLKBUF1 A )
+ ROUTED met2 ( 150480 67895 ) ( 150480 284345 )
NEW met2 ( 40560 66045 ) ( 40560 67895 )
NEW met1 ( 40560 67895 ) ( 150480 67895 )
NEW met1 ( 150480 67895 ) M1M2_PR
NEW li1 ( 150480 284345 ) L1M1_PR_MR
NEW met1 ( 150480 284345 ) M1M2_PR
NEW met1 ( 40560 67895 ) M1M2_PR
NEW met1 ( 40560 66045 ) M1M2_PR
NEW met1 ( 150480 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net12 ( input12 X ) ( AND2X1 B )
+ ROUTED met1 ( 41280 24235 0 ) ( 42000 24235 )
NEW met2 ( 42000 24235 ) ( 42000 29045 )
NEW met1 ( 13200 29045 ) ( 42000 29045 )
NEW met2 ( 13200 29045 ) ( 13200 284345 )
NEW li1 ( 13200 284345 ) L1M1_PR_MR
NEW met1 ( 13200 284345 ) M1M2_PR
NEW met1 ( 42000 24235 ) M1M2_PR
NEW met1 ( 42000 29045 ) M1M2_PR
NEW met1 ( 13200 29045 ) M1M2_PR
NEW met1 ( 13200 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net13 ( input13 X )
+ USE SIGNAL ;
- net14 ( input14 X ) ( INV A )
+ ROUTED met2 ( 170640 70855 ) ( 170640 284345 )
NEW met1 ( 39840 70855 0 ) ( 170640 70855 )
NEW met1 ( 170640 70855 ) M1M2_PR
NEW li1 ( 170640 284345 ) L1M1_PR_MR
NEW met1 ( 170640 284345 ) M1M2_PR
NEW met1 ( 170640 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net15 ( input15 X )
+ USE SIGNAL ;
- net16 ( input16 X ) ( INVX1 A )
+ ROUTED met2 ( 183600 77515 ) ( 183600 283605 )
NEW met1 ( 39840 77515 0 ) ( 183600 77515 )
NEW met1 ( 183600 77515 ) M1M2_PR
NEW li1 ( 183600 283605 ) L1M1_PR_MR
NEW met1 ( 183600 283605 ) M1M2_PR
NEW met1 ( 183600 283605 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net17 ( input17 X )
+ USE SIGNAL ;
- net18 ( input18 X ) ( INVX2 A )
+ ROUTED met1 ( 198480 283605 ) ( 198960 283605 )
NEW met2 ( 198480 84175 ) ( 198480 283605 )
NEW met1 ( 39840 84175 0 ) ( 198480 84175 )
NEW met1 ( 198480 84175 ) M1M2_PR
NEW met1 ( 198480 283605 ) M1M2_PR
NEW li1 ( 198960 283605 ) L1M1_PR_MR
+ USE SIGNAL ;
- net19 ( input19 X )
+ USE SIGNAL ;
- net20 ( input20 X ) ( INVX4 A )
+ ROUTED met2 ( 214800 88245 ) ( 214800 283605 )
NEW met1 ( 136800 88245 ) ( 136800 88985 )
NEW met1 ( 136800 88245 ) ( 214800 88245 )
NEW met2 ( 40080 88985 ) ( 40080 91840 )
NEW met1 ( 40080 88985 ) ( 136800 88985 )
NEW met1 ( 214800 88245 ) M1M2_PR
NEW li1 ( 214800 283605 ) L1M1_PR_MR
NEW met1 ( 214800 283605 ) M1M2_PR
NEW met1 ( 40080 88985 ) M1M2_PR
NEW met1 ( 40080 91840 ) M1M2_PR
NEW met1 ( 214800 283605 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net21 ( input21 X )
+ USE SIGNAL ;
- net22 ( input22 X ) ( INVX8 A )
+ ROUTED met1 ( 230160 283605 ) ( 230640 283605 )
NEW met2 ( 230640 97495 ) ( 230640 283605 )
NEW met2 ( 41520 97310 ) ( 41520 97495 )
NEW met3 ( 41520 97310 ) ( 45840 97310 )
NEW met2 ( 45840 97310 ) ( 45840 97495 )
NEW met1 ( 45840 97495 ) ( 230640 97495 )
NEW met1 ( 230640 97495 ) M1M2_PR
NEW met1 ( 230640 283605 ) M1M2_PR
NEW li1 ( 230160 283605 ) L1M1_PR_MR
NEW met1 ( 41520 97495 ) M1M2_PR
NEW met2 ( 41520 97310 ) via2_FR
NEW met2 ( 45840 97310 ) via2_FR
NEW met1 ( 45840 97495 ) M1M2_PR
+ USE SIGNAL ;
- net23 ( input23 X )
+ USE SIGNAL ;
- net24 ( input24 X )
+ USE SIGNAL ;
- net25 ( input25 X ) ( MUX2X1 A )
+ ROUTED met1 ( 136800 107115 ) ( 136800 107485 )
NEW met1 ( 136800 107485 ) ( 187200 107485 )
NEW met1 ( 187200 107115 ) ( 187200 107485 )
NEW met1 ( 187200 107115 ) ( 242640 107115 )
NEW met1 ( 242640 283605 ) ( 243600 283605 )
NEW met2 ( 242640 107115 ) ( 242640 283605 )
NEW met1 ( 41280 104710 0 ) ( 42000 104710 )
NEW li1 ( 42000 104710 ) ( 42000 106005 )
NEW met1 ( 42000 106005 ) ( 44880 106005 )
NEW met2 ( 44880 106005 ) ( 44880 107115 )
NEW met1 ( 44880 107115 ) ( 136800 107115 )
NEW met1 ( 242640 107115 ) M1M2_PR
NEW met1 ( 242640 283605 ) M1M2_PR
NEW li1 ( 243600 283605 ) L1M1_PR_MR
NEW li1 ( 42000 104710 ) L1M1_PR_MR
NEW li1 ( 42000 106005 ) L1M1_PR_MR
NEW met1 ( 44880 106005 ) M1M2_PR
NEW met1 ( 44880 107115 ) M1M2_PR
+ USE SIGNAL ;
- net26 ( input26 X ) ( MUX2X1 B )
+ ROUTED met1 ( 136800 104155 ) ( 136800 104525 )
NEW met1 ( 136800 104525 ) ( 254160 104525 )
NEW met2 ( 254160 104525 ) ( 254160 283605 )
NEW met1 ( 45600 104155 0 ) ( 136800 104155 )
NEW met1 ( 254160 104525 ) M1M2_PR
NEW li1 ( 254160 283605 ) L1M1_PR_MR
NEW met1 ( 254160 283605 ) M1M2_PR
NEW met1 ( 254160 283605 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net27 ( input27 X ) ( MUX2X1 S )
+ ROUTED met2 ( 264240 107855 ) ( 264240 283605 )
NEW met2 ( 40080 104155 ) ( 40080 107855 )
NEW met1 ( 40080 107855 ) ( 264240 107855 )
NEW met1 ( 264240 107855 ) M1M2_PR
NEW li1 ( 264240 283605 ) L1M1_PR_MR
NEW met1 ( 264240 283605 ) M1M2_PR
NEW met1 ( 40080 104155 ) M1M2_PR
NEW met1 ( 40080 107855 ) M1M2_PR
NEW met1 ( 264240 283605 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net28 ( input28 X )
+ USE SIGNAL ;
- net29 ( input29 X ) ( NAND2X1 A )
+ ROUTED met1 ( 277200 283605 ) ( 277680 283605 )
NEW met2 ( 277200 114515 ) ( 277200 283605 )
NEW met1 ( 41280 110815 0 ) ( 52560 110815 )
NEW met2 ( 52560 110815 ) ( 52560 114515 )
NEW met1 ( 52560 114515 ) ( 277200 114515 )
NEW met1 ( 277200 114515 ) M1M2_PR
NEW met1 ( 277200 283605 ) M1M2_PR
NEW li1 ( 277680 283605 ) L1M1_PR_MR
NEW met1 ( 52560 110815 ) M1M2_PR
NEW met1 ( 52560 114515 ) M1M2_PR
+ USE SIGNAL ;
- net30 ( input30 X ) ( NAND2X1 B )
+ ROUTED met2 ( 90480 281570 ) ( 91440 281570 )
NEW met2 ( 91440 281570 ) ( 91440 285085 )
NEW met2 ( 90480 114145 ) ( 90480 281570 )
NEW met1 ( 91440 285085 ) ( 283920 285085 )
NEW met2 ( 39840 111555 ) ( 39840 112110 )
NEW met2 ( 39840 112110 ) ( 40080 112110 )
NEW met2 ( 40080 112110 ) ( 40080 114145 )
NEW met1 ( 40080 114145 ) ( 90480 114145 )
NEW met1 ( 90480 114145 ) M1M2_PR
NEW met1 ( 91440 285085 ) M1M2_PR
NEW li1 ( 283920 285085 ) L1M1_PR_MR
NEW met1 ( 39840 111555 ) M1M2_PR_MR
NEW met1 ( 40080 114145 ) M1M2_PR
+ USE SIGNAL ;
- net31 ( input31 X )
+ USE SIGNAL ;
- net32 ( input32 X ) ( AND2X2 A )
+ ROUTED met1 ( 32400 283975 ) ( 32400 284345 )
NEW met1 ( 25680 284345 ) ( 32400 284345 )
NEW met1 ( 36240 32005 ) ( 39840 32005 0 )
NEW met2 ( 36240 32005 ) ( 36240 38665 )
NEW met1 ( 32400 38665 ) ( 36240 38665 )
NEW met2 ( 32400 38665 ) ( 32400 283975 )
NEW met1 ( 32400 283975 ) M1M2_PR
NEW li1 ( 25680 284345 ) L1M1_PR_MR
NEW met1 ( 36240 32005 ) M1M2_PR
NEW met1 ( 36240 38665 ) M1M2_PR
NEW met1 ( 32400 38665 ) M1M2_PR
+ USE SIGNAL ;
- net33 ( input33 X ) ( AND2X2 B )
+ ROUTED met2 ( 41520 187200 ) ( 42000 187200 )
NEW met1 ( 36240 284345 ) ( 41520 284345 )
NEW met2 ( 41520 187200 ) ( 41520 284345 )
NEW met2 ( 41520 64800 ) ( 42000 64800 )
NEW met2 ( 41520 43290 ) ( 41520 64800 )
NEW met2 ( 41520 43290 ) ( 42000 43290 )
NEW met2 ( 42000 32005 ) ( 42000 43290 )
NEW met1 ( 41280 32005 0 ) ( 42000 32005 )
NEW met2 ( 42000 64800 ) ( 42000 187200 )
NEW met1 ( 41520 284345 ) M1M2_PR
NEW li1 ( 36240 284345 ) L1M1_PR_MR
NEW met1 ( 42000 32005 ) M1M2_PR
+ USE SIGNAL ;
- net34 ( input34 X )
+ USE SIGNAL ;
- net35 ( input35 X ) ( AOI21X1 A )
+ ROUTED met2 ( 41280 37370 ) ( 41280 37925 )
NEW met3 ( 41280 37370 ) ( 44400 37370 )
NEW met2 ( 44400 37370 ) ( 44400 42365 )
NEW met1 ( 44400 42365 ) ( 49200 42365 )
NEW met2 ( 49200 42365 ) ( 49200 283605 )
NEW li1 ( 49200 283605 ) L1M1_PR_MR
NEW met1 ( 49200 283605 ) M1M2_PR
NEW met1 ( 41280 37925 ) M1M2_PR_MR
NEW met2 ( 41280 37370 ) via2_FR
NEW met2 ( 44400 37370 ) via2_FR
NEW met1 ( 44400 42365 ) M1M2_PR
NEW met1 ( 49200 42365 ) M1M2_PR
NEW met1 ( 49200 283605 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net36 ( input36 X ) ( AOI21X1 B )
+ ROUTED met2 ( 42720 37925 ) ( 42720 38110 )
NEW met3 ( 42720 38110 ) ( 56400 38110 )
NEW met2 ( 56400 38110 ) ( 56400 284345 )
NEW li1 ( 56400 284345 ) L1M1_PR_MR
NEW met1 ( 56400 284345 ) M1M2_PR
NEW met1 ( 42720 37925 ) M1M2_PR_MR
NEW met2 ( 42720 38110 ) via2_FR
NEW met2 ( 56400 38110 ) via2_FR
NEW met1 ( 56400 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net37 ( input37 X ) ( AOI21X1 C )
+ ROUTED met1 ( 54000 284715 ) ( 56880 284715 )
NEW met1 ( 56880 284345 ) ( 56880 284715 )
NEW met1 ( 56880 284345 ) ( 65040 284345 )
NEW met2 ( 54000 48285 ) ( 54000 284715 )
NEW met1 ( 39840 38665 0 ) ( 40080 38665 )
NEW met1 ( 40080 38665 ) ( 40080 39035 )
NEW met1 ( 39600 39035 ) ( 40080 39035 )
NEW li1 ( 39600 39035 ) ( 39600 39405 )
NEW li1 ( 38640 39405 ) ( 39600 39405 )
NEW li1 ( 38640 38665 ) ( 38640 39405 )
NEW met1 ( 37680 38665 ) ( 38640 38665 )
NEW met2 ( 37680 38665 ) ( 37680 48285 )
NEW met1 ( 37680 48285 ) ( 54000 48285 )
NEW met1 ( 54000 284715 ) M1M2_PR
NEW li1 ( 65040 284345 ) L1M1_PR_MR
NEW met1 ( 54000 48285 ) M1M2_PR
NEW li1 ( 39600 39035 ) L1M1_PR_MR
NEW li1 ( 38640 38665 ) L1M1_PR_MR
NEW met1 ( 37680 38665 ) M1M2_PR
NEW met1 ( 37680 48285 ) M1M2_PR
+ USE SIGNAL ;
- net38 ( input38 X )
+ USE SIGNAL ;
- net39 ( input39 X ) ( NAND3X1 A )
+ ROUTED met2 ( 66480 16095 ) ( 66480 117475 )
NEW met1 ( 42720 117475 0 ) ( 66480 117475 )
NEW met1 ( 66480 117475 ) M1M2_PR
NEW li1 ( 66480 16095 ) L1M1_PR_MR
NEW met1 ( 66480 16095 ) M1M2_PR
NEW met1 ( 66480 16095 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net40 ( input40 X )
+ USE SIGNAL ;
- net41 ( input41 X )
+ USE SIGNAL ;
- net42 ( input42 X )
+ USE SIGNAL ;
- net43 ( input43 X )
+ USE SIGNAL ;
- net44 ( input44 X )
+ USE SIGNAL ;
- net45 ( input45 X )
+ USE SIGNAL ;
- net46 ( input46 X )
+ USE SIGNAL ;
- net47 ( input47 X )
+ USE SIGNAL ;
- net48 ( input48 X )
+ USE SIGNAL ;
- net49 ( input49 X )
+ USE SIGNAL ;
- net50 ( input50 X ) ( XNOR2X1 A )
+ ROUTED met1 ( 84240 16095 ) ( 84720 16095 )
NEW met2 ( 84720 16095 ) ( 84720 137085 )
NEW met2 ( 46800 137270 ) ( 46800 137455 )
NEW met2 ( 46800 137270 ) ( 48240 137270 )
NEW met2 ( 48240 137085 ) ( 48240 137270 )
NEW met1 ( 48240 137085 ) ( 84720 137085 )
NEW met1 ( 84720 137085 ) M1M2_PR
NEW li1 ( 84240 16095 ) L1M1_PR_MR
NEW met1 ( 84720 16095 ) M1M2_PR
NEW met1 ( 46800 137455 ) M1M2_PR
NEW met1 ( 48240 137085 ) M1M2_PR
+ USE SIGNAL ;
- net51 ( input51 X )
+ USE SIGNAL ;
- net52 ( input52 X )
+ USE SIGNAL ;
- net53 ( input53 X )
+ USE SIGNAL ;
- net54 ( input54 X )
+ USE SIGNAL ;
- net55 ( input55 X )
+ USE SIGNAL ;
- net56 ( input56 X )
+ USE SIGNAL ;
- net57 ( input57 X )
+ USE SIGNAL ;
- net58 ( input58 X )
+ USE SIGNAL ;
- net59 ( input59 X )
+ USE SIGNAL ;
- net60 ( input60 X )
+ USE SIGNAL ;
- net61 ( input61 X ) ( XNOR2X1 B )
+ ROUTED met2 ( 74640 86400 ) ( 75120 86400 )
NEW met2 ( 74640 86400 ) ( 74640 141155 )
NEW met1 ( 89040 16095 ) ( 90480 16095 )
NEW met2 ( 89040 16095 ) ( 89040 17205 )
NEW met1 ( 75600 17205 ) ( 89040 17205 )
NEW met2 ( 75600 17205 ) ( 75600 29230 )
NEW met2 ( 75120 29230 ) ( 75600 29230 )
NEW met2 ( 75120 29230 ) ( 75120 86400 )
NEW met2 ( 41040 137455 ) ( 41040 141155 )
NEW met1 ( 41040 141155 ) ( 74640 141155 )
NEW met1 ( 74640 141155 ) M1M2_PR
NEW li1 ( 90480 16095 ) L1M1_PR_MR
NEW met1 ( 89040 16095 ) M1M2_PR
NEW met1 ( 89040 17205 ) M1M2_PR
NEW met1 ( 75600 17205 ) M1M2_PR
NEW met1 ( 41040 137455 ) M1M2_PR
NEW met1 ( 41040 141155 ) M1M2_PR
+ USE SIGNAL ;
- net62 ( input62 X )
+ USE SIGNAL ;
- net63 ( input63 X )
+ USE SIGNAL ;
- net64 ( input64 X )
+ USE SIGNAL ;
- net65 ( input65 X )
+ USE SIGNAL ;
- net66 ( input66 X )
+ USE SIGNAL ;
- net67 ( input67 X )
+ USE SIGNAL ;
- net68 ( input68 X )
+ USE SIGNAL ;
- net69 ( input69 X )
+ USE SIGNAL ;
- net70 ( input70 X )
+ USE SIGNAL ;
- net71 ( input71 X ) ( XOR2X1 A )
+ ROUTED met2 ( 78000 86400 ) ( 78480 86400 )
NEW met2 ( 78480 86400 ) ( 78480 147815 )
NEW met1 ( 83760 15725 ) ( 94320 15725 )
NEW met1 ( 83760 15725 ) ( 83760 16095 )
NEW met1 ( 78000 16095 ) ( 83760 16095 )
NEW met2 ( 78000 16095 ) ( 78000 86400 )
NEW met1 ( 43440 144485 ) ( 43440 145120 0 )
NEW met2 ( 43440 144485 ) ( 43440 147815 )
NEW met1 ( 43440 147815 ) ( 78480 147815 )
NEW met1 ( 78480 147815 ) M1M2_PR
NEW li1 ( 94320 15725 ) L1M1_PR_MR
NEW met1 ( 78000 16095 ) M1M2_PR
NEW met1 ( 43440 144485 ) M1M2_PR
NEW met1 ( 43440 147815 ) M1M2_PR
+ USE SIGNAL ;
- net72 ( input72 X ) ( XOR2X1 B )
+ ROUTED met1 ( 82320 19055 ) ( 92880 19055 )
NEW met1 ( 82320 19055 ) ( 82320 19425 )
NEW met1 ( 76560 19425 ) ( 82320 19425 )
NEW met2 ( 76560 19425 ) ( 76560 147075 )
NEW met2 ( 41280 144485 ) ( 41280 146150 )
NEW met2 ( 41280 146150 ) ( 41520 146150 )
NEW met2 ( 41520 146150 ) ( 41520 147075 )
NEW met1 ( 41520 147075 ) ( 76560 147075 )
NEW met1 ( 76560 147075 ) M1M2_PR
NEW li1 ( 92880 19055 ) L1M1_PR_MR
NEW met1 ( 76560 19425 ) M1M2_PR
NEW met1 ( 41280 144485 ) M1M2_PR_MR
NEW met1 ( 41520 147075 ) M1M2_PR
+ USE SIGNAL ;
- net73 ( input73 X )
+ USE SIGNAL ;
- net74 ( input74 X )
+ USE SIGNAL ;
- net75 ( input75 X )
+ USE SIGNAL ;
- net76 ( input76 X )
+ USE SIGNAL ;
- net77 ( input77 X )
+ USE SIGNAL ;
- net78 ( input78 X ) ( NAND3X1 B )
+ ROUTED met2 ( 70320 16095 ) ( 70320 115625 )
NEW met2 ( 45840 115625 ) ( 45840 118030 )
NEW met3 ( 41280 118030 ) ( 45840 118030 )
NEW met2 ( 41280 118030 ) ( 41280 118215 )
NEW met1 ( 45840 115625 ) ( 70320 115625 )
NEW met1 ( 70320 115625 ) M1M2_PR
NEW li1 ( 70320 16095 ) L1M1_PR_MR
NEW met1 ( 70320 16095 ) M1M2_PR
NEW met1 ( 45840 115625 ) M1M2_PR
NEW met2 ( 45840 118030 ) via2_FR
NEW met2 ( 41280 118030 ) via2_FR
NEW met1 ( 41280 118215 ) M1M2_PR_MR
NEW met1 ( 70320 16095 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net79 ( input79 X )
+ USE SIGNAL ;
- net80 ( input80 X )
+ USE SIGNAL ;
- net81 ( input81 X )
+ USE SIGNAL ;
- net82 ( input82 X )
+ USE SIGNAL ;
- net83 ( input83 X )
+ USE SIGNAL ;
- net84 ( input84 X )
+ USE SIGNAL ;
- net85 ( input85 X )
+ USE SIGNAL ;
- net86 ( input86 X )
+ USE SIGNAL ;
- net87 ( input87 X )
+ USE SIGNAL ;
- net88 ( input88 X )
+ USE SIGNAL ;
- net89 ( input89 X ) ( NAND3X1 C )
+ ROUTED met1 ( 66000 19425 ) ( 69840 19425 )
NEW met2 ( 66000 19425 ) ( 66000 115995 )
NEW met2 ( 42480 115995 ) ( 42480 117105 )
NEW met1 ( 39840 117105 ) ( 42480 117105 )
NEW met1 ( 39840 117105 ) ( 39840 117475 0 )
NEW met1 ( 42480 115995 ) ( 66000 115995 )
NEW met1 ( 66000 115995 ) M1M2_PR
NEW li1 ( 69840 19425 ) L1M1_PR_MR
NEW met1 ( 66000 19425 ) M1M2_PR
NEW met1 ( 42480 115995 ) M1M2_PR
NEW met1 ( 42480 117105 ) M1M2_PR
+ USE SIGNAL ;
- net90 ( input90 X )
+ USE SIGNAL ;
- net91 ( input91 X )
+ USE SIGNAL ;
- net92 ( input92 X )
+ USE SIGNAL ;
- net93 ( input93 X )
+ USE SIGNAL ;
- net94 ( input94 X )
+ USE SIGNAL ;
- net95 ( input95 X )
+ USE SIGNAL ;
- net96 ( input96 X )
+ USE SIGNAL ;
- net97 ( input97 X )
+ USE SIGNAL ;
- net98 ( input98 X )
+ USE SIGNAL ;
- net99 ( input99 X )
+ USE SIGNAL ;
- net100 ( input100 X )
+ USE SIGNAL ;
- net101 ( input101 X )
+ USE SIGNAL ;
- net102 ( input102 X )
+ USE SIGNAL ;
- net103 ( input103 X )
+ USE SIGNAL ;
- net104 ( input104 X )
+ USE SIGNAL ;
- net105 ( input105 X )
+ USE SIGNAL ;
- net106 ( input106 X )
+ USE SIGNAL ;
- net107 ( input107 X )
+ USE SIGNAL ;
- net108 ( input108 X )
+ USE SIGNAL ;
- net109 ( input109 X )
+ USE SIGNAL ;
- net110 ( input110 X )
+ USE SIGNAL ;
- net111 ( input111 X ) ( OR2X1 A )
+ ROUTED met2 ( 77040 16095 ) ( 77040 127465 )
NEW met1 ( 39840 125245 0 ) ( 40080 125245 )
NEW met1 ( 40080 125245 ) ( 40080 125615 )
NEW met2 ( 40080 125615 ) ( 40080 127465 )
NEW met1 ( 40080 127465 ) ( 77040 127465 )
NEW met1 ( 77040 127465 ) M1M2_PR
NEW li1 ( 77040 16095 ) L1M1_PR_MR
NEW met1 ( 77040 16095 ) M1M2_PR
NEW met1 ( 40080 125615 ) M1M2_PR
NEW met1 ( 40080 127465 ) M1M2_PR
NEW met1 ( 77040 16095 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net112 ( input112 X )
+ USE SIGNAL ;
- net113 ( input113 X )
+ USE SIGNAL ;
- net114 ( input114 X )
+ USE SIGNAL ;
- net115 ( input115 X )
+ USE SIGNAL ;
- net116 ( input116 X )
+ USE SIGNAL ;
- net117 ( input117 X )
+ USE SIGNAL ;
- net118 ( input118 X )
+ USE SIGNAL ;
- net119 ( input119 X )
+ USE SIGNAL ;
- net120 ( input120 X )
+ USE SIGNAL ;
- net121 ( input121 X )
+ USE SIGNAL ;
- net122 ( input122 X ) ( OR2X1 B )
+ ROUTED met2 ( 67440 64800 ) ( 67440 125985 )
NEW met1 ( 68400 19055 ) ( 77520 19055 )
NEW met2 ( 68400 19055 ) ( 68400 64800 )
NEW met2 ( 67440 64800 ) ( 68400 64800 )
NEW met1 ( 42720 125615 ) ( 42720 125985 )
NEW met1 ( 41280 125615 ) ( 42720 125615 )
NEW met1 ( 41280 125245 0 ) ( 41280 125615 )
NEW met1 ( 42720 125985 ) ( 67440 125985 )
NEW met1 ( 67440 125985 ) M1M2_PR
NEW li1 ( 77520 19055 ) L1M1_PR_MR
NEW met1 ( 68400 19055 ) M1M2_PR
+ USE SIGNAL ;
- net123 ( input123 X )
+ USE SIGNAL ;
- net124 ( input124 X )
+ USE SIGNAL ;
- net125 ( input125 X )
+ USE SIGNAL ;
- net126 ( input126 X )
+ USE SIGNAL ;
- net127 ( input127 X )
+ USE SIGNAL ;
- net128 ( input128 X )
+ USE SIGNAL ;
- net129 ( input129 X )
+ USE SIGNAL ;
- net130 ( input130 X )
+ USE SIGNAL ;
- net131 ( input131 X )
+ USE SIGNAL ;
- net132 ( input132 X )
+ USE SIGNAL ;
- net133 ( input133 X )
+ USE SIGNAL ;
- net134 ( input134 X )
+ USE SIGNAL ;
- net135 ( input135 X )
+ USE SIGNAL ;
- net136 ( input136 X )
+ USE SIGNAL ;
- net137 ( input137 X )
+ USE SIGNAL ;
- net138 ( input138 X )
+ USE SIGNAL ;
- net139 ( input139 X )
+ USE SIGNAL ;
- net140 ( input140 X )
+ USE SIGNAL ;
- net141 ( input141 X )
+ USE SIGNAL ;
- net142 ( input142 X )
+ USE SIGNAL ;
- net143 ( input143 X )
+ USE SIGNAL ;
- net144 ( input144 X ) ( OR2X2 A )
+ ROUTED met1 ( 78960 21275 ) ( 78960 22015 )
NEW met1 ( 37200 88985 ) ( 39120 88985 )
NEW met1 ( 37200 22015 ) ( 37200 22385 )
NEW met2 ( 37200 22385 ) ( 37200 88985 )
NEW met1 ( 37200 22015 ) ( 78960 22015 )
NEW met2 ( 39120 130610 ) ( 39840 130610 )
NEW met2 ( 39840 130610 ) ( 39840 131720 )
NEW met2 ( 39120 88985 ) ( 39120 130610 )
NEW li1 ( 78960 21275 ) L1M1_PR_MR
NEW met1 ( 37200 88985 ) M1M2_PR
NEW met1 ( 39120 88985 ) M1M2_PR
NEW met1 ( 37200 22385 ) M1M2_PR
NEW met1 ( 39840 131720 ) M1M2_PR
+ USE SIGNAL ;
- net145 ( input145 X )
+ USE SIGNAL ;
- net146 ( input146 X )
+ USE SIGNAL ;
- net147 ( input147 X )
+ USE SIGNAL ;
- net148 ( input148 X )
+ USE SIGNAL ;
- net149 ( input149 X )
+ USE SIGNAL ;
- net150 ( input150 X )
+ USE SIGNAL ;
- net151 ( input151 X )
+ USE SIGNAL ;
- net152 ( input152 X )
+ USE SIGNAL ;
- net153 ( input153 X )
+ USE SIGNAL ;
- net154 ( input154 X )
+ USE SIGNAL ;
- net155 ( input155 X ) ( OR2X2 B )
+ ROUTED met2 ( 40560 22385 ) ( 40560 25530 )
NEW met2 ( 40080 25530 ) ( 40560 25530 )
NEW met2 ( 40080 25530 ) ( 40080 42550 )
NEW met2 ( 39600 42550 ) ( 40080 42550 )
NEW met2 ( 39600 42550 ) ( 39600 45510 )
NEW met3 ( 39600 45510 ) ( 42000 45510 )
NEW met2 ( 42000 45510 ) ( 42000 60310 )
NEW met2 ( 42000 60310 ) ( 42480 60310 )
NEW met2 ( 42480 60310 ) ( 42480 64800 )
NEW met2 ( 42480 64800 ) ( 42960 64800 )
NEW met1 ( 40560 22385 ) ( 82800 22385 )
NEW met2 ( 42480 123210 ) ( 42960 123210 )
NEW met2 ( 42480 123210 ) ( 42480 132275 )
NEW met1 ( 41280 132275 ) ( 42480 132275 )
NEW met1 ( 41280 131905 0 ) ( 41280 132275 )
NEW met2 ( 42960 64800 ) ( 42960 123210 )
NEW li1 ( 82800 22385 ) L1M1_PR_MR
NEW met1 ( 40560 22385 ) M1M2_PR
NEW met2 ( 39600 45510 ) via2_FR
NEW met2 ( 42000 45510 ) via2_FR
NEW met1 ( 42480 132275 ) M1M2_PR
+ USE SIGNAL ;
- net156 ( input156 X )
+ USE SIGNAL ;
- net157 ( input157 X )
+ USE SIGNAL ;
- net158 ( input158 X )
+ USE SIGNAL ;
- net159 ( input159 X )
+ USE SIGNAL ;
- net160 ( input160 X )
+ USE SIGNAL ;
- net161 ( input161 X )
+ USE SIGNAL ;
- net162 ( input162 X )
+ USE SIGNAL ;
- net163 ( input163 X )
+ USE SIGNAL ;
- net164 ( input164 X )
+ USE SIGNAL ;
- net165 ( input165 X )
+ USE SIGNAL ;
- net166 ( input166 X )
+ USE SIGNAL ;
- net167 ( input167 X )
+ USE SIGNAL ;
- net168 ( input168 X )
+ USE SIGNAL ;
- net169 ( input169 X )
+ USE SIGNAL ;
- net170 ( input170 X )
+ USE SIGNAL ;
- net171 ( input171 X )
+ USE SIGNAL ;
- net172 ( input172 X )
+ USE SIGNAL ;
- net173 ( input173 X )
+ USE SIGNAL ;
- net174 ( input174 X )
+ USE SIGNAL ;
- net175 ( input175 X )
+ USE SIGNAL ;
- net176 ( input176 X )
+ USE SIGNAL ;
- net177 ( input177 X )
+ USE SIGNAL ;
- net178 ( input178 X )
+ USE SIGNAL ;
- net179 ( input179 X )
+ USE SIGNAL ;
- net180 ( input180 X )
+ USE SIGNAL ;
- net181 ( input181 X )
+ USE SIGNAL ;
- net182 ( input182 X )
+ USE SIGNAL ;
- net183 ( input183 X )
+ USE SIGNAL ;
- net184 ( input184 X )
+ USE SIGNAL ;
- net185 ( input185 X )
+ USE SIGNAL ;
- net186 ( input186 X )
+ USE SIGNAL ;
- net187 ( input187 X )
+ USE SIGNAL ;
- net188 ( input188 X )
+ USE SIGNAL ;
- net189 ( input189 X )
+ USE SIGNAL ;
- net190 ( input190 X )
+ USE SIGNAL ;
- net191 ( input191 X )
+ USE SIGNAL ;
- net192 ( input192 X )
+ USE SIGNAL ;
- net193 ( input193 X )
+ USE SIGNAL ;
- net194 ( input194 X )
+ USE SIGNAL ;
- net195 ( input195 X )
+ USE SIGNAL ;
- net196 ( input196 X )
+ USE SIGNAL ;
- net197 ( input197 X )
+ USE SIGNAL ;
- net198 ( input198 X )
+ USE SIGNAL ;
- net199 ( input199 X )
+ USE SIGNAL ;
- net200 ( input200 X )
+ USE SIGNAL ;
- net201 ( input201 X )
+ USE SIGNAL ;
- net202 ( input202 X )
+ USE SIGNAL ;
- net203 ( input203 X )
+ USE SIGNAL ;
- net204 ( input204 X )
+ USE SIGNAL ;
- net205 ( input205 X )
+ USE SIGNAL ;
- net206 ( input206 X )
+ USE SIGNAL ;
- net207 ( input207 X )
+ USE SIGNAL ;
- net208 ( input208 X )
+ USE SIGNAL ;
- net209 ( input209 X )
+ USE SIGNAL ;
- net210 ( input210 X )
+ USE SIGNAL ;
- net211 ( input211 X )
+ USE SIGNAL ;
- net212 ( input212 X )
+ USE SIGNAL ;
- net213 ( input213 X )
+ USE SIGNAL ;
- net214 ( input214 X )
+ USE SIGNAL ;
- net215 ( input215 X )
+ USE SIGNAL ;
- net216 ( input216 X )
+ USE SIGNAL ;
- net217 ( input217 X )
+ USE SIGNAL ;
- net218 ( input218 X )
+ USE SIGNAL ;
- net219 ( input219 X )
+ USE SIGNAL ;
- net220 ( input220 X )
+ USE SIGNAL ;
- net221 ( input221 X )
+ USE SIGNAL ;
- net222 ( input222 X )
+ USE SIGNAL ;
- net223 ( input223 X )
+ USE SIGNAL ;
- net224 ( input224 X )
+ USE SIGNAL ;
- net225 ( input225 X )
+ USE SIGNAL ;
- net226 ( input226 X )
+ USE SIGNAL ;
- net227 ( input227 X )
+ USE SIGNAL ;
- net228 ( input228 X )
+ USE SIGNAL ;
- net229 ( input229 X )
+ USE SIGNAL ;
- net230 ( input230 X )
+ USE SIGNAL ;
- net231 ( input231 X )
+ USE SIGNAL ;
- net232 ( input232 X )
+ USE SIGNAL ;
- net233 ( input233 X )
+ USE SIGNAL ;
- net234 ( input234 X )
+ USE SIGNAL ;
- net235 ( input235 X )
+ USE SIGNAL ;
- net236 ( input236 X )
+ USE SIGNAL ;
- net237 ( input237 X )
+ USE SIGNAL ;
- net238 ( input238 X )
+ USE SIGNAL ;
- net239 ( input239 X )
+ USE SIGNAL ;
- net240 ( input240 X )
+ USE SIGNAL ;
- net241 ( input241 X )
+ USE SIGNAL ;
- net242 ( input242 X )
+ USE SIGNAL ;
- net243 ( input243 X )
+ USE SIGNAL ;
- net244 ( input244 X )
+ USE SIGNAL ;
- net245 ( input245 X )
+ USE SIGNAL ;
- net246 ( input246 X )
+ USE SIGNAL ;
- net247 ( input247 X )
+ USE SIGNAL ;
- net248 ( input248 X )
+ USE SIGNAL ;
- net249 ( input249 X )
+ USE SIGNAL ;
- net250 ( input250 X )
+ USE SIGNAL ;
- net251 ( input251 X )
+ USE SIGNAL ;
- net252 ( input252 X )
+ USE SIGNAL ;
- net253 ( input253 X )
+ USE SIGNAL ;
- net254 ( input254 X )
+ USE SIGNAL ;
- net255 ( input255 X )
+ USE SIGNAL ;
- net256 ( input256 X )
+ USE SIGNAL ;
- net257 ( input257 X )
+ USE SIGNAL ;
- net258 ( input258 X )
+ USE SIGNAL ;
- net259 ( input259 X )
+ USE SIGNAL ;
- net260 ( input260 X )
+ USE SIGNAL ;
- net261 ( input261 X )
+ USE SIGNAL ;
- net262 ( input262 X )
+ USE SIGNAL ;
- net263 ( input263 X )
+ USE SIGNAL ;
- net264 ( input264 X )
+ USE SIGNAL ;
- net265 ( input265 X )
+ USE SIGNAL ;
- net266 ( input266 X )
+ USE SIGNAL ;
- net267 ( input267 X )
+ USE SIGNAL ;
- net268 ( input268 X )
+ USE SIGNAL ;
- net269 ( input269 X )
+ USE SIGNAL ;
- net270 ( input270 X )
+ USE SIGNAL ;
- net271 ( input271 X )
+ USE SIGNAL ;
- net272 ( input272 X )
+ USE SIGNAL ;
- net273 ( input273 X )
+ USE SIGNAL ;
- net274 ( input274 X )
+ USE SIGNAL ;
- net275 ( input275 X )
+ USE SIGNAL ;
- net276 ( input276 X )
+ USE SIGNAL ;
- net277 ( input277 X )
+ USE SIGNAL ;
- net278 ( input278 X )
+ USE SIGNAL ;
- net279 ( input279 X )
+ USE SIGNAL ;
- net280 ( input280 X )
+ USE SIGNAL ;
- net281 ( input281 X )
+ USE SIGNAL ;
- net282 ( input282 X )
+ USE SIGNAL ;
- net283 ( input283 X )
+ USE SIGNAL ;
- net284 ( input284 X )
+ USE SIGNAL ;
- net285 ( input285 X )
+ USE SIGNAL ;
- net286 ( input286 X )
+ USE SIGNAL ;
- net287 ( input287 X )
+ USE SIGNAL ;
- net288 ( input288 X )
+ USE SIGNAL ;
- net289 ( input289 X )
+ USE SIGNAL ;
- net290 ( input290 X )
+ USE SIGNAL ;
- net291 ( input291 X )
+ USE SIGNAL ;
- net292 ( input292 X )
+ USE SIGNAL ;
- net293 ( input293 X )
+ USE SIGNAL ;
- net294 ( input294 X )
+ USE SIGNAL ;
- net295 ( input295 X )
+ USE SIGNAL ;
- net296 ( input296 X )
+ USE SIGNAL ;
- net297 ( input297 X )
+ USE SIGNAL ;
- net298 ( input298 X )
+ USE SIGNAL ;
- net299 ( input299 X )
+ USE SIGNAL ;
- net300 ( input300 X )
+ USE SIGNAL ;
- net301 ( input301 X )
+ USE SIGNAL ;
- net302 ( input302 X )
+ USE SIGNAL ;
- net303 ( input303 X )
+ USE SIGNAL ;
- net304 ( input304 X )
+ USE SIGNAL ;
- net305 ( input305 X )
+ USE SIGNAL ;
- net306 ( input306 X )
+ USE SIGNAL ;
- net307 ( input307 X )
+ USE SIGNAL ;
- net308 ( input308 X )
+ USE SIGNAL ;
- net309 ( input309 X )
+ USE SIGNAL ;
- net310 ( input310 X )
+ USE SIGNAL ;
- net311 ( input311 X )
+ USE SIGNAL ;
- net312 ( input312 X )
+ USE SIGNAL ;
- net313 ( input313 X )
+ USE SIGNAL ;
- net314 ( input314 X )
+ USE SIGNAL ;
- net315 ( input315 X )
+ USE SIGNAL ;
- net316 ( input316 X )
+ USE SIGNAL ;
- net317 ( input317 X )
+ USE SIGNAL ;
- net318 ( input318 X )
+ USE SIGNAL ;
- net319 ( input319 X )
+ USE SIGNAL ;
- net320 ( input320 X )
+ USE SIGNAL ;
- net321 ( input321 X )
+ USE SIGNAL ;
- net322 ( input322 X )
+ USE SIGNAL ;
- net323 ( input323 X )
+ USE SIGNAL ;
- net324 ( input324 X )
+ USE SIGNAL ;
- net325 ( input325 X )
+ USE SIGNAL ;
- net326 ( input326 X )
+ USE SIGNAL ;
- net327 ( input327 X )
+ USE SIGNAL ;
- net328 ( input328 X )
+ USE SIGNAL ;
- net329 ( input329 X )
+ USE SIGNAL ;
- net330 ( input330 X )
+ USE SIGNAL ;
- net331 ( input331 X )
+ USE SIGNAL ;
- net332 ( input332 X )
+ USE SIGNAL ;
- net333 ( input333 X )
+ USE SIGNAL ;
- net334 ( input334 X )
+ USE SIGNAL ;
- net335 ( input335 X )
+ USE SIGNAL ;
- net336 ( input336 X )
+ USE SIGNAL ;
- net337 ( input337 X )
+ USE SIGNAL ;
- net338 ( input338 X )
+ USE SIGNAL ;
- net339 ( input339 X )
+ USE SIGNAL ;
- net340 ( input340 X )
+ USE SIGNAL ;
- net341 ( input341 X )
+ USE SIGNAL ;
- net342 ( input342 X )
+ USE SIGNAL ;
- net343 ( input343 X )
+ USE SIGNAL ;
- net344 ( input344 X )
+ USE SIGNAL ;
- net345 ( input345 X )
+ USE SIGNAL ;
- net346 ( input346 X )
+ USE SIGNAL ;
- net347 ( input347 X )
+ USE SIGNAL ;
- net348 ( input348 X )
+ USE SIGNAL ;
- net349 ( input349 X )
+ USE SIGNAL ;
- net350 ( input350 X )
+ USE SIGNAL ;
- net351 ( input351 X )
+ USE SIGNAL ;
- net352 ( input352 X )
+ USE SIGNAL ;
- net353 ( input353 X )
+ USE SIGNAL ;
- net354 ( input354 X )
+ USE SIGNAL ;
- net355 ( input355 X )
+ USE SIGNAL ;
- net356 ( input356 X )
+ USE SIGNAL ;
- net357 ( input357 X )
+ USE SIGNAL ;
- net358 ( input358 X )
+ USE SIGNAL ;
- net359 ( input359 X )
+ USE SIGNAL ;
- net360 ( input360 X )
+ USE SIGNAL ;
- net361 ( input361 X )
+ USE SIGNAL ;
- net362 ( input362 X )
+ USE SIGNAL ;
- net363 ( input363 X )
+ USE SIGNAL ;
- net364 ( input364 X )
+ USE SIGNAL ;
- net365 ( input365 X )
+ USE SIGNAL ;
- net366 ( input366 X )
+ USE SIGNAL ;
- net367 ( input367 X )
+ USE SIGNAL ;
- net368 ( ANTENNA_1 DIODE ) ( ANTENNA_0 DIODE ) ( output368 A ) ( _015_ HI )
+ ROUTED met2 ( 10320 282495 ) ( 10320 283605 )
NEW met1 ( 8880 281755 ) ( 8880 282495 )
NEW met1 ( 8880 282495 ) ( 10320 282495 )
NEW met2 ( 121680 17205 ) ( 121680 36000 )
NEW met2 ( 120720 86400 ) ( 121200 86400 )
NEW met2 ( 121200 36000 ) ( 121200 86400 )
NEW met2 ( 121200 36000 ) ( 121680 36000 )
NEW met2 ( 120720 86400 ) ( 120720 283975 )
NEW met2 ( 176400 16095 ) ( 176400 17205 )
NEW met1 ( 176400 16095 ) ( 177360 16095 )
NEW met1 ( 121680 17205 ) ( 176400 17205 )
NEW met1 ( 10320 283605 ) ( 36000 283605 )
NEW met1 ( 36000 283605 ) ( 36000 283975 )
NEW met1 ( 36000 283975 ) ( 120720 283975 )
NEW met1 ( 121680 17205 ) M1M2_PR
NEW li1 ( 10320 282495 ) L1M1_PR_MR
NEW met1 ( 10320 282495 ) M1M2_PR
NEW met1 ( 10320 283605 ) M1M2_PR
NEW li1 ( 8880 281755 ) L1M1_PR_MR
NEW met1 ( 120720 283975 ) M1M2_PR
NEW li1 ( 176400 16095 ) L1M1_PR_MR
NEW met1 ( 176400 16095 ) M1M2_PR
NEW met1 ( 176400 17205 ) M1M2_PR
NEW li1 ( 177360 16095 ) L1M1_PR_MR
NEW met1 ( 10320 282495 ) RECT ( -355 -70 0 70 )
NEW met1 ( 176400 16095 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net369 ( output369 A ) ( _022_ HI )
+ ROUTED met1 ( 70320 236985 ) ( 275280 236985 )
NEW met2 ( 70320 236985 ) ( 70320 284345 )
NEW met1 ( 70320 236985 ) M1M2_PR
NEW li1 ( 275280 236985 ) L1M1_PR_MR
NEW li1 ( 70320 284345 ) L1M1_PR_MR
NEW met1 ( 70320 284345 ) M1M2_PR
NEW met1 ( 70320 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net370 ( output370 A ) ( _023_ HI )
+ ROUTED met2 ( 91440 278055 ) ( 91440 281015 )
NEW met1 ( 66000 278055 ) ( 91440 278055 )
NEW met1 ( 91440 278055 ) M1M2_PR
NEW li1 ( 91440 281015 ) L1M1_PR_MR
NEW met1 ( 91440 281015 ) M1M2_PR
NEW li1 ( 66000 278055 ) L1M1_PR_MR
NEW met1 ( 91440 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net371 ( ANTENNA_3 DIODE ) ( ANTENNA_2 DIODE ) ( output371 A ) ( _000_ HI )
+ ROUTED met1 ( 100560 281015 ) ( 102000 281015 )
NEW met2 ( 102000 68265 ) ( 102000 281015 )
NEW met1 ( 179280 68265 ) ( 180240 68265 )
NEW met1 ( 102000 68265 ) ( 179280 68265 )
NEW met1 ( 102000 68265 ) M1M2_PR
NEW li1 ( 102000 281015 ) L1M1_PR_MR
NEW met1 ( 102000 281015 ) M1M2_PR
NEW li1 ( 100560 281015 ) L1M1_PR_MR
NEW li1 ( 179280 68265 ) L1M1_PR_MR
NEW li1 ( 180240 68265 ) L1M1_PR_MR
NEW met1 ( 102000 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net372 ( output372 A ) ( _001_ HI )
+ ROUTED met2 ( 74640 182595 ) ( 74640 281755 )
NEW met1 ( 74640 281755 ) ( 107280 281755 )
NEW li1 ( 107280 281755 ) L1M1_PR_MR
NEW li1 ( 74640 182595 ) L1M1_PR_MR
NEW met1 ( 74640 182595 ) M1M2_PR
NEW met1 ( 74640 281755 ) M1M2_PR
NEW met1 ( 74640 182595 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net373 ( ANTENNA_5 DIODE ) ( ANTENNA_4 DIODE ) ( output373 A ) ( _024_ LO )
+ ROUTED met1 ( 113040 281015 ) ( 114960 281015 )
NEW met1 ( 169680 58645 ) ( 170640 58645 )
NEW met2 ( 113040 58645 ) ( 113040 281015 )
NEW met1 ( 113040 58645 ) ( 169680 58645 )
NEW li1 ( 113040 281015 ) L1M1_PR_MR
NEW met1 ( 113040 281015 ) M1M2_PR
NEW li1 ( 114960 281015 ) L1M1_PR_MR
NEW li1 ( 169680 58645 ) L1M1_PR_MR
NEW li1 ( 170640 58645 ) L1M1_PR_MR
NEW met1 ( 113040 58645 ) M1M2_PR
NEW met1 ( 113040 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net374 ( output374 A ) ( _002_ HI )
+ ROUTED met2 ( 122160 154475 ) ( 122160 281015 )
NEW met1 ( 122160 154475 ) ( 242160 154475 )
NEW met1 ( 122160 154475 ) M1M2_PR
NEW li1 ( 122160 281015 ) L1M1_PR_MR
NEW met1 ( 122160 281015 ) M1M2_PR
NEW li1 ( 242160 154475 ) L1M1_PR_MR
NEW met1 ( 122160 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net375 ( ANTENNA_7 DIODE ) ( ANTENNA_6 DIODE ) ( output375 A ) ( _025_ LO )
+ ROUTED met1 ( 129360 281015 ) ( 130800 281015 )
NEW met2 ( 130800 59385 ) ( 130800 281015 )
NEW met1 ( 139440 59385 ) ( 140400 59385 )
NEW met1 ( 130800 59385 ) ( 139440 59385 )
NEW met1 ( 130800 59385 ) M1M2_PR
NEW li1 ( 130800 281015 ) L1M1_PR_MR
NEW met1 ( 130800 281015 ) M1M2_PR
NEW li1 ( 129360 281015 ) L1M1_PR_MR
NEW li1 ( 139440 59385 ) L1M1_PR_MR
NEW li1 ( 140400 59385 ) L1M1_PR_MR
NEW met1 ( 130800 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net376 ( ANTENNA_9 DIODE ) ( ANTENNA_8 DIODE ) ( output376 A ) ( _003_ HI )
+ ROUTED met1 ( 84240 141155 ) ( 85680 141155 )
NEW met1 ( 86400 141155 ) ( 86400 141525 )
NEW met1 ( 85680 141155 ) ( 86400 141155 )
NEW met1 ( 86400 141525 ) ( 137520 141525 )
NEW met1 ( 137520 281015 ) ( 138480 281015 )
NEW met2 ( 137520 141525 ) ( 137520 281015 )
NEW li1 ( 85680 141155 ) L1M1_PR_MR
NEW li1 ( 84240 141155 ) L1M1_PR_MR
NEW met1 ( 137520 141525 ) M1M2_PR
NEW li1 ( 137520 281015 ) L1M1_PR_MR
NEW met1 ( 137520 281015 ) M1M2_PR
NEW li1 ( 138480 281015 ) L1M1_PR_MR
NEW met1 ( 137520 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net377 ( output377 A ) ( _026_ LO )
+ ROUTED met2 ( 163440 274355 ) ( 163440 284345 )
NEW met1 ( 35280 274355 ) ( 163440 274355 )
NEW li1 ( 35280 274355 ) L1M1_PR_MR
NEW met1 ( 163440 274355 ) M1M2_PR
NEW li1 ( 163440 284345 ) L1M1_PR_MR
NEW met1 ( 163440 284345 ) M1M2_PR
NEW met1 ( 163440 284345 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net378 ( ANTENNA_12 DIODE ) ( ANTENNA_11 DIODE ) ( ANTENNA_10 DIODE ) ( output378 A )
( _004_ HI )
+ ROUTED met2 ( 9840 65305 ) ( 9840 67525 )
NEW met1 ( 8400 65305 ) ( 9840 65305 )
NEW met1 ( 154320 281015 ) ( 155760 281015 )
NEW met1 ( 153360 281015 ) ( 154320 281015 )
NEW met2 ( 155760 67525 ) ( 155760 281015 )
NEW met1 ( 9840 67525 ) ( 155760 67525 )
NEW li1 ( 9840 65305 ) L1M1_PR_MR
NEW met1 ( 9840 65305 ) M1M2_PR
NEW met1 ( 9840 67525 ) M1M2_PR
NEW li1 ( 8400 65305 ) L1M1_PR_MR
NEW met1 ( 155760 67525 ) M1M2_PR
NEW li1 ( 155760 281015 ) L1M1_PR_MR
NEW met1 ( 155760 281015 ) M1M2_PR
NEW li1 ( 154320 281015 ) L1M1_PR_MR
NEW li1 ( 153360 281015 ) L1M1_PR_MR
NEW met1 ( 9840 65305 ) RECT ( -355 -70 0 70 )
NEW met1 ( 155760 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net379 ( ANTENNA_15 DIODE ) ( ANTENNA_14 DIODE ) ( ANTENNA_13 DIODE ) ( output379 A )
( _016_ HI )
+ ROUTED met1 ( 12720 281755 ) ( 14160 281755 )
NEW met1 ( 11280 281755 ) ( 12720 281755 )
NEW met2 ( 70800 86400 ) ( 71760 86400 )
NEW met1 ( 14160 281755 ) ( 36000 281755 )
NEW met1 ( 42000 281755 ) ( 70800 281755 )
NEW met1 ( 42000 281755 ) ( 42000 282495 )
NEW met1 ( 38160 282495 ) ( 42000 282495 )
NEW met1 ( 38160 282125 ) ( 38160 282495 )
NEW met1 ( 36000 282125 ) ( 38160 282125 )
NEW met1 ( 36000 281755 ) ( 36000 282125 )
NEW met2 ( 70800 86400 ) ( 70800 281755 )
NEW met1 ( 76560 14985 ) ( 78480 14985 )
NEW met1 ( 76560 14985 ) ( 76560 15355 )
NEW met1 ( 71760 15355 ) ( 76560 15355 )
NEW met1 ( 78480 14985 ) ( 79440 14985 )
NEW met2 ( 71760 15355 ) ( 71760 86400 )
NEW li1 ( 14160 281755 ) L1M1_PR_MR
NEW li1 ( 12720 281755 ) L1M1_PR_MR
NEW li1 ( 11280 281755 ) L1M1_PR_MR
NEW met1 ( 70800 281755 ) M1M2_PR
NEW li1 ( 78480 14985 ) L1M1_PR_MR
NEW met1 ( 71760 15355 ) M1M2_PR
NEW li1 ( 79440 14985 ) L1M1_PR_MR
+ USE SIGNAL ;
- net380 ( output380 A ) ( _027_ LO )
+ ROUTED met1 ( 187200 211455 ) ( 187200 211825 )
NEW met1 ( 162480 211825 ) ( 187200 211825 )
NEW met1 ( 187200 211455 ) ( 276240 211455 )
NEW met2 ( 162480 211825 ) ( 162480 281015 )
NEW met1 ( 162480 211825 ) M1M2_PR
NEW li1 ( 276240 211455 ) L1M1_PR_MR
NEW li1 ( 162480 281015 ) L1M1_PR_MR
NEW met1 ( 162480 281015 ) M1M2_PR
NEW met1 ( 162480 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net381 ( ANTENNA_17 DIODE ) ( ANTENNA_16 DIODE ) ( output381 A ) ( _005_ HI )
+ ROUTED met1 ( 168720 281015 ) ( 169680 281015 )
NEW met2 ( 169680 47175 ) ( 169680 281015 )
NEW met2 ( 28080 45325 ) ( 28080 47175 )
NEW met1 ( 26640 45325 ) ( 28080 45325 )
NEW met1 ( 28080 47175 ) ( 169680 47175 )
NEW met1 ( 169680 47175 ) M1M2_PR
NEW li1 ( 169680 281015 ) L1M1_PR_MR
NEW met1 ( 169680 281015 ) M1M2_PR
NEW li1 ( 168720 281015 ) L1M1_PR_MR
NEW li1 ( 28080 45325 ) L1M1_PR_MR
NEW met1 ( 28080 45325 ) M1M2_PR
NEW met1 ( 28080 47175 ) M1M2_PR
NEW li1 ( 26640 45325 ) L1M1_PR_MR
NEW met1 ( 169680 281015 ) RECT ( -355 -70 0 70 )
NEW met1 ( 28080 45325 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net382 ( ANTENNA_19 DIODE ) ( ANTENNA_18 DIODE ) ( output382 A ) ( _028_ LO )
+ ROUTED met1 ( 179280 281015 ) ( 180720 281015 )
NEW met2 ( 179280 58275 ) ( 179280 281015 )
NEW met1 ( 79920 58275 ) ( 81360 58275 )
NEW met1 ( 81360 58275 ) ( 179280 58275 )
NEW met1 ( 179280 58275 ) M1M2_PR
NEW li1 ( 179280 281015 ) L1M1_PR_MR
NEW met1 ( 179280 281015 ) M1M2_PR
NEW li1 ( 180720 281015 ) L1M1_PR_MR
NEW li1 ( 81360 58275 ) L1M1_PR_MR
NEW li1 ( 79920 58275 ) L1M1_PR_MR
NEW met1 ( 179280 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net383 ( output383 A ) ( _006_ HI )
+ ROUTED met1 ( 204240 284345 ) ( 206640 284345 )
NEW met2 ( 206640 185185 ) ( 206640 284345 )
NEW li1 ( 206640 185185 ) L1M1_PR_MR
NEW met1 ( 206640 185185 ) M1M2_PR
NEW met1 ( 206640 284345 ) M1M2_PR
NEW li1 ( 204240 284345 ) L1M1_PR_MR
NEW met1 ( 206640 185185 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net384 ( ANTENNA_21 DIODE ) ( ANTENNA_20 DIODE ) ( output384 A ) ( _029_ LO )
+ ROUTED met1 ( 192720 281015 ) ( 194160 281015 )
NEW met2 ( 194160 65305 ) ( 194160 281015 )
NEW met1 ( 281040 65305 ) ( 281520 65305 )
NEW met1 ( 194160 65305 ) ( 281040 65305 )
NEW met1 ( 194160 65305 ) M1M2_PR
NEW li1 ( 194160 281015 ) L1M1_PR_MR
NEW met1 ( 194160 281015 ) M1M2_PR
NEW li1 ( 192720 281015 ) L1M1_PR_MR
NEW li1 ( 281040 65305 ) L1M1_PR_MR
NEW li1 ( 281520 65305 ) L1M1_PR_MR
NEW met1 ( 194160 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net385 ( ANTENNA_23 DIODE ) ( ANTENNA_22 DIODE ) ( output385 A ) ( _007_ HI )
+ ROUTED met1 ( 113520 111555 ) ( 114960 111555 )
NEW met1 ( 199440 281015 ) ( 200880 281015 )
NEW met2 ( 199440 111555 ) ( 199440 281015 )
NEW met1 ( 114960 111555 ) ( 199440 111555 )
NEW li1 ( 114960 111555 ) L1M1_PR_MR
NEW li1 ( 113520 111555 ) L1M1_PR_MR
NEW met1 ( 199440 111555 ) M1M2_PR
NEW li1 ( 199440 281015 ) L1M1_PR_MR
NEW met1 ( 199440 281015 ) M1M2_PR
NEW li1 ( 200880 281015 ) L1M1_PR_MR
NEW met1 ( 199440 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net386 ( ANTENNA_25 DIODE ) ( ANTENNA_24 DIODE ) ( output386 A ) ( _030_ LO )
+ ROUTED met1 ( 208080 281015 ) ( 209520 281015 )
NEW met2 ( 208080 147445 ) ( 208080 281015 )
NEW met1 ( 158160 147445 ) ( 159600 147445 )
NEW met1 ( 159600 147445 ) ( 208080 147445 )
NEW met1 ( 208080 147445 ) M1M2_PR
NEW li1 ( 208080 281015 ) L1M1_PR_MR
NEW met1 ( 208080 281015 ) M1M2_PR
NEW li1 ( 209520 281015 ) L1M1_PR_MR
NEW li1 ( 159600 147445 ) L1M1_PR_MR
NEW li1 ( 158160 147445 ) L1M1_PR_MR
NEW met1 ( 208080 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net387 ( ANTENNA_27 DIODE ) ( ANTENNA_26 DIODE ) ( output387 A ) ( _008_ HI )
+ ROUTED met1 ( 92400 141155 ) ( 93840 141155 )
NEW met1 ( 216240 281015 ) ( 217200 281015 )
NEW met2 ( 217200 141155 ) ( 217200 281015 )
NEW met1 ( 93840 141155 ) ( 217200 141155 )
NEW li1 ( 93840 141155 ) L1M1_PR_MR
NEW li1 ( 92400 141155 ) L1M1_PR_MR
NEW met1 ( 217200 141155 ) M1M2_PR
NEW li1 ( 217200 281015 ) L1M1_PR_MR
NEW met1 ( 217200 281015 ) M1M2_PR
NEW li1 ( 216240 281015 ) L1M1_PR_MR
NEW met1 ( 217200 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net388 ( ANTENNA_29 DIODE ) ( ANTENNA_28 DIODE ) ( output388 A ) ( _031_ LO )
+ ROUTED met1 ( 217680 81955 ) ( 223920 81955 )
NEW met1 ( 216240 81955 ) ( 217680 81955 )
NEW met1 ( 223920 281015 ) ( 225360 281015 )
NEW met2 ( 223920 81955 ) ( 223920 281015 )
NEW li1 ( 217680 81955 ) L1M1_PR_MR
NEW met1 ( 223920 81955 ) M1M2_PR
NEW li1 ( 216240 81955 ) L1M1_PR_MR
NEW li1 ( 223920 281015 ) L1M1_PR_MR
NEW met1 ( 223920 281015 ) M1M2_PR
NEW li1 ( 225360 281015 ) L1M1_PR_MR
NEW met1 ( 223920 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net389 ( ANTENNA_31 DIODE ) ( ANTENNA_30 DIODE ) ( output389 A ) ( _009_ HI )
+ ROUTED met1 ( 204720 111555 ) ( 231600 111555 )
NEW met1 ( 203280 111555 ) ( 204720 111555 )
NEW met2 ( 231600 111555 ) ( 231600 136800 )
NEW met2 ( 231600 136800 ) ( 232080 136800 )
NEW met1 ( 232080 281015 ) ( 233520 281015 )
NEW met2 ( 232080 136800 ) ( 232080 281015 )
NEW li1 ( 204720 111555 ) L1M1_PR_MR
NEW met1 ( 231600 111555 ) M1M2_PR
NEW li1 ( 203280 111555 ) L1M1_PR_MR
NEW li1 ( 232080 281015 ) L1M1_PR_MR
NEW met1 ( 232080 281015 ) M1M2_PR
NEW li1 ( 233520 281015 ) L1M1_PR_MR
NEW met1 ( 232080 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net390 ( output390 A ) ( _215_ LO )
+ ROUTED met1 ( 22800 281015 ) ( 23760 281015 )
NEW met2 ( 23760 160395 ) ( 23760 281015 )
NEW met1 ( 23760 160395 ) ( 92880 160395 )
NEW met1 ( 23760 281015 ) M1M2_PR
NEW li1 ( 22800 281015 ) L1M1_PR_MR
NEW li1 ( 92880 160395 ) L1M1_PR_MR
NEW met1 ( 23760 160395 ) M1M2_PR
+ USE SIGNAL ;
- net391 ( output391 A ) ( _032_ LO )
+ ROUTED met2 ( 241200 273985 ) ( 241200 281015 )
NEW met1 ( 105840 273985 ) ( 241200 273985 )
NEW li1 ( 105840 273985 ) L1M1_PR_MR
NEW met1 ( 241200 273985 ) M1M2_PR
NEW li1 ( 241200 281015 ) L1M1_PR_MR
NEW met1 ( 241200 281015 ) M1M2_PR
NEW met1 ( 241200 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net392 ( output392 A ) ( _010_ HI )
+ ROUTED met2 ( 249360 256965 ) ( 249360 281015 )
NEW met1 ( 140400 256965 ) ( 249360 256965 )
NEW li1 ( 140400 256965 ) L1M1_PR_MR
NEW met1 ( 249360 256965 ) M1M2_PR
NEW li1 ( 249360 281015 ) L1M1_PR_MR
NEW met1 ( 249360 281015 ) M1M2_PR
NEW met1 ( 249360 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net393 ( output393 A ) ( _011_ HI )
+ ROUTED met1 ( 102480 210345 ) ( 102480 210715 )
NEW met1 ( 102480 210715 ) ( 103440 210715 )
NEW met1 ( 103440 210345 ) ( 103440 210715 )
NEW met1 ( 80880 210345 ) ( 80880 210715 )
NEW met1 ( 55440 210715 ) ( 80880 210715 )
NEW met1 ( 80880 210345 ) ( 102480 210345 )
NEW met1 ( 162000 210345 ) ( 162000 210715 )
NEW met1 ( 162000 210715 ) ( 187200 210715 )
NEW met1 ( 187200 210345 ) ( 187200 210715 )
NEW met1 ( 103440 210345 ) ( 162000 210345 )
NEW met1 ( 187200 210345 ) ( 260400 210345 )
NEW met2 ( 260400 210345 ) ( 260400 281015 )
NEW li1 ( 55440 210715 ) L1M1_PR_MR
NEW met1 ( 260400 210345 ) M1M2_PR
NEW li1 ( 260400 281015 ) L1M1_PR_MR
NEW met1 ( 260400 281015 ) M1M2_PR
NEW met1 ( 260400 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net394 ( output394 A ) ( _012_ HI )
+ ROUTED met2 ( 220560 170385 ) ( 220560 281755 )
NEW met1 ( 122640 170385 ) ( 220560 170385 )
NEW met1 ( 220560 281755 ) ( 237600 281755 )
NEW met1 ( 248400 281755 ) ( 265200 281755 )
NEW met1 ( 248400 281755 ) ( 248400 282125 )
NEW met1 ( 237600 282125 ) ( 248400 282125 )
NEW met1 ( 237600 281755 ) ( 237600 282125 )
NEW li1 ( 122640 170385 ) L1M1_PR_MR
NEW met1 ( 220560 170385 ) M1M2_PR
NEW met1 ( 220560 281755 ) M1M2_PR
NEW li1 ( 265200 281755 ) L1M1_PR_MR
+ USE SIGNAL ;
- net395 ( ANTENNA_33 DIODE ) ( ANTENNA_32 DIODE ) ( output395 A ) ( _033_ LO )
+ ROUTED met1 ( 271440 281015 ) ( 272400 281015 )
NEW met2 ( 272400 61975 ) ( 272400 281015 )
NEW met1 ( 38160 61975 ) ( 39600 61975 )
NEW met1 ( 39600 61975 ) ( 272400 61975 )
NEW met1 ( 272400 61975 ) M1M2_PR
NEW li1 ( 272400 281015 ) L1M1_PR_MR
NEW met1 ( 272400 281015 ) M1M2_PR
NEW li1 ( 271440 281015 ) L1M1_PR_MR
NEW li1 ( 39600 61975 ) L1M1_PR_MR
NEW li1 ( 38160 61975 ) L1M1_PR_MR
NEW met1 ( 272400 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net396 ( ANTENNA_35 DIODE ) ( ANTENNA_34 DIODE ) ( output396 A ) ( _013_ HI )
+ ROUTED met1 ( 188880 88985 ) ( 234960 88985 )
NEW met1 ( 187440 87875 ) ( 188400 87875 )
NEW li1 ( 188400 87875 ) ( 188400 88615 )
NEW met1 ( 188400 88615 ) ( 188880 88615 )
NEW met1 ( 188880 88615 ) ( 188880 88985 )
NEW met2 ( 234960 88985 ) ( 234960 237600 )
NEW met2 ( 234480 237600 ) ( 234960 237600 )
NEW met2 ( 234480 237600 ) ( 234480 281385 )
NEW met1 ( 259440 281385 ) ( 278640 281385 )
NEW met1 ( 259440 281015 ) ( 259440 281385 )
NEW met1 ( 249840 281015 ) ( 259440 281015 )
NEW met1 ( 249840 280645 ) ( 249840 281015 )
NEW met1 ( 248400 280645 ) ( 249840 280645 )
NEW met1 ( 248400 280645 ) ( 248400 281015 )
NEW met1 ( 247920 281015 ) ( 248400 281015 )
NEW met1 ( 247920 281015 ) ( 247920 281385 )
NEW met1 ( 278640 281385 ) ( 280080 281385 )
NEW met1 ( 234480 281385 ) ( 247920 281385 )
NEW li1 ( 188880 88985 ) L1M1_PR_MR
NEW met1 ( 234960 88985 ) M1M2_PR
NEW li1 ( 187440 87875 ) L1M1_PR_MR
NEW li1 ( 188400 87875 ) L1M1_PR_MR
NEW li1 ( 188400 88615 ) L1M1_PR_MR
NEW met1 ( 234480 281385 ) M1M2_PR
NEW li1 ( 278640 281385 ) L1M1_PR_MR
NEW li1 ( 280080 281385 ) L1M1_PR_MR
+ USE SIGNAL ;
- net397 ( ANTENNA_38 DIODE ) ( ANTENNA_37 DIODE ) ( ANTENNA_36 DIODE ) ( output397 A )
( _014_ HI )
+ ROUTED met1 ( 288240 277685 ) ( 289680 277685 )
NEW met1 ( 287280 277685 ) ( 287760 277685 )
NEW met2 ( 287760 68635 ) ( 287760 277685 )
NEW met1 ( 287760 277685 ) ( 288240 277685 )
NEW met1 ( 64800 68635 ) ( 287760 68635 )
NEW met1 ( 20880 64935 ) ( 22320 64935 )
NEW met1 ( 23280 68265 ) ( 64800 68265 )
NEW met1 ( 64800 68265 ) ( 64800 68635 )
NEW met1 ( 22320 64565 ) ( 23280 64565 )
NEW met1 ( 22320 64565 ) ( 22320 64935 )
NEW met2 ( 23280 64565 ) ( 23280 68265 )
NEW li1 ( 288240 277685 ) L1M1_PR_MR
NEW li1 ( 289680 277685 ) L1M1_PR_MR
NEW met1 ( 287760 68635 ) M1M2_PR
NEW met1 ( 287760 277685 ) M1M2_PR
NEW li1 ( 287280 277685 ) L1M1_PR_MR
NEW li1 ( 20880 64935 ) L1M1_PR_MR
NEW met1 ( 23280 68265 ) M1M2_PR
NEW met1 ( 23280 64565 ) M1M2_PR
NEW li1 ( 22320 64565 ) L1M1_PR_MR
NEW met1 ( 288240 277685 ) RECT ( 0 -70 115 70 )
NEW met1 ( 22320 64565 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- net398 ( ANTENNA_41 DIODE ) ( ANTENNA_40 DIODE ) ( ANTENNA_39 DIODE ) ( output398 A )
( _034_ LO )
+ ROUTED met1 ( 97200 84545 ) ( 97680 84545 )
NEW li1 ( 97680 83805 ) ( 97680 84545 )
NEW met2 ( 290640 83805 ) ( 290640 237600 )
NEW met2 ( 291120 237600 ) ( 291120 273615 )
NEW met2 ( 290640 237600 ) ( 291120 237600 )
NEW met1 ( 289200 273615 ) ( 289200 274355 )
NEW met1 ( 289200 273615 ) ( 291120 273615 )
NEW met1 ( 288240 273615 ) ( 289200 273615 )
NEW met1 ( 95760 83805 ) ( 290640 83805 )
NEW li1 ( 95760 83805 ) L1M1_PR_MR
NEW li1 ( 97200 84545 ) L1M1_PR_MR
NEW li1 ( 97680 84545 ) L1M1_PR_MR
NEW li1 ( 97680 83805 ) L1M1_PR_MR
NEW met1 ( 290640 83805 ) M1M2_PR
NEW li1 ( 291120 273615 ) L1M1_PR_MR
NEW met1 ( 291120 273615 ) M1M2_PR
NEW li1 ( 289200 274355 ) L1M1_PR_MR
NEW li1 ( 288240 273615 ) L1M1_PR_MR
NEW met1 ( 97680 83805 ) RECT ( -595 -70 0 70 )
NEW met1 ( 291120 273615 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net399 ( ANTENNA_43 DIODE ) ( ANTENNA_42 DIODE ) ( output399 A ) ( _017_ HI )
+ ROUTED met1 ( 26640 281015 ) ( 28080 281015 )
NEW met1 ( 231600 139305 ) ( 232080 139305 )
NEW met2 ( 231600 139305 ) ( 231600 140785 )
NEW met1 ( 233040 138565 ) ( 233040 139305 )
NEW met1 ( 232080 139305 ) ( 233040 139305 )
NEW met2 ( 28080 140785 ) ( 28080 281015 )
NEW met1 ( 28080 140785 ) ( 231600 140785 )
NEW li1 ( 28080 281015 ) L1M1_PR_MR
NEW met1 ( 28080 281015 ) M1M2_PR
NEW li1 ( 26640 281015 ) L1M1_PR_MR
NEW li1 ( 232080 139305 ) L1M1_PR_MR
NEW met1 ( 231600 139305 ) M1M2_PR
NEW met1 ( 231600 140785 ) M1M2_PR
NEW li1 ( 233040 138565 ) L1M1_PR_MR
NEW met1 ( 28080 140785 ) M1M2_PR
NEW met1 ( 28080 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net400 ( output400 A ) ( _018_ HI )
+ ROUTED met1 ( 87120 230325 ) ( 87120 230695 )
NEW met1 ( 87120 230325 ) ( 122640 230325 )
NEW met1 ( 86400 230695 ) ( 87120 230695 )
NEW met1 ( 86400 230325 ) ( 86400 230695 )
NEW met1 ( 36240 230325 ) ( 86400 230325 )
NEW met2 ( 36240 230325 ) ( 36240 281015 )
NEW li1 ( 122640 230325 ) L1M1_PR_MR
NEW met1 ( 36240 230325 ) M1M2_PR
NEW li1 ( 36240 281015 ) L1M1_PR_MR
NEW met1 ( 36240 281015 ) M1M2_PR
NEW met1 ( 36240 281015 ) RECT ( 0 -70 355 70 )
+ USE SIGNAL ;
- net401 ( output401 A ) ( _216_ LO )
+ ROUTED met1 ( 42960 207015 ) ( 93360 207015 )
NEW met2 ( 42960 207015 ) ( 42960 281015 )
NEW li1 ( 93360 207015 ) L1M1_PR_MR
NEW met1 ( 42960 207015 ) M1M2_PR
NEW li1 ( 42960 281015 ) L1M1_PR_MR
NEW met1 ( 42960 281015 ) M1M2_PR
NEW met1 ( 42960 281015 ) RECT ( 0 -70 355 70 )
+ USE SIGNAL ;
- net402 ( ANTENNA_45 DIODE ) ( ANTENNA_44 DIODE ) ( output402 A ) ( _019_ HI )
+ ROUTED met1 ( 206640 130425 ) ( 207600 130425 )
NEW met1 ( 50640 281015 ) ( 52080 281015 )
NEW met2 ( 50640 130425 ) ( 50640 281015 )
NEW met1 ( 50640 130425 ) ( 206640 130425 )
NEW li1 ( 206640 130425 ) L1M1_PR_MR
NEW li1 ( 207600 130425 ) L1M1_PR_MR
NEW li1 ( 50640 281015 ) L1M1_PR_MR
NEW met1 ( 50640 281015 ) M1M2_PR
NEW li1 ( 52080 281015 ) L1M1_PR_MR
NEW met1 ( 50640 130425 ) M1M2_PR
NEW met1 ( 50640 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net403 ( output403 A ) ( _020_ HI )
+ ROUTED met1 ( 59760 281015 ) ( 60720 281015 )
NEW li1 ( 60720 281015 ) ( 60720 282125 )
NEW met1 ( 60720 282125 ) ( 117840 282125 )
NEW li1 ( 117840 282125 ) L1M1_PR_MR
NEW li1 ( 59760 281015 ) L1M1_PR_MR
NEW li1 ( 60720 281015 ) L1M1_PR_MR
NEW li1 ( 60720 282125 ) L1M1_PR_MR
+ USE SIGNAL ;
- net404 ( ANTENNA_47 DIODE ) ( ANTENNA_46 DIODE ) ( output404 A ) ( _021_ HI )
+ ROUTED met1 ( 66000 281015 ) ( 67440 281015 )
NEW met2 ( 66000 122655 ) ( 66000 281015 )
NEW met1 ( 35280 122285 ) ( 36720 122285 )
NEW met1 ( 36720 122285 ) ( 36720 122655 )
NEW met1 ( 36720 122655 ) ( 66000 122655 )
NEW met1 ( 66000 122655 ) M1M2_PR
NEW li1 ( 66000 281015 ) L1M1_PR_MR
NEW met1 ( 66000 281015 ) M1M2_PR
NEW li1 ( 67440 281015 ) L1M1_PR_MR
NEW li1 ( 36720 122655 ) L1M1_PR_MR
NEW li1 ( 35280 122285 ) L1M1_PR_MR
NEW met1 ( 66000 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net405 ( output405 A ) ( _217_ LO )
+ ROUTED met1 ( 75600 111555 ) ( 108720 111555 )
NEW met2 ( 75600 111555 ) ( 75600 281015 )
NEW li1 ( 108720 111555 ) L1M1_PR_MR
NEW met1 ( 75600 111555 ) M1M2_PR
NEW li1 ( 75600 281015 ) L1M1_PR_MR
NEW met1 ( 75600 281015 ) M1M2_PR
NEW met1 ( 75600 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net406 ( output406 A ) ( _035_ LO )
+ ROUTED met1 ( 8880 277685 ) ( 9360 277685 )
NEW met2 ( 9360 151515 ) ( 9360 277685 )
NEW met1 ( 9360 151515 ) ( 82800 151515 )
NEW met1 ( 9360 151515 ) M1M2_PR
NEW met1 ( 9360 277685 ) M1M2_PR
NEW li1 ( 8880 277685 ) L1M1_PR_MR
NEW li1 ( 82800 151515 ) L1M1_PR_MR
+ USE SIGNAL ;
- net407 ( ANTENNA_49 DIODE ) ( ANTENNA_48 DIODE ) ( output407 A ) ( _042_ LO )
+ ROUTED met1 ( 84720 281385 ) ( 86160 281385 )
NEW met1 ( 86160 281385 ) ( 112560 281385 )
NEW met1 ( 112560 39035 ) ( 113520 39035 )
NEW met1 ( 113520 39035 ) ( 114480 39035 )
NEW met2 ( 112560 39035 ) ( 112560 281385 )
NEW met1 ( 112560 281385 ) M1M2_PR
NEW li1 ( 86160 281385 ) L1M1_PR_MR
NEW li1 ( 84720 281385 ) L1M1_PR_MR
NEW li1 ( 113520 39035 ) L1M1_PR_MR
NEW met1 ( 112560 39035 ) M1M2_PR
NEW li1 ( 114480 39035 ) L1M1_PR_MR
+ USE SIGNAL ;
- net408 ( output408 A ) ( _043_ LO )
+ ROUTED met2 ( 95280 194065 ) ( 95280 281015 )
NEW met1 ( 149520 194065 ) ( 149520 194435 )
NEW met1 ( 149520 194435 ) ( 154800 194435 )
NEW met1 ( 95280 194065 ) ( 149520 194065 )
NEW met1 ( 95280 194065 ) M1M2_PR
NEW li1 ( 95280 281015 ) L1M1_PR_MR
NEW met1 ( 95280 281015 ) M1M2_PR
NEW li1 ( 154800 194435 ) L1M1_PR_MR
NEW met1 ( 95280 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net409 ( output409 A ) ( _044_ LO )
+ ROUTED met2 ( 92880 255115 ) ( 92880 277685 )
NEW met1 ( 92880 277685 ) ( 102000 277685 )
NEW met1 ( 80400 255115 ) ( 92880 255115 )
NEW met1 ( 92880 255115 ) M1M2_PR
NEW met1 ( 92880 277685 ) M1M2_PR
NEW li1 ( 102000 277685 ) L1M1_PR_MR
NEW li1 ( 80400 255115 ) L1M1_PR_MR
+ USE SIGNAL ;
- net410 ( ANTENNA_51 DIODE ) ( ANTENNA_50 DIODE ) ( output410 A ) ( _045_ LO )
+ ROUTED met1 ( 109200 281015 ) ( 111120 281015 )
NEW met2 ( 109200 100825 ) ( 109200 281015 )
NEW met1 ( 109200 100825 ) ( 136800 100825 )
NEW met1 ( 136800 101195 ) ( 168720 101195 )
NEW met1 ( 136800 100825 ) ( 136800 101195 )
NEW met1 ( 168720 101195 ) ( 169680 101195 )
NEW met1 ( 109200 100825 ) M1M2_PR
NEW li1 ( 109200 281015 ) L1M1_PR_MR
NEW met1 ( 109200 281015 ) M1M2_PR
NEW li1 ( 111120 281015 ) L1M1_PR_MR
NEW li1 ( 168720 101195 ) L1M1_PR_MR
NEW li1 ( 169680 101195 ) L1M1_PR_MR
NEW met1 ( 109200 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net411 ( ANTENNA_53 DIODE ) ( ANTENNA_52 DIODE ) ( output411 A ) ( AOI22X1 Y )
+ ROUTED met1 ( 115920 277685 ) ( 117360 277685 )
NEW met2 ( 115920 276945 ) ( 115920 277685 )
NEW met2 ( 115920 49395 ) ( 115920 276945 )
NEW met2 ( 40080 43845 ) ( 40080 49395 )
NEW met1 ( 38160 46065 ) ( 39600 46065 )
NEW met2 ( 39600 46065 ) ( 39600 46250 )
NEW met2 ( 39600 46250 ) ( 40080 46250 )
NEW met1 ( 40080 49395 ) ( 115920 49395 )
NEW met1 ( 115920 49395 ) M1M2_PR
NEW li1 ( 115920 276945 ) L1M1_PR_MR
NEW met1 ( 115920 276945 ) M1M2_PR
NEW li1 ( 117360 277685 ) L1M1_PR_MR
NEW met1 ( 115920 277685 ) M1M2_PR
NEW met1 ( 40080 43845 ) M1M2_PR
NEW met1 ( 40080 49395 ) M1M2_PR
NEW li1 ( 38160 46065 ) L1M1_PR_MR
NEW met1 ( 39600 46065 ) M1M2_PR
NEW met1 ( 115920 276945 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net412 ( ANTENNA_55 DIODE ) ( ANTENNA_54 DIODE ) ( output412 A ) ( _046_ LO )
+ ROUTED met1 ( 123600 277685 ) ( 125520 277685 )
NEW met2 ( 123600 276945 ) ( 123600 277685 )
NEW met2 ( 123600 76035 ) ( 123600 276945 )
NEW met1 ( 140880 75295 ) ( 140880 76035 )
NEW met1 ( 139920 76035 ) ( 140880 76035 )
NEW met1 ( 123600 76035 ) ( 139920 76035 )
NEW met1 ( 123600 76035 ) M1M2_PR
NEW li1 ( 123600 276945 ) L1M1_PR_MR
NEW met1 ( 123600 276945 ) M1M2_PR
NEW li1 ( 125520 277685 ) L1M1_PR_MR
NEW met1 ( 123600 277685 ) M1M2_PR
NEW li1 ( 139920 76035 ) L1M1_PR_MR
NEW li1 ( 140880 75295 ) L1M1_PR_MR
NEW met1 ( 123600 276945 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net413 ( ANTENNA_57 DIODE ) ( ANTENNA_56 DIODE ) ( output413 A ) ( BUFX2 Y )
+ ROUTED met1 ( 133200 281015 ) ( 134160 281015 )
NEW met2 ( 134160 54205 ) ( 134160 281015 )
NEW met1 ( 41760 52355 0 ) ( 48240 52355 )
NEW met2 ( 48240 52355 ) ( 48240 54205 )
NEW met1 ( 38160 52725 ) ( 39840 52725 )
NEW met1 ( 39840 52355 ) ( 39840 52725 )
NEW met1 ( 39840 52355 ) ( 41760 52355 0 )
NEW met1 ( 48240 54205 ) ( 134160 54205 )
NEW met1 ( 134160 54205 ) M1M2_PR
NEW li1 ( 134160 281015 ) L1M1_PR_MR
NEW met1 ( 134160 281015 ) M1M2_PR
NEW li1 ( 133200 281015 ) L1M1_PR_MR
NEW met1 ( 48240 52355 ) M1M2_PR
NEW met1 ( 48240 54205 ) M1M2_PR
NEW li1 ( 38160 52725 ) L1M1_PR_MR
NEW met1 ( 134160 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net414 ( ANTENNA_59 DIODE ) ( ANTENNA_58 DIODE ) ( output414 A ) ( _047_ LO )
+ ROUTED met1 ( 140880 281015 ) ( 142800 281015 )
NEW met2 ( 140880 147075 ) ( 140880 281015 )
NEW met1 ( 286800 147075 ) ( 287760 147075 )
NEW met1 ( 140880 147075 ) ( 286800 147075 )
NEW met1 ( 140880 147075 ) M1M2_PR
NEW li1 ( 140880 281015 ) L1M1_PR_MR
NEW met1 ( 140880 281015 ) M1M2_PR
NEW li1 ( 142800 281015 ) L1M1_PR_MR
NEW li1 ( 286800 147075 ) L1M1_PR_MR
NEW li1 ( 287760 147075 ) L1M1_PR_MR
NEW met1 ( 140880 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net415 ( ANTENNA_61 DIODE ) ( ANTENNA_60 DIODE ) ( output415 A ) ( BUFX4 Y )
+ ROUTED met1 ( 147120 281015 ) ( 148080 281015 )
NEW met2 ( 148080 62715 ) ( 148080 281015 )
NEW met2 ( 42960 59015 ) ( 42960 62715 )
NEW met1 ( 38160 59385 ) ( 38640 59385 )
NEW met2 ( 38640 59385 ) ( 38640 60495 )
NEW met1 ( 38640 60495 ) ( 42960 60495 )
NEW met1 ( 42960 62715 ) ( 148080 62715 )
NEW met1 ( 148080 62715 ) M1M2_PR
NEW li1 ( 148080 281015 ) L1M1_PR_MR
NEW met1 ( 148080 281015 ) M1M2_PR
NEW li1 ( 147120 281015 ) L1M1_PR_MR
NEW met1 ( 42960 59015 ) M1M2_PR
NEW met1 ( 42960 62715 ) M1M2_PR
NEW li1 ( 38160 59385 ) L1M1_PR_MR
NEW met1 ( 38640 59385 ) M1M2_PR
NEW met1 ( 38640 60495 ) M1M2_PR
NEW met1 ( 42960 60495 ) M1M2_PR
NEW met1 ( 148080 281015 ) RECT ( -355 -70 0 70 )
NEW met2 ( 42960 60495 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- net416 ( ANTENNA_63 DIODE ) ( ANTENNA_62 DIODE ) ( output416 A ) ( _048_ LO )
+ ROUTED met1 ( 235920 111925 ) ( 236880 111925 )
NEW met1 ( 159120 111925 ) ( 235920 111925 )
NEW met1 ( 158640 281015 ) ( 159120 281015 )
NEW met1 ( 157200 281015 ) ( 158640 281015 )
NEW met2 ( 159120 111925 ) ( 159120 281015 )
NEW li1 ( 235920 111925 ) L1M1_PR_MR
NEW li1 ( 236880 111925 ) L1M1_PR_MR
NEW met1 ( 159120 111925 ) M1M2_PR
NEW li1 ( 158640 281015 ) L1M1_PR_MR
NEW met1 ( 159120 281015 ) M1M2_PR
NEW li1 ( 157200 281015 ) L1M1_PR_MR
+ USE SIGNAL ;
- net417 ( ANTENNA_66 DIODE ) ( ANTENNA_65 DIODE ) ( ANTENNA_64 DIODE ) ( output417 A )
( _036_ LO )
+ ROUTED met1 ( 231120 32745 ) ( 231600 32745 )
NEW met1 ( 16560 281385 ) ( 18000 281385 )
NEW met1 ( 15120 281385 ) ( 16560 281385 )
NEW met2 ( 231120 32745 ) ( 231120 36000 )
NEW met2 ( 231120 36000 ) ( 232080 36000 )
NEW met2 ( 232080 36000 ) ( 232080 48285 )
NEW met1 ( 38640 285455 ) ( 76080 285455 )
NEW met2 ( 38640 281385 ) ( 38640 285455 )
NEW met1 ( 18000 281385 ) ( 38640 281385 )
NEW met2 ( 76080 48285 ) ( 76080 285455 )
NEW met1 ( 76080 48285 ) ( 232080 48285 )
NEW li1 ( 231120 32745 ) L1M1_PR_MR
NEW met1 ( 231120 32745 ) M1M2_PR
NEW li1 ( 231600 32745 ) L1M1_PR_MR
NEW li1 ( 18000 281385 ) L1M1_PR_MR
NEW li1 ( 16560 281385 ) L1M1_PR_MR
NEW li1 ( 15120 281385 ) L1M1_PR_MR
NEW met1 ( 232080 48285 ) M1M2_PR
NEW met1 ( 76080 285455 ) M1M2_PR
NEW met1 ( 38640 285455 ) M1M2_PR
NEW met1 ( 38640 281385 ) M1M2_PR
NEW met1 ( 76080 48285 ) M1M2_PR
NEW met1 ( 231120 32745 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net418 ( ANTENNA_68 DIODE ) ( ANTENNA_67 DIODE ) ( output418 A ) ( CLKBUF1 Y )
+ ROUTED met1 ( 164880 281015 ) ( 165360 281015 )
NEW met1 ( 165360 281015 ) ( 165840 281015 )
NEW met2 ( 165360 69005 ) ( 165360 281015 )
NEW met2 ( 37680 66045 ) ( 37680 67155 )
NEW met1 ( 37680 67155 ) ( 49680 67155 )
NEW met1 ( 49680 69005 ) ( 165360 69005 )
NEW met1 ( 48965 63825 0 ) ( 49680 63825 )
NEW met2 ( 49680 63825 ) ( 49680 69005 )
NEW met1 ( 165360 69005 ) M1M2_PR
NEW li1 ( 164880 281015 ) L1M1_PR_MR
NEW met1 ( 165360 281015 ) M1M2_PR
NEW li1 ( 165840 281015 ) L1M1_PR_MR
NEW met1 ( 49680 69005 ) M1M2_PR
NEW li1 ( 37680 66045 ) L1M1_PR_MR
NEW met1 ( 37680 66045 ) M1M2_PR
NEW met1 ( 37680 67155 ) M1M2_PR
NEW met1 ( 49680 67155 ) M1M2_PR
NEW met1 ( 49680 63825 ) M1M2_PR
NEW met1 ( 37680 66045 ) RECT ( -355 -70 0 70 )
NEW met2 ( 49680 67155 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- net419 ( output419 A ) ( _049_ LO )
+ ROUTED met1 ( 159600 205905 ) ( 169200 205905 )
NEW met1 ( 169200 281385 ) ( 174000 281385 )
NEW met2 ( 169200 205905 ) ( 169200 281385 )
NEW li1 ( 159600 205905 ) L1M1_PR_MR
NEW met1 ( 169200 205905 ) M1M2_PR
NEW met1 ( 169200 281385 ) M1M2_PR
NEW li1 ( 174000 281385 ) L1M1_PR_MR
+ USE SIGNAL ;
- net420 ( ANTENNA_70 DIODE ) ( ANTENNA_69 DIODE ) ( output420 A ) ( INV Y )
+ ROUTED met1 ( 183120 281015 ) ( 184560 281015 )
NEW met2 ( 183120 70485 ) ( 183120 281015 )
NEW met1 ( 38160 70485 ) ( 39120 70485 0 )
NEW met1 ( 39120 70485 0 ) ( 183120 70485 )
NEW met1 ( 183120 70485 ) M1M2_PR
NEW li1 ( 183120 281015 ) L1M1_PR_MR
NEW met1 ( 183120 281015 ) M1M2_PR
NEW li1 ( 184560 281015 ) L1M1_PR_MR
NEW li1 ( 38160 70485 ) L1M1_PR_MR
NEW met1 ( 183120 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net421 ( ANTENNA_72 DIODE ) ( ANTENNA_71 DIODE ) ( output421 A ) ( _050_ LO )
+ ROUTED met1 ( 187440 281015 ) ( 188400 281015 )
NEW met2 ( 188400 120805 ) ( 188400 281015 )
NEW met1 ( 138960 120805 ) ( 140400 120805 )
NEW met1 ( 140400 120805 ) ( 188400 120805 )
NEW met1 ( 188400 120805 ) M1M2_PR
NEW li1 ( 188400 281015 ) L1M1_PR_MR
NEW met1 ( 188400 281015 ) M1M2_PR
NEW li1 ( 187440 281015 ) L1M1_PR_MR
NEW li1 ( 140400 120805 ) L1M1_PR_MR
NEW li1 ( 138960 120805 ) L1M1_PR_MR
NEW met1 ( 188400 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net422 ( ANTENNA_74 DIODE ) ( ANTENNA_73 DIODE ) ( output422 A ) ( INVX1 Y )
+ ROUTED met1 ( 195120 277685 ) ( 196080 277685 )
NEW met2 ( 196080 77145 ) ( 196080 277685 )
NEW met1 ( 38160 77145 ) ( 39120 77145 0 )
NEW met1 ( 39120 77145 0 ) ( 196080 77145 )
NEW met1 ( 196080 77145 ) M1M2_PR
NEW li1 ( 196080 277685 ) L1M1_PR_MR
NEW met1 ( 196080 277685 ) M1M2_PR
NEW li1 ( 195120 277685 ) L1M1_PR_MR
NEW li1 ( 38160 77145 ) L1M1_PR_MR
NEW met1 ( 196080 277685 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net423 ( output423 A ) ( _051_ LO )
+ ROUTED met1 ( 204720 179265 ) ( 221040 179265 )
NEW met2 ( 204720 179265 ) ( 204720 277685 )
NEW li1 ( 221040 179265 ) L1M1_PR_MR
NEW met1 ( 204720 179265 ) M1M2_PR
NEW li1 ( 204720 277685 ) L1M1_PR_MR
NEW met1 ( 204720 277685 ) M1M2_PR
NEW met1 ( 204720 277685 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net424 ( ANTENNA_76 DIODE ) ( ANTENNA_75 DIODE ) ( output424 A ) ( INVX2 Y )
+ ROUTED met1 ( 211920 281015 ) ( 213360 281015 )
NEW met2 ( 211920 85655 ) ( 211920 281015 )
NEW met1 ( 38160 85655 ) ( 39120 85655 0 )
NEW met1 ( 39120 85655 0 ) ( 211920 85655 )
NEW met1 ( 211920 85655 ) M1M2_PR
NEW li1 ( 211920 281015 ) L1M1_PR_MR
NEW met1 ( 211920 281015 ) M1M2_PR
NEW li1 ( 213360 281015 ) L1M1_PR_MR
NEW li1 ( 38160 85655 ) L1M1_PR_MR
NEW met1 ( 211920 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net425 ( ANTENNA_78 DIODE ) ( ANTENNA_77 DIODE ) ( output425 A ) ( _052_ LO )
+ ROUTED met1 ( 219600 281015 ) ( 221040 281015 )
NEW met2 ( 219600 67525 ) ( 219600 281015 )
NEW met1 ( 162960 67525 ) ( 164400 67525 )
NEW met1 ( 164400 67525 ) ( 219600 67525 )
NEW met1 ( 219600 67525 ) M1M2_PR
NEW li1 ( 219600 281015 ) L1M1_PR_MR
NEW met1 ( 219600 281015 ) M1M2_PR
NEW li1 ( 221040 281015 ) L1M1_PR_MR
NEW li1 ( 164400 67525 ) L1M1_PR_MR
NEW li1 ( 162960 67525 ) L1M1_PR_MR
NEW met1 ( 219600 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net426 ( ANTENNA_80 DIODE ) ( ANTENNA_79 DIODE ) ( output426 A ) ( INVX4 Y )
+ ROUTED met1 ( 226800 276945 ) ( 227280 276945 )
NEW met1 ( 227280 277685 ) ( 227760 277685 )
NEW met2 ( 227280 276945 ) ( 227280 277685 )
NEW met2 ( 227280 92315 ) ( 227280 276945 )
NEW met1 ( 86400 92315 ) ( 86400 92685 )
NEW met1 ( 86400 92315 ) ( 227280 92315 )
NEW met1 ( 41040 92315 0 ) ( 41040 92685 )
NEW met1 ( 38160 92685 ) ( 39600 92685 )
NEW li1 ( 39600 92315 ) ( 39600 92685 )
NEW li1 ( 39600 92315 ) ( 41040 92315 )
NEW li1 ( 41040 92315 ) ( 41040 92685 )
NEW met1 ( 41040 92685 ) ( 86400 92685 )
NEW met1 ( 227280 92315 ) M1M2_PR
NEW li1 ( 226800 276945 ) L1M1_PR_MR
NEW met1 ( 227280 276945 ) M1M2_PR
NEW li1 ( 227760 277685 ) L1M1_PR_MR
NEW met1 ( 227280 277685 ) M1M2_PR
NEW li1 ( 38160 92685 ) L1M1_PR_MR
NEW li1 ( 39600 92685 ) L1M1_PR_MR
NEW li1 ( 41040 92685 ) L1M1_PR_MR
NEW met1 ( 41040 92685 ) RECT ( 0 -70 595 70 )
+ USE SIGNAL ;
- net427 ( ANTENNA_82 DIODE ) ( ANTENNA_81 DIODE ) ( output427 A ) ( _053_ LO )
+ ROUTED met1 ( 210960 68265 ) ( 235440 68265 )
NEW met1 ( 209520 68265 ) ( 210960 68265 )
NEW met1 ( 234480 277685 ) ( 235440 277685 )
NEW met2 ( 235440 68265 ) ( 235440 277685 )
NEW li1 ( 210960 68265 ) L1M1_PR_MR
NEW met1 ( 235440 68265 ) M1M2_PR
NEW li1 ( 209520 68265 ) L1M1_PR_MR
NEW li1 ( 235440 277685 ) L1M1_PR_MR
NEW met1 ( 235440 277685 ) M1M2_PR
NEW li1 ( 234480 277685 ) L1M1_PR_MR
NEW met1 ( 235440 277685 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net428 ( ANTENNA_84 DIODE ) ( ANTENNA_83 DIODE ) ( output428 A ) ( AND2X1 Y )
+ ROUTED met1 ( 22800 277685 ) ( 23280 277685 )
NEW met1 ( 21360 277685 ) ( 22800 277685 )
NEW met1 ( 24240 26085 ) ( 37680 26085 )
NEW met1 ( 43200 25715 0 ) ( 43200 26085 )
NEW met1 ( 42480 26085 ) ( 43200 26085 )
NEW li1 ( 42480 25715 ) ( 42480 26085 )
NEW li1 ( 41040 25715 ) ( 42480 25715 )
NEW li1 ( 41040 25715 ) ( 41040 26085 )
NEW li1 ( 39600 26085 ) ( 41040 26085 )
NEW li1 ( 39600 25715 ) ( 39600 26085 )
NEW li1 ( 38640 25715 ) ( 39600 25715 )
NEW li1 ( 38640 25715 ) ( 38640 26085 )
NEW met1 ( 37680 26085 ) ( 38640 26085 )
NEW met2 ( 24240 26085 ) ( 24240 115200 )
NEW met2 ( 23280 115200 ) ( 24240 115200 )
NEW met2 ( 23280 115200 ) ( 23280 277685 )
NEW li1 ( 22800 277685 ) L1M1_PR_MR
NEW met1 ( 23280 277685 ) M1M2_PR
NEW li1 ( 21360 277685 ) L1M1_PR_MR
NEW li1 ( 37680 26085 ) L1M1_PR_MR
NEW met1 ( 24240 26085 ) M1M2_PR
NEW li1 ( 42480 26085 ) L1M1_PR_MR
NEW li1 ( 38640 26085 ) L1M1_PR_MR
+ USE SIGNAL ;
- net429 ( ANTENNA_86 DIODE ) ( ANTENNA_85 DIODE ) ( output429 A ) ( INVX8 Y )
+ ROUTED met1 ( 86400 98975 ) ( 86400 99345 )
NEW met1 ( 86400 98975 ) ( 243120 98975 )
NEW met1 ( 243120 281015 ) ( 244560 281015 )
NEW met2 ( 243120 98975 ) ( 243120 281015 )
NEW met1 ( 43920 98975 0 ) ( 43920 99345 )
NEW met1 ( 38160 99345 ) ( 39600 99345 )
NEW met2 ( 39600 99345 ) ( 39600 99530 )
NEW met3 ( 39600 99530 ) ( 43920 99530 )
NEW met2 ( 43920 99345 ) ( 43920 99530 )
NEW met1 ( 43920 99345 ) ( 86400 99345 )
NEW met1 ( 243120 98975 ) M1M2_PR
NEW li1 ( 243120 281015 ) L1M1_PR_MR
NEW met1 ( 243120 281015 ) M1M2_PR
NEW li1 ( 244560 281015 ) L1M1_PR_MR
NEW li1 ( 38160 99345 ) L1M1_PR_MR
NEW met1 ( 39600 99345 ) M1M2_PR
NEW met2 ( 39600 99530 ) via2_FR
NEW met2 ( 43920 99530 ) via2_FR
NEW met1 ( 43920 99345 ) M1M2_PR
NEW met1 ( 243120 281015 ) RECT ( -355 -70 0 70 )
NEW met1 ( 43920 99345 ) RECT ( 0 -70 595 70 )
+ USE SIGNAL ;
- net430 ( output430 A ) ( _054_ LO )
+ ROUTED met1 ( 186000 178155 ) ( 248400 178155 )
NEW met1 ( 248400 281385 ) ( 253200 281385 )
NEW met2 ( 248400 178155 ) ( 248400 281385 )
NEW li1 ( 186000 178155 ) L1M1_PR_MR
NEW met1 ( 248400 178155 ) M1M2_PR
NEW met1 ( 248400 281385 ) M1M2_PR
NEW li1 ( 253200 281385 ) L1M1_PR_MR
+ USE SIGNAL ;
- net431 ( ANTENNA_89 DIODE ) ( ANTENNA_88 DIODE ) ( ANTENNA_87 DIODE ) ( output431 A )
( _055_ LO )
+ ROUTED met1 ( 87120 64935 ) ( 88560 64935 )
NEW met1 ( 88560 64935 ) ( 258960 64935 )
NEW met1 ( 258480 276945 ) ( 258960 276945 )
NEW met1 ( 258960 277685 ) ( 259440 277685 )
NEW met1 ( 258960 276945 ) ( 258960 277685 )
NEW met1 ( 259440 277685 ) ( 260880 277685 )
NEW met2 ( 258960 64935 ) ( 258960 276945 )
NEW li1 ( 88560 64935 ) L1M1_PR_MR
NEW li1 ( 87120 64935 ) L1M1_PR_MR
NEW met1 ( 258960 64935 ) M1M2_PR
NEW li1 ( 258480 276945 ) L1M1_PR_MR
NEW met1 ( 258960 276945 ) M1M2_PR
NEW li1 ( 259440 277685 ) L1M1_PR_MR
NEW li1 ( 260880 277685 ) L1M1_PR_MR
+ USE SIGNAL ;
- net432 ( ANTENNA_92 DIODE ) ( ANTENNA_91 DIODE ) ( ANTENNA_90 DIODE ) ( output432 A )
( _056_ LO )
+ ROUTED met2 ( 9840 95645 ) ( 9840 97125 )
NEW met1 ( 8880 97125 ) ( 8880 97865 )
NEW met1 ( 8880 97125 ) ( 9840 97125 )
NEW met1 ( 267120 281015 ) ( 268560 281015 )
NEW met1 ( 268560 281015 ) ( 270000 281015 )
NEW met2 ( 267120 95645 ) ( 267120 281015 )
NEW met1 ( 9840 95645 ) ( 267120 95645 )
NEW li1 ( 9840 97125 ) L1M1_PR_MR
NEW met1 ( 9840 97125 ) M1M2_PR
NEW met1 ( 9840 95645 ) M1M2_PR
NEW li1 ( 8880 97865 ) L1M1_PR_MR
NEW met1 ( 267120 95645 ) M1M2_PR
NEW li1 ( 267120 281015 ) L1M1_PR_MR
NEW met1 ( 267120 281015 ) M1M2_PR
NEW li1 ( 268560 281015 ) L1M1_PR_MR
NEW li1 ( 270000 281015 ) L1M1_PR_MR
NEW met1 ( 9840 97125 ) RECT ( -355 -70 0 70 )
NEW met1 ( 267120 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net433 ( ANTENNA_95 DIODE ) ( ANTENNA_94 DIODE ) ( ANTENNA_93 DIODE ) ( output433 A )
( MUX2X1 Y )
+ ROUTED met1 ( 276240 281015 ) ( 277680 281015 )
NEW met1 ( 275280 281015 ) ( 276240 281015 )
NEW met2 ( 277680 103785 ) ( 277680 281015 )
NEW met2 ( 43920 102675 ) ( 43920 103785 )
NEW met1 ( 37680 102675 ) ( 43920 102675 )
NEW met2 ( 37680 102675 ) ( 37680 103785 )
NEW met2 ( 46800 102675 ) ( 46800 103785 )
NEW met1 ( 43920 102675 ) ( 46800 102675 )
NEW met1 ( 46800 103785 ) ( 277680 103785 )
NEW met1 ( 277680 103785 ) M1M2_PR
NEW li1 ( 277680 281015 ) L1M1_PR_MR
NEW met1 ( 277680 281015 ) M1M2_PR
NEW li1 ( 276240 281015 ) L1M1_PR_MR
NEW li1 ( 275280 281015 ) L1M1_PR_MR
NEW met1 ( 43920 103785 ) M1M2_PR
NEW met1 ( 43920 102675 ) M1M2_PR
NEW met1 ( 37680 102675 ) M1M2_PR
NEW li1 ( 37680 103785 ) L1M1_PR_MR
NEW met1 ( 37680 103785 ) M1M2_PR
NEW met1 ( 46800 103785 ) M1M2_PR
NEW met1 ( 46800 102675 ) M1M2_PR
NEW met1 ( 277680 281015 ) RECT ( -355 -70 0 70 )
NEW met1 ( 37680 103785 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net434 ( ANTENNA_98 DIODE ) ( ANTENNA_97 DIODE ) ( ANTENNA_96 DIODE ) ( output434 A )
( _057_ LO )
+ ROUTED met1 ( 282960 277685 ) ( 284400 277685 )
NEW met1 ( 284400 276945 ) ( 284400 277685 )
NEW met1 ( 282000 277685 ) ( 282960 277685 )
NEW met2 ( 284400 69375 ) ( 284400 276945 )
NEW met1 ( 22800 68635 ) ( 36240 68635 )
NEW met1 ( 36240 68635 ) ( 36240 69375 )
NEW met1 ( 21360 68635 ) ( 22800 68635 )
NEW met1 ( 36240 69375 ) ( 284400 69375 )
NEW met1 ( 284400 69375 ) M1M2_PR
NEW li1 ( 284400 276945 ) L1M1_PR_MR
NEW met1 ( 284400 276945 ) M1M2_PR
NEW li1 ( 282960 277685 ) L1M1_PR_MR
NEW li1 ( 282000 277685 ) L1M1_PR_MR
NEW li1 ( 22800 68635 ) L1M1_PR_MR
NEW li1 ( 21360 68635 ) L1M1_PR_MR
NEW met1 ( 284400 276945 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net435 ( ANTENNA_100 DIODE ) ( ANTENNA_99 DIODE ) ( output435 A ) ( _058_ LO )
+ ROUTED met1 ( 230640 14615 ) ( 232080 14615 )
NEW met2 ( 291120 15355 ) ( 291120 35335 )
NEW met1 ( 291120 35335 ) ( 292560 35335 )
NEW met1 ( 292560 35335 ) ( 292560 35705 )
NEW met1 ( 289200 271025 ) ( 292560 271025 )
NEW met1 ( 288240 271025 ) ( 289200 271025 )
NEW met2 ( 292560 35705 ) ( 292560 271025 )
NEW met1 ( 247920 14615 ) ( 247920 15355 )
NEW met1 ( 232080 14615 ) ( 247920 14615 )
NEW met1 ( 247920 15355 ) ( 291120 15355 )
NEW li1 ( 232080 14615 ) L1M1_PR_MR
NEW li1 ( 230640 14615 ) L1M1_PR_MR
NEW met1 ( 291120 15355 ) M1M2_PR
NEW met1 ( 291120 35335 ) M1M2_PR
NEW met1 ( 292560 35705 ) M1M2_PR
NEW li1 ( 289200 271025 ) L1M1_PR_MR
NEW met1 ( 292560 271025 ) M1M2_PR
NEW li1 ( 288240 271025 ) L1M1_PR_MR
+ USE SIGNAL ;
- net436 ( ANTENNA_102 DIODE ) ( ANTENNA_101 DIODE ) ( output436 A ) ( NAND2X1 Y )
+ ROUTED met1 ( 289200 266955 ) ( 289200 267695 )
NEW met1 ( 288240 266955 ) ( 289200 266955 )
NEW met2 ( 288240 112295 ) ( 288240 266955 )
NEW met1 ( 86400 112295 ) ( 86400 112665 )
NEW met1 ( 86400 112295 ) ( 288240 112295 )
NEW met1 ( 41040 112295 0 ) ( 41040 112665 )
NEW met1 ( 38160 110445 ) ( 40560 110445 )
NEW li1 ( 40560 110445 ) ( 40560 112295 )
NEW li1 ( 40560 112295 ) ( 41040 112295 )
NEW li1 ( 41040 112295 ) ( 41040 112665 )
NEW met1 ( 41040 112665 ) ( 86400 112665 )
NEW met1 ( 288240 112295 ) M1M2_PR
NEW li1 ( 288240 266955 ) L1M1_PR_MR
NEW met1 ( 288240 266955 ) M1M2_PR
NEW li1 ( 289200 267695 ) L1M1_PR_MR
NEW li1 ( 38160 110445 ) L1M1_PR_MR
NEW li1 ( 40560 110445 ) L1M1_PR_MR
NEW li1 ( 41040 112665 ) L1M1_PR_MR
NEW met1 ( 288240 266955 ) RECT ( 0 -70 355 70 )
NEW met1 ( 41040 112665 ) RECT ( 0 -70 595 70 )
+ USE SIGNAL ;
- net437 ( ANTENNA_104 DIODE ) ( ANTENNA_103 DIODE ) ( output437 A ) ( _037_ LO )
+ ROUTED met1 ( 119760 106005 ) ( 120240 106005 )
NEW met2 ( 119760 106005 ) ( 119760 107485 )
NEW met1 ( 120240 106005 ) ( 121200 106005 )
NEW met1 ( 30000 281015 ) ( 31920 281015 )
NEW met1 ( 30000 107485 ) ( 119760 107485 )
NEW met2 ( 30000 107485 ) ( 30000 281015 )
NEW li1 ( 120240 106005 ) L1M1_PR_MR
NEW met1 ( 119760 106005 ) M1M2_PR
NEW met1 ( 119760 107485 ) M1M2_PR
NEW li1 ( 121200 106005 ) L1M1_PR_MR
NEW li1 ( 30000 281015 ) L1M1_PR_MR
NEW met1 ( 30000 281015 ) M1M2_PR
NEW li1 ( 31920 281015 ) L1M1_PR_MR
NEW met1 ( 30000 107485 ) M1M2_PR
NEW met1 ( 30000 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net438 ( ANTENNA_106 DIODE ) ( ANTENNA_105 DIODE ) ( output438 A ) ( _038_ LO )
+ ROUTED met1 ( 136800 113775 ) ( 136800 114145 )
NEW met1 ( 136800 114145 ) ( 187200 114145 )
NEW met1 ( 187200 113775 ) ( 187200 114145 )
NEW met1 ( 266640 113775 ) ( 267600 113775 )
NEW met1 ( 187200 113775 ) ( 266640 113775 )
NEW met1 ( 37200 277685 ) ( 38640 277685 )
NEW met1 ( 37200 276945 ) ( 37200 277685 )
NEW met1 ( 37200 113775 ) ( 136800 113775 )
NEW met2 ( 37200 113775 ) ( 37200 276945 )
NEW li1 ( 266640 113775 ) L1M1_PR_MR
NEW li1 ( 267600 113775 ) L1M1_PR_MR
NEW li1 ( 37200 276945 ) L1M1_PR_MR
NEW met1 ( 37200 276945 ) M1M2_PR
NEW li1 ( 38640 277685 ) L1M1_PR_MR
NEW met1 ( 37200 113775 ) M1M2_PR
NEW met1 ( 37200 276945 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net439 ( ANTENNA_108 DIODE ) ( ANTENNA_107 DIODE ) ( output439 A ) ( AND2X2 Y )
+ ROUTED met1 ( 44880 277685 ) ( 46320 277685 )
NEW met1 ( 44880 276945 ) ( 44880 277685 )
NEW met1 ( 43200 32375 0 ) ( 45360 32375 )
NEW met1 ( 38160 32375 ) ( 39840 32375 )
NEW met1 ( 39840 32375 ) ( 39840 32745 )
NEW met1 ( 39840 32745 ) ( 41280 32745 )
NEW met1 ( 41280 32375 ) ( 41280 32745 )
NEW met1 ( 41280 32375 ) ( 43200 32375 0 )
NEW met2 ( 44880 165600 ) ( 44880 276945 )
NEW met2 ( 44880 165600 ) ( 45360 165600 )
NEW met2 ( 45360 32375 ) ( 45360 165600 )
NEW li1 ( 44880 276945 ) L1M1_PR_MR
NEW met1 ( 44880 276945 ) M1M2_PR
NEW li1 ( 46320 277685 ) L1M1_PR_MR
NEW met1 ( 45360 32375 ) M1M2_PR
NEW li1 ( 38160 32375 ) L1M1_PR_MR
NEW met1 ( 44880 276945 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net440 ( ANTENNA_110 DIODE ) ( ANTENNA_109 DIODE ) ( output440 A ) ( _039_ LO )
+ ROUTED met1 ( 191280 87505 ) ( 192240 87505 )
NEW met1 ( 55920 281015 ) ( 56880 281015 )
NEW met1 ( 54480 281015 ) ( 55920 281015 )
NEW met1 ( 56880 87505 ) ( 191280 87505 )
NEW met2 ( 56880 87505 ) ( 56880 281015 )
NEW li1 ( 191280 87505 ) L1M1_PR_MR
NEW li1 ( 192240 87505 ) L1M1_PR_MR
NEW li1 ( 55920 281015 ) L1M1_PR_MR
NEW met1 ( 56880 281015 ) M1M2_PR
NEW li1 ( 54480 281015 ) L1M1_PR_MR
NEW met1 ( 56880 87505 ) M1M2_PR
+ USE SIGNAL ;
- net441 ( ANTENNA_112 DIODE ) ( ANTENNA_111 DIODE ) ( output441 A ) ( _040_ LO )
+ ROUTED met1 ( 216240 127095 ) ( 217200 127095 )
NEW met1 ( 61680 281015 ) ( 63600 281015 )
NEW met2 ( 61680 127095 ) ( 61680 281015 )
NEW met1 ( 61680 127095 ) ( 216240 127095 )
NEW li1 ( 216240 127095 ) L1M1_PR_MR
NEW li1 ( 217200 127095 ) L1M1_PR_MR
NEW li1 ( 61680 281015 ) L1M1_PR_MR
NEW met1 ( 61680 281015 ) M1M2_PR
NEW li1 ( 63600 281015 ) L1M1_PR_MR
NEW met1 ( 61680 127095 ) M1M2_PR
NEW met1 ( 61680 281015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net442 ( ANTENNA_114 DIODE ) ( ANTENNA_113 DIODE ) ( output442 A ) ( _041_ LO )
+ ROUTED met1 ( 68400 277685 ) ( 70320 277685 )
NEW met1 ( 68400 276945 ) ( 68400 277685 )
NEW met2 ( 68400 74185 ) ( 68400 276945 )
NEW met1 ( 153840 72705 ) ( 154320 72705 )
NEW met2 ( 153840 72705 ) ( 153840 74185 )
NEW met1 ( 154320 72705 ) ( 155280 72705 )
NEW met1 ( 68400 74185 ) ( 153840 74185 )
NEW met1 ( 68400 74185 ) M1M2_PR
NEW li1 ( 68400 276945 ) L1M1_PR_MR
NEW met1 ( 68400 276945 ) M1M2_PR
NEW li1 ( 70320 277685 ) L1M1_PR_MR
NEW li1 ( 154320 72705 ) L1M1_PR_MR
NEW met1 ( 153840 72705 ) M1M2_PR
NEW met1 ( 153840 74185 ) M1M2_PR
NEW li1 ( 155280 72705 ) L1M1_PR_MR
NEW met1 ( 68400 276945 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net443 ( ANTENNA_116 DIODE ) ( ANTENNA_115 DIODE ) ( output443 A ) ( AOI21X1 Y )
+ ROUTED met1 ( 39120 281385 ) ( 77520 281385 )
NEW met1 ( 77520 281385 ) ( 78960 281385 )
NEW met2 ( 38640 64800 ) ( 39120 64800 )
NEW met2 ( 39120 165600 ) ( 39120 281385 )
NEW met2 ( 38640 165600 ) ( 39120 165600 )
NEW met2 ( 38640 64800 ) ( 38640 165600 )
NEW met1 ( 38160 39035 ) ( 39120 39035 0 )
NEW met2 ( 39120 39035 ) ( 39120 64800 )
NEW li1 ( 77520 281385 ) L1M1_PR_MR
NEW met1 ( 39120 281385 ) M1M2_PR
NEW li1 ( 78960 281385 ) L1M1_PR_MR
NEW met1 ( 39120 39035 ) M1M2_PR
NEW li1 ( 38160 39035 ) L1M1_PR_MR
+ USE SIGNAL ;
- net444 ( output444 A ) ( _059_ LO )
+ ROUTED met2 ( 69840 32005 ) ( 69840 50875 )
NEW met1 ( 69840 50875 ) ( 95280 50875 )
NEW met2 ( 95280 50875 ) ( 95280 147075 )
NEW li1 ( 95280 147075 ) L1M1_PR_MR
NEW met1 ( 95280 147075 ) M1M2_PR
NEW li1 ( 69840 32005 ) L1M1_PR_MR
NEW met1 ( 69840 32005 ) M1M2_PR
NEW met1 ( 69840 50875 ) M1M2_PR
NEW met1 ( 95280 50875 ) M1M2_PR
NEW met1 ( 95280 147075 ) RECT ( -355 -70 0 70 )
NEW met1 ( 69840 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net445 ( ANTENNA_118 DIODE ) ( ANTENNA_117 DIODE ) ( output445 A ) ( _154_ LO )
+ ROUTED met2 ( 91440 212195 ) ( 91440 213675 )
NEW met1 ( 90000 213675 ) ( 91440 213675 )
NEW met1 ( 248880 32745 ) ( 252720 32745 )
NEW met1 ( 254160 32005 ) ( 254160 32745 )
NEW met1 ( 252720 32745 ) ( 254160 32745 )
NEW met1 ( 91440 212195 ) ( 248880 212195 )
NEW met2 ( 248880 32745 ) ( 248880 212195 )
NEW li1 ( 91440 213675 ) L1M1_PR_MR
NEW met1 ( 91440 213675 ) M1M2_PR
NEW met1 ( 91440 212195 ) M1M2_PR
NEW li1 ( 90000 213675 ) L1M1_PR_MR
NEW li1 ( 252720 32745 ) L1M1_PR_MR
NEW met1 ( 248880 32745 ) M1M2_PR
NEW li1 ( 254160 32005 ) L1M1_PR_MR
NEW met1 ( 248880 212195 ) M1M2_PR
NEW met1 ( 91440 213675 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net446 ( ANTENNA_120 DIODE ) ( ANTENNA_119 DIODE ) ( output446 A ) ( _155_ LO )
+ ROUTED met1 ( 16560 191105 ) ( 18000 191105 )
NEW met1 ( 250320 35335 ) ( 251280 35335 )
NEW met1 ( 18000 191105 ) ( 251280 191105 )
NEW met2 ( 251280 35335 ) ( 251280 191105 )
NEW li1 ( 18000 191105 ) L1M1_PR_MR
NEW li1 ( 16560 191105 ) L1M1_PR_MR
NEW li1 ( 251280 35335 ) L1M1_PR_MR
NEW met1 ( 251280 35335 ) M1M2_PR
NEW li1 ( 250320 35335 ) L1M1_PR_MR
NEW met1 ( 251280 191105 ) M1M2_PR
NEW met1 ( 251280 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net447 ( output447 A ) ( _156_ LO )
+ ROUTED met1 ( 250320 31635 ) ( 258480 31635 )
NEW met1 ( 98160 124505 ) ( 250320 124505 )
NEW met2 ( 250320 31635 ) ( 250320 124505 )
NEW li1 ( 98160 124505 ) L1M1_PR_MR
NEW li1 ( 258480 31635 ) L1M1_PR_MR
NEW met1 ( 250320 31635 ) M1M2_PR
NEW met1 ( 250320 124505 ) M1M2_PR
+ USE SIGNAL ;
- net448 ( output448 A ) ( _157_ LO )
+ ROUTED met1 ( 261360 32005 ) ( 262320 32005 )
NEW met1 ( 177840 44215 ) ( 177840 44585 )
NEW met1 ( 177840 44215 ) ( 261360 44215 )
NEW met2 ( 261360 32005 ) ( 261360 44215 )
NEW li1 ( 262320 32005 ) L1M1_PR_MR
NEW met1 ( 261360 32005 ) M1M2_PR
NEW li1 ( 177840 44585 ) L1M1_PR_MR
NEW met1 ( 261360 44215 ) M1M2_PR
+ USE SIGNAL ;
- net449 ( ANTENNA_122 DIODE ) ( ANTENNA_121 DIODE ) ( output449 A ) ( _158_ LO )
+ ROUTED met2 ( 176880 209235 ) ( 176880 211085 )
NEW met1 ( 175440 211085 ) ( 176880 211085 )
NEW met1 ( 258960 35335 ) ( 259920 35335 )
NEW met1 ( 258960 35335 ) ( 258960 35705 )
NEW met1 ( 176880 209235 ) ( 258480 209235 )
NEW met2 ( 258480 61050 ) ( 258960 61050 )
NEW met2 ( 258960 35705 ) ( 258960 61050 )
NEW met2 ( 258480 61050 ) ( 258480 209235 )
NEW li1 ( 176880 211085 ) L1M1_PR_MR
NEW met1 ( 176880 211085 ) M1M2_PR
NEW met1 ( 176880 209235 ) M1M2_PR
NEW li1 ( 175440 211085 ) L1M1_PR_MR
NEW li1 ( 258960 35705 ) L1M1_PR_MR
NEW met1 ( 258960 35705 ) M1M2_PR
NEW li1 ( 259920 35335 ) L1M1_PR_MR
NEW met1 ( 258480 209235 ) M1M2_PR
NEW met1 ( 176880 211085 ) RECT ( -355 -70 0 70 )
NEW met1 ( 258960 35705 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net450 ( output450 A ) ( _159_ LO )
+ ROUTED met1 ( 249360 38665 ) ( 259440 38665 )
NEW met1 ( 148560 144485 ) ( 249360 144485 )
NEW met2 ( 249360 38665 ) ( 249360 144485 )
NEW li1 ( 148560 144485 ) L1M1_PR_MR
NEW li1 ( 259440 38665 ) L1M1_PR_MR
NEW met1 ( 249360 38665 ) M1M2_PR
NEW met1 ( 249360 144485 ) M1M2_PR
+ USE SIGNAL ;
- net451 ( output451 A ) ( _160_ LO )
+ ROUTED met1 ( 271920 28675 ) ( 277200 28675 )
NEW met2 ( 271920 28675 ) ( 271920 34595 )
NEW met1 ( 264240 34595 ) ( 271920 34595 )
NEW li1 ( 277200 28675 ) L1M1_PR_MR
NEW met1 ( 271920 28675 ) M1M2_PR
NEW met1 ( 271920 34595 ) M1M2_PR
NEW li1 ( 264240 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- net452 ( output452 A ) ( _161_ LO )
+ ROUTED met2 ( 138000 11655 ) ( 138000 14615 )
NEW met1 ( 138000 11655 ) ( 264240 11655 )
NEW met1 ( 263280 37925 ) ( 264240 37925 )
NEW met2 ( 264240 11655 ) ( 264240 37925 )
NEW met1 ( 138000 11655 ) M1M2_PR
NEW li1 ( 138000 14615 ) L1M1_PR_MR
NEW met1 ( 138000 14615 ) M1M2_PR
NEW met1 ( 264240 11655 ) M1M2_PR
NEW met1 ( 264240 37925 ) M1M2_PR
NEW li1 ( 263280 37925 ) L1M1_PR_MR
NEW met1 ( 138000 14615 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net453 ( output453 A ) ( _162_ LO )
+ ROUTED met1 ( 267120 91205 ) ( 289200 91205 )
NEW met2 ( 267120 38665 ) ( 267120 91205 )
NEW li1 ( 289200 91205 ) L1M1_PR_MR
NEW met1 ( 267120 91205 ) M1M2_PR
NEW li1 ( 267120 38665 ) L1M1_PR_MR
NEW met1 ( 267120 38665 ) M1M2_PR
NEW met1 ( 267120 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net454 ( ANTENNA_123 DIODE ) ( output454 A ) ( _163_ LO )
+ ROUTED met1 ( 242160 42365 ) ( 264720 42365 )
NEW met1 ( 266160 41995 ) ( 266160 42365 )
NEW met1 ( 264720 42365 ) ( 266160 42365 )
NEW met2 ( 242160 42365 ) ( 242160 115255 )
NEW met2 ( 43920 115255 ) ( 43920 120435 )
NEW met1 ( 22800 120435 ) ( 43920 120435 )
NEW met1 ( 43920 115255 ) ( 242160 115255 )
NEW met1 ( 242160 115255 ) M1M2_PR
NEW li1 ( 264720 42365 ) L1M1_PR_MR
NEW met1 ( 242160 42365 ) M1M2_PR
NEW li1 ( 266160 41995 ) L1M1_PR_MR
NEW met1 ( 43920 115255 ) M1M2_PR
NEW met1 ( 43920 120435 ) M1M2_PR
NEW li1 ( 22800 120435 ) L1M1_PR_MR
+ USE SIGNAL ;
- net455 ( ANTENNA_125 DIODE ) ( ANTENNA_124 DIODE ) ( output455 A ) ( _066_ LO )
+ ROUTED met2 ( 258480 212565 ) ( 258480 213675 )
NEW met1 ( 258480 213675 ) ( 259440 213675 )
NEW met1 ( 88560 212565 ) ( 258480 212565 )
NEW met1 ( 87120 32005 ) ( 88560 32005 )
NEW met2 ( 88560 32005 ) ( 88560 212565 )
NEW met1 ( 88560 212565 ) M1M2_PR
NEW li1 ( 258480 213675 ) L1M1_PR_MR
NEW met1 ( 258480 213675 ) M1M2_PR
NEW met1 ( 258480 212565 ) M1M2_PR
NEW li1 ( 259440 213675 ) L1M1_PR_MR
NEW li1 ( 88560 32005 ) L1M1_PR_MR
NEW met1 ( 88560 32005 ) M1M2_PR
NEW li1 ( 87120 32005 ) L1M1_PR_MR
NEW met1 ( 258480 213675 ) RECT ( -355 -70 0 70 )
NEW met1 ( 88560 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net456 ( ANTENNA_127 DIODE ) ( ANTENNA_126 DIODE ) ( output456 A ) ( _164_ LO )
+ ROUTED met1 ( 269040 41995 ) ( 270480 41995 )
NEW met2 ( 270000 187200 ) ( 270480 187200 )
NEW met2 ( 270480 41995 ) ( 270480 187200 )
NEW met1 ( 270000 270285 ) ( 276720 270285 )
NEW met1 ( 276720 271025 ) ( 277680 271025 )
NEW met1 ( 276720 270285 ) ( 276720 271025 )
NEW met2 ( 270000 187200 ) ( 270000 270285 )
NEW li1 ( 270480 41995 ) L1M1_PR_MR
NEW met1 ( 270480 41995 ) M1M2_PR
NEW li1 ( 269040 41995 ) L1M1_PR_MR
NEW li1 ( 276720 270285 ) L1M1_PR_MR
NEW met1 ( 270000 270285 ) M1M2_PR
NEW li1 ( 277680 271025 ) L1M1_PR_MR
NEW met1 ( 270480 41995 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net457 ( ANTENNA_128 DIODE ) ( output457 A ) ( _165_ LO )
+ ROUTED met1 ( 237600 44955 ) ( 271440 44955 )
NEW met1 ( 237600 44955 ) ( 237600 45325 )
NEW met1 ( 271440 44955 ) ( 272880 44955 )
NEW met1 ( 115200 45325 ) ( 237600 45325 )
NEW met1 ( 115200 45325 ) ( 115200 45695 )
NEW met2 ( 55920 45695 ) ( 55920 111185 )
NEW met1 ( 55920 45695 ) ( 115200 45695 )
NEW li1 ( 271440 44955 ) L1M1_PR_MR
NEW li1 ( 272880 44955 ) L1M1_PR_MR
NEW li1 ( 55920 111185 ) L1M1_PR_MR
NEW met1 ( 55920 111185 ) M1M2_PR
NEW met1 ( 55920 45695 ) M1M2_PR
NEW met1 ( 55920 111185 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net458 ( ANTENNA_131 DIODE ) ( ANTENNA_130 DIODE ) ( ANTENNA_129 DIODE ) ( output458 A )
( _166_ LO )
+ ROUTED met1 ( 121200 274725 ) ( 122640 274725 )
NEW met1 ( 270960 48655 ) ( 271920 48655 )
NEW met1 ( 271920 48655 ) ( 273360 48655 )
NEW met1 ( 122640 274725 ) ( 271920 274725 )
NEW met2 ( 271920 48655 ) ( 271920 274725 )
NEW li1 ( 122640 274725 ) L1M1_PR_MR
NEW li1 ( 121200 274725 ) L1M1_PR_MR
NEW li1 ( 271920 48655 ) L1M1_PR_MR
NEW met1 ( 271920 48655 ) M1M2_PR
NEW li1 ( 270960 48655 ) L1M1_PR_MR
NEW li1 ( 273360 48655 ) L1M1_PR_MR
NEW met1 ( 271920 274725 ) M1M2_PR
NEW met1 ( 271920 48655 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net459 ( ANTENNA_132 DIODE ) ( output459 A ) ( _167_ LO )
+ ROUTED met1 ( 136800 44585 ) ( 136800 44955 )
NEW met1 ( 136800 44955 ) ( 187200 44955 )
NEW met1 ( 187200 44585 ) ( 187200 44955 )
NEW met1 ( 275280 44585 ) ( 276720 44585 )
NEW met1 ( 187200 44585 ) ( 275280 44585 )
NEW met2 ( 82800 44585 ) ( 82800 153735 )
NEW met1 ( 82800 44585 ) ( 136800 44585 )
NEW met1 ( 49200 153735 ) ( 82800 153735 )
NEW met1 ( 82800 153735 ) M1M2_PR
NEW li1 ( 275280 44585 ) L1M1_PR_MR
NEW li1 ( 276720 44585 ) L1M1_PR_MR
NEW met1 ( 82800 44585 ) M1M2_PR
NEW li1 ( 49200 153735 ) L1M1_PR_MR
+ USE SIGNAL ;
- net460 ( output460 A ) ( _168_ LO )
+ ROUTED met2 ( 276240 48655 ) ( 276240 57905 )
NEW met1 ( 257520 57905 ) ( 276240 57905 )
NEW li1 ( 276240 48655 ) L1M1_PR_MR
NEW met1 ( 276240 48655 ) M1M2_PR
NEW met1 ( 276240 57905 ) M1M2_PR
NEW li1 ( 257520 57905 ) L1M1_PR_MR
NEW met1 ( 276240 48655 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net461 ( ANTENNA_135 DIODE ) ( ANTENNA_134 DIODE ) ( ANTENNA_133 DIODE ) ( output461 A )
( _169_ LO )
+ ROUTED met1 ( 34320 234025 ) ( 35760 234025 )
NEW met1 ( 86400 233655 ) ( 86400 234025 )
NEW met1 ( 35760 234025 ) ( 86400 234025 )
NEW met1 ( 86400 233655 ) ( 136800 233655 )
NEW met1 ( 136800 233655 ) ( 136800 234025 )
NEW met1 ( 136800 234025 ) ( 187200 234025 )
NEW met1 ( 187200 233655 ) ( 187200 234025 )
NEW met1 ( 187200 233655 ) ( 278160 233655 )
NEW met1 ( 278160 48655 ) ( 279600 48655 )
NEW met1 ( 278160 48655 ) ( 278160 49395 )
NEW met1 ( 279600 48655 ) ( 281040 48655 )
NEW met2 ( 278160 49395 ) ( 278160 233655 )
NEW li1 ( 35760 234025 ) L1M1_PR_MR
NEW li1 ( 34320 234025 ) L1M1_PR_MR
NEW met1 ( 278160 233655 ) M1M2_PR
NEW li1 ( 278160 49395 ) L1M1_PR_MR
NEW met1 ( 278160 49395 ) M1M2_PR
NEW li1 ( 279600 48655 ) L1M1_PR_MR
NEW li1 ( 281040 48655 ) L1M1_PR_MR
NEW met1 ( 278160 49395 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net462 ( output462 A ) ( _170_ LO )
+ ROUTED met2 ( 279600 51985 ) ( 279600 73815 )
NEW met1 ( 279600 73815 ) ( 286800 73815 )
NEW li1 ( 279600 51985 ) L1M1_PR_MR
NEW met1 ( 279600 51985 ) M1M2_PR
NEW met1 ( 279600 73815 ) M1M2_PR
NEW li1 ( 286800 73815 ) L1M1_PR_MR
NEW met1 ( 279600 51985 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net463 ( output463 A ) ( _171_ LO )
+ ROUTED met1 ( 187200 54205 ) ( 187200 54575 )
NEW met1 ( 174000 54575 ) ( 187200 54575 )
NEW met2 ( 283440 51985 ) ( 283440 54205 )
NEW met1 ( 187200 54205 ) ( 283440 54205 )
NEW li1 ( 174000 54575 ) L1M1_PR_MR
NEW li1 ( 283440 51985 ) L1M1_PR_MR
NEW met1 ( 283440 51985 ) M1M2_PR
NEW met1 ( 283440 54205 ) M1M2_PR
NEW met1 ( 283440 51985 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net464 ( output464 A ) ( _172_ LO )
+ ROUTED met2 ( 170160 41995 ) ( 170160 51615 )
NEW met1 ( 170160 51615 ) ( 287280 51615 )
NEW li1 ( 170160 41995 ) L1M1_PR_MR
NEW met1 ( 170160 41995 ) M1M2_PR
NEW met1 ( 170160 51615 ) M1M2_PR
NEW li1 ( 287280 51615 ) L1M1_PR_MR
NEW met1 ( 170160 41995 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net465 ( output465 A ) ( _173_ LO )
+ ROUTED met2 ( 167280 55685 ) ( 167280 113775 )
NEW met1 ( 286800 55315 ) ( 286800 55685 )
NEW met1 ( 167280 55685 ) ( 286800 55685 )
NEW li1 ( 167280 113775 ) L1M1_PR_MR
NEW met1 ( 167280 113775 ) M1M2_PR
NEW met1 ( 167280 55685 ) M1M2_PR
NEW li1 ( 286800 55315 ) L1M1_PR_MR
NEW met1 ( 167280 113775 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net466 ( ANTENNA_137 DIODE ) ( ANTENNA_136 DIODE ) ( output466 A ) ( _067_ LO )
+ ROUTED met1 ( 152880 173715 ) ( 153840 173715 )
NEW met1 ( 87600 173715 ) ( 152880 173715 )
NEW met1 ( 86640 35335 ) ( 87600 35335 )
NEW met1 ( 85200 35335 ) ( 86640 35335 )
NEW met2 ( 87600 35335 ) ( 87600 173715 )
NEW met1 ( 87600 173715 ) M1M2_PR
NEW li1 ( 152880 173715 ) L1M1_PR_MR
NEW li1 ( 153840 173715 ) L1M1_PR_MR
NEW li1 ( 86640 35335 ) L1M1_PR_MR
NEW met1 ( 87600 35335 ) M1M2_PR
NEW li1 ( 85200 35335 ) L1M1_PR_MR
+ USE SIGNAL ;
- net467 ( ANTENNA_140 DIODE ) ( ANTENNA_139 DIODE ) ( ANTENNA_138 DIODE ) ( output467 A )
( _174_ LO )
+ ROUTED met1 ( 138480 267325 ) ( 187200 267325 )
NEW met1 ( 187200 266955 ) ( 187200 267325 )
NEW met1 ( 137040 267325 ) ( 138480 267325 )
NEW met1 ( 285360 58275 ) ( 286320 58275 )
NEW met1 ( 286320 59385 ) ( 287760 59385 )
NEW met1 ( 187200 266955 ) ( 286320 266955 )
NEW met2 ( 286320 58275 ) ( 286320 266955 )
NEW li1 ( 138480 267325 ) L1M1_PR_MR
NEW li1 ( 137040 267325 ) L1M1_PR_MR
NEW li1 ( 286320 58275 ) L1M1_PR_MR
NEW met1 ( 286320 58275 ) M1M2_PR
NEW li1 ( 285360 58275 ) L1M1_PR_MR
NEW li1 ( 287760 59385 ) L1M1_PR_MR
NEW met1 ( 286320 59385 ) M1M2_PR
NEW met1 ( 286320 266955 ) M1M2_PR
NEW met1 ( 286320 58275 ) RECT ( -355 -70 0 70 )
NEW met2 ( 286320 59385 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- net468 ( output468 A ) ( _175_ LO )
+ ROUTED met2 ( 222960 62345 ) ( 222960 137825 )
NEW met1 ( 288720 61975 ) ( 288720 62345 )
NEW met1 ( 222960 62345 ) ( 288720 62345 )
NEW met1 ( 222960 62345 ) M1M2_PR
NEW li1 ( 222960 137825 ) L1M1_PR_MR
NEW met1 ( 222960 137825 ) M1M2_PR
NEW li1 ( 288720 61975 ) L1M1_PR_MR
NEW met1 ( 222960 137825 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net469 ( ANTENNA_143 DIODE ) ( ANTENNA_142 DIODE ) ( ANTENNA_141 DIODE ) ( output469 A )
( _176_ LO )
+ ROUTED met1 ( 129360 198135 ) ( 130800 198135 )
NEW met1 ( 187200 197395 ) ( 187200 198135 )
NEW met1 ( 130800 198135 ) ( 187200 198135 )
NEW met1 ( 187200 197395 ) ( 265200 197395 )
NEW met1 ( 265200 45325 ) ( 266640 45325 )
NEW met1 ( 265200 45325 ) ( 265200 46065 )
NEW met1 ( 266640 45325 ) ( 268080 45325 )
NEW met2 ( 265200 46065 ) ( 265200 197395 )
NEW li1 ( 130800 198135 ) L1M1_PR_MR
NEW li1 ( 129360 198135 ) L1M1_PR_MR
NEW met1 ( 265200 197395 ) M1M2_PR
NEW li1 ( 265200 46065 ) L1M1_PR_MR
NEW met1 ( 265200 46065 ) M1M2_PR
NEW li1 ( 266640 45325 ) L1M1_PR_MR
NEW li1 ( 268080 45325 ) L1M1_PR_MR
NEW met1 ( 265200 46065 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net470 ( output470 A ) ( _177_ LO )
+ ROUTED met2 ( 290160 26085 ) ( 290160 54945 )
NEW met1 ( 280560 54945 ) ( 290160 54945 )
NEW li1 ( 290160 26085 ) L1M1_PR_MR
NEW met1 ( 290160 26085 ) M1M2_PR
NEW met1 ( 290160 54945 ) M1M2_PR
NEW li1 ( 280560 54945 ) L1M1_PR_MR
NEW met1 ( 290160 26085 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net471 ( ANTENNA_144 DIODE ) ( output471 A ) ( _178_ LO )
+ ROUTED met1 ( 273840 51245 ) ( 275280 51245 )
NEW met1 ( 273840 50505 ) ( 273840 51245 )
NEW met2 ( 94800 50505 ) ( 94800 167055 )
NEW met1 ( 94800 50505 ) ( 273840 50505 )
NEW li1 ( 94800 167055 ) L1M1_PR_MR
NEW met1 ( 94800 167055 ) M1M2_PR
NEW li1 ( 273840 50505 ) L1M1_PR_MR
NEW li1 ( 275280 51245 ) L1M1_PR_MR
NEW met1 ( 94800 50505 ) M1M2_PR
NEW met1 ( 94800 167055 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net472 ( output472 A ) ( _179_ LO )
+ ROUTED met1 ( 187200 84545 ) ( 187200 84915 )
NEW met1 ( 170160 84915 ) ( 187200 84915 )
NEW met2 ( 262800 41995 ) ( 262800 84545 )
NEW met1 ( 187200 84545 ) ( 262800 84545 )
NEW li1 ( 170160 84915 ) L1M1_PR_MR
NEW li1 ( 262800 41995 ) L1M1_PR_MR
NEW met1 ( 262800 41995 ) M1M2_PR
NEW met1 ( 262800 84545 ) M1M2_PR
NEW met1 ( 262800 41995 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net473 ( ANTENNA_147 DIODE ) ( ANTENNA_146 DIODE ) ( ANTENNA_145 DIODE ) ( output473 A )
( _180_ LO )
+ ROUTED met2 ( 236880 39405 ) ( 236880 200355 )
NEW met1 ( 66000 200355 ) ( 67440 200355 )
NEW met1 ( 67440 200355 ) ( 236880 200355 )
NEW met1 ( 253680 39405 ) ( 256560 39405 )
NEW met2 ( 255120 38295 ) ( 255120 39405 )
NEW met1 ( 236880 39405 ) ( 253680 39405 )
NEW met1 ( 236880 200355 ) M1M2_PR
NEW met1 ( 236880 39405 ) M1M2_PR
NEW li1 ( 67440 200355 ) L1M1_PR_MR
NEW li1 ( 66000 200355 ) L1M1_PR_MR
NEW li1 ( 253680 39405 ) L1M1_PR_MR
NEW li1 ( 256560 39405 ) L1M1_PR_MR
NEW li1 ( 255120 38295 ) L1M1_PR_MR
NEW met1 ( 255120 38295 ) M1M2_PR
NEW met1 ( 255120 39405 ) M1M2_PR
NEW met1 ( 255120 38295 ) RECT ( -355 -70 0 70 )
NEW met1 ( 255120 39405 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- net474 ( ANTENNA_148 DIODE ) ( output474 A ) ( _181_ LO )
+ ROUTED met2 ( 208080 48655 ) ( 208080 58275 )
NEW met1 ( 281040 58275 ) ( 282480 58275 )
NEW met1 ( 208080 58275 ) ( 281040 58275 )
NEW met2 ( 93840 39035 ) ( 93840 48655 )
NEW met1 ( 93840 48655 ) ( 208080 48655 )
NEW met1 ( 208080 48655 ) M1M2_PR
NEW met1 ( 208080 58275 ) M1M2_PR
NEW li1 ( 281040 58275 ) L1M1_PR_MR
NEW li1 ( 282480 58275 ) L1M1_PR_MR
NEW li1 ( 93840 39035 ) L1M1_PR_MR
NEW met1 ( 93840 39035 ) M1M2_PR
NEW met1 ( 93840 48655 ) M1M2_PR
NEW met1 ( 93840 39035 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net475 ( output475 A ) ( XNOR2X1 Y )
+ ROUTED met2 ( 92400 32005 ) ( 92400 139305 )
NEW met1 ( 43205 137085 0 ) ( 44880 137085 )
NEW li1 ( 44880 137085 ) ( 44880 138195 )
NEW met1 ( 44880 138195 ) ( 46320 138195 )
NEW li1 ( 46320 138195 ) ( 46320 138935 )
NEW li1 ( 46320 138935 ) ( 46800 138935 )
NEW li1 ( 46800 138935 ) ( 46800 139305 )
NEW met1 ( 46800 139305 ) ( 92400 139305 )
NEW met1 ( 92400 139305 ) M1M2_PR
NEW li1 ( 92400 32005 ) L1M1_PR_MR
NEW met1 ( 92400 32005 ) M1M2_PR
NEW li1 ( 44880 137085 ) L1M1_PR_MR
NEW li1 ( 44880 138195 ) L1M1_PR_MR
NEW li1 ( 46320 138195 ) L1M1_PR_MR
NEW li1 ( 46800 139305 ) L1M1_PR_MR
NEW met1 ( 92400 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net476 ( output476 A ) ( _068_ LO )
+ ROUTED met1 ( 110640 12395 ) ( 110640 12765 )
NEW met1 ( 93360 12395 ) ( 110640 12395 )
NEW met2 ( 191760 12765 ) ( 191760 13875 )
NEW met1 ( 110640 12765 ) ( 191760 12765 )
NEW met1 ( 90480 35335 ) ( 93360 35335 )
NEW met2 ( 93360 12395 ) ( 93360 35335 )
NEW met1 ( 93360 12395 ) M1M2_PR
NEW met1 ( 191760 12765 ) M1M2_PR
NEW li1 ( 191760 13875 ) L1M1_PR_MR
NEW met1 ( 191760 13875 ) M1M2_PR
NEW met1 ( 93360 35335 ) M1M2_PR
NEW li1 ( 90480 35335 ) L1M1_PR_MR
NEW met1 ( 191760 13875 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net477 ( ANTENNA_150 DIODE ) ( ANTENNA_149 DIODE ) ( output477 A ) ( _069_ LO )
+ ROUTED met1 ( 137040 253635 ) ( 137520 253635 )
NEW met2 ( 137040 252525 ) ( 137040 253635 )
NEW met1 ( 96240 252525 ) ( 137040 252525 )
NEW met1 ( 94800 32005 ) ( 96240 32005 )
NEW met2 ( 96240 32005 ) ( 96240 252525 )
NEW met1 ( 96240 252525 ) M1M2_PR
NEW li1 ( 137520 253635 ) L1M1_PR_MR
NEW li1 ( 137040 253635 ) L1M1_PR_MR
NEW met1 ( 137040 252525 ) M1M2_PR
NEW met1 ( 137040 253635 ) M1M2_PR
NEW li1 ( 96240 32005 ) L1M1_PR_MR
NEW met1 ( 96240 32005 ) M1M2_PR
NEW li1 ( 94800 32005 ) L1M1_PR_MR
NEW met1 ( 137040 253635 ) RECT ( 0 -70 595 70 )
NEW met1 ( 96240 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net478 ( output478 A ) ( XOR2X1 Y )
+ ROUTED met2 ( 94320 35335 ) ( 94320 142635 )
NEW met2 ( 43205 142635 ) ( 43205 143745 )
NEW met1 ( 43205 142635 ) ( 94320 142635 )
NEW met1 ( 94320 142635 ) M1M2_PR
NEW li1 ( 94320 35335 ) L1M1_PR_MR
NEW met1 ( 94320 35335 ) M1M2_PR
NEW met1 ( 43205 142635 ) M1M2_PR
NEW met1 ( 43205 143745 ) M1M2_PR
NEW met1 ( 94320 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net479 ( ANTENNA_152 DIODE ) ( ANTENNA_151 DIODE ) ( output479 A ) ( _070_ LO )
+ ROUTED met2 ( 180240 275465 ) ( 180240 276945 )
NEW met1 ( 180240 277685 ) ( 180720 277685 )
NEW met2 ( 180240 276945 ) ( 180240 277685 )
NEW met1 ( 100080 275465 ) ( 180240 275465 )
NEW met1 ( 98640 32005 ) ( 100080 32005 )
NEW met2 ( 100080 32005 ) ( 100080 275465 )
NEW met1 ( 100080 275465 ) M1M2_PR
NEW li1 ( 180240 276945 ) L1M1_PR_MR
NEW met1 ( 180240 276945 ) M1M2_PR
NEW met1 ( 180240 275465 ) M1M2_PR
NEW li1 ( 180720 277685 ) L1M1_PR_MR
NEW met1 ( 180240 277685 ) M1M2_PR
NEW li1 ( 100080 32005 ) L1M1_PR_MR
NEW met1 ( 100080 32005 ) M1M2_PR
NEW li1 ( 98640 32005 ) L1M1_PR_MR
NEW met1 ( 180240 276945 ) RECT ( -355 -70 0 70 )
NEW met1 ( 100080 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net480 ( ANTENNA_154 DIODE ) ( ANTENNA_153 DIODE ) ( output480 A ) ( _071_ LO )
+ ROUTED met2 ( 103440 86400 ) ( 103920 86400 )
NEW met2 ( 103920 86400 ) ( 103920 187035 )
NEW met1 ( 173520 187035 ) ( 174480 187035 )
NEW met1 ( 103920 187035 ) ( 173520 187035 )
NEW met1 ( 102480 32745 ) ( 103440 32745 )
NEW met1 ( 103920 32005 ) ( 103920 32745 )
NEW met1 ( 103440 32745 ) ( 103920 32745 )
NEW met2 ( 103440 32745 ) ( 103440 86400 )
NEW met1 ( 103920 187035 ) M1M2_PR
NEW li1 ( 173520 187035 ) L1M1_PR_MR
NEW li1 ( 174480 187035 ) L1M1_PR_MR
NEW li1 ( 102480 32745 ) L1M1_PR_MR
NEW met1 ( 103440 32745 ) M1M2_PR
NEW li1 ( 103920 32005 ) L1M1_PR_MR
+ USE SIGNAL ;
- net481 ( output481 A ) ( _072_ LO )
+ ROUTED met2 ( 180240 82695 ) ( 180240 84545 )
NEW met1 ( 102480 82695 ) ( 180240 82695 )
NEW met1 ( 102000 35335 ) ( 102480 35335 )
NEW met2 ( 102480 35335 ) ( 102480 82695 )
NEW met1 ( 102480 82695 ) M1M2_PR
NEW met1 ( 180240 82695 ) M1M2_PR
NEW li1 ( 180240 84545 ) L1M1_PR_MR
NEW met1 ( 180240 84545 ) M1M2_PR
NEW li1 ( 102000 35335 ) L1M1_PR_MR
NEW met1 ( 102480 35335 ) M1M2_PR
NEW met1 ( 180240 84545 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net482 ( ANTENNA_156 DIODE ) ( ANTENNA_155 DIODE ) ( output482 A ) ( _073_ LO )
+ ROUTED met2 ( 177360 202575 ) ( 177360 203685 )
NEW met1 ( 177360 204425 ) ( 178320 204425 )
NEW met2 ( 177360 203685 ) ( 177360 204425 )
NEW met1 ( 107760 202575 ) ( 177360 202575 )
NEW met1 ( 106320 32005 ) ( 107760 32005 )
NEW met2 ( 107760 32005 ) ( 107760 202575 )
NEW met1 ( 107760 202575 ) M1M2_PR
NEW li1 ( 177360 203685 ) L1M1_PR_MR
NEW met1 ( 177360 203685 ) M1M2_PR
NEW met1 ( 177360 202575 ) M1M2_PR
NEW li1 ( 178320 204425 ) L1M1_PR_MR
NEW met1 ( 177360 204425 ) M1M2_PR
NEW li1 ( 107760 32005 ) L1M1_PR_MR
NEW met1 ( 107760 32005 ) M1M2_PR
NEW li1 ( 106320 32005 ) L1M1_PR_MR
NEW met1 ( 177360 203685 ) RECT ( -355 -70 0 70 )
NEW met1 ( 107760 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net483 ( output483 A ) ( _060_ LO )
+ ROUTED met1 ( 177360 211085 ) ( 177360 211455 )
NEW met1 ( 68880 211455 ) ( 177360 211455 )
NEW met2 ( 247920 211085 ) ( 247920 213675 )
NEW met1 ( 177360 211085 ) ( 247920 211085 )
NEW met1 ( 68400 35335 ) ( 68880 35335 )
NEW met2 ( 68880 35335 ) ( 68880 211455 )
NEW met1 ( 68880 211455 ) M1M2_PR
NEW met1 ( 247920 211085 ) M1M2_PR
NEW li1 ( 247920 213675 ) L1M1_PR_MR
NEW met1 ( 247920 213675 ) M1M2_PR
NEW li1 ( 68400 35335 ) L1M1_PR_MR
NEW met1 ( 68880 35335 ) M1M2_PR
NEW met1 ( 247920 213675 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net484 ( output484 A ) ( _074_ LO )
+ ROUTED met2 ( 236400 61605 ) ( 236400 91205 )
NEW met2 ( 105840 35335 ) ( 105840 61605 )
NEW met1 ( 105840 61605 ) ( 236400 61605 )
NEW li1 ( 236400 91205 ) L1M1_PR_MR
NEW met1 ( 236400 91205 ) M1M2_PR
NEW met1 ( 236400 61605 ) M1M2_PR
NEW li1 ( 105840 35335 ) L1M1_PR_MR
NEW met1 ( 105840 35335 ) M1M2_PR
NEW met1 ( 105840 61605 ) M1M2_PR
NEW met1 ( 236400 91205 ) RECT ( -355 -70 0 70 )
NEW met1 ( 105840 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net485 ( ANTENNA_158 DIODE ) ( ANTENNA_157 DIODE ) ( output485 A ) ( _075_ LO )
+ ROUTED met1 ( 142320 266955 ) ( 142800 266955 )
NEW met2 ( 142800 43845 ) ( 142800 266955 )
NEW met2 ( 104880 37925 ) ( 104880 43845 )
NEW met1 ( 103440 37925 ) ( 104880 37925 )
NEW met1 ( 104880 43845 ) ( 142800 43845 )
NEW met1 ( 142800 43845 ) M1M2_PR
NEW li1 ( 142800 266955 ) L1M1_PR_MR
NEW met1 ( 142800 266955 ) M1M2_PR
NEW li1 ( 142320 266955 ) L1M1_PR_MR
NEW li1 ( 104880 37925 ) L1M1_PR_MR
NEW met1 ( 104880 37925 ) M1M2_PR
NEW met1 ( 104880 43845 ) M1M2_PR
NEW li1 ( 103440 37925 ) L1M1_PR_MR
NEW met1 ( 142800 266955 ) RECT ( -355 -70 0 70 )
NEW met1 ( 104880 37925 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net486 ( output486 A ) ( _076_ LO )
+ ROUTED met2 ( 234000 46065 ) ( 234000 47175 )
NEW met2 ( 109680 35335 ) ( 109680 46065 )
NEW met1 ( 109680 46065 ) ( 234000 46065 )
NEW met1 ( 234000 46065 ) M1M2_PR
NEW li1 ( 234000 47175 ) L1M1_PR_MR
NEW met1 ( 234000 47175 ) M1M2_PR
NEW li1 ( 109680 35335 ) L1M1_PR_MR
NEW met1 ( 109680 35335 ) M1M2_PR
NEW met1 ( 109680 46065 ) M1M2_PR
NEW met1 ( 234000 47175 ) RECT ( -355 -70 0 70 )
NEW met1 ( 109680 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net487 ( ANTENNA_160 DIODE ) ( ANTENNA_159 DIODE ) ( output487 A ) ( _077_ LO )
+ ROUTED met1 ( 213360 193695 ) ( 214320 193695 )
NEW met1 ( 187200 193695 ) ( 213360 193695 )
NEW met1 ( 150000 193695 ) ( 150000 194065 )
NEW met1 ( 150000 194065 ) ( 187200 194065 )
NEW met1 ( 187200 193695 ) ( 187200 194065 )
NEW met1 ( 114000 193695 ) ( 150000 193695 )
NEW met1 ( 113520 32745 ) ( 114000 32745 )
NEW met1 ( 114960 32005 ) ( 114960 32745 )
NEW met1 ( 114000 32745 ) ( 114960 32745 )
NEW met2 ( 114000 32745 ) ( 114000 193695 )
NEW met1 ( 114000 193695 ) M1M2_PR
NEW li1 ( 213360 193695 ) L1M1_PR_MR
NEW li1 ( 214320 193695 ) L1M1_PR_MR
NEW li1 ( 113520 32745 ) L1M1_PR_MR
NEW met1 ( 114000 32745 ) M1M2_PR
NEW li1 ( 114960 32005 ) L1M1_PR_MR
+ USE SIGNAL ;
- net488 ( ANTENNA_162 DIODE ) ( ANTENNA_161 DIODE ) ( output488 A ) ( _078_ LO )
+ ROUTED met1 ( 190800 207015 ) ( 191280 207015 )
NEW met1 ( 113520 207015 ) ( 190800 207015 )
NEW met1 ( 112080 35335 ) ( 113520 35335 )
NEW met2 ( 113520 35335 ) ( 113520 207015 )
NEW met1 ( 113520 207015 ) M1M2_PR
NEW li1 ( 191280 207015 ) L1M1_PR_MR
NEW li1 ( 190800 207015 ) L1M1_PR_MR
NEW li1 ( 113520 35335 ) L1M1_PR_MR
NEW met1 ( 113520 35335 ) M1M2_PR
NEW li1 ( 112080 35335 ) L1M1_PR_MR
NEW met1 ( 113520 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net489 ( output489 A ) ( _079_ LO )
+ ROUTED met1 ( 108240 73815 ) ( 118800 73815 )
NEW met2 ( 118800 32005 ) ( 118800 73815 )
NEW li1 ( 118800 32005 ) L1M1_PR_MR
NEW met1 ( 118800 32005 ) M1M2_PR
NEW met1 ( 118800 73815 ) M1M2_PR
NEW li1 ( 108240 73815 ) L1M1_PR_MR
NEW met1 ( 118800 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net490 ( output490 A ) ( _080_ LO )
+ ROUTED met2 ( 117360 35335 ) ( 117360 141895 )
NEW met1 ( 30960 141895 ) ( 117360 141895 )
NEW li1 ( 117360 35335 ) L1M1_PR_MR
NEW met1 ( 117360 35335 ) M1M2_PR
NEW met1 ( 117360 141895 ) M1M2_PR
NEW li1 ( 30960 141895 ) L1M1_PR_MR
NEW met1 ( 117360 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net491 ( output491 A ) ( _081_ LO )
+ ROUTED met1 ( 122160 32005 ) ( 122640 32005 )
NEW met1 ( 97200 97865 ) ( 122160 97865 )
NEW met2 ( 122160 32005 ) ( 122160 97865 )
NEW li1 ( 122640 32005 ) L1M1_PR_MR
NEW met1 ( 122160 32005 ) M1M2_PR
NEW met1 ( 122160 97865 ) M1M2_PR
NEW li1 ( 97200 97865 ) L1M1_PR_MR
+ USE SIGNAL ;
- net492 ( ANTENNA_164 DIODE ) ( ANTENNA_163 DIODE ) ( output492 A ) ( _082_ LO )
+ ROUTED met1 ( 18960 204425 ) ( 20400 204425 )
NEW met1 ( 117840 35335 ) ( 119280 35335 )
NEW met1 ( 119280 35335 ) ( 120720 35335 )
NEW met2 ( 117840 35335 ) ( 117840 204055 )
NEW met1 ( 20400 204425 ) ( 36000 204425 )
NEW met1 ( 36000 204055 ) ( 36000 204425 )
NEW met1 ( 36000 204055 ) ( 117840 204055 )
NEW li1 ( 20400 204425 ) L1M1_PR_MR
NEW li1 ( 18960 204425 ) L1M1_PR_MR
NEW li1 ( 119280 35335 ) L1M1_PR_MR
NEW met1 ( 117840 35335 ) M1M2_PR
NEW li1 ( 120720 35335 ) L1M1_PR_MR
NEW met1 ( 117840 204055 ) M1M2_PR
+ USE SIGNAL ;
- net493 ( output493 A ) ( _083_ LO )
+ ROUTED met1 ( 119280 38665 ) ( 119760 38665 )
NEW met2 ( 119280 38665 ) ( 119280 117845 )
NEW met1 ( 119280 117845 ) ( 225360 117845 )
NEW met1 ( 119280 117845 ) M1M2_PR
NEW li1 ( 225360 117845 ) L1M1_PR_MR
NEW li1 ( 119760 38665 ) L1M1_PR_MR
NEW met1 ( 119280 38665 ) M1M2_PR
+ USE SIGNAL ;
- net494 ( output494 A ) ( _061_ LO )
+ ROUTED met1 ( 73680 140415 ) ( 186000 140415 )
NEW met2 ( 73680 32005 ) ( 73680 140415 )
NEW met1 ( 73680 140415 ) M1M2_PR
NEW li1 ( 186000 140415 ) L1M1_PR_MR
NEW li1 ( 73680 32005 ) L1M1_PR_MR
NEW met1 ( 73680 32005 ) M1M2_PR
NEW met1 ( 73680 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net495 ( output495 A ) ( _084_ LO )
+ ROUTED met2 ( 123600 38665 ) ( 123600 66045 )
NEW met2 ( 274320 66045 ) ( 274320 67155 )
NEW met1 ( 123600 66045 ) ( 274320 66045 )
NEW li1 ( 123600 38665 ) L1M1_PR_MR
NEW met1 ( 123600 38665 ) M1M2_PR
NEW met1 ( 123600 66045 ) M1M2_PR
NEW met1 ( 274320 66045 ) M1M2_PR
NEW li1 ( 274320 67155 ) L1M1_PR_MR
NEW met1 ( 274320 67155 ) M1M2_PR
NEW met1 ( 123600 38665 ) RECT ( -355 -70 0 70 )
NEW met1 ( 274320 67155 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net496 ( output496 A ) ( _085_ LO )
+ ROUTED met2 ( 128400 35335 ) ( 128400 65305 )
NEW met2 ( 50640 65305 ) ( 50640 67155 )
NEW met1 ( 50640 65305 ) ( 128400 65305 )
NEW li1 ( 128400 35335 ) L1M1_PR_MR
NEW met1 ( 128400 35335 ) M1M2_PR
NEW met1 ( 128400 65305 ) M1M2_PR
NEW met1 ( 50640 65305 ) M1M2_PR
NEW li1 ( 50640 67155 ) L1M1_PR_MR
NEW met1 ( 50640 67155 ) M1M2_PR
NEW met1 ( 128400 35335 ) RECT ( -355 -70 0 70 )
NEW met1 ( 50640 67155 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net497 ( output497 A ) ( _086_ LO )
+ ROUTED met2 ( 127440 38665 ) ( 127440 59015 )
NEW met1 ( 187200 59015 ) ( 187200 59385 )
NEW met1 ( 127440 59015 ) ( 187200 59015 )
NEW met2 ( 241680 59385 ) ( 241680 60495 )
NEW met1 ( 187200 59385 ) ( 241680 59385 )
NEW li1 ( 127440 38665 ) L1M1_PR_MR
NEW met1 ( 127440 38665 ) M1M2_PR
NEW met1 ( 127440 59015 ) M1M2_PR
NEW met1 ( 241680 59385 ) M1M2_PR
NEW li1 ( 241680 60495 ) L1M1_PR_MR
NEW met1 ( 241680 60495 ) M1M2_PR
NEW met1 ( 127440 38665 ) RECT ( -355 -70 0 70 )
NEW met1 ( 241680 60495 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net498 ( output498 A ) ( _087_ LO )
+ ROUTED met2 ( 132240 35335 ) ( 132240 131165 )
NEW met1 ( 132240 131165 ) ( 194160 131165 )
NEW li1 ( 132240 35335 ) L1M1_PR_MR
NEW met1 ( 132240 35335 ) M1M2_PR
NEW met1 ( 132240 131165 ) M1M2_PR
NEW li1 ( 194160 131165 ) L1M1_PR_MR
NEW met1 ( 132240 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net499 ( ANTENNA_166 DIODE ) ( ANTENNA_165 DIODE ) ( output499 A ) ( _088_ LO )
+ ROUTED met1 ( 129840 37925 ) ( 131280 37925 )
NEW met2 ( 131280 37925 ) ( 131280 38665 )
NEW met2 ( 131280 38665 ) ( 131280 197025 )
NEW met1 ( 131280 197025 ) ( 136800 197025 )
NEW met1 ( 139920 197765 ) ( 140400 197765 )
NEW met1 ( 136800 197025 ) ( 136800 197765 )
NEW met1 ( 136800 197765 ) ( 139920 197765 )
NEW met1 ( 131280 197025 ) M1M2_PR
NEW li1 ( 131280 38665 ) L1M1_PR_MR
NEW met1 ( 131280 38665 ) M1M2_PR
NEW li1 ( 129840 37925 ) L1M1_PR_MR
NEW met1 ( 131280 37925 ) M1M2_PR
NEW li1 ( 139920 197765 ) L1M1_PR_MR
NEW li1 ( 140400 197765 ) L1M1_PR_MR
NEW met1 ( 131280 38665 ) RECT ( 0 -70 355 70 )
+ USE SIGNAL ;
- net500 ( output500 A ) ( _089_ LO )
+ ROUTED met2 ( 136080 35335 ) ( 136080 50875 )
NEW met1 ( 231600 50875 ) ( 231600 51245 )
NEW met1 ( 136080 50875 ) ( 231600 50875 )
NEW li1 ( 136080 35335 ) L1M1_PR_MR
NEW met1 ( 136080 35335 ) M1M2_PR
NEW met1 ( 136080 50875 ) M1M2_PR
NEW li1 ( 231600 51245 ) L1M1_PR_MR
NEW met1 ( 136080 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net501 ( output501 A ) ( _090_ LO )
+ ROUTED met1 ( 141360 32005 ) ( 141360 32375 )
NEW met1 ( 141360 32375 ) ( 173040 32375 )
NEW met1 ( 173040 72335 ) ( 175440 72335 )
NEW met2 ( 173040 32375 ) ( 173040 72335 )
NEW li1 ( 141360 32005 ) L1M1_PR_MR
NEW met1 ( 173040 32375 ) M1M2_PR
NEW met1 ( 173040 72335 ) M1M2_PR
NEW li1 ( 175440 72335 ) L1M1_PR_MR
+ USE SIGNAL ;
- net502 ( ANTENNA_168 DIODE ) ( ANTENNA_167 DIODE ) ( output502 A ) ( _091_ LO )
+ ROUTED met1 ( 133680 38665 ) ( 134640 38665 )
NEW met2 ( 134640 38665 ) ( 134640 209235 )
NEW met1 ( 80880 211085 ) ( 82320 211085 )
NEW met2 ( 82320 209235 ) ( 82320 211085 )
NEW met1 ( 82320 209235 ) ( 134640 209235 )
NEW met1 ( 134640 209235 ) M1M2_PR
NEW li1 ( 134640 38665 ) L1M1_PR_MR
NEW met1 ( 134640 38665 ) M1M2_PR
NEW li1 ( 133680 38665 ) L1M1_PR_MR
NEW li1 ( 82320 211085 ) L1M1_PR_MR
NEW li1 ( 80880 211085 ) L1M1_PR_MR
NEW met1 ( 82320 209235 ) M1M2_PR
NEW met1 ( 82320 211085 ) M1M2_PR
NEW met1 ( 134640 38665 ) RECT ( -355 -70 0 70 )
NEW met1 ( 82320 211085 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- net503 ( output503 A ) ( _092_ LO )
+ ROUTED met2 ( 72240 102675 ) ( 72240 104525 )
NEW met1 ( 72240 102675 ) ( 139920 102675 )
NEW met2 ( 139920 35335 ) ( 139920 102675 )
NEW met1 ( 56400 104525 ) ( 72240 104525 )
NEW met1 ( 72240 102675 ) M1M2_PR
NEW met1 ( 72240 104525 ) M1M2_PR
NEW li1 ( 139920 35335 ) L1M1_PR_MR
NEW met1 ( 139920 35335 ) M1M2_PR
NEW met1 ( 139920 102675 ) M1M2_PR
NEW li1 ( 56400 104525 ) L1M1_PR_MR
NEW met1 ( 139920 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net504 ( output504 A ) ( _093_ LO )
+ ROUTED met2 ( 199440 99345 ) ( 199440 100455 )
NEW met1 ( 145200 99345 ) ( 199440 99345 )
NEW met2 ( 145200 32005 ) ( 145200 99345 )
NEW met1 ( 199440 99345 ) M1M2_PR
NEW li1 ( 199440 100455 ) L1M1_PR_MR
NEW met1 ( 199440 100455 ) M1M2_PR
NEW li1 ( 145200 32005 ) L1M1_PR_MR
NEW met1 ( 145200 32005 ) M1M2_PR
NEW met1 ( 145200 99345 ) M1M2_PR
NEW met1 ( 199440 100455 ) RECT ( -355 -70 0 70 )
NEW met1 ( 145200 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net505 ( output505 A ) ( NAND3X1 Y )
+ ROUTED met2 ( 77520 32005 ) ( 77520 118955 )
NEW met1 ( 41040 118955 0 ) ( 41040 119325 )
NEW met1 ( 41040 119325 ) ( 42480 119325 )
NEW met1 ( 42480 118955 ) ( 42480 119325 )
NEW met1 ( 42480 118955 ) ( 77520 118955 )
NEW met1 ( 77520 118955 ) M1M2_PR
NEW li1 ( 77520 32005 ) L1M1_PR_MR
NEW met1 ( 77520 32005 ) M1M2_PR
NEW met1 ( 77520 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net506 ( output506 A ) ( _094_ LO )
+ ROUTED met1 ( 66000 158545 ) ( 143760 158545 )
NEW met2 ( 143760 35335 ) ( 143760 158545 )
NEW li1 ( 143760 35335 ) L1M1_PR_MR
NEW met1 ( 143760 35335 ) M1M2_PR
NEW li1 ( 66000 158545 ) L1M1_PR_MR
NEW met1 ( 143760 158545 ) M1M2_PR
NEW met1 ( 143760 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net507 ( output507 A ) ( _095_ LO )
+ ROUTED met2 ( 141840 38665 ) ( 141840 72335 )
NEW met1 ( 141840 72335 ) ( 155760 72335 )
NEW met1 ( 155760 72335 ) ( 155760 72705 )
NEW met1 ( 155760 72705 ) ( 289200 72705 )
NEW li1 ( 289200 72705 ) L1M1_PR_MR
NEW li1 ( 141840 38665 ) L1M1_PR_MR
NEW met1 ( 141840 38665 ) M1M2_PR
NEW met1 ( 141840 72335 ) M1M2_PR
NEW met1 ( 141840 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net508 ( output508 A ) ( _096_ LO )
+ ROUTED met1 ( 147600 197765 ) ( 158640 197765 )
NEW met2 ( 147600 35335 ) ( 147600 197765 )
NEW li1 ( 147600 35335 ) L1M1_PR_MR
NEW met1 ( 147600 35335 ) M1M2_PR
NEW met1 ( 147600 197765 ) M1M2_PR
NEW li1 ( 158640 197765 ) L1M1_PR_MR
NEW met1 ( 147600 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net509 ( ANTENNA_170 DIODE ) ( ANTENNA_169 DIODE ) ( output509 A ) ( _097_ LO )
+ ROUTED met1 ( 151920 32005 ) ( 153360 32005 )
NEW met1 ( 259440 224405 ) ( 260400 224405 )
NEW met1 ( 153360 224405 ) ( 259440 224405 )
NEW met2 ( 153360 32005 ) ( 153360 224405 )
NEW li1 ( 153360 32005 ) L1M1_PR_MR
NEW met1 ( 153360 32005 ) M1M2_PR
NEW li1 ( 151920 32005 ) L1M1_PR_MR
NEW met1 ( 153360 224405 ) M1M2_PR
NEW li1 ( 259440 224405 ) L1M1_PR_MR
NEW li1 ( 260400 224405 ) L1M1_PR_MR
NEW met1 ( 153360 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net510 ( ANTENNA_172 DIODE ) ( ANTENNA_171 DIODE ) ( output510 A ) ( _098_ LO )
+ ROUTED met1 ( 147120 202205 ) ( 177840 202205 )
NEW met2 ( 177840 202205 ) ( 177840 203685 )
NEW met1 ( 177840 203685 ) ( 237600 203685 )
NEW met1 ( 239760 204425 ) ( 240240 204425 )
NEW met1 ( 237600 203685 ) ( 237600 204425 )
NEW met1 ( 237600 204425 ) ( 239760 204425 )
NEW met1 ( 145680 38665 ) ( 147120 38665 )
NEW met2 ( 147120 38665 ) ( 147120 202205 )
NEW met1 ( 147120 202205 ) M1M2_PR
NEW met1 ( 177840 202205 ) M1M2_PR
NEW met1 ( 177840 203685 ) M1M2_PR
NEW li1 ( 239760 204425 ) L1M1_PR_MR
NEW li1 ( 240240 204425 ) L1M1_PR_MR
NEW li1 ( 147120 38665 ) L1M1_PR_MR
NEW met1 ( 147120 38665 ) M1M2_PR
NEW li1 ( 145680 38665 ) L1M1_PR_MR
NEW met1 ( 147120 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net511 ( ANTENNA_174 DIODE ) ( ANTENNA_173 DIODE ) ( output511 A ) ( _099_ LO )
+ ROUTED met1 ( 116880 244385 ) ( 118320 244385 )
NEW met1 ( 149520 38295 ) ( 150000 38295 )
NEW met1 ( 150000 38295 ) ( 150480 38295 )
NEW met1 ( 118320 244385 ) ( 150000 244385 )
NEW met2 ( 150000 38295 ) ( 150000 244385 )
NEW li1 ( 118320 244385 ) L1M1_PR_MR
NEW li1 ( 116880 244385 ) L1M1_PR_MR
NEW li1 ( 149520 38295 ) L1M1_PR_MR
NEW met1 ( 150000 38295 ) M1M2_PR
NEW li1 ( 150480 38295 ) L1M1_PR_MR
NEW met1 ( 150000 244385 ) M1M2_PR
+ USE SIGNAL ;
- net512 ( ANTENNA_176 DIODE ) ( ANTENNA_175 DIODE ) ( output512 A ) ( _100_ LO )
+ ROUTED met1 ( 153360 35335 ) ( 154320 35335 )
NEW met1 ( 35760 264365 ) ( 154320 264365 )
NEW met2 ( 154320 35335 ) ( 154320 264365 )
NEW li1 ( 35760 264365 ) L1M1_PR_MR
NEW li1 ( 154320 35335 ) L1M1_PR_MR
NEW met1 ( 154320 35335 ) M1M2_PR
NEW li1 ( 153360 35335 ) L1M1_PR_MR
NEW li1 ( 37200 264365 ) L1M1_PR_MR
NEW met1 ( 154320 264365 ) M1M2_PR
NEW met1 ( 154320 35335 ) RECT ( -355 -70 0 70 )
NEW met1 ( 37200 264365 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- net513 ( output513 A ) ( _101_ LO )
+ ROUTED met1 ( 161040 31635 ) ( 175440 31635 )
NEW met1 ( 175440 31635 ) ( 175440 32005 )
NEW met1 ( 175440 32005 ) ( 253680 32005 )
NEW met1 ( 253680 40515 ) ( 254640 40515 )
NEW met2 ( 253680 32005 ) ( 253680 40515 )
NEW li1 ( 161040 31635 ) L1M1_PR_MR
NEW met1 ( 253680 32005 ) M1M2_PR
NEW met1 ( 253680 40515 ) M1M2_PR
NEW li1 ( 254640 40515 ) L1M1_PR_MR
+ USE SIGNAL ;
- net514 ( ANTENNA_178 DIODE ) ( ANTENNA_177 DIODE ) ( output514 A ) ( _102_ LO )
+ ROUTED met1 ( 157200 35335 ) ( 158640 35335 )
NEW met2 ( 270960 225885 ) ( 270960 226995 )
NEW met1 ( 270960 226995 ) ( 271920 226995 )
NEW met1 ( 158640 225885 ) ( 270960 225885 )
NEW met2 ( 158640 35335 ) ( 158640 225885 )
NEW li1 ( 158640 35335 ) L1M1_PR_MR
NEW met1 ( 158640 35335 ) M1M2_PR
NEW li1 ( 157200 35335 ) L1M1_PR_MR
NEW met1 ( 158640 225885 ) M1M2_PR
NEW li1 ( 270960 226995 ) L1M1_PR_MR
NEW met1 ( 270960 226995 ) M1M2_PR
NEW met1 ( 270960 225885 ) M1M2_PR
NEW li1 ( 271920 226995 ) L1M1_PR_MR
NEW met1 ( 158640 35335 ) RECT ( -355 -70 0 70 )
NEW met1 ( 270960 226995 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net515 ( output515 A ) ( _103_ LO )
+ ROUTED met2 ( 156240 38665 ) ( 156240 147445 )
NEW met1 ( 37200 147445 ) ( 156240 147445 )
NEW li1 ( 156240 38665 ) L1M1_PR_MR
NEW met1 ( 156240 38665 ) M1M2_PR
NEW met1 ( 156240 147445 ) M1M2_PR
NEW li1 ( 37200 147445 ) L1M1_PR_MR
NEW met1 ( 156240 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net516 ( output516 A ) ( _062_ LO )
+ ROUTED met2 ( 75600 35335 ) ( 75600 40515 )
NEW li1 ( 75600 35335 ) L1M1_PR_MR
NEW met1 ( 75600 35335 ) M1M2_PR
NEW li1 ( 75600 40515 ) L1M1_PR_MR
NEW met1 ( 75600 40515 ) M1M2_PR
NEW met1 ( 75600 35335 ) RECT ( -355 -70 0 70 )
NEW met1 ( 75600 40515 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net517 ( output517 A ) ( _104_ LO )
+ ROUTED met2 ( 162480 32745 ) ( 162480 34595 )
NEW met1 ( 148560 32745 ) ( 162480 32745 )
NEW met1 ( 148080 80475 ) ( 148560 80475 )
NEW met2 ( 148560 32745 ) ( 148560 80475 )
NEW li1 ( 162480 34595 ) L1M1_PR_MR
NEW met1 ( 162480 34595 ) M1M2_PR
NEW met1 ( 162480 32745 ) M1M2_PR
NEW met1 ( 148560 32745 ) M1M2_PR
NEW met1 ( 148560 80475 ) M1M2_PR
NEW li1 ( 148080 80475 ) L1M1_PR_MR
NEW met1 ( 162480 34595 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net518 ( output518 A ) ( _105_ LO )
+ ROUTED met1 ( 144240 31265 ) ( 167760 31265 )
NEW met2 ( 144240 31265 ) ( 144240 37555 )
NEW met1 ( 101520 37555 ) ( 101520 37925 )
NEW met1 ( 101520 37555 ) ( 144240 37555 )
NEW li1 ( 167760 31265 ) L1M1_PR_MR
NEW met1 ( 144240 31265 ) M1M2_PR
NEW met1 ( 144240 37555 ) M1M2_PR
NEW li1 ( 101520 37925 ) L1M1_PR_MR
+ USE SIGNAL ;
- net519 ( ANTENNA_180 DIODE ) ( ANTENNA_179 DIODE ) ( output519 A ) ( _106_ LO )
+ ROUTED met1 ( 164880 35335 ) ( 166320 35335 )
NEW met1 ( 166320 233655 ) ( 167760 233655 )
NEW met1 ( 167760 233655 ) ( 168720 233655 )
NEW met2 ( 166320 35335 ) ( 166320 233655 )
NEW li1 ( 166320 35335 ) L1M1_PR_MR
NEW met1 ( 166320 35335 ) M1M2_PR
NEW li1 ( 164880 35335 ) L1M1_PR_MR
NEW li1 ( 167760 233655 ) L1M1_PR_MR
NEW met1 ( 166320 233655 ) M1M2_PR
NEW li1 ( 168720 233655 ) L1M1_PR_MR
NEW met1 ( 166320 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net520 ( output520 A ) ( _107_ LO )
+ ROUTED met1 ( 217200 15355 ) ( 218640 15355 )
NEW met1 ( 217200 15355 ) ( 217200 15725 )
NEW met1 ( 215760 15725 ) ( 217200 15725 )
NEW met2 ( 215760 15725 ) ( 215760 31265 )
NEW met1 ( 187200 31265 ) ( 215760 31265 )
NEW met1 ( 187200 30895 ) ( 187200 31265 )
NEW met1 ( 171600 30895 ) ( 187200 30895 )
NEW met1 ( 171600 30895 ) ( 171600 31265 )
NEW li1 ( 218640 15355 ) L1M1_PR_MR
NEW met1 ( 215760 15725 ) M1M2_PR
NEW met1 ( 215760 31265 ) M1M2_PR
NEW li1 ( 171600 31265 ) L1M1_PR_MR
+ USE SIGNAL ;
- net521 ( output521 A ) ( _108_ LO )
+ ROUTED met1 ( 170160 35335 ) ( 170640 35335 )
NEW met2 ( 170640 35335 ) ( 170640 36000 )
NEW met2 ( 170640 36000 ) ( 171120 36000 )
NEW met2 ( 171120 36000 ) ( 171120 58645 )
NEW met1 ( 171120 58645 ) ( 260880 58645 )
NEW li1 ( 170160 35335 ) L1M1_PR_MR
NEW met1 ( 170640 35335 ) M1M2_PR
NEW met1 ( 171120 58645 ) M1M2_PR
NEW li1 ( 260880 58645 ) L1M1_PR_MR
+ USE SIGNAL ;
- net522 ( ANTENNA_182 DIODE ) ( ANTENNA_181 DIODE ) ( output522 A ) ( _109_ LO )
+ ROUTED met1 ( 109200 213675 ) ( 109680 213675 )
NEW met2 ( 109680 210715 ) ( 109680 213675 )
NEW met1 ( 107760 213675 ) ( 109200 213675 )
NEW met1 ( 174000 32745 ) ( 174480 32745 )
NEW met1 ( 174960 32005 ) ( 174960 32745 )
NEW met1 ( 174480 32745 ) ( 174960 32745 )
NEW met1 ( 109680 210715 ) ( 136800 210715 )
NEW met1 ( 136800 211085 ) ( 174480 211085 )
NEW met1 ( 136800 210715 ) ( 136800 211085 )
NEW met2 ( 174480 32745 ) ( 174480 211085 )
NEW li1 ( 109200 213675 ) L1M1_PR_MR
NEW met1 ( 109680 213675 ) M1M2_PR
NEW met1 ( 109680 210715 ) M1M2_PR
NEW li1 ( 107760 213675 ) L1M1_PR_MR
NEW li1 ( 174000 32745 ) L1M1_PR_MR
NEW met1 ( 174480 32745 ) M1M2_PR
NEW li1 ( 174960 32005 ) L1M1_PR_MR
NEW met1 ( 174480 211085 ) M1M2_PR
+ USE SIGNAL ;
- net523 ( ANTENNA_183 DIODE ) ( output523 A ) ( _110_ LO )
+ ROUTED met2 ( 14160 72705 ) ( 14160 74555 )
NEW met1 ( 166800 38665 ) ( 167280 38665 )
NEW met2 ( 166800 38665 ) ( 166800 74555 )
NEW met1 ( 167280 38665 ) ( 168720 38665 )
NEW met1 ( 14160 74555 ) ( 166800 74555 )
NEW li1 ( 14160 72705 ) L1M1_PR_MR
NEW met1 ( 14160 72705 ) M1M2_PR
NEW met1 ( 14160 74555 ) M1M2_PR
NEW li1 ( 167280 38665 ) L1M1_PR_MR
NEW met1 ( 166800 38665 ) M1M2_PR
NEW met1 ( 166800 74555 ) M1M2_PR
NEW li1 ( 168720 38665 ) L1M1_PR_MR
NEW met1 ( 14160 72705 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net524 ( output524 A ) ( _111_ LO )
+ ROUTED met2 ( 174000 35335 ) ( 174000 97125 )
NEW li1 ( 49200 97125 ) ( 49200 97865 )
NEW met1 ( 48720 97865 ) ( 49200 97865 )
NEW met1 ( 49200 97125 ) ( 174000 97125 )
NEW li1 ( 174000 35335 ) L1M1_PR_MR
NEW met1 ( 174000 35335 ) M1M2_PR
NEW met1 ( 174000 97125 ) M1M2_PR
NEW li1 ( 49200 97125 ) L1M1_PR_MR
NEW li1 ( 49200 97865 ) L1M1_PR_MR
NEW li1 ( 48720 97865 ) L1M1_PR_MR
NEW met1 ( 174000 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net525 ( ANTENNA_185 DIODE ) ( ANTENNA_184 DIODE ) ( output525 A ) ( _112_ LO )
+ ROUTED met2 ( 57360 211825 ) ( 57360 213675 )
NEW met1 ( 55920 213675 ) ( 57360 213675 )
NEW met1 ( 162000 209235 ) ( 172560 209235 )
NEW met2 ( 162000 209235 ) ( 162000 211825 )
NEW met1 ( 57360 211825 ) ( 162000 211825 )
NEW met1 ( 171600 38665 ) ( 172560 38665 )
NEW met2 ( 172560 38665 ) ( 172560 209235 )
NEW li1 ( 57360 213675 ) L1M1_PR_MR
NEW met1 ( 57360 213675 ) M1M2_PR
NEW met1 ( 57360 211825 ) M1M2_PR
NEW li1 ( 55920 213675 ) L1M1_PR_MR
NEW met1 ( 172560 209235 ) M1M2_PR
NEW met1 ( 162000 209235 ) M1M2_PR
NEW met1 ( 162000 211825 ) M1M2_PR
NEW li1 ( 172560 38665 ) L1M1_PR_MR
NEW met1 ( 172560 38665 ) M1M2_PR
NEW li1 ( 171600 38665 ) L1M1_PR_MR
NEW met1 ( 57360 213675 ) RECT ( -355 -70 0 70 )
NEW met1 ( 172560 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net526 ( output526 A ) ( _113_ LO )
+ ROUTED met2 ( 254640 125985 ) ( 254640 127095 )
NEW met1 ( 176880 125985 ) ( 254640 125985 )
NEW met2 ( 176880 38665 ) ( 176880 125985 )
NEW met1 ( 176880 125985 ) M1M2_PR
NEW met1 ( 254640 125985 ) M1M2_PR
NEW li1 ( 254640 127095 ) L1M1_PR_MR
NEW met1 ( 254640 127095 ) M1M2_PR
NEW li1 ( 176880 38665 ) L1M1_PR_MR
NEW met1 ( 176880 38665 ) M1M2_PR
NEW met1 ( 254640 127095 ) RECT ( -355 -70 0 70 )
NEW met1 ( 176880 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net527 ( ANTENNA_187 DIODE ) ( ANTENNA_186 DIODE ) ( output527 A ) ( _063_ LO )
+ ROUTED met1 ( 81360 277315 ) ( 237600 277315 )
NEW met1 ( 237600 278055 ) ( 278160 278055 )
NEW met1 ( 237600 277315 ) ( 237600 278055 )
NEW met1 ( 278160 278055 ) ( 279120 278055 )
NEW met1 ( 79920 32005 ) ( 81360 32005 )
NEW met2 ( 81360 32005 ) ( 81360 277315 )
NEW met1 ( 81360 277315 ) M1M2_PR
NEW li1 ( 278160 278055 ) L1M1_PR_MR
NEW li1 ( 279120 278055 ) L1M1_PR_MR
NEW li1 ( 81360 32005 ) L1M1_PR_MR
NEW met1 ( 81360 32005 ) M1M2_PR
NEW li1 ( 79920 32005 ) L1M1_PR_MR
NEW met1 ( 81360 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net528 ( ANTENNA_189 DIODE ) ( ANTENNA_188 DIODE ) ( output528 A ) ( _114_ LO )
+ ROUTED met1 ( 222000 231435 ) ( 222960 231435 )
NEW met1 ( 179760 35335 ) ( 181200 35335 )
NEW met1 ( 181200 231435 ) ( 222000 231435 )
NEW met2 ( 181200 35335 ) ( 181200 231435 )
NEW li1 ( 222000 231435 ) L1M1_PR_MR
NEW li1 ( 222960 231435 ) L1M1_PR_MR
NEW li1 ( 181200 35335 ) L1M1_PR_MR
NEW met1 ( 181200 35335 ) M1M2_PR
NEW li1 ( 179760 35335 ) L1M1_PR_MR
NEW met1 ( 181200 231435 ) M1M2_PR
NEW met1 ( 181200 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net529 ( output529 A ) ( _115_ LO )
+ ROUTED met2 ( 176880 20535 ) ( 176880 31265 )
NEW met1 ( 176880 31265 ) ( 186480 31265 )
NEW met1 ( 114000 20535 ) ( 176880 20535 )
NEW met1 ( 176880 20535 ) M1M2_PR
NEW met1 ( 176880 31265 ) M1M2_PR
NEW li1 ( 186480 31265 ) L1M1_PR_MR
NEW li1 ( 114000 20535 ) L1M1_PR_MR
+ USE SIGNAL ;
- net530 ( output530 A ) ( _116_ LO )
+ ROUTED met2 ( 180720 38295 ) ( 180720 52725 )
NEW met2 ( 273840 52725 ) ( 273840 53835 )
NEW met1 ( 180720 52725 ) ( 273840 52725 )
NEW li1 ( 180720 38295 ) L1M1_PR_MR
NEW met1 ( 180720 38295 ) M1M2_PR
NEW met1 ( 180720 52725 ) M1M2_PR
NEW met1 ( 273840 52725 ) M1M2_PR
NEW li1 ( 273840 53835 ) L1M1_PR_MR
NEW met1 ( 273840 53835 ) M1M2_PR
NEW met1 ( 180720 38295 ) RECT ( -355 -70 0 70 )
NEW met1 ( 273840 53835 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net531 ( ANTENNA_191 DIODE ) ( ANTENNA_190 DIODE ) ( output531 A ) ( _117_ LO )
+ ROUTED met1 ( 184560 35335 ) ( 185040 35335 )
NEW met1 ( 183600 35335 ) ( 184560 35335 )
NEW met2 ( 265200 205905 ) ( 265200 207015 )
NEW met1 ( 265200 207015 ) ( 266160 207015 )
NEW met1 ( 184560 205905 ) ( 265200 205905 )
NEW met2 ( 184560 35335 ) ( 184560 205905 )
NEW li1 ( 185040 35335 ) L1M1_PR_MR
NEW met1 ( 184560 35335 ) M1M2_PR
NEW li1 ( 183600 35335 ) L1M1_PR_MR
NEW met1 ( 184560 205905 ) M1M2_PR
NEW li1 ( 265200 207015 ) L1M1_PR_MR
NEW met1 ( 265200 207015 ) M1M2_PR
NEW met1 ( 265200 205905 ) M1M2_PR
NEW li1 ( 266160 207015 ) L1M1_PR_MR
NEW met1 ( 265200 207015 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net532 ( ANTENNA_193 DIODE ) ( ANTENNA_192 DIODE ) ( output532 A ) ( _118_ LO )
+ ROUTED met1 ( 183120 38295 ) ( 184080 38295 )
NEW met1 ( 160080 273615 ) ( 184080 273615 )
NEW met1 ( 158640 273615 ) ( 160080 273615 )
NEW met2 ( 184080 38295 ) ( 184080 273615 )
NEW li1 ( 184080 38295 ) L1M1_PR_MR
NEW met1 ( 184080 38295 ) M1M2_PR
NEW li1 ( 183120 38295 ) L1M1_PR_MR
NEW li1 ( 160080 273615 ) L1M1_PR_MR
NEW met1 ( 184080 273615 ) M1M2_PR
NEW li1 ( 158640 273615 ) L1M1_PR_MR
NEW met1 ( 184080 38295 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net533 ( ANTENNA_195 DIODE ) ( ANTENNA_194 DIODE ) ( output533 A ) ( _119_ LO )
+ ROUTED met1 ( 187440 35335 ) ( 188400 35335 )
NEW met2 ( 187440 35335 ) ( 187440 271025 )
NEW met1 ( 173040 271025 ) ( 174480 271025 )
NEW met1 ( 174480 271025 ) ( 187440 271025 )
NEW li1 ( 187440 35335 ) L1M1_PR_MR
NEW met1 ( 187440 35335 ) M1M2_PR
NEW li1 ( 188400 35335 ) L1M1_PR_MR
NEW met1 ( 187440 271025 ) M1M2_PR
NEW li1 ( 174480 271025 ) L1M1_PR_MR
NEW li1 ( 173040 271025 ) L1M1_PR_MR
NEW met1 ( 187440 35335 ) RECT ( 0 -70 355 70 )
+ USE SIGNAL ;
- net534 ( ANTENNA_197 DIODE ) ( ANTENNA_196 DIODE ) ( output534 A ) ( _120_ LO )
+ ROUTED met1 ( 191280 35335 ) ( 192720 35335 )
NEW met2 ( 192720 35335 ) ( 192720 218855 )
NEW met2 ( 270480 218855 ) ( 270480 220335 )
NEW met1 ( 270480 220335 ) ( 271440 220335 )
NEW met1 ( 192720 218855 ) ( 270480 218855 )
NEW li1 ( 192720 35335 ) L1M1_PR_MR
NEW met1 ( 192720 35335 ) M1M2_PR
NEW li1 ( 191280 35335 ) L1M1_PR_MR
NEW met1 ( 192720 218855 ) M1M2_PR
NEW li1 ( 270480 220335 ) L1M1_PR_MR
NEW met1 ( 270480 220335 ) M1M2_PR
NEW met1 ( 270480 218855 ) M1M2_PR
NEW li1 ( 271440 220335 ) L1M1_PR_MR
NEW met1 ( 192720 35335 ) RECT ( -355 -70 0 70 )
NEW met1 ( 270480 220335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net535 ( ANTENNA_198 DIODE ) ( output535 A ) ( _121_ LO )
+ ROUTED met1 ( 194640 35335 ) ( 196080 35335 )
NEW met2 ( 194640 35335 ) ( 194640 89355 )
NEW met2 ( 35760 89355 ) ( 35760 91205 )
NEW met1 ( 35760 89355 ) ( 194640 89355 )
NEW li1 ( 194640 35335 ) L1M1_PR_MR
NEW met1 ( 194640 35335 ) M1M2_PR
NEW li1 ( 196080 35335 ) L1M1_PR_MR
NEW met1 ( 194640 89355 ) M1M2_PR
NEW met1 ( 35760 89355 ) M1M2_PR
NEW li1 ( 35760 91205 ) L1M1_PR_MR
NEW met1 ( 35760 91205 ) M1M2_PR
NEW met1 ( 194640 35335 ) RECT ( -355 -70 0 70 )
NEW met1 ( 35760 91205 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net536 ( output536 A ) ( _122_ LO )
+ ROUTED met2 ( 111120 12395 ) ( 111120 13875 )
NEW met1 ( 188880 37925 ) ( 194160 37925 )
NEW met2 ( 188880 12025 ) ( 188880 37925 )
NEW met1 ( 187200 12025 ) ( 188880 12025 )
NEW met1 ( 187200 12025 ) ( 187200 12395 )
NEW met1 ( 111120 12395 ) ( 187200 12395 )
NEW met1 ( 111120 12395 ) M1M2_PR
NEW li1 ( 111120 13875 ) L1M1_PR_MR
NEW met1 ( 111120 13875 ) M1M2_PR
NEW met1 ( 188880 12025 ) M1M2_PR
NEW met1 ( 188880 37925 ) M1M2_PR
NEW li1 ( 194160 37925 ) L1M1_PR_MR
NEW met1 ( 111120 13875 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net537 ( ANTENNA_200 DIODE ) ( ANTENNA_199 DIODE ) ( output537 A ) ( _123_ LO )
+ ROUTED met1 ( 135120 220335 ) ( 136560 220335 )
NEW met2 ( 136560 218485 ) ( 136560 220335 )
NEW met1 ( 198000 35335 ) ( 198480 35335 )
NEW met1 ( 198480 35335 ) ( 199920 35335 )
NEW met2 ( 198000 35335 ) ( 198000 218485 )
NEW met1 ( 136560 218485 ) ( 198000 218485 )
NEW li1 ( 136560 220335 ) L1M1_PR_MR
NEW li1 ( 135120 220335 ) L1M1_PR_MR
NEW met1 ( 136560 218485 ) M1M2_PR
NEW met1 ( 136560 220335 ) M1M2_PR
NEW li1 ( 198480 35335 ) L1M1_PR_MR
NEW met1 ( 198000 35335 ) M1M2_PR
NEW li1 ( 199920 35335 ) L1M1_PR_MR
NEW met1 ( 198000 218485 ) M1M2_PR
NEW met1 ( 136560 220335 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- net538 ( output538 A ) ( OR2X1 Y )
+ ROUTED met2 ( 79440 35335 ) ( 79440 123765 )
NEW met1 ( 43200 123765 0 ) ( 79440 123765 )
NEW met1 ( 79440 123765 ) M1M2_PR
NEW li1 ( 79440 35335 ) L1M1_PR_MR
NEW met1 ( 79440 35335 ) M1M2_PR
NEW met1 ( 79440 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net539 ( ANTENNA_202 DIODE ) ( ANTENNA_201 DIODE ) ( output539 A ) ( _124_ LO )
+ ROUTED met1 ( 195600 39405 ) ( 196080 39405 )
NEW met1 ( 195600 38295 ) ( 197520 38295 )
NEW met2 ( 195600 38295 ) ( 195600 39405 )
NEW met2 ( 195600 39405 ) ( 195600 177785 )
NEW met1 ( 71760 177785 ) ( 73200 177785 )
NEW met1 ( 73200 177785 ) ( 136800 177785 )
NEW met1 ( 187200 177785 ) ( 195600 177785 )
NEW met1 ( 136800 177415 ) ( 136800 177785 )
NEW met1 ( 136800 177415 ) ( 187200 177415 )
NEW met1 ( 187200 177415 ) ( 187200 177785 )
NEW li1 ( 196080 39405 ) L1M1_PR_MR
NEW met1 ( 195600 39405 ) M1M2_PR
NEW li1 ( 197520 38295 ) L1M1_PR_MR
NEW met1 ( 195600 38295 ) M1M2_PR
NEW met1 ( 195600 177785 ) M1M2_PR
NEW li1 ( 73200 177785 ) L1M1_PR_MR
NEW li1 ( 71760 177785 ) L1M1_PR_MR
+ USE SIGNAL ;
- net540 ( ANTENNA_204 DIODE ) ( ANTENNA_203 DIODE ) ( output540 A ) ( _125_ LO )
+ ROUTED met2 ( 128400 219225 ) ( 128400 220335 )
NEW met1 ( 126960 220335 ) ( 128400 220335 )
NEW met1 ( 205200 31635 ) ( 206160 31635 )
NEW met2 ( 206160 31635 ) ( 206160 219225 )
NEW met1 ( 128400 219225 ) ( 206160 219225 )
NEW li1 ( 128400 220335 ) L1M1_PR_MR
NEW met1 ( 128400 220335 ) M1M2_PR
NEW met1 ( 128400 219225 ) M1M2_PR
NEW li1 ( 126960 220335 ) L1M1_PR_MR
NEW li1 ( 206160 31635 ) L1M1_PR_MR
NEW met1 ( 206160 31635 ) M1M2_PR
NEW li1 ( 205200 31635 ) L1M1_PR_MR
NEW met1 ( 206160 219225 ) M1M2_PR
NEW met1 ( 128400 220335 ) RECT ( -355 -70 0 70 )
NEW met1 ( 206160 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net541 ( ANTENNA_206 DIODE ) ( ANTENNA_205 DIODE ) ( output541 A ) ( _126_ LO )
+ ROUTED met1 ( 200400 38665 ) ( 201360 38665 )
NEW met2 ( 201360 38665 ) ( 201360 232545 )
NEW met1 ( 49200 233655 ) ( 49680 233655 )
NEW met2 ( 49680 232545 ) ( 49680 233655 )
NEW met1 ( 47760 233655 ) ( 49200 233655 )
NEW met1 ( 49680 232545 ) ( 201360 232545 )
NEW met1 ( 201360 232545 ) M1M2_PR
NEW li1 ( 201360 38665 ) L1M1_PR_MR
NEW met1 ( 201360 38665 ) M1M2_PR
NEW li1 ( 200400 38665 ) L1M1_PR_MR
NEW li1 ( 49200 233655 ) L1M1_PR_MR
NEW met1 ( 49680 233655 ) M1M2_PR
NEW met1 ( 49680 232545 ) M1M2_PR
NEW li1 ( 47760 233655 ) L1M1_PR_MR
NEW met1 ( 201360 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net542 ( output542 A ) ( _127_ LO )
+ ROUTED met2 ( 207600 32745 ) ( 207600 34595 )
NEW met1 ( 177360 32745 ) ( 207600 32745 )
NEW met1 ( 136080 40515 ) ( 177360 40515 )
NEW met2 ( 177360 32745 ) ( 177360 40515 )
NEW met1 ( 207600 32745 ) M1M2_PR
NEW li1 ( 207600 34595 ) L1M1_PR_MR
NEW met1 ( 207600 34595 ) M1M2_PR
NEW li1 ( 136080 40515 ) L1M1_PR_MR
NEW met1 ( 177360 32745 ) M1M2_PR
NEW met1 ( 177360 40515 ) M1M2_PR
NEW met1 ( 207600 34595 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net543 ( output543 A ) ( _128_ LO )
+ ROUTED met2 ( 205680 38665 ) ( 205680 246975 )
NEW met1 ( 75600 246975 ) ( 205680 246975 )
NEW li1 ( 205680 38665 ) L1M1_PR_MR
NEW met1 ( 205680 38665 ) M1M2_PR
NEW met1 ( 205680 246975 ) M1M2_PR
NEW li1 ( 75600 246975 ) L1M1_PR_MR
NEW met1 ( 205680 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net544 ( ANTENNA_208 DIODE ) ( ANTENNA_207 DIODE ) ( output544 A ) ( _129_ LO )
+ ROUTED met1 ( 212880 31635 ) ( 214320 31635 )
NEW met2 ( 212880 31635 ) ( 212880 32745 )
NEW met2 ( 212400 86400 ) ( 212880 86400 )
NEW met2 ( 212880 32745 ) ( 212880 86400 )
NEW met2 ( 212400 86400 ) ( 212400 205165 )
NEW met1 ( 245040 205165 ) ( 246000 205165 )
NEW met1 ( 212400 205165 ) ( 245040 205165 )
NEW li1 ( 212880 32745 ) L1M1_PR_MR
NEW met1 ( 212880 32745 ) M1M2_PR
NEW li1 ( 214320 31635 ) L1M1_PR_MR
NEW met1 ( 212880 31635 ) M1M2_PR
NEW met1 ( 212400 205165 ) M1M2_PR
NEW li1 ( 245040 205165 ) L1M1_PR_MR
NEW li1 ( 246000 205165 ) L1M1_PR_MR
NEW met1 ( 212880 32745 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net545 ( output545 A ) ( _130_ LO )
+ ROUTED met2 ( 211440 35335 ) ( 211440 36000 )
NEW met2 ( 210960 36000 ) ( 211440 36000 )
NEW met2 ( 210960 36000 ) ( 210960 79365 )
NEW met1 ( 126000 79365 ) ( 210960 79365 )
NEW li1 ( 211440 35335 ) L1M1_PR_MR
NEW met1 ( 211440 35335 ) M1M2_PR
NEW li1 ( 126000 79365 ) L1M1_PR_MR
NEW met1 ( 210960 79365 ) M1M2_PR
NEW met1 ( 211440 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net546 ( ANTENNA_209 DIODE ) ( output546 A ) ( _131_ LO )
+ ROUTED met1 ( 199920 100455 ) ( 208560 100455 )
NEW met1 ( 199920 100455 ) ( 199920 100825 )
NEW met1 ( 198480 100825 ) ( 199920 100825 )
NEW met1 ( 198480 100455 ) ( 198480 100825 )
NEW met1 ( 208080 39405 ) ( 208560 39405 )
NEW met1 ( 208560 38295 ) ( 209040 38295 )
NEW met2 ( 208560 38295 ) ( 208560 39405 )
NEW met2 ( 208560 39405 ) ( 208560 100455 )
NEW met1 ( 168240 100455 ) ( 168240 100825 )
NEW met1 ( 168240 100825 ) ( 170160 100825 )
NEW met1 ( 170160 100455 ) ( 170160 100825 )
NEW met1 ( 170160 100455 ) ( 198480 100455 )
NEW met1 ( 43440 100455 ) ( 168240 100455 )
NEW met1 ( 208560 100455 ) M1M2_PR
NEW li1 ( 208080 39405 ) L1M1_PR_MR
NEW met1 ( 208560 39405 ) M1M2_PR
NEW li1 ( 209040 38295 ) L1M1_PR_MR
NEW met1 ( 208560 38295 ) M1M2_PR
NEW li1 ( 43440 100455 ) L1M1_PR_MR
+ USE SIGNAL ;
- net547 ( output547 A ) ( _132_ LO )
+ ROUTED met2 ( 103920 65675 ) ( 103920 67155 )
NEW met2 ( 215280 35335 ) ( 215280 65675 )
NEW met1 ( 103920 65675 ) ( 215280 65675 )
NEW li1 ( 215280 35335 ) L1M1_PR_MR
NEW met1 ( 215280 35335 ) M1M2_PR
NEW met1 ( 103920 65675 ) M1M2_PR
NEW li1 ( 103920 67155 ) L1M1_PR_MR
NEW met1 ( 103920 67155 ) M1M2_PR
NEW met1 ( 215280 65675 ) M1M2_PR
NEW met1 ( 215280 35335 ) RECT ( -355 -70 0 70 )
NEW met1 ( 103920 67155 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net548 ( output548 A ) ( _133_ LO )
+ ROUTED met2 ( 220560 31635 ) ( 220560 118215 )
NEW met1 ( 220560 118215 ) ( 246960 118215 )
NEW li1 ( 220560 31635 ) L1M1_PR_MR
NEW met1 ( 220560 31635 ) M1M2_PR
NEW met1 ( 220560 118215 ) M1M2_PR
NEW li1 ( 246960 118215 ) L1M1_PR_MR
NEW met1 ( 220560 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net549 ( output549 A ) ( _064_ LO )
+ ROUTED met1 ( 78480 38665 ) ( 79440 38665 )
NEW met2 ( 78480 38665 ) ( 78480 61235 )
NEW met1 ( 17040 61235 ) ( 78480 61235 )
NEW li1 ( 79440 38665 ) L1M1_PR_MR
NEW met1 ( 78480 38665 ) M1M2_PR
NEW met1 ( 78480 61235 ) M1M2_PR
NEW li1 ( 17040 61235 ) L1M1_PR_MR
+ USE SIGNAL ;
- net550 ( ANTENNA_211 DIODE ) ( ANTENNA_210 DIODE ) ( output550 A ) ( _134_ LO )
+ ROUTED met1 ( 86640 277685 ) ( 88560 277685 )
NEW met2 ( 86640 276945 ) ( 86640 277685 )
NEW met2 ( 211440 39405 ) ( 211440 47915 )
NEW met1 ( 211440 38665 ) ( 212880 38665 )
NEW met2 ( 211440 38665 ) ( 211440 39405 )
NEW met2 ( 86640 47915 ) ( 86640 276945 )
NEW met1 ( 86640 47915 ) ( 211440 47915 )
NEW li1 ( 86640 276945 ) L1M1_PR_MR
NEW met1 ( 86640 276945 ) M1M2_PR
NEW li1 ( 88560 277685 ) L1M1_PR_MR
NEW met1 ( 86640 277685 ) M1M2_PR
NEW li1 ( 211440 39405 ) L1M1_PR_MR
NEW met1 ( 211440 39405 ) M1M2_PR
NEW met1 ( 211440 47915 ) M1M2_PR
NEW li1 ( 212880 38665 ) L1M1_PR_MR
NEW met1 ( 211440 38665 ) M1M2_PR
NEW met1 ( 86640 47915 ) M1M2_PR
NEW met1 ( 86640 276945 ) RECT ( 0 -70 355 70 )
NEW met1 ( 211440 39405 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net551 ( ANTENNA_214 DIODE ) ( ANTENNA_213 DIODE ) ( ANTENNA_212 DIODE ) ( output551 A )
( _135_ LO )
+ ROUTED met2 ( 18480 208865 ) ( 18480 211085 )
NEW met1 ( 17040 211085 ) ( 18480 211085 )
NEW met1 ( 213840 34965 ) ( 217200 34965 )
NEW met1 ( 217200 34965 ) ( 218640 34965 )
NEW met1 ( 218640 34965 ) ( 220080 34965 )
NEW met2 ( 213840 34965 ) ( 213840 208865 )
NEW met1 ( 18480 208865 ) ( 213840 208865 )
NEW li1 ( 18480 211085 ) L1M1_PR_MR
NEW met1 ( 18480 211085 ) M1M2_PR
NEW met1 ( 18480 208865 ) M1M2_PR
NEW li1 ( 17040 211085 ) L1M1_PR_MR
NEW li1 ( 217200 34965 ) L1M1_PR_MR
NEW met1 ( 213840 34965 ) M1M2_PR
NEW li1 ( 218640 34965 ) L1M1_PR_MR
NEW li1 ( 220080 34965 ) L1M1_PR_MR
NEW met1 ( 213840 208865 ) M1M2_PR
NEW met1 ( 18480 211085 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net552 ( output552 A ) ( _136_ LO )
+ ROUTED met2 ( 224400 31635 ) ( 224400 160395 )
NEW met1 ( 224400 160395 ) ( 272880 160395 )
NEW li1 ( 224400 31635 ) L1M1_PR_MR
NEW met1 ( 224400 31635 ) M1M2_PR
NEW met1 ( 224400 160395 ) M1M2_PR
NEW li1 ( 272880 160395 ) L1M1_PR_MR
NEW met1 ( 224400 31635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net553 ( output553 A ) ( _137_ LO )
+ ROUTED met1 ( 214800 14245 ) ( 214800 14615 )
NEW met1 ( 214800 14615 ) ( 215760 14615 )
NEW met1 ( 215760 14245 ) ( 215760 14615 )
NEW met1 ( 215760 14245 ) ( 220080 14245 )
NEW met2 ( 220080 14245 ) ( 220080 21830 )
NEW met2 ( 220080 21830 ) ( 221040 21830 )
NEW met2 ( 221040 21830 ) ( 221040 34595 )
NEW met1 ( 221040 34595 ) ( 222960 34595 )
NEW met1 ( 124560 14245 ) ( 214800 14245 )
NEW li1 ( 124560 14245 ) L1M1_PR_MR
NEW met1 ( 220080 14245 ) M1M2_PR
NEW met1 ( 221040 34595 ) M1M2_PR
NEW li1 ( 222960 34595 ) L1M1_PR_MR
+ USE SIGNAL ;
- net554 ( ANTENNA_217 DIODE ) ( ANTENNA_216 DIODE ) ( ANTENNA_215 DIODE ) ( output554 A )
( _138_ LO )
+ ROUTED met1 ( 219120 38665 ) ( 220080 38665 )
NEW met1 ( 220080 38665 ) ( 221520 38665 )
NEW met2 ( 220080 38665 ) ( 220080 252155 )
NEW met2 ( 77040 252155 ) ( 77040 253635 )
NEW met1 ( 75600 253635 ) ( 77040 253635 )
NEW met1 ( 77040 252155 ) ( 220080 252155 )
NEW li1 ( 220080 38665 ) L1M1_PR_MR
NEW met1 ( 220080 38665 ) M1M2_PR
NEW li1 ( 219120 38665 ) L1M1_PR_MR
NEW li1 ( 221520 38665 ) L1M1_PR_MR
NEW met1 ( 220080 252155 ) M1M2_PR
NEW li1 ( 77040 253635 ) L1M1_PR_MR
NEW met1 ( 77040 253635 ) M1M2_PR
NEW met1 ( 77040 252155 ) M1M2_PR
NEW li1 ( 75600 253635 ) L1M1_PR_MR
NEW met1 ( 220080 38665 ) RECT ( -355 -70 0 70 )
NEW met1 ( 77040 253635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net555 ( ANTENNA_219 DIODE ) ( ANTENNA_218 DIODE ) ( output555 A ) ( _139_ LO )
+ ROUTED met1 ( 225360 35335 ) ( 226320 35335 )
NEW met1 ( 224400 226995 ) ( 226320 226995 )
NEW met1 ( 222960 226995 ) ( 224400 226995 )
NEW met2 ( 226320 35335 ) ( 226320 226995 )
NEW li1 ( 226320 35335 ) L1M1_PR_MR
NEW met1 ( 226320 35335 ) M1M2_PR
NEW li1 ( 225360 35335 ) L1M1_PR_MR
NEW li1 ( 224400 226995 ) L1M1_PR_MR
NEW met1 ( 226320 226995 ) M1M2_PR
NEW li1 ( 222960 226995 ) L1M1_PR_MR
NEW met1 ( 226320 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net556 ( ANTENNA_221 DIODE ) ( ANTENNA_220 DIODE ) ( output556 A ) ( _140_ LO )
+ ROUTED met1 ( 222480 38665 ) ( 223920 38665 )
NEW met2 ( 222480 38665 ) ( 222480 39405 )
NEW met1 ( 208560 277685 ) ( 222480 277685 )
NEW met1 ( 207120 277685 ) ( 208560 277685 )
NEW met2 ( 222480 39405 ) ( 222480 277685 )
NEW li1 ( 222480 39405 ) L1M1_PR_MR
NEW met1 ( 222480 39405 ) M1M2_PR
NEW li1 ( 223920 38665 ) L1M1_PR_MR
NEW met1 ( 222480 38665 ) M1M2_PR
NEW li1 ( 208560 277685 ) L1M1_PR_MR
NEW met1 ( 222480 277685 ) M1M2_PR
NEW li1 ( 207120 277685 ) L1M1_PR_MR
NEW met1 ( 222480 39405 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net557 ( ANTENNA_223 DIODE ) ( ANTENNA_222 DIODE ) ( output557 A ) ( _141_ LO )
+ ROUTED met1 ( 220560 231065 ) ( 227760 231065 )
NEW met1 ( 219120 231065 ) ( 220560 231065 )
NEW met1 ( 226800 38295 ) ( 227760 38295 )
NEW met2 ( 227760 38295 ) ( 227760 231065 )
NEW li1 ( 220560 231065 ) L1M1_PR_MR
NEW met1 ( 227760 231065 ) M1M2_PR
NEW li1 ( 219120 231065 ) L1M1_PR_MR
NEW li1 ( 227760 38295 ) L1M1_PR_MR
NEW met1 ( 227760 38295 ) M1M2_PR
NEW li1 ( 226800 38295 ) L1M1_PR_MR
NEW met1 ( 227760 38295 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net558 ( output558 A ) ( _142_ LO )
+ ROUTED met1 ( 234000 35335 ) ( 234480 35335 )
NEW met2 ( 234480 35335 ) ( 234480 204795 )
NEW met1 ( 234480 204795 ) ( 274800 204795 )
NEW li1 ( 234000 35335 ) L1M1_PR_MR
NEW met1 ( 234480 35335 ) M1M2_PR
NEW met1 ( 234480 204795 ) M1M2_PR
NEW li1 ( 274800 204795 ) L1M1_PR_MR
+ USE SIGNAL ;
- net559 ( ANTENNA_224 DIODE ) ( output559 A ) ( _143_ LO )
+ ROUTED met1 ( 230640 39405 ) ( 231600 39405 )
NEW met2 ( 231600 39405 ) ( 231600 47545 )
NEW met2 ( 231600 38295 ) ( 231600 39405 )
NEW met2 ( 93360 47545 ) ( 93360 60495 )
NEW met1 ( 77520 60495 ) ( 93360 60495 )
NEW met1 ( 93360 47545 ) ( 231600 47545 )
NEW li1 ( 230640 39405 ) L1M1_PR_MR
NEW met1 ( 231600 39405 ) M1M2_PR
NEW met1 ( 231600 47545 ) M1M2_PR
NEW li1 ( 231600 38295 ) L1M1_PR_MR
NEW met1 ( 231600 38295 ) M1M2_PR
NEW met1 ( 93360 47545 ) M1M2_PR
NEW met1 ( 93360 60495 ) M1M2_PR
NEW li1 ( 77520 60495 ) L1M1_PR_MR
NEW met1 ( 231600 38295 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net560 ( output560 A ) ( _065_ LO )
+ ROUTED met1 ( 80400 41995 ) ( 81360 41995 )
NEW met2 ( 80400 41995 ) ( 80400 96015 )
NEW met2 ( 26640 96015 ) ( 26640 100455 )
NEW met1 ( 26640 96015 ) ( 80400 96015 )
NEW met1 ( 80400 96015 ) M1M2_PR
NEW li1 ( 81360 41995 ) L1M1_PR_MR
NEW met1 ( 80400 41995 ) M1M2_PR
NEW met1 ( 26640 96015 ) M1M2_PR
NEW li1 ( 26640 100455 ) L1M1_PR_MR
NEW met1 ( 26640 100455 ) M1M2_PR
NEW met1 ( 26640 100455 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net561 ( ANTENNA_227 DIODE ) ( ANTENNA_226 DIODE ) ( ANTENNA_225 DIODE ) ( output561 A )
( _144_ LO )
+ ROUTED met1 ( 236400 35335 ) ( 237360 35335 )
NEW met2 ( 29040 275835 ) ( 29040 277685 )
NEW met1 ( 27600 277685 ) ( 29040 277685 )
NEW met2 ( 237360 35335 ) ( 237360 275835 )
NEW met1 ( 237360 35335 ) ( 238800 35335 )
NEW met1 ( 29040 275835 ) ( 237360 275835 )
NEW met1 ( 237360 35335 ) M1M2_PR
NEW li1 ( 237360 35335 ) L1M1_PR_MR
NEW li1 ( 236400 35335 ) L1M1_PR_MR
NEW li1 ( 29040 277685 ) L1M1_PR_MR
NEW met1 ( 29040 277685 ) M1M2_PR
NEW met1 ( 29040 275835 ) M1M2_PR
NEW li1 ( 27600 277685 ) L1M1_PR_MR
NEW met1 ( 237360 275835 ) M1M2_PR
NEW li1 ( 238800 35335 ) L1M1_PR_MR
NEW met1 ( 237360 35335 ) RECT ( -595 -70 0 70 )
NEW met1 ( 29040 277685 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net562 ( ANTENNA_229 DIODE ) ( ANTENNA_228 DIODE ) ( output562 A ) ( _145_ LO )
+ ROUTED met1 ( 233520 39405 ) ( 234000 39405 )
NEW met1 ( 233520 38295 ) ( 235440 38295 )
NEW met2 ( 233520 38295 ) ( 233520 39405 )
NEW met2 ( 233520 39405 ) ( 233520 220335 )
NEW met1 ( 153840 220335 ) ( 155280 220335 )
NEW met1 ( 155280 220335 ) ( 233520 220335 )
NEW met1 ( 233520 220335 ) M1M2_PR
NEW li1 ( 234000 39405 ) L1M1_PR_MR
NEW met1 ( 233520 39405 ) M1M2_PR
NEW li1 ( 235440 38295 ) L1M1_PR_MR
NEW met1 ( 233520 38295 ) M1M2_PR
NEW li1 ( 155280 220335 ) L1M1_PR_MR
NEW li1 ( 153840 220335 ) L1M1_PR_MR
+ USE SIGNAL ;
- net563 ( output563 A ) ( _146_ LO )
+ ROUTED met1 ( 225840 34225 ) ( 225840 34965 )
NEW met1 ( 214320 34225 ) ( 225840 34225 )
NEW met2 ( 214320 34225 ) ( 214320 204055 )
NEW met1 ( 103920 204425 ) ( 136800 204425 )
NEW met1 ( 136800 204055 ) ( 136800 204425 )
NEW met1 ( 136800 204055 ) ( 214320 204055 )
NEW met1 ( 225840 34965 ) ( 241680 34965 )
NEW li1 ( 103920 204425 ) L1M1_PR_MR
NEW met1 ( 214320 34225 ) M1M2_PR
NEW met1 ( 214320 204055 ) M1M2_PR
NEW li1 ( 241680 34965 ) L1M1_PR_MR
+ USE SIGNAL ;
- net564 ( output564 A ) ( _147_ LO )
+ ROUTED met1 ( 208560 120435 ) ( 239760 120435 )
NEW met2 ( 239760 38665 ) ( 239760 120435 )
NEW li1 ( 208560 120435 ) L1M1_PR_MR
NEW met1 ( 239760 120435 ) M1M2_PR
NEW li1 ( 239760 38665 ) L1M1_PR_MR
NEW met1 ( 239760 38665 ) M1M2_PR
NEW met1 ( 239760 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net565 ( ANTENNA_231 DIODE ) ( ANTENNA_230 DIODE ) ( output565 A ) ( _148_ LO )
+ ROUTED met1 ( 106320 240685 ) ( 107760 240685 )
NEW met1 ( 244080 35335 ) ( 245040 35335 )
NEW met1 ( 244080 35335 ) ( 244080 35705 )
NEW met1 ( 107760 240685 ) ( 136800 240685 )
NEW met1 ( 136800 240315 ) ( 136800 240685 )
NEW met2 ( 243600 86400 ) ( 244080 86400 )
NEW met2 ( 244080 35705 ) ( 244080 86400 )
NEW met1 ( 136800 240315 ) ( 243600 240315 )
NEW met2 ( 243600 86400 ) ( 243600 240315 )
NEW li1 ( 107760 240685 ) L1M1_PR_MR
NEW li1 ( 106320 240685 ) L1M1_PR_MR
NEW li1 ( 244080 35705 ) L1M1_PR_MR
NEW met1 ( 244080 35705 ) M1M2_PR
NEW li1 ( 245040 35335 ) L1M1_PR_MR
NEW met1 ( 243600 240315 ) M1M2_PR
NEW met1 ( 244080 35705 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net566 ( output566 A ) ( _149_ LO )
+ ROUTED met2 ( 230640 41995 ) ( 230640 53835 )
NEW met1 ( 136800 53835 ) ( 136800 54205 )
NEW met1 ( 136800 54205 ) ( 174480 54205 )
NEW met1 ( 174480 53835 ) ( 174480 54205 )
NEW met1 ( 174480 53835 ) ( 230640 53835 )
NEW met1 ( 230640 41995 ) ( 241200 41995 )
NEW met1 ( 75600 53835 ) ( 136800 53835 )
NEW met1 ( 230640 53835 ) M1M2_PR
NEW met1 ( 230640 41995 ) M1M2_PR
NEW li1 ( 241200 41995 ) L1M1_PR_MR
NEW li1 ( 75600 53835 ) L1M1_PR_MR
+ USE SIGNAL ;
- net567 ( ANTENNA_233 DIODE ) ( ANTENNA_232 DIODE ) ( output567 A ) ( _150_ LO )
+ ROUTED met1 ( 232560 173715 ) ( 234000 173715 )
NEW met1 ( 245040 38665 ) ( 246480 38665 )
NEW met1 ( 234000 173715 ) ( 245040 173715 )
NEW met2 ( 245040 38665 ) ( 245040 173715 )
NEW li1 ( 234000 173715 ) L1M1_PR_MR
NEW li1 ( 232560 173715 ) L1M1_PR_MR
NEW li1 ( 245040 38665 ) L1M1_PR_MR
NEW met1 ( 245040 38665 ) M1M2_PR
NEW li1 ( 246480 38665 ) L1M1_PR_MR
NEW met1 ( 245040 173715 ) M1M2_PR
NEW met1 ( 245040 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net568 ( output568 A ) ( _151_ LO )
+ ROUTED met1 ( 245040 41995 ) ( 245520 41995 )
NEW met1 ( 220560 151515 ) ( 245520 151515 )
NEW met2 ( 245520 41995 ) ( 245520 151515 )
NEW li1 ( 220560 151515 ) L1M1_PR_MR
NEW li1 ( 245040 41995 ) L1M1_PR_MR
NEW met1 ( 245520 41995 ) M1M2_PR
NEW met1 ( 245520 151515 ) M1M2_PR
+ USE SIGNAL ;
- net569 ( ANTENNA_235 DIODE ) ( ANTENNA_234 DIODE ) ( output569 A ) ( _152_ LO )
+ ROUTED met1 ( 197520 237725 ) ( 198960 237725 )
NEW met2 ( 198960 37925 ) ( 198960 237725 )
NEW met1 ( 248880 37925 ) ( 250320 37925 )
NEW met1 ( 198960 37925 ) ( 248880 37925 )
NEW met1 ( 198960 37925 ) M1M2_PR
NEW li1 ( 198960 237725 ) L1M1_PR_MR
NEW li1 ( 197520 237725 ) L1M1_PR_MR
NEW met1 ( 198960 237725 ) M1M2_PR
NEW li1 ( 248880 37925 ) L1M1_PR_MR
NEW li1 ( 250320 37925 ) L1M1_PR_MR
NEW met1 ( 198960 237725 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- net570 ( output570 A ) ( _153_ LO )
+ ROUTED met1 ( 243120 41625 ) ( 248880 41625 )
NEW met2 ( 243120 41625 ) ( 243120 47175 )
NEW met1 ( 237360 47175 ) ( 243120 47175 )
NEW li1 ( 237360 47175 ) L1M1_PR_MR
NEW li1 ( 248880 41625 ) L1M1_PR_MR
NEW met1 ( 243120 41625 ) M1M2_PR
NEW met1 ( 243120 47175 ) M1M2_PR
+ USE SIGNAL ;
- net571 ( output571 A ) ( OR2X2 Y )
+ ROUTED met2 ( 85200 41995 ) ( 85200 131165 )
NEW met1 ( 43200 131165 0 ) ( 85200 131165 )
NEW met1 ( 85200 131165 ) M1M2_PR
NEW li1 ( 85200 41995 ) L1M1_PR_MR
NEW met1 ( 85200 41995 ) M1M2_PR
NEW met1 ( 85200 41995 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net572 ( output572 A ) ( _182_ LO )
+ ROUTED met2 ( 8880 41995 ) ( 8880 54945 )
NEW met2 ( 215760 54945 ) ( 215760 60495 )
NEW met1 ( 8880 54945 ) ( 215760 54945 )
NEW li1 ( 8880 41995 ) L1M1_PR_MR
NEW met1 ( 8880 41995 ) M1M2_PR
NEW met1 ( 8880 54945 ) M1M2_PR
NEW met1 ( 215760 54945 ) M1M2_PR
NEW li1 ( 215760 60495 ) L1M1_PR_MR
NEW met1 ( 215760 60495 ) M1M2_PR
NEW met1 ( 8880 41995 ) RECT ( -355 -70 0 70 )
NEW met1 ( 215760 60495 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net573 ( output573 A ) ( _183_ LO )
+ ROUTED met2 ( 13200 14245 ) ( 13200 18870 )
NEW met2 ( 13200 18870 ) ( 13680 18870 )
NEW met1 ( 111600 13875 ) ( 111600 14245 )
NEW met1 ( 12720 37925 ) ( 13680 37925 )
NEW met2 ( 13680 18870 ) ( 13680 37925 )
NEW met1 ( 13200 14245 ) ( 111600 14245 )
NEW met1 ( 111600 13875 ) ( 151440 13875 )
NEW met1 ( 13200 14245 ) M1M2_PR
NEW met1 ( 13680 37925 ) M1M2_PR
NEW li1 ( 12720 37925 ) L1M1_PR_MR
NEW li1 ( 151440 13875 ) L1M1_PR_MR
+ USE SIGNAL ;
- net574 ( ANTENNA_237 DIODE ) ( ANTENNA_236 DIODE ) ( output574 A ) ( _193_ LO )
+ ROUTED met1 ( 83760 253635 ) ( 84720 253635 )
NEW met2 ( 83760 64800 ) ( 83760 253635 )
NEW met2 ( 83280 27935 ) ( 83280 64800 )
NEW met2 ( 83280 64800 ) ( 83760 64800 )
NEW met1 ( 29040 27565 ) ( 30480 27565 )
NEW met1 ( 30480 27565 ) ( 30480 27935 )
NEW met1 ( 30480 27935 ) ( 83280 27935 )
NEW li1 ( 83760 253635 ) L1M1_PR_MR
NEW met1 ( 83760 253635 ) M1M2_PR
NEW li1 ( 84720 253635 ) L1M1_PR_MR
NEW met1 ( 83280 27935 ) M1M2_PR
NEW li1 ( 30480 27935 ) L1M1_PR_MR
NEW li1 ( 29040 27565 ) L1M1_PR_MR
NEW met1 ( 83760 253635 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net575 ( output575 A ) ( _194_ LO )
+ ROUTED met1 ( 28560 84545 ) ( 35760 84545 )
NEW met2 ( 28560 32005 ) ( 28560 84545 )
NEW met1 ( 28560 84545 ) M1M2_PR
NEW li1 ( 35760 84545 ) L1M1_PR_MR
NEW li1 ( 28560 32005 ) L1M1_PR_MR
NEW met1 ( 28560 32005 ) M1M2_PR
NEW met1 ( 28560 32005 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net576 ( ANTENNA_239 DIODE ) ( ANTENNA_238 DIODE ) ( output576 A ) ( _195_ LO )
+ ROUTED met1 ( 29040 183705 ) ( 136800 183705 )
NEW met1 ( 141840 184445 ) ( 142320 184445 )
NEW met1 ( 136800 183705 ) ( 136800 184445 )
NEW met1 ( 136800 184445 ) ( 141840 184445 )
NEW met1 ( 30480 35335 ) ( 30480 35705 )
NEW met1 ( 29040 35705 ) ( 30480 35705 )
NEW met2 ( 29040 35705 ) ( 29040 183705 )
NEW met1 ( 29040 183705 ) M1M2_PR
NEW li1 ( 141840 184445 ) L1M1_PR_MR
NEW li1 ( 142320 184445 ) L1M1_PR_MR
NEW li1 ( 29040 35705 ) L1M1_PR_MR
NEW met1 ( 29040 35705 ) M1M2_PR
NEW li1 ( 30480 35335 ) L1M1_PR_MR
NEW met1 ( 29040 35705 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net577 ( output577 A ) ( _196_ LO )
+ ROUTED met2 ( 164880 12025 ) ( 164880 13875 )
NEW met1 ( 35760 12025 ) ( 164880 12025 )
NEW met2 ( 35760 12025 ) ( 35760 31265 )
NEW met1 ( 35760 12025 ) M1M2_PR
NEW met1 ( 164880 12025 ) M1M2_PR
NEW li1 ( 164880 13875 ) L1M1_PR_MR
NEW met1 ( 164880 13875 ) M1M2_PR
NEW li1 ( 35760 31265 ) L1M1_PR_MR
NEW met1 ( 35760 31265 ) M1M2_PR
NEW met1 ( 164880 13875 ) RECT ( -355 -70 0 70 )
NEW met1 ( 35760 31265 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net578 ( output578 A ) ( _197_ LO )
+ ROUTED met3 ( 97440 15170 ) ( 97680 15170 )
NEW met3 ( 97440 15170 ) ( 97440 15910 )
NEW met3 ( 94320 15910 ) ( 97440 15910 )
NEW met2 ( 94320 15910 ) ( 94320 34225 )
NEW met2 ( 97680 13875 ) ( 97680 15170 )
NEW met1 ( 34320 34225 ) ( 34320 34595 )
NEW met1 ( 34320 34225 ) ( 94320 34225 )
NEW li1 ( 97680 13875 ) L1M1_PR_MR
NEW met1 ( 97680 13875 ) M1M2_PR
NEW met2 ( 97680 15170 ) via2_FR
NEW met2 ( 94320 15910 ) via2_FR
NEW met1 ( 94320 34225 ) M1M2_PR
NEW li1 ( 34320 34595 ) L1M1_PR_MR
NEW met1 ( 97680 13875 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net579 ( ANTENNA_242 DIODE ) ( ANTENNA_241 DIODE ) ( ANTENNA_240 DIODE ) ( output579 A )
( _198_ LO )
+ ROUTED met1 ( 272400 231805 ) ( 273360 231805 )
NEW met1 ( 38160 231805 ) ( 272400 231805 )
NEW met1 ( 38160 35335 ) ( 39120 35335 )
NEW met1 ( 36720 35335 ) ( 38160 35335 )
NEW met2 ( 38160 35335 ) ( 38160 231805 )
NEW met1 ( 38160 231805 ) M1M2_PR
NEW li1 ( 272400 231805 ) L1M1_PR_MR
NEW li1 ( 273360 231805 ) L1M1_PR_MR
NEW li1 ( 38160 35335 ) L1M1_PR_MR
NEW met1 ( 38160 35335 ) M1M2_PR
NEW li1 ( 39120 35335 ) L1M1_PR_MR
NEW li1 ( 36720 35335 ) L1M1_PR_MR
NEW met1 ( 38160 35335 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net580 ( ANTENNA_244 DIODE ) ( ANTENNA_243 DIODE ) ( output580 A ) ( _199_ LO )
+ ROUTED met1 ( 101040 211085 ) ( 102960 211085 )
NEW met1 ( 101040 210715 ) ( 101040 211085 )
NEW met1 ( 102960 211085 ) ( 103920 211085 )
NEW met1 ( 40080 209235 ) ( 81840 209235 )
NEW met2 ( 81840 209235 ) ( 81840 210715 )
NEW met1 ( 81840 210715 ) ( 101040 210715 )
NEW met2 ( 40080 187200 ) ( 40080 209235 )
NEW met2 ( 40080 187200 ) ( 40560 187200 )
NEW met2 ( 40560 89910 ) ( 41040 89910 )
NEW met2 ( 40560 35705 ) ( 40560 44030 )
NEW met2 ( 40560 44030 ) ( 41040 44030 )
NEW met1 ( 42000 34595 ) ( 42960 34595 )
NEW met2 ( 42960 34595 ) ( 42960 35705 )
NEW met1 ( 40560 35705 ) ( 42960 35705 )
NEW met2 ( 41040 44030 ) ( 41040 89910 )
NEW met2 ( 40560 89910 ) ( 40560 187200 )
NEW li1 ( 102960 211085 ) L1M1_PR_MR
NEW li1 ( 103920 211085 ) L1M1_PR_MR
NEW met1 ( 40080 209235 ) M1M2_PR
NEW met1 ( 81840 209235 ) M1M2_PR
NEW met1 ( 81840 210715 ) M1M2_PR
NEW li1 ( 40560 35705 ) L1M1_PR_MR
NEW met1 ( 40560 35705 ) M1M2_PR
NEW li1 ( 42000 34595 ) L1M1_PR_MR
NEW met1 ( 42960 34595 ) M1M2_PR
NEW met1 ( 42960 35705 ) M1M2_PR
NEW met1 ( 40560 35705 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net581 ( output581 A ) ( _200_ LO )
+ ROUTED met1 ( 65040 28675 ) ( 91440 28675 )
NEW met2 ( 65040 28675 ) ( 65040 34595 )
NEW met2 ( 64080 34595 ) ( 64080 38295 )
NEW met1 ( 61200 38295 ) ( 64080 38295 )
NEW met1 ( 61200 38295 ) ( 61200 38665 )
NEW met1 ( 54960 38665 ) ( 61200 38665 )
NEW met2 ( 54960 38665 ) ( 54960 38850 )
NEW met3 ( 39600 38850 ) ( 54960 38850 )
NEW met2 ( 39600 38850 ) ( 39600 41255 )
NEW met1 ( 64080 34595 ) ( 65040 34595 )
NEW li1 ( 91440 28675 ) L1M1_PR_MR
NEW met1 ( 65040 28675 ) M1M2_PR
NEW met1 ( 65040 34595 ) M1M2_PR
NEW met1 ( 64080 34595 ) M1M2_PR
NEW met1 ( 64080 38295 ) M1M2_PR
NEW met1 ( 54960 38665 ) M1M2_PR
NEW met2 ( 54960 38850 ) via2_FR
NEW met2 ( 39600 38850 ) via2_FR
NEW li1 ( 39600 41255 ) L1M1_PR_MR
NEW met1 ( 39600 41255 ) M1M2_PR
NEW met1 ( 39600 41255 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net582 ( ANTENNA_246 DIODE ) ( ANTENNA_245 DIODE ) ( output582 A ) ( _201_ LO )
+ ROUTED met1 ( 14640 260295 ) ( 18000 260295 )
NEW met1 ( 13200 260295 ) ( 14640 260295 )
NEW met1 ( 46800 33855 ) ( 47280 33855 )
NEW met2 ( 46800 29415 ) ( 46800 33855 )
NEW met1 ( 18000 29415 ) ( 46800 29415 )
NEW met1 ( 46800 34595 ) ( 48720 34595 )
NEW met2 ( 46800 33855 ) ( 46800 34595 )
NEW met2 ( 18000 29415 ) ( 18000 260295 )
NEW li1 ( 14640 260295 ) L1M1_PR_MR
NEW met1 ( 18000 260295 ) M1M2_PR
NEW li1 ( 13200 260295 ) L1M1_PR_MR
NEW li1 ( 47280 33855 ) L1M1_PR_MR
NEW met1 ( 46800 33855 ) M1M2_PR
NEW met1 ( 46800 29415 ) M1M2_PR
NEW met1 ( 18000 29415 ) M1M2_PR
NEW li1 ( 48720 34595 ) L1M1_PR_MR
NEW met1 ( 46800 34595 ) M1M2_PR
+ USE SIGNAL ;
- net583 ( output583 A ) ( _202_ LO )
+ ROUTED met2 ( 167760 55315 ) ( 167760 57905 )
NEW met1 ( 47280 37925 ) ( 48720 37925 )
NEW met2 ( 48720 37925 ) ( 48720 55315 )
NEW met1 ( 48720 55315 ) ( 167760 55315 )
NEW met1 ( 167760 55315 ) M1M2_PR
NEW li1 ( 167760 57905 ) L1M1_PR_MR
NEW met1 ( 167760 57905 ) M1M2_PR
NEW li1 ( 47280 37925 ) L1M1_PR_MR
NEW met1 ( 48720 37925 ) M1M2_PR
NEW met1 ( 48720 55315 ) M1M2_PR
NEW met1 ( 167760 57905 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net584 ( output584 A ) ( _184_ LO )
+ ROUTED met1 ( 67920 41625 ) ( 67920 42365 )
NEW met1 ( 67920 42365 ) ( 113520 42365 )
NEW met1 ( 113520 41995 ) ( 113520 42365 )
NEW met2 ( 41040 40885 ) ( 41040 41625 )
NEW met1 ( 36720 40885 ) ( 41040 40885 )
NEW met1 ( 36720 40885 ) ( 36720 41625 )
NEW met1 ( 12720 41625 ) ( 36720 41625 )
NEW met1 ( 41040 41625 ) ( 67920 41625 )
NEW li1 ( 113520 41995 ) L1M1_PR_MR
NEW met1 ( 41040 41625 ) M1M2_PR
NEW met1 ( 41040 40885 ) M1M2_PR
NEW li1 ( 12720 41625 ) L1M1_PR_MR
+ USE SIGNAL ;
- net585 ( ANTENNA_248 DIODE ) ( ANTENNA_247 DIODE ) ( output585 A ) ( _203_ LO )
+ ROUTED met1 ( 243120 34965 ) ( 243120 35705 )
NEW met1 ( 243120 34965 ) ( 269040 34965 )
NEW met1 ( 269040 207015 ) ( 270480 207015 )
NEW met1 ( 270480 207015 ) ( 271440 207015 )
NEW met2 ( 269040 34965 ) ( 269040 207015 )
NEW met1 ( 53040 35335 ) ( 53040 35705 )
NEW met1 ( 51600 35335 ) ( 53040 35335 )
NEW met1 ( 53040 35705 ) ( 243120 35705 )
NEW met1 ( 269040 34965 ) M1M2_PR
NEW li1 ( 270480 207015 ) L1M1_PR_MR
NEW met1 ( 269040 207015 ) M1M2_PR
NEW li1 ( 271440 207015 ) L1M1_PR_MR
NEW li1 ( 53040 35335 ) L1M1_PR_MR
NEW li1 ( 51600 35335 ) L1M1_PR_MR
+ USE SIGNAL ;
- net586 ( ANTENNA_250 DIODE ) ( ANTENNA_249 DIODE ) ( output586 A ) ( _204_ LO )
+ ROUTED met1 ( 51120 250305 ) ( 60240 250305 )
NEW met1 ( 61200 250305 ) ( 61200 251045 )
NEW met1 ( 60240 250305 ) ( 61200 250305 )
NEW met1 ( 49680 38665 ) ( 51120 38665 )
NEW met2 ( 51120 38665 ) ( 51120 250305 )
NEW li1 ( 60240 250305 ) L1M1_PR_MR
NEW met1 ( 51120 250305 ) M1M2_PR
NEW li1 ( 61200 251045 ) L1M1_PR_MR
NEW li1 ( 51120 38665 ) L1M1_PR_MR
NEW met1 ( 51120 38665 ) M1M2_PR
NEW li1 ( 49680 38665 ) L1M1_PR_MR
NEW met1 ( 51120 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net587 ( ANTENNA_252 DIODE ) ( ANTENNA_251 DIODE ) ( output587 A ) ( _205_ LO )
+ ROUTED met1 ( 205680 22015 ) ( 206640 22015 )
NEW met1 ( 205680 22015 ) ( 205680 22755 )
NEW met2 ( 49200 22755 ) ( 49200 41255 )
NEW met1 ( 46800 40515 ) ( 47280 40515 )
NEW met2 ( 46800 40515 ) ( 46800 41810 )
NEW met2 ( 46800 41810 ) ( 48240 41810 )
NEW met2 ( 48240 37370 ) ( 48240 41810 )
NEW met2 ( 48240 37370 ) ( 49200 37370 )
NEW met1 ( 49200 22755 ) ( 205680 22755 )
NEW li1 ( 205680 22755 ) L1M1_PR_MR
NEW li1 ( 206640 22015 ) L1M1_PR_MR
NEW li1 ( 49200 41255 ) L1M1_PR_MR
NEW met1 ( 49200 41255 ) M1M2_PR
NEW met1 ( 49200 22755 ) M1M2_PR
NEW li1 ( 47280 40515 ) L1M1_PR_MR
NEW met1 ( 46800 40515 ) M1M2_PR
NEW met1 ( 49200 41255 ) RECT ( 0 -70 355 70 )
+ USE SIGNAL ;
- net588 ( ANTENNA_254 DIODE ) ( ANTENNA_253 DIODE ) ( output588 A ) ( _206_ LO )
+ ROUTED met1 ( 46800 211085 ) ( 54480 211085 )
NEW met1 ( 45360 211085 ) ( 46800 211085 )
NEW met1 ( 53520 38665 ) ( 54480 38665 )
NEW met2 ( 54480 38665 ) ( 54480 211085 )
NEW li1 ( 46800 211085 ) L1M1_PR_MR
NEW met1 ( 54480 211085 ) M1M2_PR
NEW li1 ( 45360 211085 ) L1M1_PR_MR
NEW li1 ( 54480 38665 ) L1M1_PR_MR
NEW met1 ( 54480 38665 ) M1M2_PR
NEW li1 ( 53520 38665 ) L1M1_PR_MR
NEW met1 ( 54480 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net589 ( output589 A ) ( _207_ LO )
+ ROUTED met2 ( 53040 41995 ) ( 53040 144485 )
NEW met1 ( 53040 144485 ) ( 141360 144485 )
NEW li1 ( 141360 144485 ) L1M1_PR_MR
NEW li1 ( 53040 41995 ) L1M1_PR_MR
NEW met1 ( 53040 41995 ) M1M2_PR
NEW met1 ( 53040 144485 ) M1M2_PR
NEW met1 ( 53040 41995 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net590 ( ANTENNA_256 DIODE ) ( ANTENNA_255 DIODE ) ( output590 A ) ( _208_ LO )
+ ROUTED met1 ( 87120 231065 ) ( 87600 231065 )
NEW met2 ( 74640 39035 ) ( 74640 40515 )
NEW met1 ( 74640 39035 ) ( 87120 39035 )
NEW met2 ( 87120 39035 ) ( 87120 231065 )
NEW met1 ( 56880 40515 ) ( 56880 41255 )
NEW met1 ( 55440 40885 ) ( 56880 40885 )
NEW met1 ( 56880 40515 ) ( 74640 40515 )
NEW li1 ( 87120 231065 ) L1M1_PR_MR
NEW met1 ( 87120 231065 ) M1M2_PR
NEW li1 ( 87600 231065 ) L1M1_PR_MR
NEW met1 ( 74640 40515 ) M1M2_PR
NEW met1 ( 74640 39035 ) M1M2_PR
NEW met1 ( 87120 39035 ) M1M2_PR
NEW li1 ( 56880 41255 ) L1M1_PR_MR
NEW li1 ( 55440 40885 ) L1M1_PR_MR
NEW met1 ( 87120 231065 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net591 ( output591 A ) ( _209_ LO )
+ ROUTED met1 ( 62160 80845 ) ( 188400 80845 )
NEW met2 ( 62160 38665 ) ( 62160 80845 )
NEW li1 ( 188400 80845 ) L1M1_PR_MR
NEW met1 ( 62160 80845 ) M1M2_PR
NEW li1 ( 62160 38665 ) L1M1_PR_MR
NEW met1 ( 62160 38665 ) M1M2_PR
NEW met1 ( 62160 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net592 ( output592 A ) ( _210_ LO )
+ ROUTED met1 ( 86400 110445 ) ( 86400 110815 )
NEW met1 ( 86400 110815 ) ( 136800 110815 )
NEW met1 ( 136800 110815 ) ( 136800 111185 )
NEW met1 ( 136800 111185 ) ( 167760 111185 )
NEW met1 ( 60720 110445 ) ( 86400 110445 )
NEW met2 ( 60720 41995 ) ( 60720 110445 )
NEW li1 ( 167760 111185 ) L1M1_PR_MR
NEW met1 ( 60720 110445 ) M1M2_PR
NEW li1 ( 60720 41995 ) L1M1_PR_MR
NEW met1 ( 60720 41995 ) M1M2_PR
NEW met1 ( 60720 41995 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net593 ( output593 A ) ( _211_ LO )
+ ROUTED met1 ( 196560 37555 ) ( 196560 37925 )
NEW met2 ( 196560 37925 ) ( 196560 133755 )
NEW met1 ( 149040 37925 ) ( 149040 38295 )
NEW met1 ( 149040 37925 ) ( 150960 37925 )
NEW met1 ( 150960 37555 ) ( 150960 37925 )
NEW met1 ( 150960 37555 ) ( 196560 37555 )
NEW met1 ( 66000 38295 ) ( 149040 38295 )
NEW li1 ( 196560 133755 ) L1M1_PR_MR
NEW met1 ( 196560 133755 ) M1M2_PR
NEW met1 ( 196560 37925 ) M1M2_PR
NEW li1 ( 66000 38295 ) L1M1_PR_MR
NEW met1 ( 196560 133755 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net594 ( ANTENNA_258 DIODE ) ( ANTENNA_257 DIODE ) ( output594 A ) ( _212_ LO )
+ ROUTED met1 ( 64560 220335 ) ( 73680 220335 )
NEW met1 ( 73680 220335 ) ( 74640 220335 )
NEW met1 ( 63120 41995 ) ( 64560 41995 )
NEW met2 ( 64560 41995 ) ( 64560 220335 )
NEW li1 ( 73680 220335 ) L1M1_PR_MR
NEW met1 ( 64560 220335 ) M1M2_PR
NEW li1 ( 74640 220335 ) L1M1_PR_MR
NEW li1 ( 64560 41995 ) L1M1_PR_MR
NEW met1 ( 64560 41995 ) M1M2_PR
NEW li1 ( 63120 41995 ) L1M1_PR_MR
NEW met1 ( 64560 41995 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net595 ( output595 A ) ( _185_ LO )
+ ROUTED met1 ( 198000 38665 ) ( 198000 39035 )
NEW met1 ( 177360 38665 ) ( 177360 39035 )
NEW met1 ( 177360 38665 ) ( 198000 38665 )
NEW met2 ( 274320 39035 ) ( 274320 48285 )
NEW met2 ( 274320 48285 ) ( 274800 48285 )
NEW met2 ( 274800 48285 ) ( 274800 80475 )
NEW met1 ( 198000 39035 ) ( 274320 39035 )
NEW met1 ( 115200 39035 ) ( 177360 39035 )
NEW li1 ( 89040 37555 ) ( 89040 38665 )
NEW met1 ( 89040 38665 ) ( 115200 38665 )
NEW met1 ( 115200 38665 ) ( 115200 39035 )
NEW met2 ( 43920 36075 ) ( 43920 37555 )
NEW met1 ( 19440 36075 ) ( 43920 36075 )
NEW met2 ( 19440 36075 ) ( 19440 37925 )
NEW met1 ( 16560 37925 ) ( 19440 37925 )
NEW met1 ( 43920 37555 ) ( 89040 37555 )
NEW met1 ( 274320 39035 ) M1M2_PR
NEW li1 ( 274800 80475 ) L1M1_PR_MR
NEW met1 ( 274800 80475 ) M1M2_PR
NEW li1 ( 89040 37555 ) L1M1_PR_MR
NEW li1 ( 89040 38665 ) L1M1_PR_MR
NEW met1 ( 43920 37555 ) M1M2_PR
NEW met1 ( 43920 36075 ) M1M2_PR
NEW met1 ( 19440 36075 ) M1M2_PR
NEW met1 ( 19440 37925 ) M1M2_PR
NEW li1 ( 16560 37925 ) L1M1_PR_MR
NEW met1 ( 274800 80475 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net596 ( output596 A ) ( _213_ LO )
+ ROUTED met1 ( 69840 38665 ) ( 69840 39035 )
NEW met2 ( 45840 39035 ) ( 45840 40515 )
NEW met1 ( 26640 40515 ) ( 45840 40515 )
NEW met1 ( 45840 39035 ) ( 69840 39035 )
NEW li1 ( 69840 38665 ) L1M1_PR_MR
NEW met1 ( 45840 39035 ) M1M2_PR
NEW met1 ( 45840 40515 ) M1M2_PR
NEW li1 ( 26640 40515 ) L1M1_PR_MR
+ USE SIGNAL ;
- net597 ( output597 A ) ( _214_ LO )
+ ROUTED met1 ( 115200 41995 ) ( 145200 41995 )
NEW met1 ( 68400 41625 ) ( 115200 41625 )
NEW met1 ( 115200 41625 ) ( 115200 41995 )
NEW li1 ( 145200 41995 ) L1M1_PR_MR
NEW li1 ( 68400 41625 ) L1M1_PR_MR
+ USE SIGNAL ;
- net598 ( output598 A ) ( _186_ LO )
+ ROUTED met1 ( 23760 93795 ) ( 67920 93795 )
NEW met1 ( 22800 35335 ) ( 23760 35335 )
NEW met2 ( 23760 35335 ) ( 23760 93795 )
NEW li1 ( 67920 93795 ) L1M1_PR_MR
NEW met1 ( 23760 93795 ) M1M2_PR
NEW li1 ( 22800 35335 ) L1M1_PR_MR
NEW met1 ( 23760 35335 ) M1M2_PR
+ USE SIGNAL ;
- net599 ( ANTENNA_260 DIODE ) ( ANTENNA_259 DIODE ) ( output599 A ) ( _187_ LO )
+ ROUTED met1 ( 121200 240315 ) ( 121680 240315 )
NEW met2 ( 121680 40515 ) ( 121680 240315 )
NEW met2 ( 78960 37925 ) ( 78960 40515 )
NEW met1 ( 78960 40515 ) ( 121680 40515 )
NEW met1 ( 16560 41995 ) ( 17520 41995 )
NEW met1 ( 17520 41995 ) ( 17520 42735 )
NEW met1 ( 17520 42735 ) ( 40560 42735 )
NEW li1 ( 40560 41255 ) ( 40560 42735 )
NEW met1 ( 40560 41255 ) ( 47280 41255 )
NEW met2 ( 47280 38665 ) ( 47280 41255 )
NEW met1 ( 47280 38295 ) ( 47280 38665 )
NEW met1 ( 47280 38295 ) ( 55440 38295 )
NEW met1 ( 55440 37925 ) ( 55440 38295 )
NEW met1 ( 15120 41995 ) ( 16560 41995 )
NEW met1 ( 55440 37925 ) ( 78960 37925 )
NEW met1 ( 121680 40515 ) M1M2_PR
NEW li1 ( 121680 240315 ) L1M1_PR_MR
NEW li1 ( 121200 240315 ) L1M1_PR_MR
NEW met1 ( 121680 240315 ) M1M2_PR
NEW met1 ( 78960 37925 ) M1M2_PR
NEW met1 ( 78960 40515 ) M1M2_PR
NEW li1 ( 16560 41995 ) L1M1_PR_MR
NEW li1 ( 40560 42735 ) L1M1_PR_MR
NEW li1 ( 40560 41255 ) L1M1_PR_MR
NEW met1 ( 47280 41255 ) M1M2_PR
NEW met1 ( 47280 38665 ) M1M2_PR
NEW li1 ( 15120 41995 ) L1M1_PR_MR
NEW met1 ( 121680 240315 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- net600 ( ANTENNA_262 DIODE ) ( ANTENNA_261 DIODE ) ( output600 A ) ( _188_ LO )
+ ROUTED met1 ( 65040 210345 ) ( 65520 210345 )
NEW met2 ( 65520 210345 ) ( 65520 211085 )
NEW met2 ( 65520 40885 ) ( 65520 210345 )
NEW met1 ( 20400 37925 ) ( 37680 37925 )
NEW met2 ( 37680 35705 ) ( 37680 37925 )
NEW met1 ( 37680 35705 ) ( 39600 35705 )
NEW met1 ( 39600 35335 ) ( 39600 35705 )
NEW met1 ( 39600 35335 ) ( 44400 35335 )
NEW met1 ( 44400 35335 ) ( 44400 36075 )
NEW met1 ( 44400 36075 ) ( 57840 36075 )
NEW met2 ( 57840 36075 ) ( 57840 40885 )
NEW met1 ( 18960 38295 ) ( 20400 38295 )
NEW met1 ( 20400 37925 ) ( 20400 38295 )
NEW met1 ( 57840 40885 ) ( 65520 40885 )
NEW li1 ( 65040 210345 ) L1M1_PR_MR
NEW met1 ( 65520 210345 ) M1M2_PR
NEW li1 ( 65520 211085 ) L1M1_PR_MR
NEW met1 ( 65520 211085 ) M1M2_PR
NEW met1 ( 65520 40885 ) M1M2_PR
NEW li1 ( 20400 37925 ) L1M1_PR_MR
NEW met1 ( 37680 37925 ) M1M2_PR
NEW met1 ( 37680 35705 ) M1M2_PR
NEW met1 ( 57840 36075 ) M1M2_PR
NEW met1 ( 57840 40885 ) M1M2_PR
NEW li1 ( 18960 38295 ) L1M1_PR_MR
NEW met1 ( 65520 211085 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net601 ( ANTENNA_264 DIODE ) ( ANTENNA_263 DIODE ) ( output601 A ) ( _189_ LO )
+ ROUTED met1 ( 210480 177045 ) ( 212400 177045 )
NEW met1 ( 212400 177785 ) ( 213360 177785 )
NEW met1 ( 212400 177045 ) ( 212400 177785 )
NEW met2 ( 210480 34965 ) ( 210480 177045 )
NEW met1 ( 64800 34965 ) ( 210480 34965 )
NEW met1 ( 26640 34965 ) ( 53520 34965 )
NEW met1 ( 53520 34965 ) ( 53520 35335 )
NEW met1 ( 53520 35335 ) ( 64800 35335 )
NEW met1 ( 64800 34965 ) ( 64800 35335 )
NEW met1 ( 25200 35335 ) ( 26640 35335 )
NEW met1 ( 26640 34965 ) ( 26640 35335 )
NEW met1 ( 210480 34965 ) M1M2_PR
NEW li1 ( 212400 177045 ) L1M1_PR_MR
NEW met1 ( 210480 177045 ) M1M2_PR
NEW li1 ( 213360 177785 ) L1M1_PR_MR
NEW li1 ( 26640 34965 ) L1M1_PR_MR
NEW li1 ( 25200 35335 ) L1M1_PR_MR
+ USE SIGNAL ;
- net602 ( output602 A ) ( _190_ LO )
+ ROUTED met2 ( 197520 39035 ) ( 197520 40515 )
NEW met1 ( 197520 40515 ) ( 231120 40515 )
NEW met2 ( 231120 40515 ) ( 231120 73815 )
NEW met1 ( 231120 73815 ) ( 234000 73815 )
NEW met1 ( 187200 39035 ) ( 197520 39035 )
NEW met1 ( 187200 39035 ) ( 187200 39405 )
NEW met1 ( 24240 38665 ) ( 24240 39405 )
NEW met1 ( 24240 39405 ) ( 41040 39405 )
NEW li1 ( 41040 39405 ) ( 41280 39405 )
NEW li1 ( 41280 39035 ) ( 41280 39405 )
NEW li1 ( 41280 39035 ) ( 42480 39035 )
NEW li1 ( 42480 39035 ) ( 42480 39405 )
NEW met1 ( 42480 39405 ) ( 187200 39405 )
NEW met1 ( 197520 39035 ) M1M2_PR
NEW met1 ( 197520 40515 ) M1M2_PR
NEW met1 ( 231120 40515 ) M1M2_PR
NEW met1 ( 231120 73815 ) M1M2_PR
NEW li1 ( 234000 73815 ) L1M1_PR_MR
NEW li1 ( 24240 38665 ) L1M1_PR_MR
NEW li1 ( 41040 39405 ) L1M1_PR_MR
NEW li1 ( 42480 39405 ) L1M1_PR_MR
+ USE SIGNAL ;
- net603 ( output603 A ) ( _191_ LO )
+ ROUTED met1 ( 66960 41995 ) ( 66960 42365 )
NEW li1 ( 66960 40885 ) ( 66960 41995 )
NEW met1 ( 66960 40885 ) ( 89520 40885 )
NEW met2 ( 89520 40885 ) ( 89520 164465 )
NEW met2 ( 22800 41810 ) ( 22800 41995 )
NEW met3 ( 22800 41810 ) ( 50160 41810 )
NEW met2 ( 50160 41810 ) ( 50160 42365 )
NEW met1 ( 50160 42365 ) ( 66960 42365 )
NEW li1 ( 89520 164465 ) L1M1_PR_MR
NEW met1 ( 89520 164465 ) M1M2_PR
NEW li1 ( 66960 41995 ) L1M1_PR_MR
NEW li1 ( 66960 40885 ) L1M1_PR_MR
NEW met1 ( 89520 40885 ) M1M2_PR
NEW li1 ( 22800 41995 ) L1M1_PR_MR
NEW met1 ( 22800 41995 ) M1M2_PR
NEW met2 ( 22800 41810 ) via2_FR
NEW met2 ( 50160 41810 ) via2_FR
NEW met1 ( 50160 42365 ) M1M2_PR
NEW met1 ( 89520 164465 ) RECT ( -355 -70 0 70 )
NEW met1 ( 22800 41995 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- net604 ( output604 A ) ( _192_ LO )
+ ROUTED met2 ( 161040 40885 ) ( 161040 107115 )
NEW li1 ( 90000 40885 ) ( 90000 42735 )
NEW met1 ( 90000 40885 ) ( 161040 40885 )
NEW met2 ( 28080 38665 ) ( 28080 42365 )
NEW met1 ( 28080 42365 ) ( 39600 42365 )
NEW met1 ( 39600 41995 ) ( 39600 42365 )
NEW met1 ( 39600 41995 ) ( 49680 41995 )
NEW met2 ( 49680 41995 ) ( 49680 42735 )
NEW met1 ( 49680 42735 ) ( 90000 42735 )
NEW li1 ( 161040 107115 ) L1M1_PR_MR
NEW met1 ( 161040 107115 ) M1M2_PR
NEW met1 ( 161040 40885 ) M1M2_PR
NEW li1 ( 90000 42735 ) L1M1_PR_MR
NEW li1 ( 90000 40885 ) L1M1_PR_MR
NEW li1 ( 28080 38665 ) L1M1_PR_MR
NEW met1 ( 28080 38665 ) M1M2_PR
NEW met1 ( 28080 42365 ) M1M2_PR
NEW met1 ( 49680 41995 ) M1M2_PR
NEW met1 ( 49680 42735 ) M1M2_PR
NEW met1 ( 161040 107115 ) RECT ( -355 -70 0 70 )
NEW met1 ( 28080 38665 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
END NETS
END DESIGN