blob: b80556ad7c9ef458898fd356accfb87b5d07e8dd [file] [log] [blame]
MACRO CLKBUF2
CLASS CORE ;
FOREIGN CLKBUF2 0 0 ;
SIZE 18.72 BY 3.33 ;
ORIGIN 0 0 ;
SYMMETRY X Y R90 ;
SITE unit ;
PIN VPWR
DIRECTION INOUT ;
USE POWER ;
SHAPE ABUTMENT ;
PORT
CLASS CORE ;
LAYER li1 ;
RECT 0.00000000 3.09000000 18.72000000 3.57000000 ;
LAYER met1 ;
RECT 0.00000000 3.09000000 18.72000000 3.57000000 ;
END
END VPWR
PIN VGND
DIRECTION INOUT ;
USE GROUND ;
SHAPE ABUTMENT ;
PORT
CLASS CORE ;
LAYER li1 ;
RECT 0.00000000 -0.24000000 18.72000000 0.24000000 ;
LAYER met1 ;
RECT 0.00000000 -0.24000000 18.72000000 0.24000000 ;
END
END VGND
PIN Y
DIRECTION INOUT ;
USE SIGNAL ;
SHAPE ABUTMENT ;
PORT
CLASS CORE ;
LAYER met1 ;
RECT 16.17500000 0.44000000 16.46500000 0.73000000 ;
END
END Y
PIN A
DIRECTION INOUT ;
USE SIGNAL ;
SHAPE ABUTMENT ;
PORT
CLASS CORE ;
LAYER met1 ;
RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
RECT 1.29500000 1.78000000 1.58500000 2.07000000 ;
RECT 2.73500000 1.78000000 3.02500000 2.07000000 ;
RECT 1.37000000 2.07000000 1.51000000 2.67500000 ;
RECT 2.81000000 2.07000000 2.95000000 2.67500000 ;
RECT 1.37000000 2.67500000 2.95000000 2.81500000 ;
END
END A
OBS
LAYER locali ;
RECT ( 0 3.415 ) ( 18.72 3.57 ) ;
RECT ( 0 3.245 ) ( 9.035 3.415 ) ;
RECT ( 9.205 3.245 ) ( 18.72 3.415 ) ;
RECT ( 0 3.215 ) ( 18.72 3.245 ) ;
RECT ( 0 3.09 ) ( 3.025 3.215 ) ;
RECT ( 3.695 3.09 ) ( 18.72 3.215 ) ;
RECT ( 0.555 2.965 ) ( 0.885 3.09 ) ;
RECT ( 0.555 2.795 ) ( 0.635 2.965 ) ;
RECT ( 0.805 2.795 ) ( 0.885 2.965 ) ;
RECT ( 0.555 2.715 ) ( 0.885 2.795 ) ;
RECT ( 3.195 2.965 ) ( 3.525 3.045 ) ;
RECT ( 3.195 2.795 ) ( 3.275 2.965 ) ;
RECT ( 3.445 2.795 ) ( 3.525 2.965 ) ;
RECT ( 6.075 2.965 ) ( 6.405 3.09 ) ;
RECT ( 3.195 2.715 ) ( 3.525 2.795 ) ;
RECT ( 6.075 2.795 ) ( 6.155 2.965 ) ;
RECT ( 6.325 2.795 ) ( 6.405 2.965 ) ;
RECT ( 8.955 2.965 ) ( 9.285 3.09 ) ;
RECT ( 6.075 2.715 ) ( 6.405 2.795 ) ;
RECT ( 1.755 2.425 ) ( 2.085 2.505 ) ;
RECT ( 1.755 2.26 ) ( 1.835 2.425 ) ;
RECT ( 1.775 2.255 ) ( 1.835 2.26 ) ;
RECT ( 2.005 2.255 ) ( 2.085 2.425 ) ;
RECT ( 1.775 2.175 ) ( 2.085 2.255 ) ;
RECT ( 4.635 2.425 ) ( 4.965 2.505 ) ;
RECT ( 4.635 2.255 ) ( 4.715 2.425 ) ;
RECT ( 4.885 2.255 ) ( 4.965 2.425 ) ;
RECT ( 4.635 2.175 ) ( 4.965 2.255 ) ;
RECT ( 5.675 2.09 ) ( 5.845 2.66 ) ;
RECT ( 8.955 2.795 ) ( 9.035 2.965 ) ;
RECT ( 9.205 2.795 ) ( 9.285 2.965 ) ;
RECT ( 11.835 2.965 ) ( 12.165 3.09 ) ;
RECT ( 8.955 2.715 ) ( 9.285 2.795 ) ;
RECT ( 7.515 2.425 ) ( 7.845 2.505 ) ;
RECT ( 7.515 2.26 ) ( 7.595 2.425 ) ;
RECT ( 7.535 2.255 ) ( 7.595 2.26 ) ;
RECT ( 7.765 2.255 ) ( 7.845 2.425 ) ;
RECT ( 7.535 2.175 ) ( 7.845 2.255 ) ;
RECT ( 8.555 2.09 ) ( 8.725 2.66 ) ;
RECT ( 11.835 2.795 ) ( 11.915 2.965 ) ;
RECT ( 12.085 2.795 ) ( 12.165 2.965 ) ;
RECT ( 14.715 2.965 ) ( 15.045 3.09 ) ;
RECT ( 11.835 2.715 ) ( 12.165 2.795 ) ;
RECT ( 10.395 2.425 ) ( 10.725 2.505 ) ;
RECT ( 10.395 2.26 ) ( 10.475 2.425 ) ;
RECT ( 10.415 2.255 ) ( 10.475 2.26 ) ;
RECT ( 10.645 2.255 ) ( 10.725 2.425 ) ;
RECT ( 10.415 2.175 ) ( 10.725 2.255 ) ;
RECT ( 11.435 2.09 ) ( 11.605 2.66 ) ;
RECT ( 14.715 2.795 ) ( 14.795 2.965 ) ;
RECT ( 14.965 2.795 ) ( 15.045 2.965 ) ;
RECT ( 14.715 2.715 ) ( 15.045 2.795 ) ;
RECT ( 17.595 2.965 ) ( 17.925 3.09 ) ;
RECT ( 17.595 2.795 ) ( 17.675 2.965 ) ;
RECT ( 17.845 2.795 ) ( 17.925 2.965 ) ;
RECT ( 17.595 2.715 ) ( 17.925 2.795 ) ;
RECT ( 13.275 2.425 ) ( 13.605 2.505 ) ;
RECT ( 13.275 2.26 ) ( 13.355 2.425 ) ;
RECT ( 13.295 2.255 ) ( 13.355 2.26 ) ;
RECT ( 13.525 2.255 ) ( 13.605 2.425 ) ;
RECT ( 13.295 2.175 ) ( 13.605 2.255 ) ;
RECT ( 14.315 2.09 ) ( 14.485 2.66 ) ;
RECT ( 16.155 2.425 ) ( 16.485 2.505 ) ;
RECT ( 16.155 2.255 ) ( 16.235 2.425 ) ;
RECT ( 16.405 2.255 ) ( 16.485 2.425 ) ;
RECT ( 16.155 2.175 ) ( 16.485 2.255 ) ;
RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
RECT ( 4.155 2.01 ) ( 4.465 2.09 ) ;
RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
RECT ( 4.405 2.005 ) ( 4.465 2.01 ) ;
RECT ( 5.595 2.01 ) ( 5.925 2.09 ) ;
RECT ( 4.405 1.84 ) ( 4.485 2.005 ) ;
RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
RECT ( 5.595 1.84 ) ( 5.675 2.01 ) ;
RECT ( 5.845 1.84 ) ( 5.925 2.01 ) ;
RECT ( 5.595 1.76 ) ( 5.925 1.84 ) ;
RECT ( 7.035 2.01 ) ( 7.365 2.09 ) ;
RECT ( 7.035 1.84 ) ( 7.115 2.01 ) ;
RECT ( 7.285 1.84 ) ( 7.365 2.01 ) ;
RECT ( 7.035 1.76 ) ( 7.365 1.84 ) ;
RECT ( 8.475 2.01 ) ( 8.805 2.09 ) ;
RECT ( 8.475 1.84 ) ( 8.555 2.01 ) ;
RECT ( 8.725 1.84 ) ( 8.805 2.01 ) ;
RECT ( 8.475 1.76 ) ( 8.805 1.84 ) ;
RECT ( 9.915 2.01 ) ( 10.245 2.09 ) ;
RECT ( 9.915 1.84 ) ( 9.995 2.01 ) ;
RECT ( 10.165 1.84 ) ( 10.245 2.01 ) ;
RECT ( 9.915 1.76 ) ( 10.245 1.84 ) ;
RECT ( 11.355 2.01 ) ( 11.685 2.09 ) ;
RECT ( 11.355 1.84 ) ( 11.435 2.01 ) ;
RECT ( 11.605 1.84 ) ( 11.685 2.01 ) ;
RECT ( 11.355 1.76 ) ( 11.685 1.84 ) ;
RECT ( 12.795 2.01 ) ( 13.125 2.09 ) ;
RECT ( 12.795 1.84 ) ( 12.875 2.01 ) ;
RECT ( 13.045 1.84 ) ( 13.125 2.01 ) ;
RECT ( 12.795 1.76 ) ( 13.125 1.84 ) ;
RECT ( 14.235 2.01 ) ( 14.565 2.09 ) ;
RECT ( 14.235 1.84 ) ( 14.315 2.01 ) ;
RECT ( 14.485 1.84 ) ( 14.565 2.01 ) ;
RECT ( 14.235 1.76 ) ( 14.565 1.84 ) ;
RECT ( 15.675 2.01 ) ( 15.985 2.09 ) ;
RECT ( 15.675 1.84 ) ( 15.755 2.01 ) ;
RECT ( 15.925 2.005 ) ( 15.985 2.01 ) ;
RECT ( 15.925 1.84 ) ( 16.005 2.005 ) ;
RECT ( 15.675 1.76 ) ( 16.005 1.84 ) ;
RECT ( 2.795 1.155 ) ( 2.965 1.76 ) ;
RECT ( 5.675 1.615 ) ( 5.845 1.76 ) ;
RECT ( 8.555 1.615 ) ( 8.725 1.76 ) ;
RECT ( 11.435 1.615 ) ( 11.605 1.76 ) ;
RECT ( 14.315 1.615 ) ( 14.485 1.76 ) ;
RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
RECT ( 1.525 0.92 ) ( 1.605 1.075 ) ;
RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
RECT ( 1.525 0.905 ) ( 1.585 0.92 ) ;
RECT ( 1.275 0.825 ) ( 1.585 0.905 ) ;
RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
RECT ( 4.155 0.905 ) ( 4.235 1.075 ) ;
RECT ( 4.405 0.92 ) ( 4.485 1.075 ) ;
RECT ( 5.595 1.075 ) ( 5.925 1.155 ) ;
RECT ( 4.405 0.905 ) ( 4.465 0.92 ) ;
RECT ( 4.155 0.825 ) ( 4.465 0.905 ) ;
RECT ( 5.595 0.905 ) ( 5.675 1.075 ) ;
RECT ( 5.845 0.905 ) ( 5.925 1.075 ) ;
RECT ( 5.595 0.825 ) ( 5.925 0.905 ) ;
RECT ( 7.035 1.075 ) ( 7.365 1.155 ) ;
RECT ( 7.035 0.905 ) ( 7.115 1.075 ) ;
RECT ( 7.285 0.92 ) ( 7.365 1.075 ) ;
RECT ( 8.475 1.075 ) ( 8.805 1.155 ) ;
RECT ( 7.285 0.905 ) ( 7.345 0.92 ) ;
RECT ( 7.035 0.825 ) ( 7.345 0.905 ) ;
RECT ( 8.475 0.905 ) ( 8.555 1.075 ) ;
RECT ( 8.725 0.92 ) ( 8.805 1.075 ) ;
RECT ( 9.915 1.075 ) ( 10.245 1.155 ) ;
RECT ( 8.725 0.905 ) ( 8.785 0.92 ) ;
RECT ( 8.475 0.825 ) ( 8.785 0.905 ) ;
RECT ( 9.915 0.905 ) ( 9.995 1.075 ) ;
RECT ( 10.165 0.92 ) ( 10.245 1.075 ) ;
RECT ( 11.355 1.075 ) ( 11.685 1.155 ) ;
RECT ( 10.165 0.905 ) ( 10.225 0.92 ) ;
RECT ( 9.915 0.825 ) ( 10.225 0.905 ) ;
RECT ( 11.355 0.905 ) ( 11.435 1.075 ) ;
RECT ( 11.605 0.905 ) ( 11.685 1.075 ) ;
RECT ( 11.355 0.825 ) ( 11.685 0.905 ) ;
RECT ( 12.795 1.075 ) ( 13.125 1.155 ) ;
RECT ( 12.795 0.905 ) ( 12.875 1.075 ) ;
RECT ( 13.045 0.92 ) ( 13.125 1.075 ) ;
RECT ( 14.235 1.075 ) ( 14.565 1.155 ) ;
RECT ( 13.045 0.905 ) ( 13.105 0.92 ) ;
RECT ( 12.795 0.825 ) ( 13.105 0.905 ) ;
RECT ( 14.235 0.905 ) ( 14.315 1.075 ) ;
RECT ( 14.485 0.92 ) ( 14.565 1.075 ) ;
RECT ( 15.675 1.075 ) ( 16.005 1.155 ) ;
RECT ( 14.485 0.905 ) ( 14.545 0.92 ) ;
RECT ( 14.235 0.825 ) ( 14.545 0.905 ) ;
RECT ( 15.675 0.905 ) ( 15.755 1.075 ) ;
RECT ( 15.925 0.92 ) ( 16.005 1.075 ) ;
RECT ( 15.925 0.905 ) ( 15.985 0.92 ) ;
RECT ( 15.675 0.825 ) ( 15.985 0.905 ) ;
RECT ( 16.235 0.75 ) ( 16.405 2.175 ) ;
RECT ( 17.115 2.01 ) ( 17.445 2.09 ) ;
RECT ( 17.115 1.84 ) ( 17.195 2.01 ) ;
RECT ( 17.365 1.84 ) ( 17.445 2.01 ) ;
RECT ( 17.115 1.76 ) ( 17.445 1.84 ) ;
RECT ( 17.115 1.075 ) ( 17.445 1.155 ) ;
RECT ( 17.115 0.905 ) ( 17.195 1.075 ) ;
RECT ( 17.365 0.905 ) ( 17.445 1.075 ) ;
RECT ( 17.115 0.825 ) ( 17.445 0.905 ) ;
RECT ( 0.555 0.67 ) ( 0.885 0.75 ) ;
RECT ( 0.555 0.5 ) ( 0.635 0.67 ) ;
RECT ( 0.805 0.5 ) ( 0.885 0.67 ) ;
RECT ( 0.555 0.42 ) ( 0.885 0.5 ) ;
RECT ( 1.755 0.67 ) ( 2.085 0.75 ) ;
RECT ( 1.755 0.5 ) ( 1.835 0.67 ) ;
RECT ( 2.005 0.5 ) ( 2.085 0.67 ) ;
RECT ( 3.215 0.67 ) ( 3.525 0.75 ) ;
RECT ( 3.215 0.655 ) ( 3.275 0.67 ) ;
RECT ( 1.755 0.42 ) ( 2.085 0.5 ) ;
RECT ( 3.195 0.5 ) ( 3.275 0.655 ) ;
RECT ( 3.445 0.5 ) ( 3.525 0.67 ) ;
RECT ( 3.195 0.42 ) ( 3.525 0.5 ) ;
RECT ( 4.635 0.67 ) ( 4.965 0.75 ) ;
RECT ( 4.635 0.5 ) ( 4.715 0.67 ) ;
RECT ( 4.885 0.5 ) ( 4.965 0.67 ) ;
RECT ( 6.095 0.67 ) ( 6.405 0.75 ) ;
RECT ( 6.095 0.655 ) ( 6.155 0.67 ) ;
RECT ( 4.635 0.42 ) ( 4.965 0.5 ) ;
RECT ( 6.075 0.5 ) ( 6.155 0.655 ) ;
RECT ( 6.325 0.5 ) ( 6.405 0.67 ) ;
RECT ( 6.075 0.42 ) ( 6.405 0.5 ) ;
RECT ( 7.515 0.67 ) ( 7.845 0.75 ) ;
RECT ( 7.515 0.5 ) ( 7.595 0.67 ) ;
RECT ( 7.765 0.5 ) ( 7.845 0.67 ) ;
RECT ( 7.515 0.42 ) ( 7.845 0.5 ) ;
RECT ( 8.955 0.67 ) ( 9.285 0.75 ) ;
RECT ( 8.955 0.5 ) ( 9.035 0.67 ) ;
RECT ( 9.205 0.5 ) ( 9.285 0.67 ) ;
RECT ( 8.955 0.42 ) ( 9.285 0.5 ) ;
RECT ( 10.395 0.67 ) ( 10.725 0.75 ) ;
RECT ( 10.395 0.5 ) ( 10.475 0.67 ) ;
RECT ( 10.645 0.5 ) ( 10.725 0.67 ) ;
RECT ( 11.855 0.67 ) ( 12.165 0.75 ) ;
RECT ( 11.855 0.655 ) ( 11.915 0.67 ) ;
RECT ( 10.395 0.42 ) ( 10.725 0.5 ) ;
RECT ( 11.835 0.5 ) ( 11.915 0.655 ) ;
RECT ( 12.085 0.5 ) ( 12.165 0.67 ) ;
RECT ( 11.835 0.42 ) ( 12.165 0.5 ) ;
RECT ( 13.275 0.67 ) ( 13.605 0.75 ) ;
RECT ( 13.275 0.5 ) ( 13.355 0.67 ) ;
RECT ( 13.525 0.5 ) ( 13.605 0.67 ) ;
RECT ( 13.275 0.42 ) ( 13.605 0.5 ) ;
RECT ( 14.715 0.67 ) ( 15.045 0.75 ) ;
RECT ( 14.715 0.5 ) ( 14.795 0.67 ) ;
RECT ( 14.965 0.5 ) ( 15.045 0.67 ) ;
RECT ( 14.715 0.42 ) ( 15.045 0.5 ) ;
RECT ( 16.155 0.67 ) ( 16.485 0.75 ) ;
RECT ( 16.155 0.5 ) ( 16.235 0.67 ) ;
RECT ( 16.405 0.5 ) ( 16.485 0.67 ) ;
RECT ( 17.615 0.67 ) ( 17.925 0.75 ) ;
RECT ( 17.615 0.655 ) ( 17.675 0.67 ) ;
RECT ( 16.155 0.42 ) ( 16.485 0.5 ) ;
RECT ( 17.595 0.5 ) ( 17.675 0.655 ) ;
RECT ( 17.845 0.5 ) ( 17.925 0.67 ) ;
RECT ( 17.595 0.42 ) ( 17.925 0.5 ) ;
RECT ( 0 0.085 ) ( 18.72 0.24 ) ;
RECT ( 0 -0.085 ) ( 3.275 0.085 ) ;
RECT ( 3.445 -0.085 ) ( 18.72 0.085 ) ;
RECT ( 0 -0.24 ) ( 18.72 -0.085 ) ;
LAYER metal1 ;
RECT ( 0 3.415 ) ( 18.72 3.57 ) ;
RECT ( 0 3.245 ) ( 9.035 3.415 ) ;
RECT ( 9.205 3.245 ) ( 18.72 3.415 ) ;
RECT ( 0 3.09 ) ( 18.72 3.245 ) ;
RECT ( 0.575 2.965 ) ( 0.865 3.09 ) ;
RECT ( 0.575 2.795 ) ( 0.635 2.965 ) ;
RECT ( 0.805 2.795 ) ( 0.865 2.965 ) ;
RECT ( 3.215 2.965 ) ( 3.505 3.09 ) ;
RECT ( 0.575 2.735 ) ( 0.865 2.795 ) ;
RECT ( 1.37 2.675 ) ( 2.95 2.815 ) ;
RECT ( 3.215 2.795 ) ( 3.275 2.965 ) ;
RECT ( 3.445 2.795 ) ( 3.505 2.965 ) ;
RECT ( 6.095 2.965 ) ( 6.385 3.09 ) ;
RECT ( 5.615 2.83 ) ( 5.905 2.89 ) ;
RECT ( 5.615 2.815 ) ( 5.675 2.83 ) ;
RECT ( 3.215 2.735 ) ( 3.505 2.795 ) ;
RECT ( 1.37 2.07 ) ( 1.51 2.675 ) ;
RECT ( 1.775 2.425 ) ( 2.065 2.485 ) ;
RECT ( 1.775 2.255 ) ( 1.835 2.425 ) ;
RECT ( 2.005 2.255 ) ( 2.065 2.425 ) ;
RECT ( 1.775 2.195 ) ( 2.065 2.255 ) ;
RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
RECT ( 1.525 1.84 ) ( 1.585 2.01 ) ;
RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
RECT ( 1.525 0.905 ) ( 1.585 1.075 ) ;
RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
RECT ( 1.85 1.06 ) ( 1.99 2.195 ) ;
RECT ( 2.81 2.07 ) ( 2.95 2.675 ) ;
RECT ( 4.25 2.675 ) ( 5.675 2.815 ) ;
RECT ( 4.25 2.07 ) ( 4.39 2.675 ) ;
RECT ( 5.615 2.66 ) ( 5.675 2.675 ) ;
RECT ( 5.845 2.66 ) ( 5.905 2.83 ) ;
RECT ( 6.095 2.795 ) ( 6.155 2.965 ) ;
RECT ( 6.325 2.795 ) ( 6.385 2.965 ) ;
RECT ( 8.975 2.965 ) ( 9.265 3.09 ) ;
RECT ( 8.495 2.83 ) ( 8.785 2.89 ) ;
RECT ( 8.495 2.815 ) ( 8.555 2.83 ) ;
RECT ( 6.095 2.735 ) ( 6.385 2.795 ) ;
RECT ( 5.615 2.6 ) ( 5.905 2.66 ) ;
RECT ( 7.13 2.675 ) ( 8.555 2.815 ) ;
RECT ( 4.655 2.425 ) ( 4.945 2.485 ) ;
RECT ( 4.655 2.255 ) ( 4.715 2.425 ) ;
RECT ( 4.885 2.255 ) ( 4.945 2.425 ) ;
RECT ( 4.655 2.195 ) ( 4.945 2.255 ) ;
RECT ( 2.735 2.01 ) ( 3.025 2.07 ) ;
RECT ( 2.735 1.84 ) ( 2.795 2.01 ) ;
RECT ( 2.965 1.84 ) ( 3.025 2.01 ) ;
RECT ( 2.735 1.78 ) ( 3.025 1.84 ) ;
RECT ( 4.175 2.01 ) ( 4.465 2.07 ) ;
RECT ( 4.175 1.84 ) ( 4.235 2.01 ) ;
RECT ( 4.405 1.84 ) ( 4.465 2.01 ) ;
RECT ( 4.175 1.78 ) ( 4.465 1.84 ) ;
RECT ( 4.73 1.995 ) ( 4.87 2.195 ) ;
RECT ( 7.13 2.07 ) ( 7.27 2.675 ) ;
RECT ( 8.495 2.66 ) ( 8.555 2.675 ) ;
RECT ( 8.725 2.66 ) ( 8.785 2.83 ) ;
RECT ( 8.975 2.795 ) ( 9.035 2.965 ) ;
RECT ( 9.205 2.795 ) ( 9.265 2.965 ) ;
RECT ( 11.855 2.965 ) ( 12.145 3.09 ) ;
RECT ( 11.375 2.83 ) ( 11.665 2.89 ) ;
RECT ( 11.375 2.815 ) ( 11.435 2.83 ) ;
RECT ( 8.975 2.735 ) ( 9.265 2.795 ) ;
RECT ( 8.495 2.6 ) ( 8.785 2.66 ) ;
RECT ( 10.01 2.675 ) ( 11.435 2.815 ) ;
RECT ( 7.535 2.425 ) ( 7.825 2.485 ) ;
RECT ( 7.535 2.255 ) ( 7.595 2.425 ) ;
RECT ( 7.765 2.255 ) ( 7.825 2.425 ) ;
RECT ( 7.535 2.195 ) ( 7.825 2.255 ) ;
RECT ( 7.055 2.01 ) ( 7.345 2.07 ) ;
RECT ( 7.055 1.995 ) ( 7.115 2.01 ) ;
RECT ( 4.73 1.855 ) ( 7.115 1.995 ) ;
RECT ( 4.25 1.135 ) ( 4.39 1.78 ) ;
RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
RECT ( 4.175 1.06 ) ( 4.235 1.075 ) ;
RECT ( 1.85 0.92 ) ( 4.235 1.06 ) ;
RECT ( 1.85 0.73 ) ( 1.99 0.92 ) ;
RECT ( 4.175 0.905 ) ( 4.235 0.92 ) ;
RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
RECT ( 4.73 0.73 ) ( 4.87 1.855 ) ;
RECT ( 7.055 1.84 ) ( 7.115 1.855 ) ;
RECT ( 7.285 1.84 ) ( 7.345 2.01 ) ;
RECT ( 7.055 1.78 ) ( 7.345 1.84 ) ;
RECT ( 7.61 1.995 ) ( 7.75 2.195 ) ;
RECT ( 10.01 2.07 ) ( 10.15 2.675 ) ;
RECT ( 11.375 2.66 ) ( 11.435 2.675 ) ;
RECT ( 11.605 2.66 ) ( 11.665 2.83 ) ;
RECT ( 11.855 2.795 ) ( 11.915 2.965 ) ;
RECT ( 12.085 2.795 ) ( 12.145 2.965 ) ;
RECT ( 14.735 2.965 ) ( 15.025 3.09 ) ;
RECT ( 14.255 2.83 ) ( 14.545 2.89 ) ;
RECT ( 14.255 2.815 ) ( 14.315 2.83 ) ;
RECT ( 11.855 2.735 ) ( 12.145 2.795 ) ;
RECT ( 11.375 2.6 ) ( 11.665 2.66 ) ;
RECT ( 12.89 2.675 ) ( 14.315 2.815 ) ;
RECT ( 10.415 2.425 ) ( 10.705 2.485 ) ;
RECT ( 10.415 2.255 ) ( 10.475 2.425 ) ;
RECT ( 10.645 2.255 ) ( 10.705 2.425 ) ;
RECT ( 10.415 2.195 ) ( 10.705 2.255 ) ;
RECT ( 9.935 2.01 ) ( 10.225 2.07 ) ;
RECT ( 9.935 1.995 ) ( 9.995 2.01 ) ;
RECT ( 7.61 1.855 ) ( 9.995 1.995 ) ;
RECT ( 5.615 1.615 ) ( 5.905 1.675 ) ;
RECT ( 5.615 1.445 ) ( 5.675 1.615 ) ;
RECT ( 5.845 1.445 ) ( 5.905 1.615 ) ;
RECT ( 5.615 1.385 ) ( 5.905 1.445 ) ;
RECT ( 5.69 1.135 ) ( 5.83 1.385 ) ;
RECT ( 7.13 1.135 ) ( 7.27 1.78 ) ;
RECT ( 5.615 1.075 ) ( 5.905 1.135 ) ;
RECT ( 5.615 0.905 ) ( 5.675 1.075 ) ;
RECT ( 5.845 0.905 ) ( 5.905 1.075 ) ;
RECT ( 5.615 0.845 ) ( 5.905 0.905 ) ;
RECT ( 7.055 1.075 ) ( 7.345 1.135 ) ;
RECT ( 7.055 0.905 ) ( 7.115 1.075 ) ;
RECT ( 7.285 0.905 ) ( 7.345 1.075 ) ;
RECT ( 7.055 0.845 ) ( 7.345 0.905 ) ;
RECT ( 7.61 0.73 ) ( 7.75 1.855 ) ;
RECT ( 9.935 1.84 ) ( 9.995 1.855 ) ;
RECT ( 10.165 1.84 ) ( 10.225 2.01 ) ;
RECT ( 9.935 1.78 ) ( 10.225 1.84 ) ;
RECT ( 10.49 1.995 ) ( 10.63 2.195 ) ;
RECT ( 12.89 2.07 ) ( 13.03 2.675 ) ;
RECT ( 14.255 2.66 ) ( 14.315 2.675 ) ;
RECT ( 14.485 2.66 ) ( 14.545 2.83 ) ;
RECT ( 14.735 2.795 ) ( 14.795 2.965 ) ;
RECT ( 14.965 2.795 ) ( 15.025 2.965 ) ;
RECT ( 14.735 2.735 ) ( 15.025 2.795 ) ;
RECT ( 17.615 2.965 ) ( 17.905 3.09 ) ;
RECT ( 17.615 2.795 ) ( 17.675 2.965 ) ;
RECT ( 17.845 2.795 ) ( 17.905 2.965 ) ;
RECT ( 17.615 2.735 ) ( 17.905 2.795 ) ;
RECT ( 14.255 2.6 ) ( 14.545 2.66 ) ;
RECT ( 13.295 2.425 ) ( 13.585 2.485 ) ;
RECT ( 13.295 2.255 ) ( 13.355 2.425 ) ;
RECT ( 13.525 2.255 ) ( 13.585 2.425 ) ;
RECT ( 13.295 2.195 ) ( 13.585 2.255 ) ;
RECT ( 12.815 2.01 ) ( 13.105 2.07 ) ;
RECT ( 12.815 1.995 ) ( 12.875 2.01 ) ;
RECT ( 10.49 1.855 ) ( 12.875 1.995 ) ;
RECT ( 8.495 1.615 ) ( 8.785 1.675 ) ;
RECT ( 8.495 1.445 ) ( 8.555 1.615 ) ;
RECT ( 8.725 1.445 ) ( 8.785 1.615 ) ;
RECT ( 8.495 1.385 ) ( 8.785 1.445 ) ;
RECT ( 8.57 1.135 ) ( 8.71 1.385 ) ;
RECT ( 10.01 1.135 ) ( 10.15 1.78 ) ;
RECT ( 8.495 1.075 ) ( 8.785 1.135 ) ;
RECT ( 8.495 0.905 ) ( 8.555 1.075 ) ;
RECT ( 8.725 0.905 ) ( 8.785 1.075 ) ;
RECT ( 8.495 0.845 ) ( 8.785 0.905 ) ;
RECT ( 9.935 1.075 ) ( 10.225 1.135 ) ;
RECT ( 9.935 0.905 ) ( 9.995 1.075 ) ;
RECT ( 10.165 0.905 ) ( 10.225 1.075 ) ;
RECT ( 9.935 0.845 ) ( 10.225 0.905 ) ;
RECT ( 10.49 0.73 ) ( 10.63 1.855 ) ;
RECT ( 12.815 1.84 ) ( 12.875 1.855 ) ;
RECT ( 13.045 1.84 ) ( 13.105 2.01 ) ;
RECT ( 12.815 1.78 ) ( 13.105 1.84 ) ;
RECT ( 13.37 1.995 ) ( 13.51 2.195 ) ;
RECT ( 15.695 2.01 ) ( 15.985 2.07 ) ;
RECT ( 15.695 1.995 ) ( 15.755 2.01 ) ;
RECT ( 13.37 1.855 ) ( 15.755 1.995 ) ;
RECT ( 11.375 1.615 ) ( 11.665 1.675 ) ;
RECT ( 11.375 1.445 ) ( 11.435 1.615 ) ;
RECT ( 11.605 1.445 ) ( 11.665 1.615 ) ;
RECT ( 11.375 1.385 ) ( 11.665 1.445 ) ;
RECT ( 11.45 1.135 ) ( 11.59 1.385 ) ;
RECT ( 12.89 1.135 ) ( 13.03 1.78 ) ;
RECT ( 11.375 1.075 ) ( 11.665 1.135 ) ;
RECT ( 11.375 0.905 ) ( 11.435 1.075 ) ;
RECT ( 11.605 0.905 ) ( 11.665 1.075 ) ;
RECT ( 11.375 0.845 ) ( 11.665 0.905 ) ;
RECT ( 12.815 1.075 ) ( 13.105 1.135 ) ;
RECT ( 12.815 0.905 ) ( 12.875 1.075 ) ;
RECT ( 13.045 0.905 ) ( 13.105 1.075 ) ;
RECT ( 12.815 0.845 ) ( 13.105 0.905 ) ;
RECT ( 13.37 0.73 ) ( 13.51 1.855 ) ;
RECT ( 15.695 1.84 ) ( 15.755 1.855 ) ;
RECT ( 15.925 1.995 ) ( 15.985 2.01 ) ;
RECT ( 17.135 2.01 ) ( 17.425 2.07 ) ;
RECT ( 17.135 1.995 ) ( 17.195 2.01 ) ;
RECT ( 15.925 1.855 ) ( 17.195 1.995 ) ;
RECT ( 15.925 1.84 ) ( 15.985 1.855 ) ;
RECT ( 15.695 1.78 ) ( 15.985 1.84 ) ;
RECT ( 17.135 1.84 ) ( 17.195 1.855 ) ;
RECT ( 17.365 1.84 ) ( 17.425 2.01 ) ;
RECT ( 17.135 1.78 ) ( 17.425 1.84 ) ;
RECT ( 14.255 1.615 ) ( 14.545 1.675 ) ;
RECT ( 14.255 1.445 ) ( 14.315 1.615 ) ;
RECT ( 14.485 1.445 ) ( 14.545 1.615 ) ;
RECT ( 14.255 1.385 ) ( 14.545 1.445 ) ;
RECT ( 14.33 1.135 ) ( 14.47 1.385 ) ;
RECT ( 15.77 1.135 ) ( 15.91 1.78 ) ;
RECT ( 17.21 1.135 ) ( 17.35 1.78 ) ;
RECT ( 14.255 1.075 ) ( 14.545 1.135 ) ;
RECT ( 14.255 0.905 ) ( 14.315 1.075 ) ;
RECT ( 14.485 0.905 ) ( 14.545 1.075 ) ;
RECT ( 14.255 0.845 ) ( 14.545 0.905 ) ;
RECT ( 15.695 1.075 ) ( 15.985 1.135 ) ;
RECT ( 15.695 0.905 ) ( 15.755 1.075 ) ;
RECT ( 15.925 0.905 ) ( 15.985 1.075 ) ;
RECT ( 15.695 0.845 ) ( 15.985 0.905 ) ;
RECT ( 17.135 1.075 ) ( 17.425 1.135 ) ;
RECT ( 17.135 0.905 ) ( 17.195 1.075 ) ;
RECT ( 17.365 0.905 ) ( 17.425 1.075 ) ;
RECT ( 17.135 0.845 ) ( 17.425 0.905 ) ;
RECT ( 0.575 0.67 ) ( 0.865 0.73 ) ;
RECT ( 0.575 0.5 ) ( 0.635 0.67 ) ;
RECT ( 0.805 0.5 ) ( 0.865 0.67 ) ;
RECT ( 0.575 0.44 ) ( 0.865 0.5 ) ;
RECT ( 1.775 0.67 ) ( 2.065 0.73 ) ;
RECT ( 1.775 0.5 ) ( 1.835 0.67 ) ;
RECT ( 2.005 0.5 ) ( 2.065 0.67 ) ;
RECT ( 1.775 0.44 ) ( 2.065 0.5 ) ;
RECT ( 3.215 0.67 ) ( 3.505 0.73 ) ;
RECT ( 3.215 0.5 ) ( 3.275 0.67 ) ;
RECT ( 3.445 0.5 ) ( 3.505 0.67 ) ;
RECT ( 3.215 0.44 ) ( 3.505 0.5 ) ;
RECT ( 4.655 0.67 ) ( 4.945 0.73 ) ;
RECT ( 4.655 0.5 ) ( 4.715 0.67 ) ;
RECT ( 4.885 0.5 ) ( 4.945 0.67 ) ;
RECT ( 4.655 0.44 ) ( 4.945 0.5 ) ;
RECT ( 6.095 0.67 ) ( 6.385 0.73 ) ;
RECT ( 6.095 0.5 ) ( 6.155 0.67 ) ;
RECT ( 6.325 0.5 ) ( 6.385 0.67 ) ;
RECT ( 6.095 0.44 ) ( 6.385 0.5 ) ;
RECT ( 7.535 0.67 ) ( 7.825 0.73 ) ;
RECT ( 7.535 0.5 ) ( 7.595 0.67 ) ;
RECT ( 7.765 0.5 ) ( 7.825 0.67 ) ;
RECT ( 7.535 0.44 ) ( 7.825 0.5 ) ;
RECT ( 8.975 0.67 ) ( 9.265 0.73 ) ;
RECT ( 8.975 0.5 ) ( 9.035 0.67 ) ;
RECT ( 9.205 0.5 ) ( 9.265 0.67 ) ;
RECT ( 8.975 0.44 ) ( 9.265 0.5 ) ;
RECT ( 10.415 0.67 ) ( 10.705 0.73 ) ;
RECT ( 10.415 0.5 ) ( 10.475 0.67 ) ;
RECT ( 10.645 0.5 ) ( 10.705 0.67 ) ;
RECT ( 10.415 0.44 ) ( 10.705 0.5 ) ;
RECT ( 11.855 0.67 ) ( 12.145 0.73 ) ;
RECT ( 11.855 0.5 ) ( 11.915 0.67 ) ;
RECT ( 12.085 0.5 ) ( 12.145 0.67 ) ;
RECT ( 11.855 0.44 ) ( 12.145 0.5 ) ;
RECT ( 13.295 0.67 ) ( 13.585 0.73 ) ;
RECT ( 13.295 0.5 ) ( 13.355 0.67 ) ;
RECT ( 13.525 0.5 ) ( 13.585 0.67 ) ;
RECT ( 13.295 0.44 ) ( 13.585 0.5 ) ;
RECT ( 14.735 0.67 ) ( 15.025 0.73 ) ;
RECT ( 14.735 0.5 ) ( 14.795 0.67 ) ;
RECT ( 14.965 0.5 ) ( 15.025 0.67 ) ;
RECT ( 14.735 0.44 ) ( 15.025 0.5 ) ;
RECT ( 16.175 0.67 ) ( 16.465 0.73 ) ;
RECT ( 16.175 0.5 ) ( 16.235 0.67 ) ;
RECT ( 16.405 0.5 ) ( 16.465 0.67 ) ;
RECT ( 16.175 0.44 ) ( 16.465 0.5 ) ;
RECT ( 17.615 0.67 ) ( 17.905 0.73 ) ;
RECT ( 17.615 0.5 ) ( 17.675 0.67 ) ;
RECT ( 17.845 0.5 ) ( 17.905 0.67 ) ;
RECT ( 17.615 0.44 ) ( 17.905 0.5 ) ;
RECT ( 0.65 0.24 ) ( 0.79 0.44 ) ;
RECT ( 3.29 0.24 ) ( 3.43 0.44 ) ;
RECT ( 6.17 0.24 ) ( 6.31 0.44 ) ;
RECT ( 9.05 0.24 ) ( 9.19 0.44 ) ;
RECT ( 11.93 0.24 ) ( 12.07 0.44 ) ;
RECT ( 14.81 0.24 ) ( 14.95 0.44 ) ;
RECT ( 17.69 0.24 ) ( 17.83 0.44 ) ;
RECT ( 0 0.085 ) ( 18.72 0.24 ) ;
RECT ( 0 -0.085 ) ( 3.275 0.085 ) ;
RECT ( 3.445 -0.085 ) ( 18.72 0.085 ) ;
RECT ( 0 -0.24 ) ( 18.72 -0.085 ) ;
end
END CLKBUF2