blob: 8641f5733dfd9d2e9bb92e63c739ae269a86f4b9 [file] [log] [blame]
library (ls05_stdcells) {
delay_model: table_lookup;
in_place_swap_mode: match_footprint;
time_unit: "1ns";
voltage_unit: "1V";
current_unit: "1uA";
pulling_resistance_unit: "1kohm";
leakage_power_unit: "1nW";
capacitive_load_unit (1.0, pf);
slew_upper_threshold_pct_rise: 80.0;
slew_lower_threshold_pct_rise: 20.0;
slew_upper_threshold_pct_fall: 80.0;
slew_lower_threshold_pct_fall: 20.0;
input_threshold_pct_rise: 50.0;
input_threshold_pct_fall: 50.0;
output_threshold_pct_rise: 50.0;
output_threshold_pct_fall: 50.0;
nom_process: 1.0;
nom_voltage: 5.0;
nom_temperature: 25.0;
default_operating_conditions: typical;
operating_conditions (typical) {
process: 1.0;
voltage: 5.0;
temperature: 25.0;
}
lu_table_template (delay_template_5x5) {
variable_1: total_output_net_capacitance;
variable_2: input_net_transition;
index_1 (
"1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
);
index_2 (
"1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
);
}
cell (MUX2X1) {
area: 1316736.0;
cell_leakage_power: 0.1173;
pin (S) {
direction: input;
rise_capacitance: 0.010338610799344835;
fall_capacitance: 0.008212780453515003;
capacitance: 0.009275695626429919;
}
pin (B) {
direction: input;
rise_capacitance: 0.006397490498320098;
fall_capacitance: 0.008895353965965845;
capacitance: 0.007646422232142972;
}
pin (A) {
direction: input;
rise_capacitance: 0.004937389414015676;
fall_capacitance: 0.007864001795670731;
capacitance: 0.006400695604843204;
}
pin (Y) {
direction: output;
function: "!(!S&B&!A|!S&B&A|S&B&A|S&!B&A)";
function: "(!(A & B & S + A & B & !S + A & S & !B + B & !A & !S))";
timing () {
related_pin: "A";
timing_sense: negative_unate;
cell_rise (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.019428, 0.021747, 0.023821, 0.024885, -0.020070", \
"0.096776, 0.096705, 0.098699, 0.106638, 0.141139", \
"0.174325, 0.173758, 0.174373, 0.179031, 0.252974", \
"0.329331, 0.328462, 0.328043, 0.329638, 0.432416", \
"1.569307, 1.568155, 1.566435, 1.563733, 1.594086"
);
}
cell_fall (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.015958, 0.027807, 0.035343, 0.047135, 0.140744", \
"0.109318, 0.113175, 0.119175, 0.133278, 0.299017", \
"0.203682, 0.205651, 0.209577, 0.220207, 0.408863", \
"0.392584, 0.393181, 0.395219, 0.402088, 0.586689", \
"1.904228, 1.903421, 1.903075, 1.903731, 1.981518"
);
}
rise_transition (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.020411, 0.026763, 0.039961, 0.063425, 0.317670", \
"0.130948, 0.130915, 0.131768, 0.141947, 0.451197", \
"0.243567, 0.243583, 0.243517, 0.245528, 0.549507", \
"0.468902, 0.468912, 0.468912, 0.468919, 0.675777", \
"2.271917, 2.271914, 2.271917, 2.271916, 2.274560"
);
}
fall_transition (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.034547, 0.032700, 0.040748, 0.064546, 0.323227", \
"0.155499, 0.155537, 0.155799, 0.162945, 0.464800", \
"0.286354, 0.286330, 0.286313, 0.286918, 0.548668", \
"0.547917, 0.547919, 0.547900, 0.547926, 0.702513", \
"2.640604, 2.640603, 2.640602, 2.640603, 2.640599"
);
}
}
timing () {
related_pin: "B";
timing_sense: negative_unate;
cell_rise (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.012479, 0.020519, 0.023592, 0.024838, -0.020037", \
"0.088439, 0.091403, 0.095223, 0.104973, 0.140988", \
"0.165879, 0.167485, 0.169565, 0.175932, 0.252738", \
"0.320839, 0.321506, 0.322081, 0.325051, 0.431811", \
"1.560766, 1.560490, 1.559111, 1.556910, 1.590657"
);
}
cell_fall (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.023985, 0.029348, 0.035865, 0.047430, 0.140831", \
"0.115535, 0.117784, 0.122066, 0.134594, 0.299174", \
"0.209718, 0.210748, 0.213291, 0.222435, 0.409049", \
"0.398509, 0.398634, 0.399621, 0.405195, 0.587049", \
"1.910075, 1.909263, 1.908276, 1.908150, 1.983766"
);
}
rise_transition (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.021856, 0.028909, 0.040295, 0.063869, 0.317858", \
"0.130661, 0.130662, 0.132045, 0.142857, 0.451367", \
"0.243245, 0.243252, 0.243275, 0.245652, 0.549740", \
"0.468595, 0.468585, 0.468603, 0.468582, 0.676414", \
"2.271590, 2.271590, 2.271589, 2.271591, 2.274367"
);
}
fall_transition (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.026595, 0.030491, 0.040269, 0.064145, 0.322768", \
"0.155850, 0.155839, 0.155924, 0.162605, 0.464668", \
"0.286633, 0.286644, 0.286657, 0.287110, 0.548624", \
"0.548248, 0.548238, 0.548248, 0.548232, 0.702345", \
"2.641009, 2.640987, 2.640985, 2.640985, 2.640985"
);
}
}
timing () {
related_pin: "S";
timing_sense: non_unate;
cell_rise (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.015477, 0.019632, 0.023785, 0.028539, 0.047003", \
"0.089791, 0.086977, 0.089938, 0.101079, 0.177000", \
"0.166627, 0.161655, 0.161408, 0.167180, 0.272033", \
"0.321166, 0.314571, 0.311301, 0.310607, 0.436604", \
"1.560695, 1.552272, 1.545023, 1.533295, 1.520465"
);
}
cell_fall (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.009379, 0.017143, 0.022831, 0.029415, 0.049506", \
"0.098224, 0.096461, 0.098086, 0.107381, 0.189678", \
"0.191790, 0.187334, 0.185018, 0.186251, 0.294384", \
"0.380119, 0.373656, 0.367998, 0.361115, 0.471759", \
"1.891175, 1.882501, 1.872694, 1.854265, 1.753057"
);
}
rise_transition (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.025135, 0.032734, 0.048051, 0.084704, 0.566326", \
"0.130733, 0.130708, 0.132826, 0.150233, 0.602446", \
"0.243422, 0.243393, 0.243371, 0.247979, 0.662237", \
"0.468752, 0.468761, 0.468758, 0.468739, 0.756824", \
"2.271751, 2.271754, 2.271754, 2.271752, 2.282476"
);
}
fall_transition (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.022469, 0.035382, 0.052960, 0.089711, 0.571861", \
"0.155713, 0.155428, 0.156540, 0.173483, 0.639442", \
"0.286482, 0.286497, 0.286481, 0.290082, 0.712770", \
"0.548075, 0.548076, 0.548073, 0.548087, 0.844708", \
"2.640769, 2.640767, 2.640766, 2.640768, 2.646447"
);
}
}
}
}
}