blob: 353609d753551260dbcc8357bf72b6dfd1b3e620 [file] [log] [blame]
library (ls05_stdcells) {
delay_model: table_lookup;
in_place_swap_mode: match_footprint;
time_unit: "1ns";
voltage_unit: "1V";
current_unit: "1uA";
pulling_resistance_unit: "1kohm";
leakage_power_unit: "1nW";
capacitive_load_unit (1.0, pf);
slew_upper_threshold_pct_rise: 80.0;
slew_lower_threshold_pct_rise: 20.0;
slew_upper_threshold_pct_fall: 80.0;
slew_lower_threshold_pct_fall: 20.0;
input_threshold_pct_rise: 50.0;
input_threshold_pct_fall: 50.0;
output_threshold_pct_rise: 50.0;
output_threshold_pct_fall: 50.0;
nom_process: 1.0;
nom_voltage: 5.0;
nom_temperature: 25.0;
default_operating_conditions: typical;
operating_conditions (typical) {
process: 1.0;
voltage: 5.0;
temperature: 25.0;
}
lu_table_template (delay_template_5x5) {
variable_1: total_output_net_capacitance;
variable_2: input_net_transition;
index_1 (
"1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
);
index_2 (
"1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
);
}
cell (AOI21X1) {
area: 877824.0;
cell_leakage_power: 0.1173;
pin (C) {
direction: input;
rise_capacitance: 0.006296176741869404;
fall_capacitance: 0.006101450811807844;
capacitance: 0.006198813776838624;
}
pin (B) {
direction: input;
rise_capacitance: 0.004150897383251567;
fall_capacitance: 0.005762494885719812;
capacitance: 0.00495669613448569;
}
pin (A) {
direction: input;
rise_capacitance: 0.004935476111367993;
fall_capacitance: 0.007850019508283936;
capacitance: 0.006392747809825965;
}
pin (Y) {
direction: output;
function: "(!C&!B&!A|!C&B&!A|!C&!B&A)";
function: "((A & !B & !C + B & !A & !C + !A & !B & !C))";
timing () {
related_pin: "A";
timing_sense: negative_unate;
cell_rise (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.018081, 0.020447, 0.022456, 0.023296, -0.023076", \
"0.095512, 0.095564, 0.097577, 0.105665, 0.139556", \
"0.173079, 0.172613, 0.173245, 0.177966, 0.251687", \
"0.328092, 0.327325, 0.326934, 0.328569, 0.431362", \
"1.568078, 1.567023, 1.565399, 1.562764, 1.593146"
);
}
cell_fall (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.011083, 0.027112, 0.034806, 0.046174, 0.138537", \
"0.106126, 0.110980, 0.117817, 0.132662, 0.298423", \
"0.200686, 0.203305, 0.207787, 0.219052, 0.408583", \
"0.389684, 0.390741, 0.393128, 0.400447, 0.586557", \
"1.901409, 1.900881, 1.900582, 1.901419, 1.980303"
);
}
rise_transition (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.017400, 0.024998, 0.038610, 0.061076, 0.316134", \
"0.127638, 0.127551, 0.128643, 0.138963, 0.448630", \
"0.240290, 0.240260, 0.240283, 0.242298, 0.547010", \
"0.465607, 0.465635, 0.465599, 0.465632, 0.673379", \
"2.268621, 2.268618, 2.268620, 2.268621, 2.271276"
);
}
fall_transition (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.029014, 0.032057, 0.039965, 0.063854, 0.320917", \
"0.155270, 0.155388, 0.155812, 0.163213, 0.464067", \
"0.286240, 0.286177, 0.286189, 0.286907, 0.549050", \
"0.547857, 0.547835, 0.547859, 0.547862, 0.703410", \
"2.640589, 2.640589, 2.640586, 2.640585, 2.640586"
);
}
}
timing () {
related_pin: "B";
timing_sense: negative_unate;
cell_rise (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.015335, 0.016945, 0.017387, 0.015057, -0.070130", \
"0.093269, 0.093163, 0.094810, 0.101980, 0.105211", \
"0.170827, 0.170332, 0.170780, 0.174845, 0.222749", \
"0.325869, 0.325151, 0.324630, 0.325908, 0.409344", \
"1.565863, 1.564927, 1.563288, 1.560589, 1.585799"
);
}
cell_fall (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.013287, 0.024590, 0.032135, 0.044683, 0.169986", \
"0.106631, 0.105383, 0.107181, 0.118863, 0.301183", \
"0.201084, 0.196904, 0.194332, 0.196987, 0.392495", \
"0.390038, 0.383879, 0.377817, 0.371491, 0.543659", \
"1.901742, 1.893615, 1.883483, 1.864976, 1.785407"
);
}
rise_transition (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.013635, 0.021335, 0.036352, 0.066782, 0.514064", \
"0.122731, 0.122740, 0.123665, 0.133972, 0.500371", \
"0.235316, 0.235334, 0.235297, 0.237378, 0.556421", \
"0.460654, 0.460640, 0.460666, 0.460646, 0.664867", \
"2.263652, 2.263652, 2.263651, 2.263650, 2.266535"
);
}
fall_transition (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.029990, 0.038199, 0.056237, 0.095398, 0.544902", \
"0.155321, 0.155296, 0.158206, 0.179362, 0.704360", \
"0.286222, 0.286226, 0.286240, 0.291364, 0.780438", \
"0.547837, 0.547857, 0.547852, 0.547862, 0.901566", \
"2.640589, 2.640588, 2.640588, 2.640589, 2.647331"
);
}
}
timing () {
related_pin: "C";
timing_sense: negative_unate;
cell_rise (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.012884, 0.013824, 0.016369, 0.019083, 0.025408", \
"0.085533, 0.079788, 0.082111, 0.094024, 0.172908", \
"0.157410, 0.149628, 0.148170, 0.154670, 0.271254", \
"0.300946, 0.291744, 0.286795, 0.285855, 0.427654", \
"1.448498, 1.437802, 1.428280, 1.413900, 1.417542"
);
}
cell_fall (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.005708, 0.008623, 0.010235, 0.012288, 0.017616", \
"0.047043, 0.047780, 0.052874, 0.064024, 0.113032", \
"0.091536, 0.089929, 0.090900, 0.100815, 0.179742", \
"0.181015, 0.178070, 0.175614, 0.176901, 0.288000", \
"0.897237, 0.893242, 0.888241, 0.878918, 0.902547"
);
}
rise_transition (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.012755, 0.024831, 0.044968, 0.093110, 0.730524", \
"0.115219, 0.115342, 0.119381, 0.140592, 0.704120", \
"0.219879, 0.219898, 0.220189, 0.229051, 0.695466", \
"0.429271, 0.429272, 0.429268, 0.429957, 0.760003", \
"2.104581, 2.104581, 2.104580, 2.104582, 2.138119"
);
}
fall_transition (delay_template_5x5) {
index_1 (
"0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
);
index_2 (
"0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
);
values (
"0.013144, 0.039574, 0.064113, 0.105179, 0.740810", \
"0.092532, 0.098951, 0.115649, 0.159781, 0.811024", \
"0.178514, 0.180443, 0.190086, 0.223562, 0.880935", \
"0.350931, 0.351086, 0.353728, 0.372580, 0.983884", \
"1.729228, 1.729222, 1.729222, 1.729215, 1.983340"
);
}
}
}
}
}