Synchronized the power vias to the Sky cells
diff --git a/cells/gds/AND2X1.gds b/cells/gds/AND2X1.gds
index c32eb25..088c545 100644
--- a/cells/gds/AND2X1.gds
+++ b/cells/gds/AND2X1.gds
Binary files differ
diff --git a/cells/gds/AND2X2.gds b/cells/gds/AND2X2.gds
index 6b97345..449b4e3 100644
--- a/cells/gds/AND2X2.gds
+++ b/cells/gds/AND2X2.gds
Binary files differ
diff --git a/cells/gds/AOI21X1.gds b/cells/gds/AOI21X1.gds
index 8d75f42..a532b30 100644
--- a/cells/gds/AOI21X1.gds
+++ b/cells/gds/AOI21X1.gds
Binary files differ
diff --git a/cells/gds/AOI22X1.gds b/cells/gds/AOI22X1.gds
index 9de602c..b26a30b 100644
--- a/cells/gds/AOI22X1.gds
+++ b/cells/gds/AOI22X1.gds
Binary files differ
diff --git a/cells/gds/ASYNC2.gds b/cells/gds/ASYNC2.gds
index c1825d1..45cd0a3 100644
--- a/cells/gds/ASYNC2.gds
+++ b/cells/gds/ASYNC2.gds
Binary files differ
diff --git a/cells/gds/ASYNC3.gds b/cells/gds/ASYNC3.gds
index 42d8001..b86fb01 100644
--- a/cells/gds/ASYNC3.gds
+++ b/cells/gds/ASYNC3.gds
Binary files differ
diff --git a/cells/gds/BUFX2.gds b/cells/gds/BUFX2.gds
index b09e33b..10baf2b 100644
--- a/cells/gds/BUFX2.gds
+++ b/cells/gds/BUFX2.gds
Binary files differ
diff --git a/cells/gds/BUFX4.gds b/cells/gds/BUFX4.gds
index 6945513..df24f2b 100644
--- a/cells/gds/BUFX4.gds
+++ b/cells/gds/BUFX4.gds
Binary files differ
diff --git a/cells/gds/CLKBUF1.gds b/cells/gds/CLKBUF1.gds
index 3a0fce2..81599f8 100644
--- a/cells/gds/CLKBUF1.gds
+++ b/cells/gds/CLKBUF1.gds
Binary files differ
diff --git a/cells/gds/CLKBUF2.gds b/cells/gds/CLKBUF2.gds
index 49c25dd..e9abe01 100644
--- a/cells/gds/CLKBUF2.gds
+++ b/cells/gds/CLKBUF2.gds
Binary files differ
diff --git a/cells/gds/HAX1.gds b/cells/gds/HAX1.gds
index a158a0a..ae35a9b 100644
--- a/cells/gds/HAX1.gds
+++ b/cells/gds/HAX1.gds
Binary files differ
diff --git a/cells/gds/INV.gds b/cells/gds/INV.gds
index db780c6..4f63a2d 100644
--- a/cells/gds/INV.gds
+++ b/cells/gds/INV.gds
Binary files differ
diff --git a/cells/gds/INVX1.gds b/cells/gds/INVX1.gds
index 39d70d4..9aee9f8 100644
--- a/cells/gds/INVX1.gds
+++ b/cells/gds/INVX1.gds
Binary files differ
diff --git a/cells/gds/INVX2.gds b/cells/gds/INVX2.gds
index f5d8a53..abda87c 100644
--- a/cells/gds/INVX2.gds
+++ b/cells/gds/INVX2.gds
Binary files differ
diff --git a/cells/gds/INVX4.gds b/cells/gds/INVX4.gds
index 5ad4165..e5cbc87 100644
--- a/cells/gds/INVX4.gds
+++ b/cells/gds/INVX4.gds
Binary files differ
diff --git a/cells/gds/INVX8.gds b/cells/gds/INVX8.gds
index 9967fc8..3d9123d 100644
--- a/cells/gds/INVX8.gds
+++ b/cells/gds/INVX8.gds
Binary files differ
diff --git a/cells/gds/MARTIN1989.gds b/cells/gds/MARTIN1989.gds
index 1eab0f0..dda0af8 100644
--- a/cells/gds/MARTIN1989.gds
+++ b/cells/gds/MARTIN1989.gds
Binary files differ
diff --git a/cells/gds/MUX2X1.gds b/cells/gds/MUX2X1.gds
index ea22af6..3ab05ed 100644
--- a/cells/gds/MUX2X1.gds
+++ b/cells/gds/MUX2X1.gds
Binary files differ
diff --git a/cells/gds/NAND2X1.gds b/cells/gds/NAND2X1.gds
index bd4f535..4a3b64a 100644
--- a/cells/gds/NAND2X1.gds
+++ b/cells/gds/NAND2X1.gds
Binary files differ
diff --git a/cells/gds/NAND3X1.gds b/cells/gds/NAND3X1.gds
index 270c781..f96b5fd 100644
--- a/cells/gds/NAND3X1.gds
+++ b/cells/gds/NAND3X1.gds
Binary files differ
diff --git a/cells/gds/NOR2X1.gds b/cells/gds/NOR2X1.gds
index d439cf6..6f50d2f 100644
--- a/cells/gds/NOR2X1.gds
+++ b/cells/gds/NOR2X1.gds
Binary files differ
diff --git a/cells/gds/OAI21X1.gds b/cells/gds/OAI21X1.gds
index 7cd42bf..cacb87f 100644
--- a/cells/gds/OAI21X1.gds
+++ b/cells/gds/OAI21X1.gds
Binary files differ
diff --git a/cells/gds/OAI22X1.gds b/cells/gds/OAI22X1.gds
index 1b53cc1..efc4c4f 100644
--- a/cells/gds/OAI22X1.gds
+++ b/cells/gds/OAI22X1.gds
Binary files differ
diff --git a/cells/gds/OR2X1.gds b/cells/gds/OR2X1.gds
index 5e9f88b..4d591f9 100644
--- a/cells/gds/OR2X1.gds
+++ b/cells/gds/OR2X1.gds
Binary files differ
diff --git a/cells/gds/OR2X2.gds b/cells/gds/OR2X2.gds
index 6f0d9bc..a569e69 100644
--- a/cells/gds/OR2X2.gds
+++ b/cells/gds/OR2X2.gds
Binary files differ
diff --git a/cells/gds/SUTHERLAND1989.gds b/cells/gds/SUTHERLAND1989.gds
index 3bed578..74b9a2a 100644
--- a/cells/gds/SUTHERLAND1989.gds
+++ b/cells/gds/SUTHERLAND1989.gds
Binary files differ
diff --git a/cells/gds/VANBERKEL1991.gds b/cells/gds/VANBERKEL1991.gds
index 91997ca..938e381 100644
--- a/cells/gds/VANBERKEL1991.gds
+++ b/cells/gds/VANBERKEL1991.gds
Binary files differ
diff --git a/cells/gds/XNOR2X1.gds b/cells/gds/XNOR2X1.gds
index dcb7eac..8c8669a 100644
--- a/cells/gds/XNOR2X1.gds
+++ b/cells/gds/XNOR2X1.gds
Binary files differ
diff --git a/cells/gds/XOR2X1.gds b/cells/gds/XOR2X1.gds
index 2bd2e24..2eb61ae 100644
--- a/cells/gds/XOR2X1.gds
+++ b/cells/gds/XOR2X1.gds
Binary files differ
diff --git a/cells/lib/CLKBUF2.lib b/cells/lib/CLKBUF2.lib
deleted file mode 100644
index 9db08d1..0000000
--- a/cells/lib/CLKBUF2.lib
+++ /dev/null
@@ -1,116 +0,0 @@
-library (ls05_stdcells) {
-  delay_model: table_lookup;
-  in_place_swap_mode: match_footprint;
-  time_unit: "1ns";
-  voltage_unit: "1V";
-  current_unit: "1uA";
-  pulling_resistance_unit: "1kohm";
-  leakage_power_unit: "1nW";
-  capacitive_load_unit (1.0, pf);
-  slew_upper_threshold_pct_rise: 80.0;
-  slew_lower_threshold_pct_rise: 20.0;
-  slew_upper_threshold_pct_fall: 80.0;
-  slew_lower_threshold_pct_fall: 20.0;
-  input_threshold_pct_rise: 50.0;
-  input_threshold_pct_fall: 50.0;
-  output_threshold_pct_rise: 50.0;
-  output_threshold_pct_fall: 50.0;
-  nom_process: 1.0;
-  nom_voltage: 5.0;
-  nom_temperature: 25.0;
-  default_operating_conditions: typical;
-  operating_conditions (typical) {
-    process: 1.0;
-    voltage: 5.0;
-    temperature: 25.0;
-  }
-  lu_table_template (delay_template_5x5) {
-    variable_1: total_output_net_capacitance;
-    variable_2: input_net_transition;
-    index_1 (
-      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
-    );
-    index_2 (
-      "1000.000000, 1001.000000, 1002.000000, 1003.000000, 1004.000000"
-    );
-  }
-  cell (CLKBUF2) {
-    area: 2852928.0;
-    cell_leakage_power: 0.1173;
-    pin (A) {
-      direction: input;
-      rise_capacitance: 0.012377692525831025;
-      fall_capacitance: 0.014510635051354033;
-      capacitance: 0.013444163788592528;
-    }
-    pin (Y) {
-      direction: output;
-      function: "!(!A)";
-      function: "(A)";
-      timing () {
-        related_pin: "A";
-        timing_sense: positive_unate;
-        cell_rise (delay_template_5x5) {
-          index_1 (
-            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
-          );
-          index_2 (
-            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
-          );
-          values (
-            "-0.003456, 0.060936, 0.069146, 0.082604, 0.160648", \
-            "0.051340, 0.075769, 0.085654, 0.100680, 0.183651", \
-            "0.066467, 0.087976, 0.098405, 0.113977, 0.202421", \
-            "0.100743, 0.117525, 0.126993, 0.142677, 0.241160", \
-            "0.436349, 0.436171, 0.435689, 0.439577, 0.561191"
-          );
-        }
-        cell_fall (delay_template_5x5) {
-          index_1 (
-            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
-          );
-          index_2 (
-            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
-          );
-          values (
-            "0.051128, 0.061163, 0.067029, 0.073843, 0.052270", \
-            "0.068099, 0.078010, 0.084203, 0.093870, 0.076775", \
-            "0.083773, 0.093968, 0.100521, 0.110146, 0.100120", \
-            "0.121325, 0.129839, 0.136017, 0.144820, 0.148604", \
-            "0.470342, 0.472523, 0.473236, 0.475651, 0.532221"
-          );
-        }
-        rise_transition (delay_template_5x5) {
-          index_1 (
-            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
-          );
-          index_2 (
-            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
-          );
-          values (
-            "0.053451, 0.095197, 0.128930, 0.098770, 0.548662", \
-            "0.073372, 0.116766, 0.151624, 0.112771, 0.547491", \
-            "0.098904, 0.140382, 0.175986, 0.144262, 0.573057", \
-            "0.156547, 0.192810, 0.227503, 0.194078, 0.628569", \
-            "0.712222, 0.712231, 0.712217, 0.712240, 0.863982"
-          );
-        }
-        fall_transition (delay_template_5x5) {
-          index_1 (
-            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"
-          );
-          index_2 (
-            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"
-          );
-          values (
-            "0.061300, 0.089273, 0.054016, 0.080974, 0.539193", \
-            "0.088215, 0.118100, 0.149263, 0.101858, 0.541517", \
-            "0.121045, 0.151150, 0.182662, 0.130674, 0.544762", \
-            "0.191659, 0.215009, 0.199249, 0.199633, 0.589380", \
-            "0.865613, 0.865632, 0.865645, 0.865667, 1.002682"
-          );
-        }
-      }
-    }
-  }
-}
\ No newline at end of file
diff --git a/cells/lib/libresilicon.lib b/cells/lib/libresilicon.lib
index b2bb5a7..a5ca9d7 100644
--- a/cells/lib/libresilicon.lib
+++ b/cells/lib/libresilicon.lib
@@ -1 +1 @@
-library (ls05_stdcells) {  delay_model: table_lookup;  in_place_swap_mode: match_footprint;  time_unit: "1ns";  voltage_unit: "1V";  current_unit: "1uA";  pulling_resistance_unit: "1kohm";  leakage_power_unit: "1nW";  capacitive_load_unit (1.0, pf);  slew_upper_threshold_pct_rise: 80.0;  slew_lower_threshold_pct_rise: 20.0;  slew_upper_threshold_pct_fall: 80.0;  slew_lower_threshold_pct_fall: 20.0;  input_threshold_pct_rise: 50.0;  input_threshold_pct_fall: 50.0;  output_threshold_pct_rise: 50.0;  output_threshold_pct_fall: 50.0;  nom_process: 1.0;  nom_voltage: 5.0;  nom_temperature: 25.0;  default_operating_conditions: typical;  operating_conditions (typical) {    process: 1.0;    voltage: 5.0;    temperature: 25.0;  }  lu_table_template (delay_template_5x1) {    variable_1: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (delay_template_5x5) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (delay_template_5x6) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (delay_template_6x1) {    variable_1: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (delay_template_6x6) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (energy_template_5x5) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  power_lut_template (energy_template_5x6) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (energy_template_6x6) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (hold_template_3x5) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (hold_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (passive_energy_template_5x1) {    variable_1: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  power_lut_template (passive_energy_template_6x1) {    variable_1: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (recovery_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (recovery_template_6x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (removal_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (setup_template_3x5) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (setup_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  cell (DFFSR) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 23821056.0;    cell_leakage_power: 0.1173;    ff (DS0000, P0000) {      next_state: "D";      clocked_on: "(!CLK)";    }    pin (S) {      direction: input;    }    pin (R) {      direction: input;    }    pin (D) {      direction: input;    }    pin (CLK) {      direction: input;    }    pin (Q) {      direction: output;      function: "(!S&R&!D&!CLK|!S&R&D&!CLK|!S&R&D&CLK|!S&R&!D&CLK)";    }  }  cell (AND2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004935475891367477;      fall_capacitance: 0.007858406695085389;      capacitance: 0.006396941293226433;    }    pin (A) {      direction: input;      rise_capacitance: 0.004150891096654475;      fall_capacitance: 0.005776428708787616;      capacitance: 0.004963659902721045;    }    pin (Y) {      direction: output;      function: "(B&A)";      function: "(A & B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003054, 0.030498, 0.039878, 0.053343, 0.188749",             "0.048098, 0.064059, 0.074620, 0.093597, 0.252448",             "0.087865, 0.098559, 0.108152, 0.125156, 0.300811",             "0.172721, 0.177015, 0.184227, 0.196165, 0.394456",             "0.875756, 0.870760, 0.872299, 0.869455, 0.975392"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015977, 0.018737, 0.019304, 0.016191, -0.074696",             "0.056135, 0.056445, 0.057308, 0.057768, -0.014818",             "0.099933, 0.098214, 0.096071, 0.094474, 0.031563",             "0.189088, 0.185938, 0.181261, 0.173387, 0.117548",             "0.905025, 0.900630, 0.893784, 0.878306, 0.755396"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026432, 0.038218, 0.045398, 0.077143, 0.593792",             "0.080791, 0.094490, 0.092269, 0.111832, 0.588352",             "0.147163, 0.151582, 0.153943, 0.167618, 0.594475",             "0.287657, 0.288087, 0.288559, 0.294676, 0.639075",             "1.420873, 1.420869, 1.420872, 1.420876, 1.523193"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017097, 0.032947, 0.054213, 0.094807, 0.609971",             "0.091168, 0.096623, 0.108594, 0.140442, 0.624323",             "0.176540, 0.177904, 0.183856, 0.205552, 0.674111",             "0.348626, 0.348716, 0.349898, 0.359158, 0.778703",             "1.726993, 1.726969, 1.726997, 1.726970, 1.840952"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002948, 0.031842, 0.043871, 0.058431, 0.170628",             "0.046736, 0.065667, 0.079697, 0.098261, 0.233471",             "0.087052, 0.099648, 0.114524, 0.132001, 0.286132",             "0.172376, 0.177352, 0.192051, 0.206645, 0.390972",             "0.875894, 0.869785, 0.881810, 0.887018, 1.014535"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018316, 0.021069, 0.023194, 0.023536, -0.031337",             "0.058079, 0.058865, 0.060249, 0.060930, 0.021381",             "0.101524, 0.100223, 0.098824, 0.096634, 0.056583",             "0.190472, 0.187661, 0.183754, 0.176080, 0.122194",             "0.906272, 0.902147, 0.895946, 0.881308, 0.736220"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023782, 0.069151, 0.034982, 0.054052, 0.449913",             "0.080000, 0.118312, 0.085490, 0.095978, 0.447397",             "0.146991, 0.152360, 0.150326, 0.156871, 0.457664",             "0.287629, 0.288180, 0.288056, 0.290376, 0.519847",             "1.420875, 1.420869, 1.420872, 1.420868, 1.491447"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020252, 0.035538, 0.056873, 0.095129, 0.521070",             "0.091909, 0.097885, 0.110175, 0.140770, 0.556828",             "0.176533, 0.178340, 0.184385, 0.205074, 0.605254",             "0.348727, 0.348732, 0.349910, 0.357567, 0.705589",             "1.727017, 1.726977, 1.726979, 1.727007, 1.795626"          );        }      }    }  }  cell (AND2X2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004935475891367477;      fall_capacitance: 0.007858406695085389;      capacitance: 0.006396941293226433;    }    pin (A) {      direction: input;      rise_capacitance: 0.004150891096654475;      fall_capacitance: 0.005776428708787616;      capacitance: 0.004963659902721045;    }    pin (Y) {      direction: output;      function: "(B&A)";      function: "(A & B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003054, 0.030498, 0.039878, 0.053343, 0.188749",             "0.048098, 0.064059, 0.074620, 0.093597, 0.252448",             "0.087865, 0.098559, 0.108152, 0.125156, 0.300811",             "0.172721, 0.177015, 0.184227, 0.196165, 0.394456",             "0.875756, 0.870760, 0.872299, 0.869455, 0.975392"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015977, 0.018737, 0.019304, 0.016191, -0.074696",             "0.056135, 0.056445, 0.057308, 0.057768, -0.014818",             "0.099933, 0.098214, 0.096071, 0.094474, 0.031563",             "0.189088, 0.185938, 0.181261, 0.173387, 0.117548",             "0.905025, 0.900630, 0.893784, 0.878306, 0.755396"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026432, 0.038218, 0.045398, 0.077143, 0.593792",             "0.080791, 0.094490, 0.092269, 0.111832, 0.588352",             "0.147163, 0.151582, 0.153943, 0.167618, 0.594475",             "0.287657, 0.288087, 0.288559, 0.294676, 0.639075",             "1.420873, 1.420869, 1.420872, 1.420876, 1.523193"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017097, 0.032947, 0.054213, 0.094807, 0.609971",             "0.091168, 0.096623, 0.108594, 0.140442, 0.624323",             "0.176540, 0.177904, 0.183856, 0.205552, 0.674111",             "0.348626, 0.348716, 0.349898, 0.359158, 0.778703",             "1.726993, 1.726969, 1.726997, 1.726970, 1.840952"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002948, 0.031842, 0.043871, 0.058431, 0.170628",             "0.046736, 0.065667, 0.079697, 0.098261, 0.233471",             "0.087052, 0.099648, 0.114524, 0.132001, 0.286132",             "0.172376, 0.177352, 0.192051, 0.206645, 0.390972",             "0.875894, 0.869785, 0.881810, 0.887018, 1.014535"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018316, 0.021069, 0.023194, 0.023536, -0.031337",             "0.058079, 0.058865, 0.060249, 0.060930, 0.021381",             "0.101524, 0.100223, 0.098824, 0.096634, 0.056583",             "0.190472, 0.187661, 0.183754, 0.176080, 0.122194",             "0.906272, 0.902147, 0.895946, 0.881308, 0.736220"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023782, 0.069151, 0.034982, 0.054052, 0.449913",             "0.080000, 0.118312, 0.085490, 0.095978, 0.447397",             "0.146991, 0.152360, 0.150326, 0.156871, 0.457664",             "0.287629, 0.288180, 0.288056, 0.290376, 0.519847",             "1.420875, 1.420869, 1.420872, 1.420868, 1.491447"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020252, 0.035538, 0.056873, 0.095129, 0.521070",             "0.091909, 0.097885, 0.110175, 0.140770, 0.556828",             "0.176533, 0.178340, 0.184385, 0.205074, 0.605254",             "0.348727, 0.348732, 0.349910, 0.357567, 0.705589",             "1.727017, 1.726977, 1.726979, 1.727007, 1.795626"          );        }      }    }  }  cell (AOI21X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;      rise_capacitance: 0.006296176741869404;      fall_capacitance: 0.006101450811807844;      capacitance: 0.006198813776838624;    }    pin (B) {      direction: input;      rise_capacitance: 0.004150897383251567;      fall_capacitance: 0.005762494885719812;      capacitance: 0.00495669613448569;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935476111367993;      fall_capacitance: 0.007850019508283936;      capacitance: 0.006392747809825965;    }    pin (Y) {      direction: output;      function: "(!C&!B&!A|!C&B&!A|!C&!B&A)";      function: "((A & !B & !C + B & !A & !C + !A & !B & !C))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018081, 0.020447, 0.022456, 0.023296, -0.023076",             "0.095512, 0.095564, 0.097577, 0.105665, 0.139556",             "0.173079, 0.172613, 0.173245, 0.177966, 0.251687",             "0.328092, 0.327325, 0.326934, 0.328569, 0.431362",             "1.568078, 1.567023, 1.565399, 1.562764, 1.593146"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011083, 0.027112, 0.034806, 0.046174, 0.138537",             "0.106126, 0.110980, 0.117817, 0.132662, 0.298423",             "0.200686, 0.203305, 0.207787, 0.219052, 0.408583",             "0.389684, 0.390741, 0.393128, 0.400447, 0.586557",             "1.901409, 1.900881, 1.900582, 1.901419, 1.980303"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017400, 0.024998, 0.038610, 0.061076, 0.316134",             "0.127638, 0.127551, 0.128643, 0.138963, 0.448630",             "0.240290, 0.240260, 0.240283, 0.242298, 0.547010",             "0.465607, 0.465635, 0.465599, 0.465632, 0.673379",             "2.268621, 2.268618, 2.268620, 2.268621, 2.271276"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029014, 0.032057, 0.039965, 0.063854, 0.320917",             "0.155270, 0.155388, 0.155812, 0.163213, 0.464067",             "0.286240, 0.286177, 0.286189, 0.286907, 0.549050",             "0.547857, 0.547835, 0.547859, 0.547862, 0.703410",             "2.640589, 2.640589, 2.640586, 2.640585, 2.640586"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015335, 0.016945, 0.017387, 0.015057, -0.070130",             "0.093269, 0.093163, 0.094810, 0.101980, 0.105211",             "0.170827, 0.170332, 0.170780, 0.174845, 0.222749",             "0.325869, 0.325151, 0.324630, 0.325908, 0.409344",             "1.565863, 1.564927, 1.563288, 1.560589, 1.585799"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013287, 0.024590, 0.032135, 0.044683, 0.169986",             "0.106631, 0.105383, 0.107181, 0.118863, 0.301183",             "0.201084, 0.196904, 0.194332, 0.196987, 0.392495",             "0.390038, 0.383879, 0.377817, 0.371491, 0.543659",             "1.901742, 1.893615, 1.883483, 1.864976, 1.785407"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013635, 0.021335, 0.036352, 0.066782, 0.514064",             "0.122731, 0.122740, 0.123665, 0.133972, 0.500371",             "0.235316, 0.235334, 0.235297, 0.237378, 0.556421",             "0.460654, 0.460640, 0.460666, 0.460646, 0.664867",             "2.263652, 2.263652, 2.263651, 2.263650, 2.266535"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029990, 0.038199, 0.056237, 0.095398, 0.544902",             "0.155321, 0.155296, 0.158206, 0.179362, 0.704360",             "0.286222, 0.286226, 0.286240, 0.291364, 0.780438",             "0.547837, 0.547857, 0.547852, 0.547862, 0.901566",             "2.640589, 2.640588, 2.640588, 2.640589, 2.647331"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012884, 0.013824, 0.016369, 0.019083, 0.025408",             "0.085533, 0.079788, 0.082111, 0.094024, 0.172908",             "0.157410, 0.149628, 0.148170, 0.154670, 0.271254",             "0.300946, 0.291744, 0.286795, 0.285855, 0.427654",             "1.448498, 1.437802, 1.428280, 1.413900, 1.417542"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005708, 0.008623, 0.010235, 0.012288, 0.017616",             "0.047043, 0.047780, 0.052874, 0.064024, 0.113032",             "0.091536, 0.089929, 0.090900, 0.100815, 0.179742",             "0.181015, 0.178070, 0.175614, 0.176901, 0.288000",             "0.897237, 0.893242, 0.888241, 0.878918, 0.902547"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012755, 0.024831, 0.044968, 0.093110, 0.730524",             "0.115219, 0.115342, 0.119381, 0.140592, 0.704120",             "0.219879, 0.219898, 0.220189, 0.229051, 0.695466",             "0.429271, 0.429272, 0.429268, 0.429957, 0.760003",             "2.104581, 2.104581, 2.104580, 2.104582, 2.138119"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013144, 0.039574, 0.064113, 0.105179, 0.740810",             "0.092532, 0.098951, 0.115649, 0.159781, 0.811024",             "0.178514, 0.180443, 0.190086, 0.223562, 0.880935",             "0.350931, 0.351086, 0.353728, 0.372580, 0.983884",             "1.729228, 1.729222, 1.729222, 1.729215, 1.983340"          );        }      }    }  }  cell (AOI22X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1097280.0;    cell_leakage_power: 0.1173;    pin (D) {      direction: input;      rise_capacitance: 0.004150836637055694;      fall_capacitance: 4.293461827055451e-05;      capacitance: 0.0020968856276631243;    }    pin (C) {      direction: input;      rise_capacitance: 0.004935392181405116;      fall_capacitance: 0.004717183954380674;      capacitance: 0.004826288067892895;    }    pin (B) {      direction: input;      rise_capacitance: 0.004150898468773608;      fall_capacitance: 0.005752203124409789;      capacitance: 0.004951550796591699;    }    pin (A) {      direction: input;      rise_capacitance: 0.00493547941452175;      fall_capacitance: 0.007832228421315403;      capacitance: 0.006383853917918576;    }    pin (Y) {      direction: output;      function: "!(D&C&!B&!A|D&C&B&!A|!D&!C&B&A|D&!C&B&A|D&C&B&A|!D&C&B&A|D&C&!B&A)";      function: "(!(A & B & C & D + A & B & C & !D + A & B & D & !C + A & C & D & !B + B & C & D & !A + A & B & !C & !D + C & D & !A & !B))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022453, 0.024534, 0.027322, 0.029301, -0.013605",             "0.094099, 0.094146, 0.096422, 0.104923, 0.133468",             "0.165999, 0.165576, 0.166553, 0.171933, 0.239819",             "0.309709, 0.308987, 0.308926, 0.311303, 0.412228",             "1.459135, 1.458114, 1.456788, 1.454769, 1.495149"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.010762, 0.031215, 0.042613, 0.056720, 0.163173",             "0.106795, 0.114659, 0.123175, 0.139761, 0.315494",             "0.201577, 0.206563, 0.212378, 0.225460, 0.423829",             "0.390704, 0.393586, 0.396927, 0.405778, 0.599884",             "1.902537, 1.903178, 1.903203, 1.904622, 1.991405"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023524, 0.029914, 0.043867, 0.071865, 0.348115",             "0.124790, 0.124832, 0.126119, 0.138292, 0.474155",             "0.228743, 0.228744, 0.228751, 0.231812, 0.561135",             "0.436738, 0.436734, 0.436738, 0.436740, 0.675654",             "2.100895, 2.100896, 2.100897, 2.100896, 2.108562"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.034663, 0.063510, 0.047967, 0.068994, 0.335936",             "0.166389, 0.166412, 0.166844, 0.173304, 0.460327",             "0.297251, 0.297251, 0.297255, 0.297868, 0.539773",             "0.558920, 0.558909, 0.558922, 0.558921, 0.702122",             "2.651676, 2.651678, 2.651676, 2.651675, 2.651676"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019651, 0.021446, 0.022931, 0.021898, -0.058654",             "0.091977, 0.091918, 0.093938, 0.101438, 0.098787",             "0.163917, 0.163487, 0.164267, 0.169031, 0.210590",             "0.307654, 0.306986, 0.306812, 0.308826, 0.390145",             "1.457089, 1.456195, 1.454848, 1.452765, 1.487992"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013313, 0.029492, 0.038920, 0.053701, 0.189828",             "0.107559, 0.109470, 0.112797, 0.125324, 0.314605",             "0.202143, 0.200386, 0.199276, 0.203456, 0.404946",             "0.391163, 0.386838, 0.381869, 0.377141, 0.555274",             "1.902923, 1.895945, 1.886158, 1.868337, 1.797664"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019077, 0.026325, 0.041095, 0.072960, 0.515154",             "0.120223, 0.120250, 0.121709, 0.133832, 0.515903",             "0.224169, 0.224172, 0.224205, 0.227354, 0.569826",             "0.432139, 0.432141, 0.432149, 0.432153, 0.667848",             "2.096306, 2.096306, 2.096306, 2.096307, 2.104382"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.036269, 0.063193, 0.062392, 0.098967, 0.551553",             "0.166373, 0.166389, 0.169112, 0.187994, 0.688610",             "0.297276, 0.297267, 0.297275, 0.302013, 0.760566",             "0.558916, 0.558919, 0.558917, 0.558918, 0.890221",             "2.651678, 2.651678, 2.651674, 2.651677, 2.657773"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020200, 0.018794, 0.020910, 0.023798, 0.017569",             "0.092119, 0.084321, 0.084972, 0.095024, 0.157181",             "0.163930, 0.154431, 0.151294, 0.155481, 0.252498",             "0.307422, 0.296784, 0.290296, 0.286804, 0.407284",             "1.454937, 1.443187, 1.432682, 1.416494, 1.394586"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.010498, 0.021541, 0.028604, 0.036531, 0.082739",             "0.101264, 0.104773, 0.111902, 0.126711, 0.261658",             "0.195526, 0.196677, 0.201528, 0.213170, 0.380207",             "0.384366, 0.383767, 0.386473, 0.394329, 0.568488",             "1.895957, 1.893533, 1.893309, 1.894383, 1.975065"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020633, 0.030682, 0.050793, 0.085135, 0.465687",             "0.123409, 0.123499, 0.127912, 0.152623, 0.625796",             "0.228067, 0.228069, 0.228437, 0.238660, 0.715340",             "0.437443, 0.437449, 0.437446, 0.438286, 0.825117",             "2.112769, 2.112769, 2.112771, 2.112769, 2.154781"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021627, 0.033850, 0.033202, 0.057903, 0.432542",             "0.146054, 0.146118, 0.146677, 0.153711, 0.456404",             "0.276800, 0.276784, 0.276786, 0.277558, 0.525056",             "0.538347, 0.538333, 0.538346, 0.538344, 0.682865",             "2.630986, 2.630987, 2.630989, 2.630987, 2.630987"          );        }      }      timing () {        related_pin: "D";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016935, 0.015243, 0.015695, 0.014740, -0.033455",             "0.089882, 0.081949, 0.082234, 0.090924, 0.115190",             "0.161756, 0.152189, 0.148795, 0.152107, 0.216277",             "0.305276, 0.294641, 0.288008, 0.283956, 0.379732",             "1.452819, 1.441160, 1.430652, 1.414354, 1.384946"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011131, 0.019163, 0.024855, 0.033370, 0.110590",             "0.101703, 0.099111, 0.101250, 0.112517, 0.253820",             "0.195929, 0.190278, 0.188100, 0.190853, 0.353274",             "0.384754, 0.376936, 0.371227, 0.365324, 0.516649",             "1.896340, 1.886290, 1.876220, 1.858031, 1.777651"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016198, 0.026696, 0.047157, 0.087412, 0.629442",             "0.118843, 0.118871, 0.123371, 0.147773, 0.655892",             "0.223461, 0.223424, 0.223842, 0.234191, 0.716081",             "0.432839, 0.432831, 0.432841, 0.433715, 0.813736",             "2.108153, 2.108150, 2.108150, 2.108152, 2.151140"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019318, 0.037477, 0.046391, 0.085342, 0.625357",             "0.146062, 0.146061, 0.148782, 0.168097, 0.675212",             "0.276795, 0.276788, 0.276812, 0.281783, 0.738328",             "0.538338, 0.538332, 0.538332, 0.538345, 0.865002",             "2.630987, 2.630990, 2.630986, 2.630986, 2.638001"          );        }      }    }  }  cell (BUFX2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006189356217773286;      fall_capacitance: 0.008913012740210141;      capacitance: 0.007551184478991714;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003161, 0.018284, 0.022862, 0.029597, 0.082449",             "0.045168, 0.050118, 0.057399, 0.071712, 0.153721",             "0.086116, 0.085817, 0.089463, 0.102695, 0.199215",             "0.172383, 0.166439, 0.164531, 0.169815, 0.284515",             "0.876891, 0.864252, 0.852323, 0.833869, 0.853010"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014482, 0.018379, 0.020768, 0.022068, -0.009140",             "0.054683, 0.055959, 0.059876, 0.069706, 0.071632",             "0.098664, 0.097948, 0.098411, 0.105829, 0.136458",             "0.187943, 0.185797, 0.183424, 0.183072, 0.249186",             "0.903989, 0.900540, 0.895789, 0.885878, 0.887209"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021141, 0.053490, 0.059672, 0.113073, 0.840389",             "0.077202, 0.091911, 0.106094, 0.141454, 0.832516",             "0.145621, 0.149491, 0.160179, 0.190218, 0.830936",             "0.287250, 0.287270, 0.289142, 0.305563, 0.867895",             "1.420515, 1.420519, 1.420516, 1.420515, 1.614647"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015821, 0.031534, 0.054604, 0.109725, 0.838134",             "0.090618, 0.095897, 0.108850, 0.147026, 0.841931",             "0.176061, 0.177617, 0.184255, 0.210648, 0.851578",             "0.348673, 0.348740, 0.350198, 0.363180, 0.905512",             "1.726970, 1.726967, 1.726971, 1.726970, 1.912266"          );        }      }    }  }  cell (BUFX4) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006190154742284263;      fall_capacitance: 0.008890580111169952;      capacitance: 0.007540367426727108;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003332, 0.021756, 0.028208, 0.035969, 0.093993",             "0.026181, 0.039174, 0.048485, 0.060878, 0.137999",             "0.045221, 0.053837, 0.062262, 0.078031, 0.166608",             "0.086091, 0.087685, 0.092767, 0.107223, 0.211923",             "0.435684, 0.421572, 0.413289, 0.404913, 0.530577"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019917, 0.023712, 0.027202, 0.030685, 0.008146",             "0.039940, 0.042849, 0.048226, 0.055685, 0.049883",             "0.059858, 0.061386, 0.065377, 0.075941, 0.084686",             "0.102963, 0.102628, 0.103617, 0.111160, 0.147406",             "0.459869, 0.457168, 0.453471, 0.446561, 0.530833"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022521, 0.061092, 0.098545, 0.118668, 0.841214",             "0.047803, 0.081523, 0.116519, 0.130463, 0.837645",             "0.078588, 0.106772, 0.139897, 0.152839, 0.833203",             "0.146398, 0.156268, 0.169785, 0.200500, 0.833117",             "0.712599, 0.712588, 0.712577, 0.712845, 1.089880"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022169, 0.034922, 0.057456, 0.109434, 0.837145",             "0.053315, 0.062209, 0.079539, 0.123803, 0.839403",             "0.093114, 0.098044, 0.110960, 0.148338, 0.840752",             "0.177240, 0.178652, 0.185453, 0.211899, 0.851340",             "0.865991, 0.865992, 0.865993, 0.866799, 1.209225"          );        }      }    }  }  cell (CLKBUF1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1975104.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.012377692547513634;      fall_capacitance: 0.014507744176093367;      capacitance: 0.0134427183618035;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003460, 0.039905, 0.046929, 0.057149, 0.129195",             "0.039219, 0.054860, 0.064114, 0.078186, 0.157207",             "0.055285, 0.068102, 0.077098, 0.093315, 0.177192",             "0.092471, 0.100229, 0.107093, 0.121807, 0.216231",             "0.435605, 0.429264, 0.424902, 0.423004, 0.538823"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.032185, 0.040159, 0.044451, 0.047986, 0.023388",             "0.050450, 0.056824, 0.062828, 0.071275, 0.053156",             "0.068299, 0.073963, 0.079184, 0.090175, 0.079028",             "0.109143, 0.112555, 0.116061, 0.124195, 0.130603",             "0.463189, 0.462100, 0.460697, 0.458229, 0.520719"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.038133, 0.073853, 0.108060, 0.106379, 0.742329",             "0.060385, 0.095859, 0.130135, 0.118818, 0.738152",             "0.087750, 0.120648, 0.154819, 0.142636, 0.732318",             "0.148631, 0.165728, 0.177042, 0.190178, 0.740928",             "0.712222, 0.712217, 0.712215, 0.712236, 0.974750"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.042002, 0.068064, 0.055378, 0.096450, 0.738499",             "0.070257, 0.097122, 0.081847, 0.113407, 0.740126",             "0.100976, 0.109877, 0.115079, 0.140310, 0.740118",             "0.178532, 0.181261, 0.187084, 0.204804, 0.747643",             "0.865667, 0.865654, 0.865705, 0.865803, 1.087674"          );        }      }    }  }  cell (CLKBUF2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 2852928.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.012377692525831025;      fall_capacitance: 0.014510635051354033;      capacitance: 0.013444163788592528;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003456, 0.060936, 0.069146, 0.082604, 0.160648",             "0.051340, 0.075769, 0.085654, 0.100680, 0.183651",             "0.066467, 0.087976, 0.098405, 0.113977, 0.202421",             "0.100743, 0.117525, 0.126993, 0.142677, 0.241160",             "0.436349, 0.436171, 0.435689, 0.439577, 0.561191"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.051128, 0.061163, 0.067029, 0.073843, 0.052270",             "0.068099, 0.078010, 0.084203, 0.093870, 0.076775",             "0.083773, 0.093968, 0.100521, 0.110146, 0.100120",             "0.121325, 0.129839, 0.136017, 0.144820, 0.148604",             "0.470342, 0.472523, 0.473236, 0.475651, 0.532221"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.053451, 0.095197, 0.128930, 0.098770, 0.548662",             "0.073372, 0.116766, 0.151624, 0.112771, 0.547491",             "0.098904, 0.140382, 0.175986, 0.144262, 0.573057",             "0.156547, 0.192810, 0.227503, 0.194078, 0.628569",             "0.712222, 0.712231, 0.712217, 0.712240, 0.863982"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.061300, 0.089273, 0.054016, 0.080974, 0.539193",             "0.088215, 0.118100, 0.149263, 0.101858, 0.541517",             "0.121045, 0.151150, 0.182662, 0.130674, 0.544762",             "0.191659, 0.215009, 0.199249, 0.199633, 0.589380",             "0.865613, 0.865632, 0.865645, 0.865667, 1.002682"          );        }      }    }  }  cell (INV) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX4) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.012376891490235522;      fall_capacitance: 0.014525173100391225;      capacitance: 0.013451032295313373;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.004836, 0.003911, 0.003113, -0.000947, -0.066781",             "0.023782, 0.025438, 0.029154, 0.032024, -0.003570",             "0.045059, 0.042825, 0.047513, 0.054773, 0.040967",             "0.088711, 0.082075, 0.082140, 0.091166, 0.111791",             "0.441430, 0.429084, 0.416141, 0.399464, 0.483388"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005423, 0.008343, 0.012279, 0.018588, 0.089288",             "0.025056, 0.028778, 0.035903, 0.047954, 0.142358",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.449471, 0.445891, 0.441675, 0.435947, 0.579373"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007775, 0.029000, 0.057625, 0.115801, 0.870234",             "0.039447, 0.049978, 0.071107, 0.121251, 0.867453",             "0.074397, 0.079871, 0.096343, 0.138754, 0.864852",             "0.145174, 0.146264, 0.155787, 0.188826, 0.863750",             "0.711874, 0.711865, 0.711868, 0.712356, 1.131446"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008278, 0.030236, 0.056581, 0.115515, 0.870125",             "0.047212, 0.057977, 0.079852, 0.130476, 0.873241",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.865308, 0.865247, 0.865250, 0.866773, 1.291412"          );        }      }    }  }  cell (INVX8) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1097280.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.02475357794277478;      fall_capacitance: 0.025715030488090873;      capacitance: 0.025234304215432823;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.004711, 0.003669, 0.002920, -0.001273, -0.067235",             "0.013476, 0.016314, 0.018100, 0.018126, -0.031065",             "0.023686, 0.025560, 0.029154, 0.032104, -0.003570",             "0.045002, 0.042834, 0.047470, 0.054715, 0.040967",             "0.220836, 0.210041, 0.201832, 0.197811, 0.273718"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005356, 0.008225, 0.012119, 0.018287, 0.088886",             "0.014445, 0.019534, 0.025707, 0.035382, 0.118985",             "0.025056, 0.028778, 0.035903, 0.047954, 0.142358",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.225616, 0.222601, 0.219861, 0.220213, 0.388011"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007647, 0.028925, 0.057669, 0.115805, 0.870247",             "0.022139, 0.037257, 0.061628, 0.115441, 0.868805",             "0.039229, 0.050143, 0.071107, 0.121043, 0.867453",             "0.074441, 0.080027, 0.096405, 0.138670, 0.864852",             "0.357732, 0.357697, 0.358023, 0.371638, 0.931800"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008160, 0.030148, 0.056531, 0.115506, 0.870109",             "0.026793, 0.041830, 0.067077, 0.120590, 0.871621",             "0.047212, 0.057977, 0.079852, 0.130476, 0.873241",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.434491, 0.434491, 0.435448, 0.448752, 1.014657"          );        }      }    }  }  cell (MUX2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1316736.0;    cell_leakage_power: 0.1173;    pin (S) {      direction: input;      rise_capacitance: 0.010338610799344835;      fall_capacitance: 0.008212780453515003;      capacitance: 0.009275695626429919;    }    pin (B) {      direction: input;      rise_capacitance: 0.006397490498320098;      fall_capacitance: 0.008895353965965845;      capacitance: 0.007646422232142972;    }    pin (A) {      direction: input;      rise_capacitance: 0.004937389414015676;      fall_capacitance: 0.007864001795670731;      capacitance: 0.006400695604843204;    }    pin (Y) {      direction: output;      function: "!(!S&B&!A|!S&B&A|S&B&A|S&!B&A)";      function: "(!(A & B & S + A & B & !S + A & S & !B + B & !A & !S))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019428, 0.021747, 0.023821, 0.024885, -0.020070",             "0.096776, 0.096705, 0.098699, 0.106638, 0.141139",             "0.174325, 0.173758, 0.174373, 0.179031, 0.252974",             "0.329331, 0.328462, 0.328043, 0.329638, 0.432416",             "1.569307, 1.568155, 1.566435, 1.563733, 1.594086"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015958, 0.027807, 0.035343, 0.047135, 0.140744",             "0.109318, 0.113175, 0.119175, 0.133278, 0.299017",             "0.203682, 0.205651, 0.209577, 0.220207, 0.408863",             "0.392584, 0.393181, 0.395219, 0.402088, 0.586689",             "1.904228, 1.903421, 1.903075, 1.903731, 1.981518"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020411, 0.026763, 0.039961, 0.063425, 0.317670",             "0.130948, 0.130915, 0.131768, 0.141947, 0.451197",             "0.243567, 0.243583, 0.243517, 0.245528, 0.549507",             "0.468902, 0.468912, 0.468912, 0.468919, 0.675777",             "2.271917, 2.271914, 2.271917, 2.271916, 2.274560"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.034547, 0.032700, 0.040748, 0.064546, 0.323227",             "0.155499, 0.155537, 0.155799, 0.162945, 0.464800",             "0.286354, 0.286330, 0.286313, 0.286918, 0.548668",             "0.547917, 0.547919, 0.547900, 0.547926, 0.702513",             "2.640604, 2.640603, 2.640602, 2.640603, 2.640599"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012479, 0.020519, 0.023592, 0.024838, -0.020037",             "0.088439, 0.091403, 0.095223, 0.104973, 0.140988",             "0.165879, 0.167485, 0.169565, 0.175932, 0.252738",             "0.320839, 0.321506, 0.322081, 0.325051, 0.431811",             "1.560766, 1.560490, 1.559111, 1.556910, 1.590657"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023985, 0.029348, 0.035865, 0.047430, 0.140831",             "0.115535, 0.117784, 0.122066, 0.134594, 0.299174",             "0.209718, 0.210748, 0.213291, 0.222435, 0.409049",             "0.398509, 0.398634, 0.399621, 0.405195, 0.587049",             "1.910075, 1.909263, 1.908276, 1.908150, 1.983766"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021856, 0.028909, 0.040295, 0.063869, 0.317858",             "0.130661, 0.130662, 0.132045, 0.142857, 0.451367",             "0.243245, 0.243252, 0.243275, 0.245652, 0.549740",             "0.468595, 0.468585, 0.468603, 0.468582, 0.676414",             "2.271590, 2.271590, 2.271589, 2.271591, 2.274367"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026595, 0.030491, 0.040269, 0.064145, 0.322768",             "0.155850, 0.155839, 0.155924, 0.162605, 0.464668",             "0.286633, 0.286644, 0.286657, 0.287110, 0.548624",             "0.548248, 0.548238, 0.548248, 0.548232, 0.702345",             "2.641009, 2.640987, 2.640985, 2.640985, 2.640985"          );        }      }      timing () {        related_pin: "S";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015477, 0.019632, 0.023785, 0.028539, 0.047003",             "0.089791, 0.086977, 0.089938, 0.101079, 0.177000",             "0.166627, 0.161655, 0.161408, 0.167180, 0.272033",             "0.321166, 0.314571, 0.311301, 0.310607, 0.436604",             "1.560695, 1.552272, 1.545023, 1.533295, 1.520465"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.009379, 0.017143, 0.022831, 0.029415, 0.049506",             "0.098224, 0.096461, 0.098086, 0.107381, 0.189678",             "0.191790, 0.187334, 0.185018, 0.186251, 0.294384",             "0.380119, 0.373656, 0.367998, 0.361115, 0.471759",             "1.891175, 1.882501, 1.872694, 1.854265, 1.753057"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025135, 0.032734, 0.048051, 0.084704, 0.566326",             "0.130733, 0.130708, 0.132826, 0.150233, 0.602446",             "0.243422, 0.243393, 0.243371, 0.247979, 0.662237",             "0.468752, 0.468761, 0.468758, 0.468739, 0.756824",             "2.271751, 2.271754, 2.271754, 2.271752, 2.282476"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022469, 0.035382, 0.052960, 0.089711, 0.571861",             "0.155713, 0.155428, 0.156540, 0.173483, 0.639442",             "0.286482, 0.286497, 0.286481, 0.290082, 0.712770",             "0.548075, 0.548076, 0.548073, 0.548087, 0.844708",             "2.640769, 2.640767, 2.640766, 2.640768, 2.646447"          );        }      }    }  }  cell (NAND2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004150889506161318;      fall_capacitance: 0.0057806089303857715;      capacitance: 0.004965749218273544;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935475394936947;      fall_capacitance: 0.007870695939137575;      capacitance: 0.0064030856670372616;    }    pin (Y) {      direction: output;      function: "!(B&A)";      function: "(!A & B)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007196, 0.007595, 0.006535, 0.002335, -0.081701",             "0.048131, 0.045109, 0.049226, 0.055554, 0.023149",             "0.091843, 0.084555, 0.083952, 0.092035, 0.093853",             "0.179911, 0.169368, 0.162474, 0.161292, 0.207503",             "0.885821, 0.872458, 0.856675, 0.829540, 0.814241"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015633, 0.021476, 0.028398, 0.039896, 0.146774",             "0.107818, 0.110541, 0.116624, 0.131991, 0.322868",             "0.202142, 0.203338, 0.207611, 0.219924, 0.436789",             "0.391020, 0.391118, 0.393477, 0.402003, 0.616285",             "1.902651, 1.901546, 1.901261, 1.902664, 2.012825"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012945, 0.032109, 0.051696, 0.088446, 0.524049",             "0.079676, 0.085046, 0.101926, 0.145349, 0.674950",             "0.150526, 0.151440, 0.161506, 0.195645, 0.761149",             "0.292156, 0.292210, 0.293910, 0.314078, 0.887525",             "1.425456, 1.425453, 1.425463, 1.425463, 1.693531"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013964, 0.019082, 0.028092, 0.057082, 0.488461",             "0.142119, 0.142058, 0.142068, 0.146714, 0.440570",             "0.272785, 0.272844, 0.272830, 0.273049, 0.465710",             "0.534379, 0.534395, 0.534370, 0.534368, 0.637728",             "2.627044, 2.627042, 2.627042, 2.627043, 2.627043"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005849, 0.004862, 0.001953, -0.005765, -0.126919",             "0.046431, 0.043395, 0.046993, 0.051015, -0.016988",             "0.090143, 0.082837, 0.081976, 0.088941, 0.057037",             "0.178220, 0.167700, 0.160608, 0.158752, 0.176347",             "0.884156, 0.870837, 0.855034, 0.827713, 0.802365"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013792, 0.018689, 0.025506, 0.038065, 0.175360",             "0.107725, 0.103637, 0.104869, 0.117440, 0.315940",             "0.202285, 0.196217, 0.193422, 0.197331, 0.412055",             "0.391293, 0.383864, 0.377831, 0.373134, 0.567831",             "1.903042, 1.894202, 1.884122, 1.866559, 1.824766"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.009695, 0.029176, 0.049614, 0.090169, 0.630637",             "0.076701, 0.082090, 0.098427, 0.140823, 0.690595",             "0.147420, 0.148384, 0.158014, 0.191352, 0.764094",             "0.289054, 0.289045, 0.290759, 0.310455, 0.877521",             "1.422334, 1.422325, 1.422328, 1.422326, 1.682986"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014142, 0.022429, 0.038545, 0.077748, 0.619421",             "0.142113, 0.142115, 0.143140, 0.157535, 0.605736",             "0.272824, 0.272791, 0.272803, 0.275498, 0.636002",             "0.534396, 0.534363, 0.534379, 0.534395, 0.781620",             "2.627039, 2.627044, 2.627041, 2.627039, 2.630177"          );        }      }    }  }  cell (NAND3X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;      rise_capacitance: 0.004149650005143659;      fall_capacitance: 0.005781505198438881;      capacitance: 0.00496557760179127;    }    pin (B) {      direction: input;      rise_capacitance: 0.004830629997798454;      fall_capacitance: 0.0065460190151835455;      capacitance: 0.005688324506490999;    }    pin (A) {      direction: input;      rise_capacitance: 0.0049353598856550955;      fall_capacitance: 0.007870869195042688;      capacitance: 0.006403114540348892;    }    pin (Y) {      direction: output;      function: "!(C&B&A)";      function: "(!A & B & C)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008972, 0.009457, 0.008503, 0.002962, -0.100701",             "0.051333, 0.047529, 0.050994, 0.056259, 0.000383",             "0.095086, 0.087278, 0.086059, 0.093123, 0.071594",             "0.183200, 0.172294, 0.164894, 0.162676, 0.188303",             "0.889127, 0.875625, 0.859595, 0.831781, 0.805945"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020769, 0.029603, 0.037436, 0.051718, 0.185550",             "0.137563, 0.140846, 0.146094, 0.159442, 0.367674",             "0.257454, 0.258396, 0.261172, 0.270635, 0.491854",             "0.497675, 0.496765, 0.496908, 0.501401, 0.695984",             "2.420799, 2.417810, 2.414180, 2.409069, 2.461911"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017292, 0.035367, 0.056139, 0.094266, 0.548119",             "0.084992, 0.090373, 0.107178, 0.150243, 0.683940",             "0.155780, 0.156840, 0.166974, 0.201316, 0.764246",             "0.297431, 0.297423, 0.299270, 0.320137, 0.888634",             "1.430747, 1.430744, 1.430744, 1.430744, 1.704785"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023922, 0.025750, 0.032068, 0.055931, 0.495161",             "0.188089, 0.188153, 0.188154, 0.189888, 0.453757",             "0.355339, 0.355334, 0.355344, 0.355344, 0.505262",             "0.689777, 0.689789, 0.689794, 0.689787, 0.761388",             "3.365704, 3.365704, 3.365703, 3.365700, 3.365703"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008334, 0.007999, 0.005426, -0.001872, -0.134533",             "0.049592, 0.045886, 0.049021, 0.052517, -0.029801",             "0.093307, 0.085588, 0.084148, 0.090357, 0.043619",             "0.181419, 0.170558, 0.163034, 0.160347, 0.164016",             "0.887312, 0.873890, 0.857871, 0.829951, 0.796345"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023592, 0.029466, 0.038594, 0.055239, 0.219385",             "0.141155, 0.143057, 0.148603, 0.163867, 0.404481",             "0.261171, 0.261449, 0.264802, 0.276119, 0.527468",             "0.501476, 0.500497, 0.501691, 0.508419, 0.732132",             "2.424678, 2.422318, 2.420551, 2.419097, 2.507900"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014708, 0.032973, 0.052387, 0.088064, 0.507153",             "0.081875, 0.087345, 0.103349, 0.145759, 0.652137",             "0.152726, 0.153620, 0.163460, 0.196949, 0.738027",             "0.294293, 0.294378, 0.296116, 0.316174, 0.868979",             "1.427621, 1.427628, 1.427634, 1.427631, 1.694351"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023614, 0.026398, 0.032949, 0.053238, 0.454307",             "0.188147, 0.188117, 0.188099, 0.189875, 0.415502",             "0.355311, 0.355293, 0.355317, 0.355295, 0.485465",             "0.689798, 0.689798, 0.689789, 0.689787, 0.751303",             "3.365699, 3.365700, 3.365702, 3.365700, 3.365698"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.006743, 0.005507, 0.001409, -0.008755, -0.170135",             "0.047762, 0.044106, 0.046936, 0.048789, -0.060209",             "0.091478, 0.083801, 0.082253, 0.087681, 0.016317",             "0.179569, 0.168817, 0.161183, 0.158074, 0.141274",             "0.885505, 0.872150, 0.856133, 0.828109, 0.787687"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021221, 0.026912, 0.036046, 0.053704, 0.240197",             "0.140988, 0.137908, 0.140081, 0.153521, 0.407328",             "0.261329, 0.256442, 0.255023, 0.261001, 0.520047",             "0.501821, 0.495706, 0.491228, 0.489434, 0.706541",             "2.425190, 2.417843, 2.409583, 2.395522, 2.391247"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011465, 0.029918, 0.048625, 0.083915, 0.522973",             "0.078783, 0.083779, 0.099962, 0.141527, 0.642500",             "0.149540, 0.150478, 0.160020, 0.192902, 0.728158",             "0.291212, 0.291252, 0.292980, 0.312574, 0.856649",             "1.424500, 1.424495, 1.424506, 1.424500, 1.685213"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023566, 0.027391, 0.036715, 0.061844, 0.502475",             "0.188148, 0.188141, 0.188149, 0.192359, 0.487219",             "0.355298, 0.355308, 0.355319, 0.355322, 0.560090",             "0.689793, 0.689798, 0.689798, 0.689793, 0.809696",             "3.365702, 3.365700, 3.365703, 3.365699, 3.365703"          );        }      }    }  }  cell (NOR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.006307384837439927;      fall_capacitance: 0.006306324454300684;      capacitance: 0.006306854645870306;    }    pin (A) {      direction: input;      rise_capacitance: 0.006299781364172542;      fall_capacitance: 0.00890124516010798;      capacitance: 0.0076005132621402615;    }    pin (Y) {      direction: output;      function: "(!B&!A)";      function: "(!A & !B)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012986, 0.016464, 0.018922, 0.020204, -0.010487",             "0.090855, 0.091382, 0.094616, 0.104989, 0.165835",             "0.168403, 0.168290, 0.169983, 0.177071, 0.280870",             "0.323463, 0.322901, 0.323243, 0.327021, 0.459948",             "1.563457, 1.562468, 1.561029, 1.559260, 1.617628"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008571, 0.012834, 0.016940, 0.022901, 0.073370",             "0.050719, 0.051182, 0.056436, 0.070039, 0.161587",             "0.095157, 0.093369, 0.094430, 0.105159, 0.223878",             "0.184573, 0.181502, 0.179079, 0.180864, 0.324736",             "0.900731, 0.896739, 0.891685, 0.882327, 0.915214"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011911, 0.020251, 0.035631, 0.075894, 0.632098",             "0.122227, 0.122198, 0.122986, 0.131826, 0.572943",             "0.234847, 0.234841, 0.234770, 0.236394, 0.551555",             "0.460142, 0.460167, 0.460168, 0.460147, 0.626352",             "2.263162, 2.263157, 2.263162, 2.263162, 2.264768"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019230, 0.038878, 0.062210, 0.109873, 0.677222",             "0.098886, 0.105335, 0.122576, 0.168308, 0.823551",             "0.184754, 0.186734, 0.196898, 0.231670, 0.903883",             "0.357145, 0.357371, 0.360113, 0.379939, 1.013582",             "1.735540, 1.735518, 1.735519, 1.735512, 2.002056"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011806, 0.014164, 0.016660, 0.020318, 0.038325",             "0.090368, 0.085510, 0.088212, 0.100612, 0.196917",             "0.168063, 0.161173, 0.160440, 0.166991, 0.301135",             "0.323155, 0.314877, 0.310973, 0.310624, 0.465942",             "1.563209, 1.553438, 1.545230, 1.532397, 1.541763"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005936, 0.007167, 0.008315, 0.008939, 0.000169",             "0.046963, 0.047411, 0.052229, 0.062557, 0.098027",             "0.091434, 0.089675, 0.090367, 0.099822, 0.165924",             "0.180891, 0.177906, 0.175243, 0.176092, 0.276079",             "0.897089, 0.893154, 0.888102, 0.878617, 0.897368"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011999, 0.022468, 0.042650, 0.089129, 0.702921",             "0.122129, 0.122235, 0.124548, 0.142036, 0.672456",             "0.234809, 0.234839, 0.234788, 0.239948, 0.663642",             "0.460173, 0.460149, 0.460147, 0.460142, 0.740712",             "2.263154, 2.263159, 2.263157, 2.263162, 2.274281"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011676, 0.031135, 0.054847, 0.101688, 0.713699",             "0.091257, 0.097211, 0.113947, 0.158466, 0.799171",             "0.177241, 0.178967, 0.188549, 0.222057, 0.874832",             "0.349616, 0.349773, 0.352334, 0.371118, 0.982630",             "1.727951, 1.727928, 1.727929, 1.727925, 1.982101"          );        }      }    }  }  cell (OAI21X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;      rise_capacitance: 0.004203428908088842;      fall_capacitance: 0.005774046546996895;      capacitance: 0.004988737727542869;    }    pin (B) {      direction: input;      rise_capacitance: 0.004935221654376836;      fall_capacitance: 0.004725917975171027;      capacitance: 0.004830569814773931;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935717599872121;      fall_capacitance: 0.007855089253956563;      capacitance: 0.0063954034269143424;    }    pin (Y) {      direction: output;      function: "!(C&B&!A|C&B&A|C&!B&A)";      function: "(!(A & B & C + A & C & !B + B & C & !A))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018803, 0.021286, 0.023372, 0.024350, -0.021448",             "0.096139, 0.096122, 0.098202, 0.106284, 0.140537",             "0.173692, 0.173167, 0.173841, 0.178581, 0.252511",             "0.328700, 0.327878, 0.327492, 0.329140, 0.432054",             "1.568684, 1.567578, 1.565889, 1.563216, 1.593648"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014567, 0.027612, 0.035111, 0.046438, 0.138742",             "0.107786, 0.111806, 0.118058, 0.132521, 0.298154",             "0.202175, 0.204084, 0.208129, 0.219017, 0.408234",             "0.391077, 0.391477, 0.393526, 0.400512, 0.586153",             "1.902728, 1.901585, 1.901088, 1.901617, 1.980151"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019300, 0.026959, 0.040134, 0.062777, 0.317091",             "0.130589, 0.130540, 0.131465, 0.141739, 0.450595",             "0.243235, 0.243236, 0.243192, 0.245219, 0.549172",             "0.468554, 0.468581, 0.468571, 0.468584, 0.676001",             "2.271587, 2.271582, 2.271587, 2.271587, 2.274232"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.031664, 0.030264, 0.038631, 0.062964, 0.321051",             "0.153753, 0.153753, 0.154115, 0.161363, 0.463032",             "0.284526, 0.284494, 0.284513, 0.285176, 0.547396",             "0.546140, 0.546108, 0.546137, 0.546139, 0.701133",             "2.638823, 2.638827, 2.638825, 2.638824, 2.638826"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018084, 0.018977, 0.021732, 0.025405, 0.031435",             "0.095837, 0.089833, 0.091289, 0.101855, 0.181405",             "0.173416, 0.165752, 0.163733, 0.168054, 0.282099",             "0.328448, 0.319616, 0.314657, 0.311922, 0.444977",             "1.568456, 1.558467, 1.549855, 1.535658, 1.516959"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011853, 0.021086, 0.025927, 0.031783, 0.061728",             "0.103111, 0.106391, 0.112051, 0.125088, 0.245734",             "0.197350, 0.198788, 0.202456, 0.212400, 0.366202",             "0.386172, 0.386296, 0.388114, 0.394555, 0.557385",             "1.897755, 1.896510, 1.895926, 1.896353, 1.969217"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019576, 0.029856, 0.049211, 0.081282, 0.446789",             "0.130529, 0.130604, 0.133249, 0.154460, 0.612292",             "0.243240, 0.243237, 0.243198, 0.249492, 0.704661",             "0.468570, 0.468567, 0.468567, 0.468586, 0.813094",             "2.271586, 2.271584, 2.271584, 2.271587, 2.288098"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015426, 0.020183, 0.031186, 0.056113, 0.422226",             "0.142359, 0.142363, 0.142749, 0.150040, 0.459691",             "0.273045, 0.273046, 0.273065, 0.273811, 0.534619",             "0.534623, 0.534603, 0.534626, 0.534613, 0.687998",             "2.627267, 2.627270, 2.627271, 2.627270, 2.627267"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.006353, 0.006907, 0.004743, -0.001737, -0.110680",             "0.047699, 0.044647, 0.048336, 0.053151, -0.004114",             "0.091415, 0.084176, 0.083334, 0.090516, 0.068778",             "0.179491, 0.169025, 0.162028, 0.160307, 0.186435",             "0.885395, 0.872100, 0.856349, 0.829208, 0.807105"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012322, 0.019314, 0.026237, 0.038620, 0.167686",             "0.096733, 0.094797, 0.097266, 0.110737, 0.295956",             "0.182668, 0.178235, 0.176611, 0.181871, 0.385409",             "0.354674, 0.348400, 0.343367, 0.339960, 0.531053",             "1.731112, 1.722805, 1.713320, 1.696828, 1.662380"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011924, 0.031387, 0.053193, 0.097308, 0.671034",             "0.079146, 0.084257, 0.100405, 0.142389, 0.716421",             "0.149831, 0.150702, 0.160194, 0.193088, 0.778101",             "0.291344, 0.291348, 0.292968, 0.312319, 0.882992",             "1.424450, 1.424445, 1.424449, 1.424449, 1.683821"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016705, 0.026501, 0.043315, 0.084291, 0.660779",             "0.136556, 0.136714, 0.139723, 0.158268, 0.651528",             "0.258832, 0.258845, 0.259084, 0.265612, 0.680442",             "0.503343, 0.503344, 0.503342, 0.503821, 0.807464",             "2.459389, 2.459387, 2.459387, 2.459388, 2.481930"          );        }      }    }  }  cell (OAI22X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1097280.0;    cell_leakage_power: 0.1173;    pin (D) {      direction: input;      rise_capacitance: 0.00422904568382474;      fall_capacitance: 6.27701700917797e-05;      capacitance: 0.00214590792695826;    }    pin (C) {      direction: input;      rise_capacitance: 0.004229993996294385;      fall_capacitance: 0.005758102592005284;      capacitance: 0.004994048294149835;    }    pin (B) {      direction: input;      rise_capacitance: 0.004935264302975343;      fall_capacitance: 0.004717210490958356;      capacitance: 0.00482623739696685;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935925445230348;      fall_capacitance: 0.007825926909320734;      capacitance: 0.00638092617727554;    }    pin (Y) {      direction: output;      function: "(!D&!C&!B&!A|D&!C&!B&!A|D&C&!B&!A|!D&C&!B&!A|!D&!C&B&!A|!D&!C&B&A|!D&!C&!B&A)";      function: "((A & B & !C & !D + C & D & !A & !B + A & !B & !C & !D + B & !A & !C & !D + C & !A & !B & !D + D & !A & !B & !C + !A & !B & !C & !D))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023283, 0.026086, 0.029698, 0.032795, -0.001479",             "0.101125, 0.101089, 0.103242, 0.111463, 0.155077",             "0.178698, 0.178197, 0.178968, 0.183936, 0.265589",             "0.333742, 0.332923, 0.332617, 0.334515, 0.443208",             "1.573737, 1.572628, 1.570969, 1.568406, 1.602882"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012521, 0.028054, 0.037790, 0.050147, 0.142499",             "0.100661, 0.105547, 0.112648, 0.128391, 0.287021",             "0.189767, 0.192023, 0.196444, 0.208039, 0.391309",             "0.368021, 0.368345, 0.370350, 0.377348, 0.561865",             "1.794390, 1.792660, 1.791504, 1.790869, 1.861891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.027523, 0.032137, 0.043710, 0.068258, 0.351959",             "0.138192, 0.138265, 0.138971, 0.148233, 0.459452",             "0.250786, 0.250776, 0.250815, 0.252433, 0.550251",             "0.475993, 0.475990, 0.475983, 0.475987, 0.672440",             "2.278881, 2.278886, 2.278881, 2.278884, 2.281277"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.033208, 0.057195, 0.047282, 0.073255, 0.374412",             "0.150143, 0.150175, 0.151479, 0.161717, 0.504750",             "0.271994, 0.271979, 0.271960, 0.273778, 0.580300",             "0.515546, 0.515539, 0.515545, 0.515540, 0.712176",             "2.463948, 2.463946, 2.463949, 2.463947, 2.465069"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022457, 0.023821, 0.028002, 0.033432, 0.050099",             "0.100795, 0.094818, 0.096177, 0.106623, 0.193238",             "0.178421, 0.170774, 0.168840, 0.173249, 0.292925",             "0.333487, 0.324687, 0.319823, 0.317316, 0.454634",             "1.573506, 1.563530, 1.554962, 1.540935, 1.526232"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.009970, 0.022332, 0.029418, 0.036773, 0.068890",             "0.096499, 0.100597, 0.107043, 0.121347, 0.233209",             "0.185411, 0.187163, 0.191171, 0.201750, 0.347651",             "0.363558, 0.363589, 0.365346, 0.371744, 0.531675",             "1.789838, 1.787994, 1.786745, 1.786002, 1.850882"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.027453, 0.035677, 0.052614, 0.085958, 0.479334",             "0.138281, 0.138228, 0.140230, 0.159346, 0.613230",             "0.250777, 0.250785, 0.250804, 0.256157, 0.698173",             "0.475995, 0.475996, 0.475995, 0.475967, 0.804605",             "2.278882, 2.278884, 2.278886, 2.278882, 2.294149"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025756, 0.039061, 0.038010, 0.065493, 0.449021",             "0.139560, 0.139590, 0.140888, 0.151088, 0.498425",             "0.261310, 0.261302, 0.261289, 0.263206, 0.566573",             "0.504829, 0.504817, 0.504829, 0.504819, 0.699154",             "2.453188, 2.453188, 2.453189, 2.453187, 2.454474"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017359, 0.020239, 0.022410, 0.022148, -0.048370",             "0.095781, 0.095787, 0.097757, 0.105343, 0.121554",             "0.173355, 0.172918, 0.173600, 0.178104, 0.237251",             "0.328398, 0.327691, 0.327330, 0.328976, 0.421249",             "1.568399, 1.567426, 1.565794, 1.563195, 1.593328"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015013, 0.024562, 0.032155, 0.044563, 0.160773",             "0.098613, 0.097314, 0.099563, 0.111932, 0.280587",             "0.184509, 0.180314, 0.178163, 0.181771, 0.365997",             "0.356497, 0.350286, 0.344634, 0.339129, 0.507816",             "1.732927, 1.724617, 1.714864, 1.697166, 1.627185"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019019, 0.025963, 0.039343, 0.070044, 0.533535",             "0.129391, 0.129468, 0.130315, 0.139831, 0.514520",             "0.241936, 0.241939, 0.241961, 0.243767, 0.557740",             "0.467115, 0.467109, 0.467107, 0.467104, 0.662643",             "2.269978, 2.269983, 2.269978, 2.269980, 2.272604"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.030659, 0.049724, 0.061259, 0.101551, 0.581832",             "0.149318, 0.149607, 0.154293, 0.179158, 0.730603",             "0.271589, 0.271594, 0.272068, 0.281395, 0.804678",             "0.516131, 0.516134, 0.516134, 0.516907, 0.918257",             "2.472225, 2.472227, 2.472226, 2.472227, 2.503573"          );        }      }      timing () {        related_pin: "D";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016262, 0.017953, 0.020064, 0.021458, -0.001520",             "0.095321, 0.089494, 0.090705, 0.100426, 0.153300",             "0.172996, 0.165491, 0.163413, 0.167278, 0.258836",             "0.328101, 0.319446, 0.314487, 0.311618, 0.428744",             "1.568141, 1.558342, 1.549772, 1.535678, 1.515010"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011023, 0.018339, 0.023255, 0.030287, 0.084409",             "0.094011, 0.092068, 0.093623, 0.104316, 0.218448",             "0.179838, 0.175225, 0.172596, 0.174928, 0.312603",             "0.351783, 0.345335, 0.339405, 0.333058, 0.468089",             "1.728167, 1.719791, 1.709961, 1.692131, 1.611665"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018855, 0.028595, 0.047231, 0.087045, 0.641403",             "0.129486, 0.129382, 0.131911, 0.151555, 0.651384",             "0.241942, 0.241930, 0.241953, 0.247787, 0.698756",             "0.467109, 0.467119, 0.467119, 0.467084, 0.794655",             "2.269982, 2.269982, 2.269983, 2.269978, 2.286463"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019015, 0.039322, 0.050390, 0.091244, 0.642558",             "0.138637, 0.138872, 0.143330, 0.167382, 0.711587",             "0.260891, 0.260872, 0.261322, 0.270455, 0.778798",             "0.505376, 0.505376, 0.505375, 0.506151, 0.893542",             "2.461425, 2.461427, 2.461427, 2.461428, 2.492763"          );        }      }    }  }  cell (OR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.006299305363616857;      fall_capacitance: 0.008885220124112183;      capacitance: 0.007592262743864521;    }    pin (A) {      direction: input;      rise_capacitance: 0.006309610190379141;      fall_capacitance: 0.00630283867340493;      capacitance: 0.006306224431892035;    }    pin (Y) {      direction: output;      function: "!(!B&!A)";      function: "(!!A & !B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002786, -0.022732, 0.019161, 0.019580, -0.011410",             "0.041854, 0.043329, 0.051603, 0.059323, 0.062560",             "0.084916, 0.078410, 0.082038, 0.088448, 0.103720",             "0.172611, 0.158216, 0.154751, 0.153103, 0.172991",             "0.878301, 0.855095, 0.838016, 0.810227, 0.720418"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028540, 0.031368, 0.036819, 0.045426, 0.100228",             "0.069115, 0.070143, 0.075842, 0.091793, 0.184877",             "0.111955, 0.111797, 0.115439, 0.127845, 0.254311",             "0.200493, 0.199357, 0.201189, 0.207941, 0.374505",             "0.915994, 0.913985, 0.914073, 0.914386, 1.007881"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013392, 0.051401, 0.087406, 0.152742, 0.687675",             "0.075475, 0.097421, 0.130787, 0.191718, 0.688979",             "0.145593, 0.155613, 0.179062, 0.217295, 0.718675",             "0.287207, 0.287428, 0.292736, 0.315169, 0.792432",             "1.420518, 1.420518, 1.420514, 1.420518, 1.582675"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024927, 0.029452, 0.042786, 0.080200, 0.663641",             "0.093953, 0.096473, 0.103565, 0.127433, 0.668426",             "0.177647, 0.178545, 0.181992, 0.198197, 0.680919",             "0.349306, 0.349321, 0.350007, 0.357523, 0.740411",             "1.727348, 1.727346, 1.727366, 1.727370, 1.864145"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002737, 0.015129, 0.026339, 0.031155, 0.057827",             "0.042995, 0.049240, 0.058421, 0.069922, 0.132870",             "0.085418, 0.083324, 0.088199, 0.097150, 0.172762",             "0.172559, 0.161472, 0.159668, 0.160671, 0.230240",             "0.877741, 0.855521, 0.839844, 0.814300, 0.732001"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029469, 0.033740, 0.039244, 0.045782, 0.049818",             "0.070126, 0.072893, 0.078115, 0.092691, 0.135288",             "0.112911, 0.114897, 0.118672, 0.129875, 0.209498",             "0.201393, 0.202758, 0.205335, 0.211918, 0.341264",             "0.916880, 0.917687, 0.919165, 0.921192, 1.006897"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016092, 0.057562, 0.095169, 0.162177, 0.699235",             "0.076858, 0.103458, 0.137895, 0.200949, 0.702148",             "0.145825, 0.159732, 0.185528, 0.227910, 0.724008",             "0.287314, 0.288184, 0.294861, 0.320599, 0.788394",             "1.420530, 1.420534, 1.420537, 1.420539, 1.574668"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024627, 0.027679, 0.036375, 0.067524, 0.609658",             "0.094505, 0.095669, 0.100243, 0.118924, 0.616317",             "0.177929, 0.178313, 0.180338, 0.192868, 0.629773",             "0.349160, 0.349206, 0.349675, 0.355201, 0.694984",             "1.727366, 1.727352, 1.727345, 1.727367, 1.855198"          );        }      }    }  }  cell (OR2X2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.006299305363616857;      fall_capacitance: 0.008885220124112183;      capacitance: 0.007592262743864521;    }    pin (A) {      direction: input;      rise_capacitance: 0.006309610190379141;      fall_capacitance: 0.00630283867340493;      capacitance: 0.006306224431892035;    }    pin (Y) {      direction: output;      function: "!(!B&!A)";      function: "(!!A & !B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002786, -0.022732, 0.019161, 0.019580, -0.011410",             "0.041854, 0.043329, 0.051603, 0.059323, 0.062560",             "0.084916, 0.078410, 0.082038, 0.088448, 0.103720",             "0.172611, 0.158216, 0.154751, 0.153103, 0.172991",             "0.878301, 0.855095, 0.838016, 0.810227, 0.720418"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028540, 0.031368, 0.036819, 0.045426, 0.100228",             "0.069115, 0.070143, 0.075842, 0.091793, 0.184877",             "0.111955, 0.111797, 0.115439, 0.127845, 0.254311",             "0.200493, 0.199357, 0.201189, 0.207941, 0.374505",             "0.915994, 0.913985, 0.914073, 0.914386, 1.007881"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013392, 0.051401, 0.087406, 0.152742, 0.687675",             "0.075475, 0.097421, 0.130787, 0.191718, 0.688979",             "0.145593, 0.155613, 0.179062, 0.217295, 0.718675",             "0.287207, 0.287428, 0.292736, 0.315169, 0.792432",             "1.420518, 1.420518, 1.420514, 1.420518, 1.582675"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024927, 0.029452, 0.042786, 0.080200, 0.663641",             "0.093953, 0.096473, 0.103565, 0.127433, 0.668426",             "0.177647, 0.178545, 0.181992, 0.198197, 0.680919",             "0.349306, 0.349321, 0.350007, 0.357523, 0.740411",             "1.727348, 1.727346, 1.727366, 1.727370, 1.864145"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002737, 0.015129, 0.026339, 0.031155, 0.057827",             "0.042995, 0.049240, 0.058421, 0.069922, 0.132870",             "0.085418, 0.083324, 0.088199, 0.097150, 0.172762",             "0.172559, 0.161472, 0.159668, 0.160671, 0.230240",             "0.877741, 0.855521, 0.839844, 0.814300, 0.732001"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029469, 0.033740, 0.039244, 0.045782, 0.049818",             "0.070126, 0.072893, 0.078115, 0.092691, 0.135288",             "0.112911, 0.114897, 0.118672, 0.129875, 0.209498",             "0.201393, 0.202758, 0.205335, 0.211918, 0.341264",             "0.916880, 0.917687, 0.919165, 0.921192, 1.006897"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016092, 0.057562, 0.095169, 0.162177, 0.699235",             "0.076858, 0.103458, 0.137895, 0.200949, 0.702148",             "0.145825, 0.159732, 0.185528, 0.227910, 0.724008",             "0.287314, 0.288184, 0.294861, 0.320599, 0.788394",             "1.420530, 1.420534, 1.420537, 1.420539, 1.574668"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024627, 0.027679, 0.036375, 0.067524, 0.609658",             "0.094505, 0.095669, 0.100243, 0.118924, 0.616317",             "0.177929, 0.178313, 0.180338, 0.192868, 0.629773",             "0.349160, 0.349206, 0.349675, 0.355201, 0.694984",             "1.727366, 1.727352, 1.727345, 1.727367, 1.855198"          );        }      }    }  }  cell (XNOR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.01258592702529086;      fall_capacitance: 0.01450190121076146;      capacitance: 0.01354391411802616;    }    pin (A) {      direction: input;      rise_capacitance: 0.012735641153553287;      fall_capacitance: 0.011631625278500075;      capacitance: 0.012183633216026682;    }    pin (Y) {      direction: output;      function: "!(B&!A|!B&A)";      function: "(!(A & !B + B & !A))";      timing () {        related_pin: "A";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013989, 0.018024, 0.023608, 0.028544, 0.046990",             "0.086886, 0.083890, 0.087944, 0.100115, 0.176994",             "0.163666, 0.158058, 0.158583, 0.165414, 0.272018",             "0.318190, 0.310552, 0.307716, 0.307846, 0.436394",             "1.557671, 1.547810, 1.540413, 1.528732, 1.518482"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013397, 0.018391, 0.023707, 0.029700, 0.049560",             "0.104554, 0.099267, 0.099516, 0.107893, 0.189692",             "0.198104, 0.190587, 0.187032, 0.187249, 0.294404",             "0.386428, 0.377203, 0.370471, 0.362665, 0.471845",             "1.897500, 1.886363, 1.875729, 1.856653, 1.754002"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023920, 0.038475, 0.061455, 0.084755, 0.566336",             "0.130811, 0.130539, 0.133792, 0.151736, 0.602473",             "0.243429, 0.243459, 0.243317, 0.248917, 0.662479",             "0.468797, 0.468798, 0.468793, 0.468774, 0.757658",             "2.271785, 2.271788, 2.271788, 2.271786, 2.282952"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025980, 0.034386, 0.051179, 0.088206, 0.570375",             "0.155775, 0.156006, 0.156492, 0.172986, 0.639449",             "0.286528, 0.286545, 0.286577, 0.289826, 0.712632",             "0.548141, 0.548132, 0.548123, 0.548137, 0.844311",             "2.640825, 2.640828, 2.640826, 2.640825, 2.646410"          );        }      }      timing () {        related_pin: "B";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016690, 0.026445, 0.031880, 0.037261, 0.048616",             "0.091051, 0.095514, 0.100621, 0.112158, 0.182377",             "0.168213, 0.171079, 0.174250, 0.182514, 0.282866",             "0.322976, 0.324677, 0.326168, 0.330961, 0.454972",             "1.562706, 1.563167, 1.562488, 1.561803, 1.609286"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026885, 0.032811, 0.038875, 0.047403, 0.087933",             "0.117282, 0.120145, 0.124314, 0.135271, 0.246516",             "0.211168, 0.212784, 0.215279, 0.223230, 0.363714",             "0.399743, 0.400411, 0.401407, 0.406048, 0.555385",             "1.911052, 1.910726, 1.909802, 1.909023, 1.963658"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024789, 0.028839, 0.037807, 0.058878, 0.265656",             "0.130769, 0.130753, 0.131666, 0.140183, 0.394222",             "0.243431, 0.243415, 0.243392, 0.244961, 0.496125",             "0.468744, 0.468748, 0.468759, 0.468730, 0.635129",             "2.271767, 2.271764, 2.271765, 2.271763, 2.273192"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028716, 0.030099, 0.037985, 0.059222, 0.254230",             "0.155712, 0.155759, 0.155806, 0.162226, 0.429522",             "0.286550, 0.286491, 0.286518, 0.286872, 0.538319",             "0.548133, 0.548105, 0.548127, 0.548107, 0.702960",             "2.640815, 2.640815, 2.640812, 2.640812, 2.640812"          );        }      }    }  }  cell (XOR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.011125237855721299;      fall_capacitance: 0.013458368537199627;      capacitance: 0.012291803196460463;    }    pin (A) {      direction: input;      rise_capacitance: 0.005915560312833218;      fall_capacitance: 0.009103970632490159;      capacitance: 0.007509765472661688;    }    pin (Y) {      direction: output;      function: "!(!B&!A|B&A)";      function: "(!(A & B + !A & !B))";      timing () {        related_pin: "A";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012892, 0.017884, 0.023582, 0.028581, 0.047039",             "0.088020, 0.084498, 0.088176, 0.100267, 0.176997",             "0.164777, 0.158599, 0.158811, 0.165507, 0.272027",             "0.319197, 0.311031, 0.307896, 0.307904, 0.436456",             "1.558585, 1.548160, 1.540528, 1.528710, 1.518515"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016957, 0.021209, 0.026433, 0.031788, 0.049581",             "0.105352, 0.101262, 0.101517, 0.109489, 0.189817",             "0.199116, 0.192599, 0.189067, 0.189128, 0.294604",             "0.387610, 0.379329, 0.372685, 0.364852, 0.472363",             "1.898846, 1.888657, 1.878160, 1.859296, 1.755721"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023649, 0.043194, 0.070971, 0.085067, 0.565950",             "0.130727, 0.130960, 0.133759, 0.151712, 0.602446",             "0.243440, 0.243431, 0.243448, 0.248631, 0.662387",             "0.468772, 0.468795, 0.468785, 0.468785, 0.757475",             "2.271774, 2.271788, 2.271788, 2.271786, 2.282763"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028995, 0.032141, 0.047871, 0.084453, 0.560124",             "0.155738, 0.155044, 0.155572, 0.170946, 0.631684",             "0.286568, 0.286562, 0.286518, 0.289308, 0.710606",             "0.548144, 0.548142, 0.548134, 0.548140, 0.843303",             "2.640828, 2.640826, 2.640826, 2.640827, 2.646192"          );        }      }      timing () {        related_pin: "B";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014892, 0.026246, 0.031838, 0.037204, 0.048590",             "0.091734, 0.095678, 0.100730, 0.112148, 0.182368",             "0.168923, 0.171200, 0.174309, 0.182497, 0.282866",             "0.323721, 0.324777, 0.326200, 0.330900, 0.455028",             "1.563456, 1.563165, 1.562411, 1.561608, 1.609279"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024069, 0.032502, 0.038891, 0.047467, 0.087946",             "0.115473, 0.118528, 0.123164, 0.134818, 0.246531",             "0.209458, 0.210932, 0.213732, 0.222349, 0.363720",             "0.398106, 0.398429, 0.399551, 0.404751, 0.555323",             "1.909486, 1.908601, 1.907591, 1.907104, 1.962711"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025121, 0.046358, 0.037829, 0.058746, 0.265684",             "0.130823, 0.130739, 0.131644, 0.140015, 0.394131",             "0.243421, 0.243395, 0.243335, 0.244847, 0.496071",             "0.468767, 0.468755, 0.468745, 0.468743, 0.634781",             "2.271778, 2.271763, 2.271765, 2.271761, 2.273117"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.031037, 0.031042, 0.038291, 0.059160, 0.254240",             "0.155716, 0.155677, 0.155943, 0.162510, 0.429541",             "0.286530, 0.286528, 0.286510, 0.286927, 0.538348",             "0.548114, 0.548124, 0.548121, 0.548120, 0.703170",             "2.640816, 2.640814, 2.640814, 2.640812, 2.640811"          );        }      }    }  }}
\ No newline at end of file
+library (ls05_stdcells) {  delay_model: table_lookup;  in_place_swap_mode: match_footprint;  time_unit: "1ns";  voltage_unit: "1V";  current_unit: "1uA";  pulling_resistance_unit: "1kohm";  leakage_power_unit: "1nW";  capacitive_load_unit (1.0, pf);  slew_upper_threshold_pct_rise: 80.0;  slew_lower_threshold_pct_rise: 20.0;  slew_upper_threshold_pct_fall: 80.0;  slew_lower_threshold_pct_fall: 20.0;  input_threshold_pct_rise: 50.0;  input_threshold_pct_fall: 50.0;  output_threshold_pct_rise: 50.0;  output_threshold_pct_fall: 50.0;  nom_process: 1.0;  nom_voltage: 5.0;  nom_temperature: 25.0;  default_operating_conditions: typical;  operating_conditions (typical) {    process: 1.0;    voltage: 5.0;    temperature: 25.0;  }  lu_table_template (delay_template_5x1) {    variable_1: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (delay_template_5x5) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (delay_template_5x6) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (delay_template_6x1) {    variable_1: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (delay_template_6x6) {    variable_1: total_output_net_capacitance;    variable_2: input_net_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (energy_template_5x5) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  power_lut_template (energy_template_5x6) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (energy_template_6x6) {    variable_1: total_output_net_capacitance;    variable_2: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (hold_template_3x5) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (hold_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  power_lut_template (passive_energy_template_5x1) {    variable_1: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  power_lut_template (passive_energy_template_6x1) {    variable_1: input_transition_time;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (recovery_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (recovery_template_6x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (removal_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  lu_table_template (setup_template_3x5) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0"    );  }  lu_table_template (setup_template_3x6) {    variable_1: related_pin_transition;    variable_2: constrained_pin_transition;    index_1 (      "1000.0, 1001.0, 1002.0"    );    index_2 (      "1000.0, 1001.0, 1002.0, 1003.0, 1004.0, 1005.0"    );  }  cell (DFFSR) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 23821056.0;    cell_leakage_power: 0.1173;    ff (DS0000, P0000) {      next_state: "D";      clocked_on: "(!CLK)";    }    pin (S) {      direction: input;    }    pin (R) {      direction: input;    }    pin (D) {      direction: input;    }    pin (CLK) {      direction: input;    }    pin (Q) {      direction: output;      function: "(!S&R&!D&!CLK|!S&R&D&!CLK|!S&R&D&CLK|!S&R&!D&CLK)";    }  }  cell (AND2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004935475891367477;      fall_capacitance: 0.007858406695085389;      capacitance: 0.006396941293226433;    }    pin (A) {      direction: input;      rise_capacitance: 0.004150891096654475;      fall_capacitance: 0.005776428708787616;      capacitance: 0.004963659902721045;    }    pin (Y) {      direction: output;      function: "(B&A)";      function: "(A & B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003054, 0.030498, 0.039878, 0.053343, 0.188749",             "0.048098, 0.064059, 0.074620, 0.093597, 0.252448",             "0.087865, 0.098559, 0.108152, 0.125156, 0.300811",             "0.172721, 0.177015, 0.184227, 0.196165, 0.394456",             "0.875756, 0.870760, 0.872299, 0.869455, 0.975392"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015977, 0.018737, 0.019304, 0.016191, -0.074696",             "0.056135, 0.056445, 0.057308, 0.057768, -0.014818",             "0.099933, 0.098214, 0.096071, 0.094474, 0.031563",             "0.189088, 0.185938, 0.181261, 0.173387, 0.117548",             "0.905025, 0.900630, 0.893784, 0.878306, 0.755396"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026432, 0.038218, 0.045398, 0.077143, 0.593792",             "0.080791, 0.094490, 0.092269, 0.111832, 0.588352",             "0.147163, 0.151582, 0.153943, 0.167618, 0.594475",             "0.287657, 0.288087, 0.288559, 0.294676, 0.639075",             "1.420873, 1.420869, 1.420872, 1.420876, 1.523193"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017097, 0.032947, 0.054213, 0.094807, 0.609971",             "0.091168, 0.096623, 0.108594, 0.140442, 0.624323",             "0.176540, 0.177904, 0.183856, 0.205552, 0.674111",             "0.348626, 0.348716, 0.349898, 0.359158, 0.778703",             "1.726993, 1.726969, 1.726997, 1.726970, 1.840952"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002948, 0.031842, 0.043871, 0.058431, 0.170628",             "0.046736, 0.065667, 0.079697, 0.098261, 0.233471",             "0.087052, 0.099648, 0.114524, 0.132001, 0.286132",             "0.172376, 0.177352, 0.192051, 0.206645, 0.390972",             "0.875894, 0.869785, 0.881810, 0.887018, 1.014535"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018316, 0.021069, 0.023194, 0.023536, -0.031337",             "0.058079, 0.058865, 0.060249, 0.060930, 0.021381",             "0.101524, 0.100223, 0.098824, 0.096634, 0.056583",             "0.190472, 0.187661, 0.183754, 0.176080, 0.122194",             "0.906272, 0.902147, 0.895946, 0.881308, 0.736220"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023782, 0.069151, 0.034982, 0.054052, 0.449913",             "0.080000, 0.118312, 0.085490, 0.095978, 0.447397",             "0.146991, 0.152360, 0.150326, 0.156871, 0.457664",             "0.287629, 0.288180, 0.288056, 0.290376, 0.519847",             "1.420875, 1.420869, 1.420872, 1.420868, 1.491447"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020252, 0.035538, 0.056873, 0.095129, 0.521070",             "0.091909, 0.097885, 0.110175, 0.140770, 0.556828",             "0.176533, 0.178340, 0.184385, 0.205074, 0.605254",             "0.348727, 0.348732, 0.349910, 0.357567, 0.705589",             "1.727017, 1.726977, 1.726979, 1.727007, 1.795626"          );        }      }    }  }  cell (AND2X2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004935475891367477;      fall_capacitance: 0.007858406695085389;      capacitance: 0.006396941293226433;    }    pin (A) {      direction: input;      rise_capacitance: 0.004150891096654475;      fall_capacitance: 0.005776428708787616;      capacitance: 0.004963659902721045;    }    pin (Y) {      direction: output;      function: "(B&A)";      function: "(A & B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003054, 0.030498, 0.039878, 0.053343, 0.188749",             "0.048098, 0.064059, 0.074620, 0.093597, 0.252448",             "0.087865, 0.098559, 0.108152, 0.125156, 0.300811",             "0.172721, 0.177015, 0.184227, 0.196165, 0.394456",             "0.875756, 0.870760, 0.872299, 0.869455, 0.975392"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015977, 0.018737, 0.019304, 0.016191, -0.074696",             "0.056135, 0.056445, 0.057308, 0.057768, -0.014818",             "0.099933, 0.098214, 0.096071, 0.094474, 0.031563",             "0.189088, 0.185938, 0.181261, 0.173387, 0.117548",             "0.905025, 0.900630, 0.893784, 0.878306, 0.755396"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026432, 0.038218, 0.045398, 0.077143, 0.593792",             "0.080791, 0.094490, 0.092269, 0.111832, 0.588352",             "0.147163, 0.151582, 0.153943, 0.167618, 0.594475",             "0.287657, 0.288087, 0.288559, 0.294676, 0.639075",             "1.420873, 1.420869, 1.420872, 1.420876, 1.523193"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017097, 0.032947, 0.054213, 0.094807, 0.609971",             "0.091168, 0.096623, 0.108594, 0.140442, 0.624323",             "0.176540, 0.177904, 0.183856, 0.205552, 0.674111",             "0.348626, 0.348716, 0.349898, 0.359158, 0.778703",             "1.726993, 1.726969, 1.726997, 1.726970, 1.840952"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002948, 0.031842, 0.043871, 0.058431, 0.170628",             "0.046736, 0.065667, 0.079697, 0.098261, 0.233471",             "0.087052, 0.099648, 0.114524, 0.132001, 0.286132",             "0.172376, 0.177352, 0.192051, 0.206645, 0.390972",             "0.875894, 0.869785, 0.881810, 0.887018, 1.014535"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018316, 0.021069, 0.023194, 0.023536, -0.031337",             "0.058079, 0.058865, 0.060249, 0.060930, 0.021381",             "0.101524, 0.100223, 0.098824, 0.096634, 0.056583",             "0.190472, 0.187661, 0.183754, 0.176080, 0.122194",             "0.906272, 0.902147, 0.895946, 0.881308, 0.736220"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023782, 0.069151, 0.034982, 0.054052, 0.449913",             "0.080000, 0.118312, 0.085490, 0.095978, 0.447397",             "0.146991, 0.152360, 0.150326, 0.156871, 0.457664",             "0.287629, 0.288180, 0.288056, 0.290376, 0.519847",             "1.420875, 1.420869, 1.420872, 1.420868, 1.491447"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020252, 0.035538, 0.056873, 0.095129, 0.521070",             "0.091909, 0.097885, 0.110175, 0.140770, 0.556828",             "0.176533, 0.178340, 0.184385, 0.205074, 0.605254",             "0.348727, 0.348732, 0.349910, 0.357567, 0.705589",             "1.727017, 1.726977, 1.726979, 1.727007, 1.795626"          );        }      }    }  }  cell (AOI21X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;      rise_capacitance: 0.006296176741869404;      fall_capacitance: 0.006101450811807844;      capacitance: 0.006198813776838624;    }    pin (B) {      direction: input;      rise_capacitance: 0.004150897383251567;      fall_capacitance: 0.005762494885719812;      capacitance: 0.00495669613448569;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935476111367993;      fall_capacitance: 0.007850019508283936;      capacitance: 0.006392747809825965;    }    pin (Y) {      direction: output;      function: "(!C&!B&!A|!C&B&!A|!C&!B&A)";      function: "((A & !B & !C + B & !A & !C + !A & !B & !C))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018081, 0.020447, 0.022456, 0.023296, -0.023076",             "0.095512, 0.095564, 0.097577, 0.105665, 0.139556",             "0.173079, 0.172613, 0.173245, 0.177966, 0.251687",             "0.328092, 0.327325, 0.326934, 0.328569, 0.431362",             "1.568078, 1.567023, 1.565399, 1.562764, 1.593146"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011083, 0.027112, 0.034806, 0.046174, 0.138537",             "0.106126, 0.110980, 0.117817, 0.132662, 0.298423",             "0.200686, 0.203305, 0.207787, 0.219052, 0.408583",             "0.389684, 0.390741, 0.393128, 0.400447, 0.586557",             "1.901409, 1.900881, 1.900582, 1.901419, 1.980303"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017400, 0.024998, 0.038610, 0.061076, 0.316134",             "0.127638, 0.127551, 0.128643, 0.138963, 0.448630",             "0.240290, 0.240260, 0.240283, 0.242298, 0.547010",             "0.465607, 0.465635, 0.465599, 0.465632, 0.673379",             "2.268621, 2.268618, 2.268620, 2.268621, 2.271276"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029014, 0.032057, 0.039965, 0.063854, 0.320917",             "0.155270, 0.155388, 0.155812, 0.163213, 0.464067",             "0.286240, 0.286177, 0.286189, 0.286907, 0.549050",             "0.547857, 0.547835, 0.547859, 0.547862, 0.703410",             "2.640589, 2.640589, 2.640586, 2.640585, 2.640586"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015335, 0.016945, 0.017387, 0.015057, -0.070130",             "0.093269, 0.093163, 0.094810, 0.101980, 0.105211",             "0.170827, 0.170332, 0.170780, 0.174845, 0.222749",             "0.325869, 0.325151, 0.324630, 0.325908, 0.409344",             "1.565863, 1.564927, 1.563288, 1.560589, 1.585799"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013287, 0.024590, 0.032135, 0.044683, 0.169986",             "0.106631, 0.105383, 0.107181, 0.118863, 0.301183",             "0.201084, 0.196904, 0.194332, 0.196987, 0.392495",             "0.390038, 0.383879, 0.377817, 0.371491, 0.543659",             "1.901742, 1.893615, 1.883483, 1.864976, 1.785407"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013635, 0.021335, 0.036352, 0.066782, 0.514064",             "0.122731, 0.122740, 0.123665, 0.133972, 0.500371",             "0.235316, 0.235334, 0.235297, 0.237378, 0.556421",             "0.460654, 0.460640, 0.460666, 0.460646, 0.664867",             "2.263652, 2.263652, 2.263651, 2.263650, 2.266535"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029990, 0.038199, 0.056237, 0.095398, 0.544902",             "0.155321, 0.155296, 0.158206, 0.179362, 0.704360",             "0.286222, 0.286226, 0.286240, 0.291364, 0.780438",             "0.547837, 0.547857, 0.547852, 0.547862, 0.901566",             "2.640589, 2.640588, 2.640588, 2.640589, 2.647331"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012884, 0.013824, 0.016369, 0.019083, 0.025408",             "0.085533, 0.079788, 0.082111, 0.094024, 0.172908",             "0.157410, 0.149628, 0.148170, 0.154670, 0.271254",             "0.300946, 0.291744, 0.286795, 0.285855, 0.427654",             "1.448498, 1.437802, 1.428280, 1.413900, 1.417542"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005708, 0.008623, 0.010235, 0.012288, 0.017616",             "0.047043, 0.047780, 0.052874, 0.064024, 0.113032",             "0.091536, 0.089929, 0.090900, 0.100815, 0.179742",             "0.181015, 0.178070, 0.175614, 0.176901, 0.288000",             "0.897237, 0.893242, 0.888241, 0.878918, 0.902547"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012755, 0.024831, 0.044968, 0.093110, 0.730524",             "0.115219, 0.115342, 0.119381, 0.140592, 0.704120",             "0.219879, 0.219898, 0.220189, 0.229051, 0.695466",             "0.429271, 0.429272, 0.429268, 0.429957, 0.760003",             "2.104581, 2.104581, 2.104580, 2.104582, 2.138119"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013144, 0.039574, 0.064113, 0.105179, 0.740810",             "0.092532, 0.098951, 0.115649, 0.159781, 0.811024",             "0.178514, 0.180443, 0.190086, 0.223562, 0.880935",             "0.350931, 0.351086, 0.353728, 0.372580, 0.983884",             "1.729228, 1.729222, 1.729222, 1.729215, 1.983340"          );        }      }    }  }  cell (AOI22X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1097280.0;    cell_leakage_power: 0.1173;    pin (D) {      direction: input;      rise_capacitance: 0.004150836637055694;      fall_capacitance: 4.293461827055451e-05;      capacitance: 0.0020968856276631243;    }    pin (C) {      direction: input;      rise_capacitance: 0.004935392181405116;      fall_capacitance: 0.004717183954380674;      capacitance: 0.004826288067892895;    }    pin (B) {      direction: input;      rise_capacitance: 0.004150898468773608;      fall_capacitance: 0.005752203124409789;      capacitance: 0.004951550796591699;    }    pin (A) {      direction: input;      rise_capacitance: 0.00493547941452175;      fall_capacitance: 0.007832228421315403;      capacitance: 0.006383853917918576;    }    pin (Y) {      direction: output;      function: "!(D&C&!B&!A|D&C&B&!A|!D&!C&B&A|D&!C&B&A|D&C&B&A|!D&C&B&A|D&C&!B&A)";      function: "(!(A & B & C & D + A & B & C & !D + A & B & D & !C + A & C & D & !B + B & C & D & !A + A & B & !C & !D + C & D & !A & !B))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022453, 0.024534, 0.027322, 0.029301, -0.013605",             "0.094099, 0.094146, 0.096422, 0.104923, 0.133468",             "0.165999, 0.165576, 0.166553, 0.171933, 0.239819",             "0.309709, 0.308987, 0.308926, 0.311303, 0.412228",             "1.459135, 1.458114, 1.456788, 1.454769, 1.495149"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.010762, 0.031215, 0.042613, 0.056720, 0.163173",             "0.106795, 0.114659, 0.123175, 0.139761, 0.315494",             "0.201577, 0.206563, 0.212378, 0.225460, 0.423829",             "0.390704, 0.393586, 0.396927, 0.405778, 0.599884",             "1.902537, 1.903178, 1.903203, 1.904622, 1.991405"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023524, 0.029914, 0.043867, 0.071865, 0.348115",             "0.124790, 0.124832, 0.126119, 0.138292, 0.474155",             "0.228743, 0.228744, 0.228751, 0.231812, 0.561135",             "0.436738, 0.436734, 0.436738, 0.436740, 0.675654",             "2.100895, 2.100896, 2.100897, 2.100896, 2.108562"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.034663, 0.063510, 0.047967, 0.068994, 0.335936",             "0.166389, 0.166412, 0.166844, 0.173304, 0.460327",             "0.297251, 0.297251, 0.297255, 0.297868, 0.539773",             "0.558920, 0.558909, 0.558922, 0.558921, 0.702122",             "2.651676, 2.651678, 2.651676, 2.651675, 2.651676"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019651, 0.021446, 0.022931, 0.021898, -0.058654",             "0.091977, 0.091918, 0.093938, 0.101438, 0.098787",             "0.163917, 0.163487, 0.164267, 0.169031, 0.210590",             "0.307654, 0.306986, 0.306812, 0.308826, 0.390145",             "1.457089, 1.456195, 1.454848, 1.452765, 1.487992"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013313, 0.029492, 0.038920, 0.053701, 0.189828",             "0.107559, 0.109470, 0.112797, 0.125324, 0.314605",             "0.202143, 0.200386, 0.199276, 0.203456, 0.404946",             "0.391163, 0.386838, 0.381869, 0.377141, 0.555274",             "1.902923, 1.895945, 1.886158, 1.868337, 1.797664"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019077, 0.026325, 0.041095, 0.072960, 0.515154",             "0.120223, 0.120250, 0.121709, 0.133832, 0.515903",             "0.224169, 0.224172, 0.224205, 0.227354, 0.569826",             "0.432139, 0.432141, 0.432149, 0.432153, 0.667848",             "2.096306, 2.096306, 2.096306, 2.096307, 2.104382"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.036269, 0.063193, 0.062392, 0.098967, 0.551553",             "0.166373, 0.166389, 0.169112, 0.187994, 0.688610",             "0.297276, 0.297267, 0.297275, 0.302013, 0.760566",             "0.558916, 0.558919, 0.558917, 0.558918, 0.890221",             "2.651678, 2.651678, 2.651674, 2.651677, 2.657773"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020200, 0.018794, 0.020910, 0.023798, 0.017569",             "0.092119, 0.084321, 0.084972, 0.095024, 0.157181",             "0.163930, 0.154431, 0.151294, 0.155481, 0.252498",             "0.307422, 0.296784, 0.290296, 0.286804, 0.407284",             "1.454937, 1.443187, 1.432682, 1.416494, 1.394586"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.010498, 0.021541, 0.028604, 0.036531, 0.082739",             "0.101264, 0.104773, 0.111902, 0.126711, 0.261658",             "0.195526, 0.196677, 0.201528, 0.213170, 0.380207",             "0.384366, 0.383767, 0.386473, 0.394329, 0.568488",             "1.895957, 1.893533, 1.893309, 1.894383, 1.975065"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020633, 0.030682, 0.050793, 0.085135, 0.465687",             "0.123409, 0.123499, 0.127912, 0.152623, 0.625796",             "0.228067, 0.228069, 0.228437, 0.238660, 0.715340",             "0.437443, 0.437449, 0.437446, 0.438286, 0.825117",             "2.112769, 2.112769, 2.112771, 2.112769, 2.154781"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021627, 0.033850, 0.033202, 0.057903, 0.432542",             "0.146054, 0.146118, 0.146677, 0.153711, 0.456404",             "0.276800, 0.276784, 0.276786, 0.277558, 0.525056",             "0.538347, 0.538333, 0.538346, 0.538344, 0.682865",             "2.630986, 2.630987, 2.630989, 2.630987, 2.630987"          );        }      }      timing () {        related_pin: "D";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016935, 0.015243, 0.015695, 0.014740, -0.033455",             "0.089882, 0.081949, 0.082234, 0.090924, 0.115190",             "0.161756, 0.152189, 0.148795, 0.152107, 0.216277",             "0.305276, 0.294641, 0.288008, 0.283956, 0.379732",             "1.452819, 1.441160, 1.430652, 1.414354, 1.384946"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011131, 0.019163, 0.024855, 0.033370, 0.110590",             "0.101703, 0.099111, 0.101250, 0.112517, 0.253820",             "0.195929, 0.190278, 0.188100, 0.190853, 0.353274",             "0.384754, 0.376936, 0.371227, 0.365324, 0.516649",             "1.896340, 1.886290, 1.876220, 1.858031, 1.777651"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016198, 0.026696, 0.047157, 0.087412, 0.629442",             "0.118843, 0.118871, 0.123371, 0.147773, 0.655892",             "0.223461, 0.223424, 0.223842, 0.234191, 0.716081",             "0.432839, 0.432831, 0.432841, 0.433715, 0.813736",             "2.108153, 2.108150, 2.108150, 2.108152, 2.151140"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019318, 0.037477, 0.046391, 0.085342, 0.625357",             "0.146062, 0.146061, 0.148782, 0.168097, 0.675212",             "0.276795, 0.276788, 0.276812, 0.281783, 0.738328",             "0.538338, 0.538332, 0.538332, 0.538345, 0.865002",             "2.630987, 2.630990, 2.630986, 2.630986, 2.638001"          );        }      }    }  }  cell (BUFX2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006189356217773286;      fall_capacitance: 0.008913012740210141;      capacitance: 0.007551184478991714;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003161, 0.018284, 0.022862, 0.029597, 0.082449",             "0.045168, 0.050118, 0.057399, 0.071712, 0.153721",             "0.086116, 0.085817, 0.089463, 0.102695, 0.199215",             "0.172383, 0.166439, 0.164531, 0.169815, 0.284515",             "0.876891, 0.864252, 0.852323, 0.833869, 0.853010"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014482, 0.018379, 0.020768, 0.022068, -0.009140",             "0.054683, 0.055959, 0.059876, 0.069706, 0.071632",             "0.098664, 0.097948, 0.098411, 0.105829, 0.136458",             "0.187943, 0.185797, 0.183424, 0.183072, 0.249186",             "0.903989, 0.900540, 0.895789, 0.885878, 0.887209"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021141, 0.053490, 0.059672, 0.113073, 0.840389",             "0.077202, 0.091911, 0.106094, 0.141454, 0.832516",             "0.145621, 0.149491, 0.160179, 0.190218, 0.830936",             "0.287250, 0.287270, 0.289142, 0.305563, 0.867895",             "1.420515, 1.420519, 1.420516, 1.420515, 1.614647"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015821, 0.031534, 0.054604, 0.109725, 0.838134",             "0.090618, 0.095897, 0.108850, 0.147026, 0.841931",             "0.176061, 0.177617, 0.184255, 0.210648, 0.851578",             "0.348673, 0.348740, 0.350198, 0.363180, 0.905512",             "1.726970, 1.726967, 1.726971, 1.726970, 1.912266"          );        }      }    }  }  cell (BUFX4) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006190154742284263;      fall_capacitance: 0.008890580111169952;      capacitance: 0.007540367426727108;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003332, 0.021756, 0.028208, 0.035969, 0.093993",             "0.026181, 0.039174, 0.048485, 0.060878, 0.137999",             "0.045221, 0.053837, 0.062262, 0.078031, 0.166608",             "0.086091, 0.087685, 0.092767, 0.107223, 0.211923",             "0.435684, 0.421572, 0.413289, 0.404913, 0.530577"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019917, 0.023712, 0.027202, 0.030685, 0.008146",             "0.039940, 0.042849, 0.048226, 0.055685, 0.049883",             "0.059858, 0.061386, 0.065377, 0.075941, 0.084686",             "0.102963, 0.102628, 0.103617, 0.111160, 0.147406",             "0.459869, 0.457168, 0.453471, 0.446561, 0.530833"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022521, 0.061092, 0.098545, 0.118668, 0.841214",             "0.047803, 0.081523, 0.116519, 0.130463, 0.837645",             "0.078588, 0.106772, 0.139897, 0.152839, 0.833203",             "0.146398, 0.156268, 0.169785, 0.200500, 0.833117",             "0.712599, 0.712588, 0.712577, 0.712845, 1.089880"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022169, 0.034922, 0.057456, 0.109434, 0.837145",             "0.053315, 0.062209, 0.079539, 0.123803, 0.839403",             "0.093114, 0.098044, 0.110960, 0.148338, 0.840752",             "0.177240, 0.178652, 0.185453, 0.211899, 0.851340",             "0.865991, 0.865992, 0.865993, 0.866799, 1.209225"          );        }      }    }  }  cell (CLKBUF1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1975104.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.012377692547513634;      fall_capacitance: 0.014507744176093367;      capacitance: 0.0134427183618035;    }    pin (Y) {      direction: output;      function: "!(!A)";      function: "(A)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.003460, 0.039905, 0.046929, 0.057149, 0.129195",             "0.039219, 0.054860, 0.064114, 0.078186, 0.157207",             "0.055285, 0.068102, 0.077098, 0.093315, 0.177192",             "0.092471, 0.100229, 0.107093, 0.121807, 0.216231",             "0.435605, 0.429264, 0.424902, 0.423004, 0.538823"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.032185, 0.040159, 0.044451, 0.047986, 0.023388",             "0.050450, 0.056824, 0.062828, 0.071275, 0.053156",             "0.068299, 0.073963, 0.079184, 0.090175, 0.079028",             "0.109143, 0.112555, 0.116061, 0.124195, 0.130603",             "0.463189, 0.462100, 0.460697, 0.458229, 0.520719"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.038133, 0.073853, 0.108060, 0.106379, 0.742329",             "0.060385, 0.095859, 0.130135, 0.118818, 0.738152",             "0.087750, 0.120648, 0.154819, 0.142636, 0.732318",             "0.148631, 0.165728, 0.177042, 0.190178, 0.740928",             "0.712222, 0.712217, 0.712215, 0.712236, 0.974750"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.042002, 0.068064, 0.055378, 0.096450, 0.738499",             "0.070257, 0.097122, 0.081847, 0.113407, 0.740126",             "0.100976, 0.109877, 0.115079, 0.140310, 0.740118",             "0.178532, 0.181261, 0.187084, 0.204804, 0.747643",             "0.865667, 0.865654, 0.865705, 0.865803, 1.087674"          );        }      }    }  }  cell (INV) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 438912.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.006188556324394764;      fall_capacitance: 0.008930247677472391;      capacitance: 0.007559402000933578;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005045, 0.004409, 0.003488, -0.000307, -0.065884",             "0.045060, 0.042762, 0.047513, 0.054699, 0.040967",             "0.088722, 0.082033, 0.082140, 0.091178, 0.111791",             "0.176767, 0.166715, 0.160456, 0.160380, 0.224564",             "0.882682, 0.869493, 0.854103, 0.828028, 0.824714"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005563, 0.008580, 0.012595, 0.019184, 0.090037",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.180850, 0.178100, 0.176154, 0.179192, 0.343968",             "0.897064, 0.893202, 0.888379, 0.879811, 0.928891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008037, 0.029159, 0.057520, 0.115796, 0.870209",             "0.074565, 0.079799, 0.096343, 0.138761, 0.864852",             "0.145329, 0.146192, 0.155787, 0.188759, 0.863750",             "0.286862, 0.286915, 0.288453, 0.307678, 0.903487",             "1.420162, 1.420160, 1.420161, 1.420161, 1.674200"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008767, 0.030412, 0.056701, 0.115566, 0.870158",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.348403, 0.348491, 0.350746, 0.368988, 0.971736",             "1.726613, 1.726603, 1.726598, 1.726608, 1.975691"          );        }      }    }  }  cell (INVX4) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.012376891490235522;      fall_capacitance: 0.014525173100391225;      capacitance: 0.013451032295313373;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.004836, 0.003911, 0.003113, -0.000947, -0.066781",             "0.023782, 0.025438, 0.029154, 0.032024, -0.003570",             "0.045059, 0.042825, 0.047513, 0.054773, 0.040967",             "0.088711, 0.082075, 0.082140, 0.091166, 0.111791",             "0.441430, 0.429084, 0.416141, 0.399464, 0.483388"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005423, 0.008343, 0.012279, 0.018588, 0.089288",             "0.025056, 0.028778, 0.035903, 0.047954, 0.142358",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.091353, 0.089965, 0.091587, 0.103620, 0.243501",             "0.449471, 0.445891, 0.441675, 0.435947, 0.579373"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007775, 0.029000, 0.057625, 0.115801, 0.870234",             "0.039447, 0.049978, 0.071107, 0.121251, 0.867453",             "0.074397, 0.079871, 0.096343, 0.138754, 0.864852",             "0.145174, 0.146264, 0.155787, 0.188826, 0.863750",             "0.711874, 0.711865, 0.711868, 0.712356, 1.131446"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008278, 0.030236, 0.056581, 0.115515, 0.870125",             "0.047212, 0.057977, 0.079852, 0.130476, 0.873241",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.175658, 0.177628, 0.186680, 0.219666, 0.900539",             "0.865308, 0.865247, 0.865250, 0.866773, 1.291412"          );        }      }    }  }  cell (INVX8) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1097280.0;    cell_leakage_power: 0.1173;    pin (A) {      direction: input;      rise_capacitance: 0.02475357794277478;      fall_capacitance: 0.025715030488090873;      capacitance: 0.025234304215432823;    }    pin (Y) {      direction: output;      function: "!(A)";      function: "(!A)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.004711, 0.003669, 0.002920, -0.001273, -0.067235",             "0.013476, 0.016314, 0.018100, 0.018126, -0.031065",             "0.023686, 0.025560, 0.029154, 0.032104, -0.003570",             "0.045002, 0.042834, 0.047470, 0.054715, 0.040967",             "0.220836, 0.210041, 0.201832, 0.197811, 0.273718"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005356, 0.008225, 0.012119, 0.018287, 0.088886",             "0.014445, 0.019534, 0.025707, 0.035382, 0.118985",             "0.025056, 0.028778, 0.035903, 0.047954, 0.142358",             "0.046837, 0.047773, 0.053764, 0.068471, 0.181014",             "0.225616, 0.222601, 0.219861, 0.220213, 0.388011"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007647, 0.028925, 0.057669, 0.115805, 0.870247",             "0.022139, 0.037257, 0.061628, 0.115441, 0.868805",             "0.039229, 0.050143, 0.071107, 0.121043, 0.867453",             "0.074441, 0.080027, 0.096405, 0.138670, 0.864852",             "0.357732, 0.357697, 0.358023, 0.371638, 0.931800"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008160, 0.030148, 0.056531, 0.115506, 0.870109",             "0.026793, 0.041830, 0.067077, 0.120590, 0.871621",             "0.047212, 0.057977, 0.079852, 0.130476, 0.873241",             "0.089918, 0.095810, 0.111953, 0.155870, 0.877226",             "0.434491, 0.434491, 0.435448, 0.448752, 1.014657"          );        }      }    }  }  cell (MUX2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1316736.0;    cell_leakage_power: 0.1173;    pin (S) {      direction: input;      rise_capacitance: 0.010338610799344835;      fall_capacitance: 0.008212780453515003;      capacitance: 0.009275695626429919;    }    pin (B) {      direction: input;      rise_capacitance: 0.006397490498320098;      fall_capacitance: 0.008895353965965845;      capacitance: 0.007646422232142972;    }    pin (A) {      direction: input;      rise_capacitance: 0.004937389414015676;      fall_capacitance: 0.007864001795670731;      capacitance: 0.006400695604843204;    }    pin (Y) {      direction: output;      function: "!(!S&B&!A|!S&B&A|S&B&A|S&!B&A)";      function: "(!(A & B & S + A & B & !S + A & S & !B + B & !A & !S))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019428, 0.021747, 0.023821, 0.024885, -0.020070",             "0.096776, 0.096705, 0.098699, 0.106638, 0.141139",             "0.174325, 0.173758, 0.174373, 0.179031, 0.252974",             "0.329331, 0.328462, 0.328043, 0.329638, 0.432416",             "1.569307, 1.568155, 1.566435, 1.563733, 1.594086"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015958, 0.027807, 0.035343, 0.047135, 0.140744",             "0.109318, 0.113175, 0.119175, 0.133278, 0.299017",             "0.203682, 0.205651, 0.209577, 0.220207, 0.408863",             "0.392584, 0.393181, 0.395219, 0.402088, 0.586689",             "1.904228, 1.903421, 1.903075, 1.903731, 1.981518"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020411, 0.026763, 0.039961, 0.063425, 0.317670",             "0.130948, 0.130915, 0.131768, 0.141947, 0.451197",             "0.243567, 0.243583, 0.243517, 0.245528, 0.549507",             "0.468902, 0.468912, 0.468912, 0.468919, 0.675777",             "2.271917, 2.271914, 2.271917, 2.271916, 2.274560"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.034547, 0.032700, 0.040748, 0.064546, 0.323227",             "0.155499, 0.155537, 0.155799, 0.162945, 0.464800",             "0.286354, 0.286330, 0.286313, 0.286918, 0.548668",             "0.547917, 0.547919, 0.547900, 0.547926, 0.702513",             "2.640604, 2.640603, 2.640602, 2.640603, 2.640599"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012479, 0.020519, 0.023592, 0.024838, -0.020037",             "0.088439, 0.091403, 0.095223, 0.104973, 0.140988",             "0.165879, 0.167485, 0.169565, 0.175932, 0.252738",             "0.320839, 0.321506, 0.322081, 0.325051, 0.431811",             "1.560766, 1.560490, 1.559111, 1.556910, 1.590657"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023985, 0.029348, 0.035865, 0.047430, 0.140831",             "0.115535, 0.117784, 0.122066, 0.134594, 0.299174",             "0.209718, 0.210748, 0.213291, 0.222435, 0.409049",             "0.398509, 0.398634, 0.399621, 0.405195, 0.587049",             "1.910075, 1.909263, 1.908276, 1.908150, 1.983766"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021856, 0.028909, 0.040295, 0.063869, 0.317858",             "0.130661, 0.130662, 0.132045, 0.142857, 0.451367",             "0.243245, 0.243252, 0.243275, 0.245652, 0.549740",             "0.468595, 0.468585, 0.468603, 0.468582, 0.676414",             "2.271590, 2.271590, 2.271589, 2.271591, 2.274367"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026595, 0.030491, 0.040269, 0.064145, 0.322768",             "0.155850, 0.155839, 0.155924, 0.162605, 0.464668",             "0.286633, 0.286644, 0.286657, 0.287110, 0.548624",             "0.548248, 0.548238, 0.548248, 0.548232, 0.702345",             "2.641009, 2.640987, 2.640985, 2.640985, 2.640985"          );        }      }      timing () {        related_pin: "S";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015477, 0.019632, 0.023785, 0.028539, 0.047003",             "0.089791, 0.086977, 0.089938, 0.101079, 0.177000",             "0.166627, 0.161655, 0.161408, 0.167180, 0.272033",             "0.321166, 0.314571, 0.311301, 0.310607, 0.436604",             "1.560695, 1.552272, 1.545023, 1.533295, 1.520465"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.009379, 0.017143, 0.022831, 0.029415, 0.049506",             "0.098224, 0.096461, 0.098086, 0.107381, 0.189678",             "0.191790, 0.187334, 0.185018, 0.186251, 0.294384",             "0.380119, 0.373656, 0.367998, 0.361115, 0.471759",             "1.891175, 1.882501, 1.872694, 1.854265, 1.753057"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025135, 0.032734, 0.048051, 0.084704, 0.566326",             "0.130733, 0.130708, 0.132826, 0.150233, 0.602446",             "0.243422, 0.243393, 0.243371, 0.247979, 0.662237",             "0.468752, 0.468761, 0.468758, 0.468739, 0.756824",             "2.271751, 2.271754, 2.271754, 2.271752, 2.282476"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022469, 0.035382, 0.052960, 0.089711, 0.571861",             "0.155713, 0.155428, 0.156540, 0.173483, 0.639442",             "0.286482, 0.286497, 0.286481, 0.290082, 0.712770",             "0.548075, 0.548076, 0.548073, 0.548087, 0.844708",             "2.640769, 2.640767, 2.640766, 2.640768, 2.646447"          );        }      }    }  }  cell (NAND2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.004150889506161318;      fall_capacitance: 0.0057806089303857715;      capacitance: 0.004965749218273544;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935475394936947;      fall_capacitance: 0.007870695939137575;      capacitance: 0.0064030856670372616;    }    pin (Y) {      direction: output;      function: "!(B&A)";      function: "(!A & B)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.007196, 0.007595, 0.006535, 0.002335, -0.081701",             "0.048131, 0.045109, 0.049226, 0.055554, 0.023149",             "0.091843, 0.084555, 0.083952, 0.092035, 0.093853",             "0.179911, 0.169368, 0.162474, 0.161292, 0.207503",             "0.885821, 0.872458, 0.856675, 0.829540, 0.814241"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015633, 0.021476, 0.028398, 0.039896, 0.146774",             "0.107818, 0.110541, 0.116624, 0.131991, 0.322868",             "0.202142, 0.203338, 0.207611, 0.219924, 0.436789",             "0.391020, 0.391118, 0.393477, 0.402003, 0.616285",             "1.902651, 1.901546, 1.901261, 1.902664, 2.012825"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012945, 0.032109, 0.051696, 0.088446, 0.524049",             "0.079676, 0.085046, 0.101926, 0.145349, 0.674950",             "0.150526, 0.151440, 0.161506, 0.195645, 0.761149",             "0.292156, 0.292210, 0.293910, 0.314078, 0.887525",             "1.425456, 1.425453, 1.425463, 1.425463, 1.693531"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013964, 0.019082, 0.028092, 0.057082, 0.488461",             "0.142119, 0.142058, 0.142068, 0.146714, 0.440570",             "0.272785, 0.272844, 0.272830, 0.273049, 0.465710",             "0.534379, 0.534395, 0.534370, 0.534368, 0.637728",             "2.627044, 2.627042, 2.627042, 2.627043, 2.627043"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005849, 0.004862, 0.001953, -0.005765, -0.126919",             "0.046431, 0.043395, 0.046993, 0.051015, -0.016988",             "0.090143, 0.082837, 0.081976, 0.088941, 0.057037",             "0.178220, 0.167700, 0.160608, 0.158752, 0.176347",             "0.884156, 0.870837, 0.855034, 0.827713, 0.802365"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013792, 0.018689, 0.025506, 0.038065, 0.175360",             "0.107725, 0.103637, 0.104869, 0.117440, 0.315940",             "0.202285, 0.196217, 0.193422, 0.197331, 0.412055",             "0.391293, 0.383864, 0.377831, 0.373134, 0.567831",             "1.903042, 1.894202, 1.884122, 1.866559, 1.824766"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.009695, 0.029176, 0.049614, 0.090169, 0.630637",             "0.076701, 0.082090, 0.098427, 0.140823, 0.690595",             "0.147420, 0.148384, 0.158014, 0.191352, 0.764094",             "0.289054, 0.289045, 0.290759, 0.310455, 0.877521",             "1.422334, 1.422325, 1.422328, 1.422326, 1.682986"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014142, 0.022429, 0.038545, 0.077748, 0.619421",             "0.142113, 0.142115, 0.143140, 0.157535, 0.605736",             "0.272824, 0.272791, 0.272803, 0.275498, 0.636002",             "0.534396, 0.534363, 0.534379, 0.534395, 0.781620",             "2.627039, 2.627044, 2.627041, 2.627039, 2.630177"          );        }      }    }  }  cell (NAND3X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;      rise_capacitance: 0.004149650005143659;      fall_capacitance: 0.005781505198438881;      capacitance: 0.00496557760179127;    }    pin (B) {      direction: input;      rise_capacitance: 0.004830629997798454;      fall_capacitance: 0.0065460190151835455;      capacitance: 0.005688324506490999;    }    pin (A) {      direction: input;      rise_capacitance: 0.0049353598856550955;      fall_capacitance: 0.007870869195042688;      capacitance: 0.006403114540348892;    }    pin (Y) {      direction: output;      function: "!(C&B&A)";      function: "(!A & B & C)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008972, 0.009457, 0.008503, 0.002962, -0.100701",             "0.051333, 0.047529, 0.050994, 0.056259, 0.000383",             "0.095086, 0.087278, 0.086059, 0.093123, 0.071594",             "0.183200, 0.172294, 0.164894, 0.162676, 0.188303",             "0.889127, 0.875625, 0.859595, 0.831781, 0.805945"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.020769, 0.029603, 0.037436, 0.051718, 0.185550",             "0.137563, 0.140846, 0.146094, 0.159442, 0.367674",             "0.257454, 0.258396, 0.261172, 0.270635, 0.491854",             "0.497675, 0.496765, 0.496908, 0.501401, 0.695984",             "2.420799, 2.417810, 2.414180, 2.409069, 2.461911"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017292, 0.035367, 0.056139, 0.094266, 0.548119",             "0.084992, 0.090373, 0.107178, 0.150243, 0.683940",             "0.155780, 0.156840, 0.166974, 0.201316, 0.764246",             "0.297431, 0.297423, 0.299270, 0.320137, 0.888634",             "1.430747, 1.430744, 1.430744, 1.430744, 1.704785"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023922, 0.025750, 0.032068, 0.055931, 0.495161",             "0.188089, 0.188153, 0.188154, 0.189888, 0.453757",             "0.355339, 0.355334, 0.355344, 0.355344, 0.505262",             "0.689777, 0.689789, 0.689794, 0.689787, 0.761388",             "3.365704, 3.365704, 3.365703, 3.365700, 3.365703"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008334, 0.007999, 0.005426, -0.001872, -0.134533",             "0.049592, 0.045886, 0.049021, 0.052517, -0.029801",             "0.093307, 0.085588, 0.084148, 0.090357, 0.043619",             "0.181419, 0.170558, 0.163034, 0.160347, 0.164016",             "0.887312, 0.873890, 0.857871, 0.829951, 0.796345"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023592, 0.029466, 0.038594, 0.055239, 0.219385",             "0.141155, 0.143057, 0.148603, 0.163867, 0.404481",             "0.261171, 0.261449, 0.264802, 0.276119, 0.527468",             "0.501476, 0.500497, 0.501691, 0.508419, 0.732132",             "2.424678, 2.422318, 2.420551, 2.419097, 2.507900"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014708, 0.032973, 0.052387, 0.088064, 0.507153",             "0.081875, 0.087345, 0.103349, 0.145759, 0.652137",             "0.152726, 0.153620, 0.163460, 0.196949, 0.738027",             "0.294293, 0.294378, 0.296116, 0.316174, 0.868979",             "1.427621, 1.427628, 1.427634, 1.427631, 1.694351"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023614, 0.026398, 0.032949, 0.053238, 0.454307",             "0.188147, 0.188117, 0.188099, 0.189875, 0.415502",             "0.355311, 0.355293, 0.355317, 0.355295, 0.485465",             "0.689798, 0.689798, 0.689789, 0.689787, 0.751303",             "3.365699, 3.365700, 3.365702, 3.365700, 3.365698"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.006743, 0.005507, 0.001409, -0.008755, -0.170135",             "0.047762, 0.044106, 0.046936, 0.048789, -0.060209",             "0.091478, 0.083801, 0.082253, 0.087681, 0.016317",             "0.179569, 0.168817, 0.161183, 0.158074, 0.141274",             "0.885505, 0.872150, 0.856133, 0.828109, 0.787687"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.021221, 0.026912, 0.036046, 0.053704, 0.240197",             "0.140988, 0.137908, 0.140081, 0.153521, 0.407328",             "0.261329, 0.256442, 0.255023, 0.261001, 0.520047",             "0.501821, 0.495706, 0.491228, 0.489434, 0.706541",             "2.425190, 2.417843, 2.409583, 2.395522, 2.391247"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011465, 0.029918, 0.048625, 0.083915, 0.522973",             "0.078783, 0.083779, 0.099962, 0.141527, 0.642500",             "0.149540, 0.150478, 0.160020, 0.192902, 0.728158",             "0.291212, 0.291252, 0.292980, 0.312574, 0.856649",             "1.424500, 1.424495, 1.424506, 1.424500, 1.685213"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023566, 0.027391, 0.036715, 0.061844, 0.502475",             "0.188148, 0.188141, 0.188149, 0.192359, 0.487219",             "0.355298, 0.355308, 0.355319, 0.355322, 0.560090",             "0.689793, 0.689798, 0.689798, 0.689793, 0.809696",             "3.365702, 3.365700, 3.365703, 3.365699, 3.365703"          );        }      }    }  }  cell (NOR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 658368.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.006307384837439927;      fall_capacitance: 0.006306324454300684;      capacitance: 0.006306854645870306;    }    pin (A) {      direction: input;      rise_capacitance: 0.006299781364172542;      fall_capacitance: 0.00890124516010798;      capacitance: 0.0076005132621402615;    }    pin (Y) {      direction: output;      function: "(!B&!A)";      function: "(!A & !B)";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012986, 0.016464, 0.018922, 0.020204, -0.010487",             "0.090855, 0.091382, 0.094616, 0.104989, 0.165835",             "0.168403, 0.168290, 0.169983, 0.177071, 0.280870",             "0.323463, 0.322901, 0.323243, 0.327021, 0.459948",             "1.563457, 1.562468, 1.561029, 1.559260, 1.617628"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.008571, 0.012834, 0.016940, 0.022901, 0.073370",             "0.050719, 0.051182, 0.056436, 0.070039, 0.161587",             "0.095157, 0.093369, 0.094430, 0.105159, 0.223878",             "0.184573, 0.181502, 0.179079, 0.180864, 0.324736",             "0.900731, 0.896739, 0.891685, 0.882327, 0.915214"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011911, 0.020251, 0.035631, 0.075894, 0.632098",             "0.122227, 0.122198, 0.122986, 0.131826, 0.572943",             "0.234847, 0.234841, 0.234770, 0.236394, 0.551555",             "0.460142, 0.460167, 0.460168, 0.460147, 0.626352",             "2.263162, 2.263157, 2.263162, 2.263162, 2.264768"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019230, 0.038878, 0.062210, 0.109873, 0.677222",             "0.098886, 0.105335, 0.122576, 0.168308, 0.823551",             "0.184754, 0.186734, 0.196898, 0.231670, 0.903883",             "0.357145, 0.357371, 0.360113, 0.379939, 1.013582",             "1.735540, 1.735518, 1.735519, 1.735512, 2.002056"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011806, 0.014164, 0.016660, 0.020318, 0.038325",             "0.090368, 0.085510, 0.088212, 0.100612, 0.196917",             "0.168063, 0.161173, 0.160440, 0.166991, 0.301135",             "0.323155, 0.314877, 0.310973, 0.310624, 0.465942",             "1.563209, 1.553438, 1.545230, 1.532397, 1.541763"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.005936, 0.007167, 0.008315, 0.008939, 0.000169",             "0.046963, 0.047411, 0.052229, 0.062557, 0.098027",             "0.091434, 0.089675, 0.090367, 0.099822, 0.165924",             "0.180891, 0.177906, 0.175243, 0.176092, 0.276079",             "0.897089, 0.893154, 0.888102, 0.878617, 0.897368"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011999, 0.022468, 0.042650, 0.089129, 0.702921",             "0.122129, 0.122235, 0.124548, 0.142036, 0.672456",             "0.234809, 0.234839, 0.234788, 0.239948, 0.663642",             "0.460173, 0.460149, 0.460147, 0.460142, 0.740712",             "2.263154, 2.263159, 2.263157, 2.263162, 2.274281"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011676, 0.031135, 0.054847, 0.101688, 0.713699",             "0.091257, 0.097211, 0.113947, 0.158466, 0.799171",             "0.177241, 0.178967, 0.188549, 0.222057, 0.874832",             "0.349616, 0.349773, 0.352334, 0.371118, 0.982630",             "1.727951, 1.727928, 1.727929, 1.727925, 1.982101"          );        }      }    }  }  cell (OAI21X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (C) {      direction: input;      rise_capacitance: 0.004203428908088842;      fall_capacitance: 0.005774046546996895;      capacitance: 0.004988737727542869;    }    pin (B) {      direction: input;      rise_capacitance: 0.004935221654376836;      fall_capacitance: 0.004725917975171027;      capacitance: 0.004830569814773931;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935717599872121;      fall_capacitance: 0.007855089253956563;      capacitance: 0.0063954034269143424;    }    pin (Y) {      direction: output;      function: "!(C&B&!A|C&B&A|C&!B&A)";      function: "(!(A & B & C + A & C & !B + B & C & !A))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018803, 0.021286, 0.023372, 0.024350, -0.021448",             "0.096139, 0.096122, 0.098202, 0.106284, 0.140537",             "0.173692, 0.173167, 0.173841, 0.178581, 0.252511",             "0.328700, 0.327878, 0.327492, 0.329140, 0.432054",             "1.568684, 1.567578, 1.565889, 1.563216, 1.593648"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014567, 0.027612, 0.035111, 0.046438, 0.138742",             "0.107786, 0.111806, 0.118058, 0.132521, 0.298154",             "0.202175, 0.204084, 0.208129, 0.219017, 0.408234",             "0.391077, 0.391477, 0.393526, 0.400512, 0.586153",             "1.902728, 1.901585, 1.901088, 1.901617, 1.980151"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019300, 0.026959, 0.040134, 0.062777, 0.317091",             "0.130589, 0.130540, 0.131465, 0.141739, 0.450595",             "0.243235, 0.243236, 0.243192, 0.245219, 0.549172",             "0.468554, 0.468581, 0.468571, 0.468584, 0.676001",             "2.271587, 2.271582, 2.271587, 2.271587, 2.274232"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.031664, 0.030264, 0.038631, 0.062964, 0.321051",             "0.153753, 0.153753, 0.154115, 0.161363, 0.463032",             "0.284526, 0.284494, 0.284513, 0.285176, 0.547396",             "0.546140, 0.546108, 0.546137, 0.546139, 0.701133",             "2.638823, 2.638827, 2.638825, 2.638824, 2.638826"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018084, 0.018977, 0.021732, 0.025405, 0.031435",             "0.095837, 0.089833, 0.091289, 0.101855, 0.181405",             "0.173416, 0.165752, 0.163733, 0.168054, 0.282099",             "0.328448, 0.319616, 0.314657, 0.311922, 0.444977",             "1.568456, 1.558467, 1.549855, 1.535658, 1.516959"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011853, 0.021086, 0.025927, 0.031783, 0.061728",             "0.103111, 0.106391, 0.112051, 0.125088, 0.245734",             "0.197350, 0.198788, 0.202456, 0.212400, 0.366202",             "0.386172, 0.386296, 0.388114, 0.394555, 0.557385",             "1.897755, 1.896510, 1.895926, 1.896353, 1.969217"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019576, 0.029856, 0.049211, 0.081282, 0.446789",             "0.130529, 0.130604, 0.133249, 0.154460, 0.612292",             "0.243240, 0.243237, 0.243198, 0.249492, 0.704661",             "0.468570, 0.468567, 0.468567, 0.468586, 0.813094",             "2.271586, 2.271584, 2.271584, 2.271587, 2.288098"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015426, 0.020183, 0.031186, 0.056113, 0.422226",             "0.142359, 0.142363, 0.142749, 0.150040, 0.459691",             "0.273045, 0.273046, 0.273065, 0.273811, 0.534619",             "0.534623, 0.534603, 0.534626, 0.534613, 0.687998",             "2.627267, 2.627270, 2.627271, 2.627270, 2.627267"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.006353, 0.006907, 0.004743, -0.001737, -0.110680",             "0.047699, 0.044647, 0.048336, 0.053151, -0.004114",             "0.091415, 0.084176, 0.083334, 0.090516, 0.068778",             "0.179491, 0.169025, 0.162028, 0.160307, 0.186435",             "0.885395, 0.872100, 0.856349, 0.829208, 0.807105"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012322, 0.019314, 0.026237, 0.038620, 0.167686",             "0.096733, 0.094797, 0.097266, 0.110737, 0.295956",             "0.182668, 0.178235, 0.176611, 0.181871, 0.385409",             "0.354674, 0.348400, 0.343367, 0.339960, 0.531053",             "1.731112, 1.722805, 1.713320, 1.696828, 1.662380"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011924, 0.031387, 0.053193, 0.097308, 0.671034",             "0.079146, 0.084257, 0.100405, 0.142389, 0.716421",             "0.149831, 0.150702, 0.160194, 0.193088, 0.778101",             "0.291344, 0.291348, 0.292968, 0.312319, 0.882992",             "1.424450, 1.424445, 1.424449, 1.424449, 1.683821"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016705, 0.026501, 0.043315, 0.084291, 0.660779",             "0.136556, 0.136714, 0.139723, 0.158268, 0.651528",             "0.258832, 0.258845, 0.259084, 0.265612, 0.680442",             "0.503343, 0.503344, 0.503342, 0.503821, 0.807464",             "2.459389, 2.459387, 2.459387, 2.459388, 2.481930"          );        }      }    }  }  cell (OAI22X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1097280.0;    cell_leakage_power: 0.1173;    pin (D) {      direction: input;      rise_capacitance: 0.00422904568382474;      fall_capacitance: 6.27701700917797e-05;      capacitance: 0.00214590792695826;    }    pin (C) {      direction: input;      rise_capacitance: 0.004229993996294385;      fall_capacitance: 0.005758102592005284;      capacitance: 0.004994048294149835;    }    pin (B) {      direction: input;      rise_capacitance: 0.004935264302975343;      fall_capacitance: 0.004717210490958356;      capacitance: 0.00482623739696685;    }    pin (A) {      direction: input;      rise_capacitance: 0.004935925445230348;      fall_capacitance: 0.007825926909320734;      capacitance: 0.00638092617727554;    }    pin (Y) {      direction: output;      function: "(!D&!C&!B&!A|D&!C&!B&!A|D&C&!B&!A|!D&C&!B&!A|!D&!C&B&!A|!D&!C&B&A|!D&!C&!B&A)";      function: "((A & B & !C & !D + C & D & !A & !B + A & !B & !C & !D + B & !A & !C & !D + C & !A & !B & !D + D & !A & !B & !C + !A & !B & !C & !D))";      timing () {        related_pin: "A";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023283, 0.026086, 0.029698, 0.032795, -0.001479",             "0.101125, 0.101089, 0.103242, 0.111463, 0.155077",             "0.178698, 0.178197, 0.178968, 0.183936, 0.265589",             "0.333742, 0.332923, 0.332617, 0.334515, 0.443208",             "1.573737, 1.572628, 1.570969, 1.568406, 1.602882"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012521, 0.028054, 0.037790, 0.050147, 0.142499",             "0.100661, 0.105547, 0.112648, 0.128391, 0.287021",             "0.189767, 0.192023, 0.196444, 0.208039, 0.391309",             "0.368021, 0.368345, 0.370350, 0.377348, 0.561865",             "1.794390, 1.792660, 1.791504, 1.790869, 1.861891"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.027523, 0.032137, 0.043710, 0.068258, 0.351959",             "0.138192, 0.138265, 0.138971, 0.148233, 0.459452",             "0.250786, 0.250776, 0.250815, 0.252433, 0.550251",             "0.475993, 0.475990, 0.475983, 0.475987, 0.672440",             "2.278881, 2.278886, 2.278881, 2.278884, 2.281277"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.033208, 0.057195, 0.047282, 0.073255, 0.374412",             "0.150143, 0.150175, 0.151479, 0.161717, 0.504750",             "0.271994, 0.271979, 0.271960, 0.273778, 0.580300",             "0.515546, 0.515539, 0.515545, 0.515540, 0.712176",             "2.463948, 2.463946, 2.463949, 2.463947, 2.465069"          );        }      }      timing () {        related_pin: "B";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.022457, 0.023821, 0.028002, 0.033432, 0.050099",             "0.100795, 0.094818, 0.096177, 0.106623, 0.193238",             "0.178421, 0.170774, 0.168840, 0.173249, 0.292925",             "0.333487, 0.324687, 0.319823, 0.317316, 0.454634",             "1.573506, 1.563530, 1.554962, 1.540935, 1.526232"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.009970, 0.022332, 0.029418, 0.036773, 0.068890",             "0.096499, 0.100597, 0.107043, 0.121347, 0.233209",             "0.185411, 0.187163, 0.191171, 0.201750, 0.347651",             "0.363558, 0.363589, 0.365346, 0.371744, 0.531675",             "1.789838, 1.787994, 1.786745, 1.786002, 1.850882"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.027453, 0.035677, 0.052614, 0.085958, 0.479334",             "0.138281, 0.138228, 0.140230, 0.159346, 0.613230",             "0.250777, 0.250785, 0.250804, 0.256157, 0.698173",             "0.475995, 0.475996, 0.475995, 0.475967, 0.804605",             "2.278882, 2.278884, 2.278886, 2.278882, 2.294149"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025756, 0.039061, 0.038010, 0.065493, 0.449021",             "0.139560, 0.139590, 0.140888, 0.151088, 0.498425",             "0.261310, 0.261302, 0.261289, 0.263206, 0.566573",             "0.504829, 0.504817, 0.504829, 0.504819, 0.699154",             "2.453188, 2.453188, 2.453189, 2.453187, 2.454474"          );        }      }      timing () {        related_pin: "C";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.017359, 0.020239, 0.022410, 0.022148, -0.048370",             "0.095781, 0.095787, 0.097757, 0.105343, 0.121554",             "0.173355, 0.172918, 0.173600, 0.178104, 0.237251",             "0.328398, 0.327691, 0.327330, 0.328976, 0.421249",             "1.568399, 1.567426, 1.565794, 1.563195, 1.593328"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.015013, 0.024562, 0.032155, 0.044563, 0.160773",             "0.098613, 0.097314, 0.099563, 0.111932, 0.280587",             "0.184509, 0.180314, 0.178163, 0.181771, 0.365997",             "0.356497, 0.350286, 0.344634, 0.339129, 0.507816",             "1.732927, 1.724617, 1.714864, 1.697166, 1.627185"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019019, 0.025963, 0.039343, 0.070044, 0.533535",             "0.129391, 0.129468, 0.130315, 0.139831, 0.514520",             "0.241936, 0.241939, 0.241961, 0.243767, 0.557740",             "0.467115, 0.467109, 0.467107, 0.467104, 0.662643",             "2.269978, 2.269983, 2.269978, 2.269980, 2.272604"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.030659, 0.049724, 0.061259, 0.101551, 0.581832",             "0.149318, 0.149607, 0.154293, 0.179158, 0.730603",             "0.271589, 0.271594, 0.272068, 0.281395, 0.804678",             "0.516131, 0.516134, 0.516134, 0.516907, 0.918257",             "2.472225, 2.472227, 2.472226, 2.472227, 2.503573"          );        }      }      timing () {        related_pin: "D";        timing_sense: negative_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016262, 0.017953, 0.020064, 0.021458, -0.001520",             "0.095321, 0.089494, 0.090705, 0.100426, 0.153300",             "0.172996, 0.165491, 0.163413, 0.167278, 0.258836",             "0.328101, 0.319446, 0.314487, 0.311618, 0.428744",             "1.568141, 1.558342, 1.549772, 1.535678, 1.515010"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.011023, 0.018339, 0.023255, 0.030287, 0.084409",             "0.094011, 0.092068, 0.093623, 0.104316, 0.218448",             "0.179838, 0.175225, 0.172596, 0.174928, 0.312603",             "0.351783, 0.345335, 0.339405, 0.333058, 0.468089",             "1.728167, 1.719791, 1.709961, 1.692131, 1.611665"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.018855, 0.028595, 0.047231, 0.087045, 0.641403",             "0.129486, 0.129382, 0.131911, 0.151555, 0.651384",             "0.241942, 0.241930, 0.241953, 0.247787, 0.698756",             "0.467109, 0.467119, 0.467119, 0.467084, 0.794655",             "2.269982, 2.269982, 2.269983, 2.269978, 2.286463"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.019015, 0.039322, 0.050390, 0.091244, 0.642558",             "0.138637, 0.138872, 0.143330, 0.167382, 0.711587",             "0.260891, 0.260872, 0.261322, 0.270455, 0.778798",             "0.505376, 0.505376, 0.505375, 0.506151, 0.893542",             "2.461425, 2.461427, 2.461427, 2.461428, 2.492763"          );        }      }    }  }  cell (OR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.006299305363616857;      fall_capacitance: 0.008885220124112183;      capacitance: 0.007592262743864521;    }    pin (A) {      direction: input;      rise_capacitance: 0.006309610190379141;      fall_capacitance: 0.00630283867340493;      capacitance: 0.006306224431892035;    }    pin (Y) {      direction: output;      function: "!(!B&!A)";      function: "(!!A & !B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002786, -0.022732, 0.019161, 0.019580, -0.011410",             "0.041854, 0.043329, 0.051603, 0.059323, 0.062560",             "0.084916, 0.078410, 0.082038, 0.088448, 0.103720",             "0.172611, 0.158216, 0.154751, 0.153103, 0.172991",             "0.878301, 0.855095, 0.838016, 0.810227, 0.720418"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028540, 0.031368, 0.036819, 0.045426, 0.100228",             "0.069115, 0.070143, 0.075842, 0.091793, 0.184877",             "0.111955, 0.111797, 0.115439, 0.127845, 0.254311",             "0.200493, 0.199357, 0.201189, 0.207941, 0.374505",             "0.915994, 0.913985, 0.914073, 0.914386, 1.007881"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013392, 0.051401, 0.087406, 0.152742, 0.687675",             "0.075475, 0.097421, 0.130787, 0.191718, 0.688979",             "0.145593, 0.155613, 0.179062, 0.217295, 0.718675",             "0.287207, 0.287428, 0.292736, 0.315169, 0.792432",             "1.420518, 1.420518, 1.420514, 1.420518, 1.582675"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024927, 0.029452, 0.042786, 0.080200, 0.663641",             "0.093953, 0.096473, 0.103565, 0.127433, 0.668426",             "0.177647, 0.178545, 0.181992, 0.198197, 0.680919",             "0.349306, 0.349321, 0.350007, 0.357523, 0.740411",             "1.727348, 1.727346, 1.727366, 1.727370, 1.864145"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002737, 0.015129, 0.026339, 0.031155, 0.057827",             "0.042995, 0.049240, 0.058421, 0.069922, 0.132870",             "0.085418, 0.083324, 0.088199, 0.097150, 0.172762",             "0.172559, 0.161472, 0.159668, 0.160671, 0.230240",             "0.877741, 0.855521, 0.839844, 0.814300, 0.732001"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029469, 0.033740, 0.039244, 0.045782, 0.049818",             "0.070126, 0.072893, 0.078115, 0.092691, 0.135288",             "0.112911, 0.114897, 0.118672, 0.129875, 0.209498",             "0.201393, 0.202758, 0.205335, 0.211918, 0.341264",             "0.916880, 0.917687, 0.919165, 0.921192, 1.006897"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016092, 0.057562, 0.095169, 0.162177, 0.699235",             "0.076858, 0.103458, 0.137895, 0.200949, 0.702148",             "0.145825, 0.159732, 0.185528, 0.227910, 0.724008",             "0.287314, 0.288184, 0.294861, 0.320599, 0.788394",             "1.420530, 1.420534, 1.420537, 1.420539, 1.574668"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024627, 0.027679, 0.036375, 0.067524, 0.609658",             "0.094505, 0.095669, 0.100243, 0.118924, 0.616317",             "0.177929, 0.178313, 0.180338, 0.192868, 0.629773",             "0.349160, 0.349206, 0.349675, 0.355201, 0.694984",             "1.727366, 1.727352, 1.727345, 1.727367, 1.855198"          );        }      }    }  }  cell (OR2X2) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 877824.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.006299305363616857;      fall_capacitance: 0.008885220124112183;      capacitance: 0.007592262743864521;    }    pin (A) {      direction: input;      rise_capacitance: 0.006309610190379141;      fall_capacitance: 0.00630283867340493;      capacitance: 0.006306224431892035;    }    pin (Y) {      direction: output;      function: "!(!B&!A)";      function: "(!!A & !B)";      timing () {        related_pin: "A";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002786, -0.022732, 0.019161, 0.019580, -0.011410",             "0.041854, 0.043329, 0.051603, 0.059323, 0.062560",             "0.084916, 0.078410, 0.082038, 0.088448, 0.103720",             "0.172611, 0.158216, 0.154751, 0.153103, 0.172991",             "0.878301, 0.855095, 0.838016, 0.810227, 0.720418"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028540, 0.031368, 0.036819, 0.045426, 0.100228",             "0.069115, 0.070143, 0.075842, 0.091793, 0.184877",             "0.111955, 0.111797, 0.115439, 0.127845, 0.254311",             "0.200493, 0.199357, 0.201189, 0.207941, 0.374505",             "0.915994, 0.913985, 0.914073, 0.914386, 1.007881"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013392, 0.051401, 0.087406, 0.152742, 0.687675",             "0.075475, 0.097421, 0.130787, 0.191718, 0.688979",             "0.145593, 0.155613, 0.179062, 0.217295, 0.718675",             "0.287207, 0.287428, 0.292736, 0.315169, 0.792432",             "1.420518, 1.420518, 1.420514, 1.420518, 1.582675"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024927, 0.029452, 0.042786, 0.080200, 0.663641",             "0.093953, 0.096473, 0.103565, 0.127433, 0.668426",             "0.177647, 0.178545, 0.181992, 0.198197, 0.680919",             "0.349306, 0.349321, 0.350007, 0.357523, 0.740411",             "1.727348, 1.727346, 1.727366, 1.727370, 1.864145"          );        }      }      timing () {        related_pin: "B";        timing_sense: positive_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "-0.002737, 0.015129, 0.026339, 0.031155, 0.057827",             "0.042995, 0.049240, 0.058421, 0.069922, 0.132870",             "0.085418, 0.083324, 0.088199, 0.097150, 0.172762",             "0.172559, 0.161472, 0.159668, 0.160671, 0.230240",             "0.877741, 0.855521, 0.839844, 0.814300, 0.732001"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.029469, 0.033740, 0.039244, 0.045782, 0.049818",             "0.070126, 0.072893, 0.078115, 0.092691, 0.135288",             "0.112911, 0.114897, 0.118672, 0.129875, 0.209498",             "0.201393, 0.202758, 0.205335, 0.211918, 0.341264",             "0.916880, 0.917687, 0.919165, 0.921192, 1.006897"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016092, 0.057562, 0.095169, 0.162177, 0.699235",             "0.076858, 0.103458, 0.137895, 0.200949, 0.702148",             "0.145825, 0.159732, 0.185528, 0.227910, 0.724008",             "0.287314, 0.288184, 0.294861, 0.320599, 0.788394",             "1.420530, 1.420534, 1.420537, 1.420539, 1.574668"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024627, 0.027679, 0.036375, 0.067524, 0.609658",             "0.094505, 0.095669, 0.100243, 0.118924, 0.616317",             "0.177929, 0.178313, 0.180338, 0.192868, 0.629773",             "0.349160, 0.349206, 0.349675, 0.355201, 0.694984",             "1.727366, 1.727352, 1.727345, 1.727367, 1.855198"          );        }      }    }  }  cell (XNOR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.01258592702529086;      fall_capacitance: 0.01450190121076146;      capacitance: 0.01354391411802616;    }    pin (A) {      direction: input;      rise_capacitance: 0.012735641153553287;      fall_capacitance: 0.011631625278500075;      capacitance: 0.012183633216026682;    }    pin (Y) {      direction: output;      function: "!(B&!A|!B&A)";      function: "(!(A & !B + B & !A))";      timing () {        related_pin: "A";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013989, 0.018024, 0.023608, 0.028544, 0.046990",             "0.086886, 0.083890, 0.087944, 0.100115, 0.176994",             "0.163666, 0.158058, 0.158583, 0.165414, 0.272018",             "0.318190, 0.310552, 0.307716, 0.307846, 0.436394",             "1.557671, 1.547810, 1.540413, 1.528732, 1.518482"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.013397, 0.018391, 0.023707, 0.029700, 0.049560",             "0.104554, 0.099267, 0.099516, 0.107893, 0.189692",             "0.198104, 0.190587, 0.187032, 0.187249, 0.294404",             "0.386428, 0.377203, 0.370471, 0.362665, 0.471845",             "1.897500, 1.886363, 1.875729, 1.856653, 1.754002"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023920, 0.038475, 0.061455, 0.084755, 0.566336",             "0.130811, 0.130539, 0.133792, 0.151736, 0.602473",             "0.243429, 0.243459, 0.243317, 0.248917, 0.662479",             "0.468797, 0.468798, 0.468793, 0.468774, 0.757658",             "2.271785, 2.271788, 2.271788, 2.271786, 2.282952"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025980, 0.034386, 0.051179, 0.088206, 0.570375",             "0.155775, 0.156006, 0.156492, 0.172986, 0.639449",             "0.286528, 0.286545, 0.286577, 0.289826, 0.712632",             "0.548141, 0.548132, 0.548123, 0.548137, 0.844311",             "2.640825, 2.640828, 2.640826, 2.640825, 2.646410"          );        }      }      timing () {        related_pin: "B";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016690, 0.026445, 0.031880, 0.037261, 0.048616",             "0.091051, 0.095514, 0.100621, 0.112158, 0.182377",             "0.168213, 0.171079, 0.174250, 0.182514, 0.282866",             "0.322976, 0.324677, 0.326168, 0.330961, 0.454972",             "1.562706, 1.563167, 1.562488, 1.561803, 1.609286"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.026885, 0.032811, 0.038875, 0.047403, 0.087933",             "0.117282, 0.120145, 0.124314, 0.135271, 0.246516",             "0.211168, 0.212784, 0.215279, 0.223230, 0.363714",             "0.399743, 0.400411, 0.401407, 0.406048, 0.555385",             "1.911052, 1.910726, 1.909802, 1.909023, 1.963658"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024789, 0.028839, 0.037807, 0.058878, 0.265656",             "0.130769, 0.130753, 0.131666, 0.140183, 0.394222",             "0.243431, 0.243415, 0.243392, 0.244961, 0.496125",             "0.468744, 0.468748, 0.468759, 0.468730, 0.635129",             "2.271767, 2.271764, 2.271765, 2.271763, 2.273192"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028716, 0.030099, 0.037985, 0.059222, 0.254230",             "0.155712, 0.155759, 0.155806, 0.162226, 0.429522",             "0.286550, 0.286491, 0.286518, 0.286872, 0.538319",             "0.548133, 0.548105, 0.548127, 0.548107, 0.702960",             "2.640815, 2.640815, 2.640812, 2.640812, 2.640812"          );        }      }    }  }  cell (XOR2X1) {    pg_pin (VPWR) { voltage_name : "VPWR"; pg_type : "primary_power"; } pg_pin (VGND) { voltage_name : "VGND"; pg_type : "primary_ground"; } area: 1536192.0;    cell_leakage_power: 0.1173;    pin (B) {      direction: input;      rise_capacitance: 0.011125237855721299;      fall_capacitance: 0.013458368537199627;      capacitance: 0.012291803196460463;    }    pin (A) {      direction: input;      rise_capacitance: 0.005915560312833218;      fall_capacitance: 0.009103970632490159;      capacitance: 0.007509765472661688;    }    pin (Y) {      direction: output;      function: "!(!B&!A|B&A)";      function: "(!(A & B + !A & !B))";      timing () {        related_pin: "A";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.012892, 0.017884, 0.023582, 0.028581, 0.047039",             "0.088020, 0.084498, 0.088176, 0.100267, 0.176997",             "0.164777, 0.158599, 0.158811, 0.165507, 0.272027",             "0.319197, 0.311031, 0.307896, 0.307904, 0.436456",             "1.558585, 1.548160, 1.540528, 1.528710, 1.518515"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.016957, 0.021209, 0.026433, 0.031788, 0.049581",             "0.105352, 0.101262, 0.101517, 0.109489, 0.189817",             "0.199116, 0.192599, 0.189067, 0.189128, 0.294604",             "0.387610, 0.379329, 0.372685, 0.364852, 0.472363",             "1.898846, 1.888657, 1.878160, 1.859296, 1.755721"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.023649, 0.043194, 0.070971, 0.085067, 0.565950",             "0.130727, 0.130960, 0.133759, 0.151712, 0.602446",             "0.243440, 0.243431, 0.243448, 0.248631, 0.662387",             "0.468772, 0.468795, 0.468785, 0.468785, 0.757475",             "2.271774, 2.271788, 2.271788, 2.271786, 2.282763"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.028995, 0.032141, 0.047871, 0.084453, 0.560124",             "0.155738, 0.155044, 0.155572, 0.170946, 0.631684",             "0.286568, 0.286562, 0.286518, 0.289308, 0.710606",             "0.548144, 0.548142, 0.548134, 0.548140, 0.843303",             "2.640828, 2.640826, 2.640826, 2.640827, 2.646192"          );        }      }      timing () {        related_pin: "B";        timing_sense: non_unate;        cell_rise (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.014892, 0.026246, 0.031838, 0.037204, 0.048590",             "0.091734, 0.095678, 0.100730, 0.112148, 0.182368",             "0.168923, 0.171200, 0.174309, 0.182497, 0.282866",             "0.323721, 0.324777, 0.326200, 0.330900, 0.455028",             "1.563456, 1.563165, 1.562411, 1.561608, 1.609279"          );        }        cell_fall (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.024069, 0.032502, 0.038891, 0.047467, 0.087946",             "0.115473, 0.118528, 0.123164, 0.134818, 0.246531",             "0.209458, 0.210932, 0.213732, 0.222349, 0.363720",             "0.398106, 0.398429, 0.399551, 0.404751, 0.555323",             "1.909486, 1.908601, 1.907591, 1.907104, 1.962711"          );        }        rise_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.025121, 0.046358, 0.037829, 0.058746, 0.265684",             "0.130823, 0.130739, 0.131644, 0.140015, 0.394131",             "0.243421, 0.243395, 0.243335, 0.244847, 0.496071",             "0.468767, 0.468755, 0.468745, 0.468743, 0.634781",             "2.271778, 2.271763, 2.271765, 2.271761, 2.273117"          );        }        fall_transition (delay_template_5x5) {          index_1 (            "0.000500, 0.050000, 0.100000, 0.200000, 1.000000"          );          index_2 (            "0.010000, 0.050000, 0.100000, 0.200000, 1.500000"          );          values (            "0.031037, 0.031042, 0.038291, 0.059160, 0.254240",             "0.155716, 0.155677, 0.155943, 0.162510, 0.429541",             "0.286530, 0.286528, 0.286510, 0.286927, 0.538348",             "0.548114, 0.548124, 0.548121, 0.548120, 0.703170",             "2.640816, 2.640814, 2.640814, 2.640812, 2.640811"          );        }      }    }  }}
\ No newline at end of file
diff --git a/cells/mag/AND2X1.lef b/cells/mag/AND2X1.lef
index 86f8764..5c765f2 100644
--- a/cells/mag/AND2X1.lef
+++ b/cells/mag/AND2X1.lef
@@ -52,7 +52,16 @@
         RECT 3.695 3.090 5.605 3.215 ;
         RECT 0.555 2.715 0.885 3.090 ;
       LAYER mcon ;
-        RECT 3.275 3.245 3.445 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
         RECT 0.635 2.795 0.805 2.965 ;
     END
   END VPWR
@@ -101,7 +110,16 @@
         RECT 0.875 0.500 1.045 0.670 ;
         RECT 3.275 0.500 3.445 0.670 ;
         RECT 4.715 0.500 4.885 0.670 ;
-        RECT 3.275 -0.085 3.445 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
       LAYER met1 ;
         RECT 1.775 2.195 2.065 2.485 ;
         RECT 1.850 1.995 1.990 2.195 ;
diff --git a/cells/mag/AND2X1.mag b/cells/mag/AND2X1.mag
index dd82c1d..3854439 100644
--- a/cells/mag/AND2X1.mag
+++ b/cells/mag/AND2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809578
+timestamp 1636962367
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -107,8 +107,17 @@
 rect 559 181 593 215
 rect 847 181 881 215
 << locali >>
-rect 0 649 655 683
-rect 689 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 31 643 1121 649
 rect 31 618 605 643
 rect 739 618 1121 643
@@ -171,10 +180,28 @@
 rect 977 100 993 134
 rect 927 84 993 100
 rect 31 17 1121 48
-rect 0 -17 655 17
-rect 689 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 << viali >>
-rect 655 649 689 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
 rect 127 559 161 593
 rect 655 559 689 593
 rect 367 451 401 485
@@ -188,11 +215,29 @@
 rect 175 100 209 134
 rect 655 100 689 134
 rect 943 100 977 134
-rect 655 -17 689 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
 << metal1 >>
 rect 0 683 1152 714
-rect 0 649 655 683
-rect 689 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 0 618 1152 649
 rect 115 593 173 618
 rect 115 559 127 593
@@ -258,8 +303,17 @@
 rect 931 88 989 100
 rect 658 48 686 88
 rect 0 17 1152 48
-rect 0 -17 655 17
-rect 689 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
 rlabel metal1 0 618 1152 714 0 VPWR
diff --git a/cells/mag/AND2X2.lef b/cells/mag/AND2X2.lef
index 55700db..1afd052 100644
--- a/cells/mag/AND2X2.lef
+++ b/cells/mag/AND2X2.lef
@@ -52,7 +52,16 @@
         RECT 3.695 3.090 5.605 3.215 ;
         RECT 0.555 2.715 0.885 3.090 ;
       LAYER mcon ;
-        RECT 3.275 3.245 3.445 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
         RECT 0.635 2.795 0.805 2.965 ;
     END
   END VPWR
@@ -101,7 +110,16 @@
         RECT 0.875 0.500 1.045 0.670 ;
         RECT 3.275 0.500 3.445 0.670 ;
         RECT 4.715 0.500 4.885 0.670 ;
-        RECT 3.275 -0.085 3.445 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
       LAYER met1 ;
         RECT 1.775 2.195 2.065 2.485 ;
         RECT 1.850 1.995 1.990 2.195 ;
diff --git a/cells/mag/AND2X2.mag b/cells/mag/AND2X2.mag
index a8822a2..db772c6 100644
--- a/cells/mag/AND2X2.mag
+++ b/cells/mag/AND2X2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809579
+timestamp 1636962368
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -107,8 +107,17 @@
 rect 559 181 593 215
 rect 847 181 881 215
 << locali >>
-rect 0 649 655 683
-rect 689 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 31 643 1121 649
 rect 31 618 605 643
 rect 739 618 1121 643
@@ -171,10 +180,28 @@
 rect 977 100 993 134
 rect 927 84 993 100
 rect 31 17 1121 48
-rect 0 -17 655 17
-rect 689 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 << viali >>
-rect 655 649 689 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
 rect 127 559 161 593
 rect 655 559 689 593
 rect 367 451 401 485
@@ -188,11 +215,29 @@
 rect 175 100 209 134
 rect 655 100 689 134
 rect 943 100 977 134
-rect 655 -17 689 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
 << metal1 >>
 rect 0 683 1152 714
-rect 0 649 655 683
-rect 689 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 0 618 1152 649
 rect 115 593 173 618
 rect 115 559 127 593
@@ -258,8 +303,17 @@
 rect 931 88 989 100
 rect 658 48 686 88
 rect 0 17 1152 48
-rect 0 -17 655 17
-rect 689 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
 rlabel metal1 0 618 1152 714 0 VPWR
diff --git a/cells/mag/AOI21X1.lef b/cells/mag/AOI21X1.lef
index 5c5f988..13eaffb 100644
--- a/cells/mag/AOI21X1.lef
+++ b/cells/mag/AOI21X1.lef
@@ -56,7 +56,16 @@
         RECT 0.155 3.090 5.605 3.245 ;
         RECT 3.195 2.715 3.525 3.090 ;
       LAYER mcon ;
-        RECT 3.275 3.245 3.445 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
         RECT 3.275 2.795 3.445 2.965 ;
     END
   END VPWR
@@ -110,7 +119,16 @@
         RECT 4.235 0.905 4.405 1.075 ;
         RECT 0.875 0.500 1.045 0.670 ;
         RECT 4.715 0.500 4.885 0.670 ;
-        RECT 1.835 -0.085 2.005 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
       LAYER met1 ;
         RECT 2.255 2.410 2.545 2.485 ;
         RECT 4.655 2.410 4.945 2.485 ;
diff --git a/cells/mag/AOI21X1.mag b/cells/mag/AOI21X1.mag
index 608e5b9..e4fb554 100644
--- a/cells/mag/AOI21X1.mag
+++ b/cells/mag/AOI21X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809580
+timestamp 1636962368
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -108,8 +108,17 @@
 rect 559 181 593 215
 rect 847 181 881 215
 << locali >>
-rect 0 649 655 683
-rect 689 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 31 618 1121 649
 rect 639 593 705 618
 rect 639 559 655 593
@@ -171,10 +180,28 @@
 rect 927 84 993 100
 rect 367 48 401 84
 rect 31 17 1121 48
-rect 0 -17 367 17
-rect 401 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 << viali >>
-rect 655 649 689 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
 rect 655 559 689 593
 rect 175 451 209 485
 rect 463 451 497 485
@@ -187,11 +214,29 @@
 rect 847 181 881 215
 rect 175 100 209 134
 rect 943 100 977 134
-rect 367 -17 401 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
 << metal1 >>
 rect 0 683 1152 714
-rect 0 649 655 683
-rect 689 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 0 618 1152 649
 rect 643 593 701 618
 rect 643 559 655 593
@@ -252,8 +297,17 @@
 rect 977 100 989 134
 rect 931 88 989 100
 rect 0 17 1152 48
-rect 0 -17 367 17
-rect 401 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
 rlabel metal1 0 618 1152 714 0 VPWR
diff --git a/cells/mag/AOI22X1.lef b/cells/mag/AOI22X1.lef
index 0de7672..254270b 100644
--- a/cells/mag/AOI22X1.lef
+++ b/cells/mag/AOI22X1.lef
@@ -65,7 +65,19 @@
         RECT 0.155 3.090 7.045 3.245 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 1.835 3.245 2.005 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -127,7 +139,19 @@
         RECT 5.675 0.905 5.845 1.075 ;
         RECT 0.875 0.500 1.045 0.670 ;
         RECT 6.155 0.500 6.325 0.670 ;
-        RECT 3.275 -0.085 3.445 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
       LAYER met1 ;
         RECT 6.095 2.815 6.385 2.890 ;
         RECT 3.290 2.675 6.385 2.815 ;
diff --git a/cells/mag/AOI22X1.mag b/cells/mag/AOI22X1.mag
index 1e77330..ee46ffe 100644
--- a/cells/mag/AOI22X1.mag
+++ b/cells/mag/AOI22X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809581
+timestamp 1636962369
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -130,8 +130,20 @@
 rect 847 181 881 215
 rect 1135 181 1169 215
 << locali >>
-rect 0 649 367 683
-rect 401 649 1440 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1440 683
 rect 31 618 1409 649
 rect 351 593 417 618
 rect 351 559 367 593
@@ -205,10 +217,34 @@
 rect 1215 84 1281 100
 rect 655 48 689 84
 rect 31 17 1409 48
-rect 0 -17 655 17
-rect 689 -17 1440 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1440 17
 << viali >>
-rect 367 649 401 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
 rect 367 559 401 593
 rect 1231 532 1265 566
 rect 175 451 209 485
@@ -224,11 +260,35 @@
 rect 1135 181 1169 215
 rect 175 100 209 134
 rect 1231 100 1265 134
-rect 655 -17 689 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
 << metal1 >>
 rect 0 683 1440 714
-rect 0 649 367 683
-rect 401 649 1440 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1440 683
 rect 0 618 1440 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -307,8 +367,20 @@
 rect 1265 100 1277 134
 rect 1219 88 1277 100
 rect 0 17 1440 48
-rect 0 -17 655 17
-rect 689 -17 1440 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1440 17
 rect 0 -48 1440 -17
 << labels >>
 rlabel metal1 0 618 1440 714 0 VPWR
diff --git a/cells/mag/ASYNC2.lef b/cells/mag/ASYNC2.lef
index d59a0fb..f8ed6ef 100644
--- a/cells/mag/ASYNC2.lef
+++ b/cells/mag/ASYNC2.lef
@@ -82,7 +82,19 @@
         RECT 5.135 3.090 7.045 3.215 ;
         RECT 0.555 2.715 0.885 3.090 ;
       LAYER mcon ;
-        RECT 4.715 3.245 4.885 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
         RECT 0.635 2.795 0.805 2.965 ;
     END
   END VPWR
@@ -128,7 +140,19 @@
         RECT 0.635 0.500 0.805 0.670 ;
         RECT 3.275 0.500 3.445 0.670 ;
         RECT 6.155 0.500 6.325 0.670 ;
-        RECT 4.715 -0.085 4.885 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
   END
 END ASYNC2
 END LIBRARY
diff --git a/cells/mag/ASYNC2.mag b/cells/mag/ASYNC2.mag
index c9aca8d..f18720a 100644
--- a/cells/mag/ASYNC2.mag
+++ b/cells/mag/ASYNC2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809582
+timestamp 1636962370
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -130,8 +130,20 @@
 rect 847 181 881 215
 rect 1135 181 1169 215
 << locali >>
-rect 0 649 943 683
-rect 977 649 1440 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1440 683
 rect 31 643 1409 649
 rect 31 618 893 643
 rect 1027 618 1409 643
@@ -207,10 +219,34 @@
 rect 1215 84 1281 100
 rect 943 48 977 84
 rect 31 17 1409 48
-rect 0 -17 943 17
-rect 977 -17 1440 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1440 17
 << viali >>
-rect 943 649 977 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
 rect 127 559 161 593
 rect 943 559 977 593
 rect 655 451 689 485
@@ -225,11 +261,35 @@
 rect 127 100 161 134
 rect 655 100 689 134
 rect 1231 100 1265 134
-rect 943 -17 977 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
 << metal1 >>
 rect 0 683 1440 714
-rect 0 649 943 683
-rect 977 649 1440 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1440 683
 rect 0 618 1440 649
 rect 115 593 173 618
 rect 115 559 127 593
@@ -300,8 +360,20 @@
 rect 1219 88 1277 100
 rect 130 48 158 88
 rect 0 17 1440 48
-rect 0 -17 943 17
-rect 977 -17 1440 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1440 17
 rect 0 -48 1440 -17
 << labels >>
 rlabel metal1 0 618 1440 714 0 VPWR
diff --git a/cells/mag/ASYNC3.lef b/cells/mag/ASYNC3.lef
index 2c12724..7790b71 100644
--- a/cells/mag/ASYNC3.lef
+++ b/cells/mag/ASYNC3.lef
@@ -80,7 +80,28 @@
         RECT 4.635 2.715 4.965 3.090 ;
         RECT 8.955 2.715 9.285 3.090 ;
       LAYER mcon ;
-        RECT 4.715 3.245 4.885 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
+        RECT 9.755 3.245 9.925 3.415 ;
+        RECT 10.235 3.245 10.405 3.415 ;
+        RECT 10.715 3.245 10.885 3.415 ;
         RECT 9.035 2.795 9.205 2.965 ;
     END
   END VPWR
@@ -156,7 +177,28 @@
         RECT 8.555 0.905 8.725 1.075 ;
         RECT 9.995 0.905 10.165 1.075 ;
         RECT 10.475 0.500 10.645 0.670 ;
-        RECT 9.035 -0.085 9.205 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
+        RECT 9.755 -0.085 9.925 0.085 ;
+        RECT 10.235 -0.085 10.405 0.085 ;
+        RECT 10.715 -0.085 10.885 0.085 ;
       LAYER met1 ;
         RECT 3.695 2.815 3.985 2.890 ;
         RECT 6.335 2.815 6.625 2.890 ;
diff --git a/cells/mag/ASYNC3.mag b/cells/mag/ASYNC3.mag
index eb8995c..e9fd8ed 100644
--- a/cells/mag/ASYNC3.mag
+++ b/cells/mag/ASYNC3.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809583
+timestamp 1636962371
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -210,8 +210,29 @@
 rect 1711 181 1745 215
 rect 1999 181 2033 215
 << locali >>
-rect 0 649 943 683
-rect 977 649 2304 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2304 683
 rect 31 618 2273 649
 rect 927 593 993 618
 rect 735 566 801 582
@@ -351,10 +372,52 @@
 rect 943 48 977 84
 rect 1807 48 1841 84
 rect 31 17 2273 48
-rect 0 -17 1807 17
-rect 1841 -17 2304 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2304 17
 << viali >>
-rect 943 649 977 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
+rect 1951 649 1985 683
+rect 2047 649 2081 683
+rect 2143 649 2177 683
 rect 751 532 785 566
 rect 1279 532 1313 566
 rect 1807 559 1841 593
@@ -374,11 +437,53 @@
 rect 1999 181 2033 215
 rect 1423 100 1457 134
 rect 2095 100 2129 134
-rect 1807 -17 1841 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
+rect 1951 -17 1985 17
+rect 2047 -17 2081 17
+rect 2143 -17 2177 17
 << metal1 >>
 rect 0 683 2304 714
-rect 0 649 943 683
-rect 977 649 2304 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2304 683
 rect 0 618 2304 649
 rect 1795 593 1853 618
 rect 739 566 797 578
@@ -483,8 +588,29 @@
 rect 2129 100 2141 134
 rect 2083 88 2141 100
 rect 0 17 2304 48
-rect 0 -17 1807 17
-rect 1841 -17 2304 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2304 17
 rect 0 -48 2304 -17
 << labels >>
 rlabel metal1 0 618 2304 714 0 VPWR
diff --git a/cells/mag/BUFX2.lef b/cells/mag/BUFX2.lef
index 1a130ec..6420a36 100644
--- a/cells/mag/BUFX2.lef
+++ b/cells/mag/BUFX2.lef
@@ -40,7 +40,13 @@
         RECT 0.155 3.090 4.165 3.245 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 1.835 3.245 2.005 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -81,7 +87,13 @@
         RECT 0.635 0.500 0.805 0.670 ;
         RECT 1.835 0.500 2.005 0.670 ;
         RECT 3.275 0.500 3.445 0.670 ;
-        RECT 1.835 -0.085 2.005 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
       LAYER met1 ;
         RECT 0.575 2.195 0.865 2.485 ;
         RECT 0.650 1.995 0.790 2.195 ;
diff --git a/cells/mag/BUFX2.mag b/cells/mag/BUFX2.mag
index b5378da..1637f5b 100644
--- a/cells/mag/BUFX2.mag
+++ b/cells/mag/BUFX2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809584
+timestamp 1636962372
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -84,8 +84,14 @@
 rect 271 181 305 215
 rect 559 181 593 215
 << locali >>
-rect 0 649 367 683
-rect 401 649 864 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 864 683
 rect 31 618 833 649
 rect 351 593 417 618
 rect 351 559 367 593
@@ -132,10 +138,22 @@
 rect 689 100 705 134
 rect 639 84 705 100
 rect 31 17 833 48
-rect 0 -17 367 17
-rect 401 -17 864 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 864 17
 << viali >>
-rect 367 649 401 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
 rect 367 559 401 593
 rect 127 451 161 485
 rect 655 451 689 485
@@ -146,11 +164,23 @@
 rect 127 100 161 134
 rect 367 100 401 134
 rect 655 100 689 134
-rect 367 -17 401 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
 << metal1 >>
 rect 0 683 864 714
-rect 0 649 367 683
-rect 401 649 864 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 864 683
 rect 0 618 864 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -201,8 +231,14 @@
 rect 643 88 701 100
 rect 370 48 398 88
 rect 0 17 864 48
-rect 0 -17 367 17
-rect 401 -17 864 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 864 17
 rect 0 -48 864 -17
 << labels >>
 rlabel metal1 0 618 864 714 0 VPWR
diff --git a/cells/mag/BUFX4.lef b/cells/mag/BUFX4.lef
index fffe34f..c25feb6 100644
--- a/cells/mag/BUFX4.lef
+++ b/cells/mag/BUFX4.lef
@@ -43,7 +43,16 @@
         RECT 5.135 3.090 5.605 3.215 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 4.715 3.245 4.885 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -91,7 +100,16 @@
         RECT 1.835 0.500 2.005 0.670 ;
         RECT 3.275 0.500 3.445 0.670 ;
         RECT 4.715 0.500 4.885 0.670 ;
-        RECT 4.715 -0.085 4.885 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
       LAYER met1 ;
         RECT 0.575 2.195 0.865 2.485 ;
         RECT 0.650 1.995 0.790 2.195 ;
diff --git a/cells/mag/BUFX4.mag b/cells/mag/BUFX4.mag
index 07d0290..9683563 100644
--- a/cells/mag/BUFX4.mag
+++ b/cells/mag/BUFX4.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809584
+timestamp 1636962373
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -112,8 +112,17 @@
 rect 559 181 593 215
 rect 847 181 881 215
 << locali >>
-rect 0 649 943 683
-rect 977 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 31 643 1121 649
 rect 31 618 893 643
 rect 1027 618 1121 643
@@ -180,10 +189,28 @@
 rect 977 100 993 134
 rect 927 84 993 100
 rect 31 17 1121 48
-rect 0 -17 943 17
-rect 977 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 << viali >>
-rect 943 649 977 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
 rect 367 559 401 593
 rect 943 559 977 593
 rect 127 451 161 485
@@ -196,11 +223,29 @@
 rect 367 100 401 134
 rect 655 100 689 134
 rect 943 100 977 134
-rect 943 -17 977 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
 << metal1 >>
 rect 0 683 1152 714
-rect 0 649 943 683
-rect 977 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 0 618 1152 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -262,8 +307,17 @@
 rect 370 48 398 88
 rect 946 48 974 88
 rect 0 17 1152 48
-rect 0 -17 943 17
-rect 977 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
 rlabel metal1 0 618 1152 714 0 VPWR
diff --git a/cells/mag/CLKBUF1.lef b/cells/mag/CLKBUF1.lef
index 0d5ac6a..87fc732 100644
--- a/cells/mag/CLKBUF1.lef
+++ b/cells/mag/CLKBUF1.lef
@@ -61,7 +61,31 @@
         RECT 8.955 2.715 9.285 3.090 ;
         RECT 11.835 2.715 12.165 3.090 ;
       LAYER mcon ;
-        RECT 6.155 3.245 6.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
+        RECT 9.755 3.245 9.925 3.415 ;
+        RECT 10.235 3.245 10.405 3.415 ;
+        RECT 10.715 3.245 10.885 3.415 ;
+        RECT 11.195 3.245 11.365 3.415 ;
+        RECT 11.675 3.245 11.845 3.415 ;
+        RECT 12.155 3.245 12.325 3.415 ;
         RECT 0.635 2.795 0.805 2.965 ;
         RECT 6.155 2.795 6.325 2.965 ;
         RECT 9.035 2.795 9.205 2.965 ;
@@ -157,7 +181,31 @@
         RECT 7.595 0.500 7.765 0.670 ;
         RECT 9.035 0.500 9.205 0.670 ;
         RECT 11.915 0.500 12.085 0.670 ;
-        RECT 6.155 -0.085 6.325 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
+        RECT 9.755 -0.085 9.925 0.085 ;
+        RECT 10.235 -0.085 10.405 0.085 ;
+        RECT 10.715 -0.085 10.885 0.085 ;
+        RECT 11.195 -0.085 11.365 0.085 ;
+        RECT 11.675 -0.085 11.845 0.085 ;
+        RECT 12.155 -0.085 12.325 0.085 ;
       LAYER met1 ;
         RECT 4.250 2.675 5.830 2.815 ;
         RECT 1.775 2.195 2.065 2.485 ;
diff --git a/cells/mag/CLKBUF1.mag b/cells/mag/CLKBUF1.mag
index 40d2db9..7a53bc1 100644
--- a/cells/mag/CLKBUF1.mag
+++ b/cells/mag/CLKBUF1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809585
+timestamp 1636962374
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -254,8 +254,32 @@
 rect 1999 181 2033 215
 rect 2287 181 2321 215
 << locali >>
-rect 0 649 1231 683
-rect 1265 649 2592 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2239 683
+rect 2273 649 2335 683
+rect 2369 649 2431 683
+rect 2465 649 2592 683
 rect 31 643 2561 649
 rect 31 618 605 643
 rect 739 618 2561 643
@@ -412,10 +436,58 @@
 rect 2417 100 2433 134
 rect 2367 84 2433 100
 rect 31 17 2561 48
-rect 0 -17 1231 17
-rect 1265 -17 2592 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2239 17
+rect 2273 -17 2335 17
+rect 2369 -17 2431 17
+rect 2465 -17 2592 17
 << viali >>
-rect 1231 649 1265 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
+rect 1951 649 1985 683
+rect 2047 649 2081 683
+rect 2143 649 2177 683
+rect 2239 649 2273 683
+rect 2335 649 2369 683
+rect 2431 649 2465 683
 rect 127 559 161 593
 rect 655 559 689 593
 rect 1231 559 1265 593
@@ -447,11 +519,59 @@
 rect 1519 100 1553 134
 rect 1807 100 1841 134
 rect 2383 100 2417 134
-rect 1231 -17 1265 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
+rect 1951 -17 1985 17
+rect 2047 -17 2081 17
+rect 2143 -17 2177 17
+rect 2239 -17 2273 17
+rect 2335 -17 2369 17
+rect 2431 -17 2465 17
 << metal1 >>
 rect 0 683 2592 714
-rect 0 649 1231 683
-rect 1265 649 2592 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2239 683
+rect 2273 649 2335 683
+rect 2369 649 2431 683
+rect 2465 649 2592 683
 rect 0 618 2592 649
 rect 115 593 173 618
 rect 115 559 127 593
@@ -613,8 +733,32 @@
 rect 1810 48 1838 88
 rect 2386 48 2414 88
 rect 0 17 2592 48
-rect 0 -17 1231 17
-rect 1265 -17 2592 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2239 17
+rect 2273 -17 2335 17
+rect 2369 -17 2431 17
+rect 2465 -17 2592 17
 rect 0 -48 2592 -17
 << labels >>
 rlabel metal1 0 618 2592 714 0 VPWR
diff --git a/cells/mag/CLKBUF2.lef b/cells/mag/CLKBUF2.lef
index 44ff7c2..cfa077e 100644
--- a/cells/mag/CLKBUF2.lef
+++ b/cells/mag/CLKBUF2.lef
@@ -69,7 +69,43 @@
         RECT 14.715 2.715 15.045 3.090 ;
         RECT 17.595 2.715 17.925 3.090 ;
       LAYER mcon ;
-        RECT 9.035 3.245 9.205 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
+        RECT 9.755 3.245 9.925 3.415 ;
+        RECT 10.235 3.245 10.405 3.415 ;
+        RECT 10.715 3.245 10.885 3.415 ;
+        RECT 11.195 3.245 11.365 3.415 ;
+        RECT 11.675 3.245 11.845 3.415 ;
+        RECT 12.155 3.245 12.325 3.415 ;
+        RECT 12.635 3.245 12.805 3.415 ;
+        RECT 13.115 3.245 13.285 3.415 ;
+        RECT 13.595 3.245 13.765 3.415 ;
+        RECT 14.075 3.245 14.245 3.415 ;
+        RECT 14.555 3.245 14.725 3.415 ;
+        RECT 15.035 3.245 15.205 3.415 ;
+        RECT 15.515 3.245 15.685 3.415 ;
+        RECT 15.995 3.245 16.165 3.415 ;
+        RECT 16.475 3.245 16.645 3.415 ;
+        RECT 16.955 3.245 17.125 3.415 ;
+        RECT 17.435 3.245 17.605 3.415 ;
+        RECT 17.915 3.245 18.085 3.415 ;
         RECT 0.635 2.795 0.805 2.965 ;
         RECT 6.155 2.795 6.325 2.965 ;
         RECT 9.035 2.795 9.205 2.965 ;
@@ -206,7 +242,43 @@
         RECT 14.795 0.500 14.965 0.670 ;
         RECT 16.235 0.500 16.405 0.670 ;
         RECT 17.675 0.500 17.845 0.670 ;
-        RECT 3.275 -0.085 3.445 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
+        RECT 9.755 -0.085 9.925 0.085 ;
+        RECT 10.235 -0.085 10.405 0.085 ;
+        RECT 10.715 -0.085 10.885 0.085 ;
+        RECT 11.195 -0.085 11.365 0.085 ;
+        RECT 11.675 -0.085 11.845 0.085 ;
+        RECT 12.155 -0.085 12.325 0.085 ;
+        RECT 12.635 -0.085 12.805 0.085 ;
+        RECT 13.115 -0.085 13.285 0.085 ;
+        RECT 13.595 -0.085 13.765 0.085 ;
+        RECT 14.075 -0.085 14.245 0.085 ;
+        RECT 14.555 -0.085 14.725 0.085 ;
+        RECT 15.035 -0.085 15.205 0.085 ;
+        RECT 15.515 -0.085 15.685 0.085 ;
+        RECT 15.995 -0.085 16.165 0.085 ;
+        RECT 16.475 -0.085 16.645 0.085 ;
+        RECT 16.955 -0.085 17.125 0.085 ;
+        RECT 17.435 -0.085 17.605 0.085 ;
+        RECT 17.915 -0.085 18.085 0.085 ;
       LAYER met1 ;
         RECT 5.615 2.815 5.905 2.890 ;
         RECT 8.495 2.815 8.785 2.890 ;
diff --git a/cells/mag/CLKBUF2.mag b/cells/mag/CLKBUF2.mag
index f6e3006..6768390 100644
--- a/cells/mag/CLKBUF2.mag
+++ b/cells/mag/CLKBUF2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809586
+timestamp 1636962375
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -368,8 +368,44 @@
 rect 3151 181 3185 215
 rect 3439 181 3473 215
 << locali >>
-rect 0 649 1807 683
-rect 1841 649 3744 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2239 683
+rect 2273 649 2335 683
+rect 2369 649 2431 683
+rect 2465 649 2527 683
+rect 2561 649 2623 683
+rect 2657 649 2719 683
+rect 2753 649 2815 683
+rect 2849 649 2911 683
+rect 2945 649 3007 683
+rect 3041 649 3103 683
+rect 3137 649 3199 683
+rect 3233 649 3295 683
+rect 3329 649 3391 683
+rect 3425 649 3487 683
+rect 3521 649 3583 683
+rect 3617 649 3744 683
 rect 31 643 3713 649
 rect 31 618 605 643
 rect 739 618 3713 643
@@ -602,10 +638,82 @@
 rect 3569 100 3585 134
 rect 3519 84 3585 100
 rect 31 17 3713 48
-rect 0 -17 655 17
-rect 689 -17 3744 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2239 17
+rect 2273 -17 2335 17
+rect 2369 -17 2431 17
+rect 2465 -17 2527 17
+rect 2561 -17 2623 17
+rect 2657 -17 2719 17
+rect 2753 -17 2815 17
+rect 2849 -17 2911 17
+rect 2945 -17 3007 17
+rect 3041 -17 3103 17
+rect 3137 -17 3199 17
+rect 3233 -17 3295 17
+rect 3329 -17 3391 17
+rect 3425 -17 3487 17
+rect 3521 -17 3583 17
+rect 3617 -17 3744 17
 << viali >>
-rect 1807 649 1841 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
+rect 1951 649 1985 683
+rect 2047 649 2081 683
+rect 2143 649 2177 683
+rect 2239 649 2273 683
+rect 2335 649 2369 683
+rect 2431 649 2465 683
+rect 2527 649 2561 683
+rect 2623 649 2657 683
+rect 2719 649 2753 683
+rect 2815 649 2849 683
+rect 2911 649 2945 683
+rect 3007 649 3041 683
+rect 3103 649 3137 683
+rect 3199 649 3233 683
+rect 3295 649 3329 683
+rect 3391 649 3425 683
+rect 3487 649 3521 683
+rect 3583 649 3617 683
 rect 127 559 161 593
 rect 655 559 689 593
 rect 1135 532 1169 566
@@ -658,11 +766,83 @@
 rect 2959 100 2993 134
 rect 3247 100 3281 134
 rect 3535 100 3569 134
-rect 655 -17 689 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
+rect 1951 -17 1985 17
+rect 2047 -17 2081 17
+rect 2143 -17 2177 17
+rect 2239 -17 2273 17
+rect 2335 -17 2369 17
+rect 2431 -17 2465 17
+rect 2527 -17 2561 17
+rect 2623 -17 2657 17
+rect 2719 -17 2753 17
+rect 2815 -17 2849 17
+rect 2911 -17 2945 17
+rect 3007 -17 3041 17
+rect 3103 -17 3137 17
+rect 3199 -17 3233 17
+rect 3295 -17 3329 17
+rect 3391 -17 3425 17
+rect 3487 -17 3521 17
+rect 3583 -17 3617 17
 << metal1 >>
 rect 0 683 3744 714
-rect 0 649 1807 683
-rect 1841 649 3744 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2239 683
+rect 2273 649 2335 683
+rect 2369 649 2431 683
+rect 2465 649 2527 683
+rect 2561 649 2623 683
+rect 2657 649 2719 683
+rect 2753 649 2815 683
+rect 2849 649 2911 683
+rect 2945 649 3007 683
+rect 3041 649 3103 683
+rect 3137 649 3199 683
+rect 3233 649 3295 683
+rect 3329 649 3391 683
+rect 3425 649 3487 683
+rect 3521 649 3583 683
+rect 3617 649 3744 683
 rect 0 618 3744 649
 rect 115 593 173 618
 rect 115 559 127 593
@@ -929,8 +1109,44 @@
 rect 2962 48 2990 88
 rect 3538 48 3566 88
 rect 0 17 3744 48
-rect 0 -17 655 17
-rect 689 -17 3744 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2239 17
+rect 2273 -17 2335 17
+rect 2369 -17 2431 17
+rect 2465 -17 2527 17
+rect 2561 -17 2623 17
+rect 2657 -17 2719 17
+rect 2753 -17 2815 17
+rect 2849 -17 2911 17
+rect 2945 -17 3007 17
+rect 3041 -17 3103 17
+rect 3137 -17 3199 17
+rect 3233 -17 3295 17
+rect 3329 -17 3391 17
+rect 3425 -17 3487 17
+rect 3521 -17 3583 17
+rect 3617 -17 3744 17
 rect 0 -48 3744 -17
 << labels >>
 rlabel metal1 0 618 3744 714 0 VPWR
diff --git a/cells/mag/HAX1.lef b/cells/mag/HAX1.lef
index 0a950dc..f2020dd 100644
--- a/cells/mag/HAX1.lef
+++ b/cells/mag/HAX1.lef
@@ -73,7 +73,37 @@
         RECT 7.755 2.715 8.085 3.090 ;
         RECT 13.515 2.715 13.845 3.090 ;
       LAYER mcon ;
-        RECT 4.715 3.245 4.885 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
+        RECT 9.755 3.245 9.925 3.415 ;
+        RECT 10.235 3.245 10.405 3.415 ;
+        RECT 10.715 3.245 10.885 3.415 ;
+        RECT 11.195 3.245 11.365 3.415 ;
+        RECT 11.675 3.245 11.845 3.415 ;
+        RECT 12.155 3.245 12.325 3.415 ;
+        RECT 12.635 3.245 12.805 3.415 ;
+        RECT 13.115 3.245 13.285 3.415 ;
+        RECT 13.595 3.245 13.765 3.415 ;
+        RECT 14.075 3.245 14.245 3.415 ;
+        RECT 14.555 3.245 14.725 3.415 ;
+        RECT 15.035 3.245 15.205 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
         RECT 13.595 2.795 13.765 2.965 ;
     END
@@ -174,7 +204,37 @@
         RECT 11.915 0.500 12.085 0.670 ;
         RECT 13.595 0.500 13.765 0.670 ;
         RECT 14.795 0.500 14.965 0.670 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
         RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
+        RECT 9.755 -0.085 9.925 0.085 ;
+        RECT 10.235 -0.085 10.405 0.085 ;
+        RECT 10.715 -0.085 10.885 0.085 ;
+        RECT 11.195 -0.085 11.365 0.085 ;
+        RECT 11.675 -0.085 11.845 0.085 ;
+        RECT 12.155 -0.085 12.325 0.085 ;
+        RECT 12.635 -0.085 12.805 0.085 ;
+        RECT 13.115 -0.085 13.285 0.085 ;
+        RECT 13.595 -0.085 13.765 0.085 ;
+        RECT 14.075 -0.085 14.245 0.085 ;
+        RECT 14.555 -0.085 14.725 0.085 ;
+        RECT 15.035 -0.085 15.205 0.085 ;
       LAYER met1 ;
         RECT 3.455 2.410 3.745 2.485 ;
         RECT 6.095 2.410 6.385 2.485 ;
diff --git a/cells/mag/HAX1.mag b/cells/mag/HAX1.mag
index f55e118..ee5a949 100644
--- a/cells/mag/HAX1.mag
+++ b/cells/mag/HAX1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809587
+timestamp 1636962376
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -250,8 +250,38 @@
 rect 2287 181 2321 215
 rect 2863 181 2897 215
 << locali >>
-rect 0 649 943 683
-rect 977 649 3168 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2239 683
+rect 2273 649 2335 683
+rect 2369 649 2431 683
+rect 2465 649 2527 683
+rect 2561 649 2623 683
+rect 2657 649 2719 683
+rect 2753 649 2815 683
+rect 2849 649 2911 683
+rect 2945 649 3007 683
+rect 3041 649 3168 683
 rect 31 643 3137 649
 rect 31 618 2333 643
 rect 2467 618 3137 643
@@ -405,10 +435,70 @@
 rect 2993 100 3009 134
 rect 2943 84 3009 100
 rect 31 17 3137 48
-rect 0 -17 1567 17
-rect 1601 -17 3168 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2239 17
+rect 2273 -17 2335 17
+rect 2369 -17 2431 17
+rect 2465 -17 2527 17
+rect 2561 -17 2623 17
+rect 2657 -17 2719 17
+rect 2753 -17 2815 17
+rect 2849 -17 2911 17
+rect 2945 -17 3007 17
+rect 3041 -17 3168 17
 << viali >>
-rect 943 649 977 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
+rect 1951 649 1985 683
+rect 2047 649 2081 683
+rect 2143 649 2177 683
+rect 2239 649 2273 683
+rect 2335 649 2369 683
+rect 2431 649 2465 683
+rect 2527 649 2561 683
+rect 2623 649 2657 683
+rect 2719 649 2753 683
+rect 2815 649 2849 683
+rect 2911 649 2945 683
+rect 3007 649 3041 683
 rect 367 559 401 593
 rect 847 532 881 566
 rect 2383 559 2417 593
@@ -440,11 +530,71 @@
 rect 2383 100 2417 134
 rect 2719 100 2753 134
 rect 2959 100 2993 134
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
 rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
+rect 1951 -17 1985 17
+rect 2047 -17 2081 17
+rect 2143 -17 2177 17
+rect 2239 -17 2273 17
+rect 2335 -17 2369 17
+rect 2431 -17 2465 17
+rect 2527 -17 2561 17
+rect 2623 -17 2657 17
+rect 2719 -17 2753 17
+rect 2815 -17 2849 17
+rect 2911 -17 2945 17
+rect 3007 -17 3041 17
 << metal1 >>
 rect 0 683 3168 714
-rect 0 649 943 683
-rect 977 649 3168 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2239 683
+rect 2273 649 2335 683
+rect 2369 649 2431 683
+rect 2465 649 2527 683
+rect 2561 649 2623 683
+rect 2657 649 2719 683
+rect 2753 649 2815 683
+rect 2849 649 2911 683
+rect 2945 649 3007 683
+rect 3041 649 3168 683
 rect 0 618 3168 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -607,8 +757,38 @@
 rect 1570 48 1598 88
 rect 2722 48 2750 88
 rect 0 17 3168 48
-rect 0 -17 1567 17
-rect 1601 -17 3168 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2239 17
+rect 2273 -17 2335 17
+rect 2369 -17 2431 17
+rect 2465 -17 2527 17
+rect 2561 -17 2623 17
+rect 2657 -17 2719 17
+rect 2753 -17 2815 17
+rect 2849 -17 2911 17
+rect 2945 -17 3007 17
+rect 3041 -17 3168 17
 rect 0 -48 3168 -17
 << labels >>
 rlabel metal1 0 618 3168 714 0 VPWR
diff --git a/cells/mag/INV.lef b/cells/mag/INV.lef
index cf2463e..20618b2 100644
--- a/cells/mag/INV.lef
+++ b/cells/mag/INV.lef
@@ -40,7 +40,10 @@
         RECT 0.155 3.090 2.725 3.245 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 1.835 3.245 2.005 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -71,7 +74,10 @@
         RECT 1.355 0.905 1.525 1.075 ;
         RECT 0.635 0.500 0.805 0.670 ;
         RECT 1.835 0.500 2.005 0.670 ;
-        RECT 1.835 -0.085 2.005 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
   END
 END INV
 END LIBRARY
diff --git a/cells/mag/INV.mag b/cells/mag/INV.mag
index f18c9e1..821a674 100644
--- a/cells/mag/INV.mag
+++ b/cells/mag/INV.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809588
+timestamp 1636962377
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -55,8 +55,11 @@
 rect 271 368 305 402
 rect 271 181 305 215
 << locali >>
-rect 0 649 367 683
-rect 401 649 576 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 576 683
 rect 31 618 545 649
 rect 351 593 417 618
 rect 351 559 367 593
@@ -84,21 +87,33 @@
 rect 401 100 417 134
 rect 351 84 417 100
 rect 31 17 545 48
-rect 0 -17 367 17
-rect 401 -17 576 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 576 17
 << viali >>
-rect 367 649 401 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
 rect 367 559 401 593
 rect 127 451 161 485
 rect 271 368 305 402
 rect 271 181 305 215
 rect 127 100 161 134
 rect 367 100 401 134
-rect 367 -17 401 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
 << metal1 >>
 rect 0 683 576 714
-rect 0 649 367 683
-rect 401 649 576 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 576 683
 rect 0 618 576 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -128,8 +143,11 @@
 rect 355 88 413 100
 rect 370 48 398 88
 rect 0 17 576 48
-rect 0 -17 367 17
-rect 401 -17 576 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 576 17
 rect 0 -48 576 -17
 << labels >>
 rlabel metal1 0 618 576 714 0 VPWR
diff --git a/cells/mag/INVX1.lef b/cells/mag/INVX1.lef
index c148cac..f141d51 100644
--- a/cells/mag/INVX1.lef
+++ b/cells/mag/INVX1.lef
@@ -40,7 +40,10 @@
         RECT 0.155 3.090 2.725 3.245 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 1.835 3.245 2.005 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -71,7 +74,10 @@
         RECT 1.355 0.905 1.525 1.075 ;
         RECT 0.635 0.500 0.805 0.670 ;
         RECT 1.835 0.500 2.005 0.670 ;
-        RECT 1.835 -0.085 2.005 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
   END
 END INVX1
 END LIBRARY
diff --git a/cells/mag/INVX1.mag b/cells/mag/INVX1.mag
index 05e0eb7..66f6ead 100644
--- a/cells/mag/INVX1.mag
+++ b/cells/mag/INVX1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809589
+timestamp 1636962378
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -55,8 +55,11 @@
 rect 271 368 305 402
 rect 271 181 305 215
 << locali >>
-rect 0 649 367 683
-rect 401 649 576 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 576 683
 rect 31 618 545 649
 rect 351 593 417 618
 rect 351 559 367 593
@@ -84,21 +87,33 @@
 rect 401 100 417 134
 rect 351 84 417 100
 rect 31 17 545 48
-rect 0 -17 367 17
-rect 401 -17 576 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 576 17
 << viali >>
-rect 367 649 401 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
 rect 367 559 401 593
 rect 127 451 161 485
 rect 271 368 305 402
 rect 271 181 305 215
 rect 127 100 161 134
 rect 367 100 401 134
-rect 367 -17 401 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
 << metal1 >>
 rect 0 683 576 714
-rect 0 649 367 683
-rect 401 649 576 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 576 683
 rect 0 618 576 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -128,8 +143,11 @@
 rect 355 88 413 100
 rect 370 48 398 88
 rect 0 17 576 48
-rect 0 -17 367 17
-rect 401 -17 576 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 576 17
 rect 0 -48 576 -17
 << labels >>
 rlabel metal1 0 618 576 714 0 VPWR
diff --git a/cells/mag/INVX2.lef b/cells/mag/INVX2.lef
index 3be1f1c..066a6a0 100644
--- a/cells/mag/INVX2.lef
+++ b/cells/mag/INVX2.lef
@@ -40,7 +40,10 @@
         RECT 0.155 3.090 2.725 3.245 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 1.835 3.245 2.005 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -71,7 +74,10 @@
         RECT 1.355 0.905 1.525 1.075 ;
         RECT 0.635 0.500 0.805 0.670 ;
         RECT 1.835 0.500 2.005 0.670 ;
-        RECT 1.835 -0.085 2.005 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
   END
 END INVX2
 END LIBRARY
diff --git a/cells/mag/INVX2.mag b/cells/mag/INVX2.mag
index 271d7a9..66f6ead 100644
--- a/cells/mag/INVX2.mag
+++ b/cells/mag/INVX2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809590
+timestamp 1636962378
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -55,8 +55,11 @@
 rect 271 368 305 402
 rect 271 181 305 215
 << locali >>
-rect 0 649 367 683
-rect 401 649 576 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 576 683
 rect 31 618 545 649
 rect 351 593 417 618
 rect 351 559 367 593
@@ -84,21 +87,33 @@
 rect 401 100 417 134
 rect 351 84 417 100
 rect 31 17 545 48
-rect 0 -17 367 17
-rect 401 -17 576 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 576 17
 << viali >>
-rect 367 649 401 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
 rect 367 559 401 593
 rect 127 451 161 485
 rect 271 368 305 402
 rect 271 181 305 215
 rect 127 100 161 134
 rect 367 100 401 134
-rect 367 -17 401 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
 << metal1 >>
 rect 0 683 576 714
-rect 0 649 367 683
-rect 401 649 576 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 576 683
 rect 0 618 576 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -128,8 +143,11 @@
 rect 355 88 413 100
 rect 370 48 398 88
 rect 0 17 576 48
-rect 0 -17 367 17
-rect 401 -17 576 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 576 17
 rect 0 -48 576 -17
 << labels >>
 rlabel metal1 0 618 576 714 0 VPWR
diff --git a/cells/mag/INVX4.lef b/cells/mag/INVX4.lef
index 77ed754..3c07ee1 100644
--- a/cells/mag/INVX4.lef
+++ b/cells/mag/INVX4.lef
@@ -46,7 +46,13 @@
         RECT 0.155 3.090 4.165 3.245 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 1.835 3.245 2.005 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -95,7 +101,13 @@
         RECT 0.875 0.500 1.045 0.670 ;
         RECT 1.835 0.500 2.005 0.670 ;
         RECT 3.275 0.500 3.445 0.670 ;
-        RECT 1.835 -0.085 2.005 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
   END
 END INVX4
 END LIBRARY
diff --git a/cells/mag/INVX4.mag b/cells/mag/INVX4.mag
index 0fb758a..a20fe15 100644
--- a/cells/mag/INVX4.mag
+++ b/cells/mag/INVX4.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809591
+timestamp 1636962379
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -85,8 +85,14 @@
 rect 271 181 305 215
 rect 559 181 593 215
 << locali >>
-rect 0 649 367 683
-rect 401 649 864 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 864 683
 rect 31 618 833 649
 rect 351 593 417 618
 rect 351 559 367 593
@@ -134,10 +140,22 @@
 rect 689 100 705 134
 rect 639 84 705 100
 rect 31 17 833 48
-rect 0 -17 367 17
-rect 401 -17 864 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 864 17
 << viali >>
-rect 367 649 401 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
 rect 367 559 401 593
 rect 175 451 209 485
 rect 655 451 689 485
@@ -148,11 +166,23 @@
 rect 175 100 209 134
 rect 367 100 401 134
 rect 655 100 689 134
-rect 367 -17 401 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
 << metal1 >>
 rect 0 683 864 714
-rect 0 649 367 683
-rect 401 649 864 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 864 683
 rect 0 618 864 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -206,8 +236,14 @@
 rect 643 88 701 100
 rect 370 48 398 88
 rect 0 17 864 48
-rect 0 -17 367 17
-rect 401 -17 864 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 864 17
 rect 0 -48 864 -17
 << labels >>
 rlabel metal1 0 618 864 714 0 VPWR
diff --git a/cells/mag/INVX8.lef b/cells/mag/INVX8.lef
index 7ed4be3..1d61b46 100644
--- a/cells/mag/INVX8.lef
+++ b/cells/mag/INVX8.lef
@@ -57,7 +57,19 @@
         RECT 5.135 3.090 7.045 3.215 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 1.835 3.245 2.005 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -130,7 +142,19 @@
         RECT 1.835 0.500 2.005 0.670 ;
         RECT 3.755 0.500 3.925 0.670 ;
         RECT 6.155 0.500 6.325 0.670 ;
-        RECT 4.715 -0.085 4.885 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
   END
 END INVX8
 END LIBRARY
diff --git a/cells/mag/INVX8.mag b/cells/mag/INVX8.mag
index f75c1a7..9d430ed 100644
--- a/cells/mag/INVX8.mag
+++ b/cells/mag/INVX8.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809592
+timestamp 1636962380
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -142,8 +142,20 @@
 rect 847 181 881 215
 rect 1135 181 1169 215
 << locali >>
-rect 0 649 367 683
-rect 401 649 1440 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1440 683
 rect 31 643 1409 649
 rect 31 618 893 643
 rect 1027 618 1409 643
@@ -229,10 +241,34 @@
 rect 1215 84 1281 100
 rect 943 48 977 84
 rect 31 17 1409 48
-rect 0 -17 943 17
-rect 977 -17 1440 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1440 17
 << viali >>
-rect 367 649 401 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
 rect 367 559 401 593
 rect 943 559 977 593
 rect 175 451 209 485
@@ -250,11 +286,35 @@
 rect 367 100 401 134
 rect 751 100 785 134
 rect 1231 100 1265 134
-rect 943 -17 977 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
 << metal1 >>
 rect 0 683 1440 714
-rect 0 649 367 683
-rect 401 649 1440 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1440 683
 rect 0 618 1440 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -350,8 +410,20 @@
 rect 1219 88 1277 100
 rect 370 48 398 88
 rect 0 17 1440 48
-rect 0 -17 943 17
-rect 977 -17 1440 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1440 17
 rect 0 -48 1440 -17
 << labels >>
 rlabel metal1 0 618 1440 714 0 VPWR
diff --git a/cells/mag/MARTIN1989.lef b/cells/mag/MARTIN1989.lef
index f73ba2d..14ce86b 100644
--- a/cells/mag/MARTIN1989.lef
+++ b/cells/mag/MARTIN1989.lef
@@ -67,6 +67,18 @@
         RECT 0.555 2.715 0.885 3.090 ;
       LAYER mcon ;
         RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
         RECT 0.635 2.795 0.805 2.965 ;
     END
   END VPWR
@@ -112,7 +124,19 @@
         RECT 0.635 0.500 0.805 0.670 ;
         RECT 3.275 0.500 3.445 0.670 ;
         RECT 6.155 0.500 6.325 0.670 ;
-        RECT 4.715 -0.085 4.885 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
       LAYER met1 ;
         RECT 3.215 2.195 3.505 2.485 ;
         RECT 3.290 1.995 3.430 2.195 ;
diff --git a/cells/mag/MARTIN1989.mag b/cells/mag/MARTIN1989.mag
index d6c9ece..55a9ac8 100644
--- a/cells/mag/MARTIN1989.mag
+++ b/cells/mag/MARTIN1989.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809593
+timestamp 1636962381
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -131,7 +131,19 @@
 rect 1135 181 1169 215
 << locali >>
 rect 0 649 127 683
-rect 161 649 1440 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1440 683
 rect 31 643 1409 649
 rect 31 618 893 643
 rect 1027 618 1409 643
@@ -207,10 +219,34 @@
 rect 1215 84 1281 100
 rect 943 48 977 84
 rect 31 17 1409 48
-rect 0 -17 943 17
-rect 977 -17 1440 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1440 17
 << viali >>
 rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
 rect 127 559 161 593
 rect 943 559 977 593
 rect 655 451 689 485
@@ -225,11 +261,35 @@
 rect 127 100 161 134
 rect 655 100 689 134
 rect 1231 100 1265 134
-rect 943 -17 977 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
 << metal1 >>
 rect 0 683 1440 714
 rect 0 649 127 683
-rect 161 649 1440 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1440 683
 rect 0 618 1440 649
 rect 115 593 173 618
 rect 115 559 127 593
@@ -300,8 +360,20 @@
 rect 1219 88 1277 100
 rect 130 48 158 88
 rect 0 17 1440 48
-rect 0 -17 943 17
-rect 977 -17 1440 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1440 17
 rect 0 -48 1440 -17
 << labels >>
 rlabel metal1 0 618 1440 714 0 VPWR
diff --git a/cells/mag/MUX2X1.lef b/cells/mag/MUX2X1.lef
index 0ec3478..e0b14b0 100644
--- a/cells/mag/MUX2X1.lef
+++ b/cells/mag/MUX2X1.lef
@@ -69,7 +69,22 @@
         RECT 8.015 3.090 8.485 3.215 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 7.595 3.245 7.765 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -126,7 +141,22 @@
         RECT 0.635 0.500 0.805 0.670 ;
         RECT 1.835 0.500 2.005 0.670 ;
         RECT 7.595 0.500 7.765 0.670 ;
-        RECT 1.835 -0.085 2.005 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
       LAYER met1 ;
         RECT 0.575 2.195 0.865 2.485 ;
         RECT 0.650 1.995 0.790 2.195 ;
diff --git a/cells/mag/MUX2X1.mag b/cells/mag/MUX2X1.mag
index 6908955..793e560 100644
--- a/cells/mag/MUX2X1.mag
+++ b/cells/mag/MUX2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809593
+timestamp 1636962382
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -148,8 +148,23 @@
 rect 1135 181 1169 215
 rect 1423 181 1457 215
 << locali >>
-rect 0 649 1519 683
-rect 1553 649 1728 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1728 683
 rect 31 643 1697 649
 rect 31 618 1469 643
 rect 1603 618 1697 643
@@ -233,10 +248,40 @@
 rect 1553 100 1569 134
 rect 1503 84 1569 100
 rect 31 17 1697 48
-rect 0 -17 367 17
-rect 401 -17 1728 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1728 17
 << viali >>
-rect 1519 649 1553 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
 rect 367 559 401 593
 rect 1519 559 1553 593
 rect 127 451 161 485
@@ -253,11 +298,41 @@
 rect 127 100 161 134
 rect 367 100 401 134
 rect 1519 100 1553 134
-rect 367 -17 401 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
 << metal1 >>
 rect 0 683 1728 714
-rect 0 649 1519 683
-rect 1553 649 1728 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1728 683
 rect 0 618 1728 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -341,8 +416,23 @@
 rect 370 48 398 88
 rect 1522 48 1550 88
 rect 0 17 1728 48
-rect 0 -17 367 17
-rect 401 -17 1728 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1728 17
 rect 0 -48 1728 -17
 << labels >>
 rlabel metal1 0 618 1728 714 0 VPWR
diff --git a/cells/mag/NAND2X1.lef b/cells/mag/NAND2X1.lef
index 3ca510d..37df5b8 100644
--- a/cells/mag/NAND2X1.lef
+++ b/cells/mag/NAND2X1.lef
@@ -49,7 +49,13 @@
         RECT 0.155 3.090 4.165 3.245 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 1.835 3.245 2.005 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -91,7 +97,13 @@
         RECT 2.795 0.905 2.965 1.075 ;
         RECT 0.635 0.500 0.805 0.670 ;
         RECT 3.275 0.500 3.445 0.670 ;
-        RECT 3.275 -0.085 3.445 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
   END
 END NAND2X1
 END LIBRARY
diff --git a/cells/mag/NAND2X1.mag b/cells/mag/NAND2X1.mag
index b0bca1e..de103b5 100644
--- a/cells/mag/NAND2X1.mag
+++ b/cells/mag/NAND2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809594
+timestamp 1636962383
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -78,8 +78,14 @@
 rect 271 181 305 215
 rect 559 181 593 215
 << locali >>
-rect 0 649 367 683
-rect 401 649 864 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 864 683
 rect 31 618 833 649
 rect 351 593 417 618
 rect 351 559 367 593
@@ -120,10 +126,22 @@
 rect 689 100 705 134
 rect 639 84 705 100
 rect 31 17 833 48
-rect 0 -17 655 17
-rect 689 -17 864 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 864 17
 << viali >>
-rect 367 649 401 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
 rect 367 559 401 593
 rect 127 451 161 485
 rect 655 451 689 485
@@ -133,11 +151,23 @@
 rect 559 181 593 215
 rect 127 100 161 134
 rect 655 100 689 134
-rect 655 -17 689 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
 << metal1 >>
 rect 0 683 864 714
-rect 0 649 367 683
-rect 401 649 864 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 864 683
 rect 0 618 864 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -183,8 +213,14 @@
 rect 643 88 701 100
 rect 658 48 686 88
 rect 0 17 864 48
-rect 0 -17 655 17
-rect 689 -17 864 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 864 17
 rect 0 -48 864 -17
 << labels >>
 rlabel metal1 0 618 864 714 0 VPWR
diff --git a/cells/mag/NAND3X1.lef b/cells/mag/NAND3X1.lef
index a747a41..926e486 100644
--- a/cells/mag/NAND3X1.lef
+++ b/cells/mag/NAND3X1.lef
@@ -61,7 +61,16 @@
         RECT 5.135 3.090 5.605 3.215 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 1.835 3.245 2.005 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -109,7 +118,16 @@
         RECT 4.235 0.905 4.405 1.075 ;
         RECT 0.635 0.500 0.805 0.670 ;
         RECT 4.715 0.500 4.885 0.670 ;
-        RECT 4.715 -0.085 4.885 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
   END
 END NAND3X1
 END LIBRARY
diff --git a/cells/mag/NAND3X1.mag b/cells/mag/NAND3X1.mag
index c41af4e..358f44d 100644
--- a/cells/mag/NAND3X1.mag
+++ b/cells/mag/NAND3X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809595
+timestamp 1636962384
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -100,8 +100,17 @@
 rect 559 181 593 215
 rect 847 181 881 215
 << locali >>
-rect 0 649 367 683
-rect 401 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 31 643 1121 649
 rect 31 618 893 643
 rect 1027 618 1121 643
@@ -156,10 +165,28 @@
 rect 977 100 993 134
 rect 927 84 993 100
 rect 31 17 1121 48
-rect 0 -17 943 17
-rect 977 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 << viali >>
-rect 367 649 401 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
 rect 367 559 401 593
 rect 943 559 977 593
 rect 127 451 161 485
@@ -172,11 +199,29 @@
 rect 847 181 881 215
 rect 127 100 161 134
 rect 943 100 977 134
-rect 943 -17 977 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
 << metal1 >>
 rect 0 683 1152 714
-rect 0 649 367 683
-rect 401 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 0 618 1152 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -235,8 +280,17 @@
 rect 931 88 989 100
 rect 946 48 974 88
 rect 0 17 1152 48
-rect 0 -17 943 17
-rect 977 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
 rlabel metal1 0 618 1152 714 0 VPWR
diff --git a/cells/mag/NOR2X1.lef b/cells/mag/NOR2X1.lef
index 0af2da3..dfdfa3b 100644
--- a/cells/mag/NOR2X1.lef
+++ b/cells/mag/NOR2X1.lef
@@ -48,6 +48,12 @@
         RECT 0.555 2.715 0.885 3.090 ;
       LAYER mcon ;
         RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
         RECT 0.635 2.795 0.805 2.965 ;
     END
   END VPWR
@@ -91,7 +97,13 @@
         RECT 2.795 0.905 2.965 1.075 ;
         RECT 0.875 0.500 1.045 0.670 ;
         RECT 3.275 0.500 3.445 0.670 ;
-        RECT 1.835 -0.085 2.005 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
   END
 END NOR2X1
 END LIBRARY
diff --git a/cells/mag/NOR2X1.mag b/cells/mag/NOR2X1.mag
index b8481dd..cd5b993 100644
--- a/cells/mag/NOR2X1.mag
+++ b/cells/mag/NOR2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809596
+timestamp 1636962385
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -81,7 +81,13 @@
 rect 559 181 593 215
 << locali >>
 rect 0 649 127 683
-rect 161 649 864 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 864 683
 rect 31 618 833 649
 rect 111 593 177 618
 rect 111 559 127 593
@@ -125,10 +131,22 @@
 rect 639 84 705 100
 rect 367 48 401 84
 rect 31 17 833 48
-rect 0 -17 367 17
-rect 401 -17 864 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 864 17
 << viali >>
 rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
 rect 127 559 161 593
 rect 655 451 689 485
 rect 271 368 305 402
@@ -137,11 +155,23 @@
 rect 559 181 593 215
 rect 175 100 209 134
 rect 655 100 689 134
-rect 367 -17 401 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
 << metal1 >>
 rect 0 683 864 714
 rect 0 649 127 683
-rect 161 649 864 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 864 683
 rect 0 618 864 649
 rect 115 593 173 618
 rect 115 559 127 593
@@ -182,8 +212,14 @@
 rect 689 100 701 134
 rect 643 88 701 100
 rect 0 17 864 48
-rect 0 -17 367 17
-rect 401 -17 864 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 864 17
 rect 0 -48 864 -17
 << labels >>
 rlabel metal1 0 618 864 714 0 VPWR
diff --git a/cells/mag/OAI21X1.lef b/cells/mag/OAI21X1.lef
index 66ab844..44c2de9 100644
--- a/cells/mag/OAI21X1.lef
+++ b/cells/mag/OAI21X1.lef
@@ -56,7 +56,16 @@
         RECT 0.155 3.090 5.605 3.245 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 1.835 3.245 2.005 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -108,7 +117,16 @@
         RECT 0.635 0.500 0.805 0.670 ;
         RECT 2.315 0.500 2.485 0.670 ;
         RECT 4.715 0.500 4.885 0.670 ;
-        RECT 3.275 -0.085 3.445 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
       LAYER met1 ;
         RECT 2.255 0.655 2.545 0.730 ;
         RECT 4.655 0.655 4.945 0.730 ;
diff --git a/cells/mag/OAI21X1.mag b/cells/mag/OAI21X1.mag
index a4e5404..afe3de3 100644
--- a/cells/mag/OAI21X1.mag
+++ b/cells/mag/OAI21X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809597
+timestamp 1636962386
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -108,8 +108,17 @@
 rect 559 181 593 215
 rect 847 181 881 215
 << locali >>
-rect 0 649 367 683
-rect 401 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 31 618 1121 649
 rect 351 593 417 618
 rect 351 559 367 593
@@ -169,10 +178,28 @@
 rect 927 84 993 100
 rect 655 48 689 84
 rect 31 17 1121 48
-rect 0 -17 655 17
-rect 689 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 << viali >>
-rect 367 649 401 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
 rect 367 559 401 593
 rect 127 451 161 485
 rect 943 451 977 485
@@ -185,11 +212,29 @@
 rect 127 100 161 134
 rect 463 100 497 134
 rect 943 100 977 134
-rect 655 -17 689 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
 << metal1 >>
 rect 0 683 1152 714
-rect 0 649 367 683
-rect 401 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 0 618 1152 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -250,8 +295,17 @@
 rect 977 100 989 134
 rect 931 88 989 100
 rect 0 17 1152 48
-rect 0 -17 655 17
-rect 689 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
 rlabel metal1 0 618 1152 714 0 VPWR
diff --git a/cells/mag/OAI22X1.lef b/cells/mag/OAI22X1.lef
index c920516..17bcc31 100644
--- a/cells/mag/OAI22X1.lef
+++ b/cells/mag/OAI22X1.lef
@@ -65,7 +65,19 @@
         RECT 0.155 3.090 7.045 3.245 ;
         RECT 3.195 2.715 3.525 3.090 ;
       LAYER mcon ;
-        RECT 3.275 3.245 3.445 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
         RECT 3.275 2.795 3.445 2.965 ;
     END
   END VPWR
@@ -127,7 +139,19 @@
         RECT 0.875 0.500 1.045 0.670 ;
         RECT 3.755 0.500 3.925 0.670 ;
         RECT 6.155 0.500 6.325 0.670 ;
-        RECT 1.835 -0.085 2.005 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
       LAYER met1 ;
         RECT 0.815 0.655 1.105 0.730 ;
         RECT 3.695 0.655 3.985 0.730 ;
diff --git a/cells/mag/OAI22X1.mag b/cells/mag/OAI22X1.mag
index 55d0b77..aac9ce6 100644
--- a/cells/mag/OAI22X1.mag
+++ b/cells/mag/OAI22X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809598
+timestamp 1636962387
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -133,8 +133,20 @@
 rect 847 181 881 215
 rect 1135 181 1169 215
 << locali >>
-rect 0 649 655 683
-rect 689 649 1440 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1440 683
 rect 31 618 1409 649
 rect 639 593 705 618
 rect 639 559 655 593
@@ -210,10 +222,34 @@
 rect 1215 84 1281 100
 rect 367 48 401 84
 rect 31 17 1409 48
-rect 0 -17 367 17
-rect 401 -17 1440 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1440 17
 << viali >>
-rect 655 649 689 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
 rect 655 559 689 593
 rect 175 451 209 485
 rect 1231 451 1265 485
@@ -229,11 +265,35 @@
 rect 175 100 209 134
 rect 751 100 785 134
 rect 1231 100 1265 134
-rect 367 -17 401 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
 << metal1 >>
 rect 0 683 1440 714
-rect 0 649 655 683
-rect 689 649 1440 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1440 683
 rect 0 618 1440 649
 rect 643 593 701 618
 rect 643 559 655 593
@@ -310,8 +370,20 @@
 rect 1265 100 1277 134
 rect 1219 88 1277 100
 rect 0 17 1440 48
-rect 0 -17 367 17
-rect 401 -17 1440 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1440 17
 rect 0 -48 1440 -17
 << labels >>
 rlabel metal1 0 618 1440 714 0 VPWR
diff --git a/cells/mag/OR2X1.lef b/cells/mag/OR2X1.lef
index ded9d4a..74e3ed2 100644
--- a/cells/mag/OR2X1.lef
+++ b/cells/mag/OR2X1.lef
@@ -49,7 +49,16 @@
         RECT 0.155 3.090 5.605 3.245 ;
         RECT 3.195 2.715 3.525 3.090 ;
       LAYER mcon ;
-        RECT 3.275 3.245 3.445 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
         RECT 3.275 2.795 3.445 2.965 ;
     END
   END VPWR
@@ -99,7 +108,16 @@
         RECT 2.315 0.500 2.485 0.670 ;
         RECT 3.275 0.500 3.445 0.670 ;
         RECT 4.715 0.500 4.885 0.670 ;
-        RECT 3.275 -0.085 3.445 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
       LAYER met1 ;
         RECT 0.815 2.195 1.105 2.485 ;
         RECT 0.890 1.060 1.030 2.195 ;
diff --git a/cells/mag/OR2X1.mag b/cells/mag/OR2X1.mag
index 6f06233..caa8852 100644
--- a/cells/mag/OR2X1.mag
+++ b/cells/mag/OR2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809599
+timestamp 1636962388
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -108,8 +108,17 @@
 rect 559 181 593 215
 rect 847 181 881 215
 << locali >>
-rect 0 649 655 683
-rect 689 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 31 618 1121 649
 rect 639 593 705 618
 rect 639 559 655 593
@@ -171,10 +180,28 @@
 rect 977 100 993 134
 rect 927 84 993 100
 rect 31 17 1121 48
-rect 0 -17 655 17
-rect 689 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 << viali >>
-rect 655 649 689 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
 rect 655 559 689 593
 rect 175 451 209 485
 rect 943 451 977 485
@@ -187,11 +214,29 @@
 rect 463 100 497 134
 rect 655 100 689 134
 rect 943 100 977 134
-rect 655 -17 689 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
 << metal1 >>
 rect 0 683 1152 714
-rect 0 649 655 683
-rect 689 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 0 618 1152 649
 rect 643 593 701 618
 rect 643 559 655 593
@@ -251,8 +296,17 @@
 rect 130 48 158 88
 rect 658 48 686 88
 rect 0 17 1152 48
-rect 0 -17 655 17
-rect 689 -17 1152 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
 rlabel metal1 0 618 1152 714 0 VPWR
diff --git a/cells/mag/OR2X2.lef b/cells/mag/OR2X2.lef
index 22d0335..83545b2 100644
--- a/cells/mag/OR2X2.lef
+++ b/cells/mag/OR2X2.lef
@@ -47,7 +47,16 @@
         RECT 0.155 3.090 5.605 3.245 ;
         RECT 3.195 2.715 3.525 3.090 ;
       LAYER mcon ;
-        RECT 3.275 3.245 3.445 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
         RECT 3.275 2.795 3.445 2.965 ;
     END
   END VPWR
@@ -98,6 +107,15 @@
         RECT 2.315 0.500 2.485 0.670 ;
         RECT 4.715 0.500 4.885 0.670 ;
         RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
       LAYER met1 ;
         RECT 0.815 2.195 1.105 2.485 ;
         RECT 0.890 1.060 1.030 2.195 ;
diff --git a/cells/mag/OR2X2.mag b/cells/mag/OR2X2.mag
index 52a278b..1e615dd 100644
--- a/cells/mag/OR2X2.mag
+++ b/cells/mag/OR2X2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809600
+timestamp 1636962388
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -108,8 +108,17 @@
 rect 559 181 593 215
 rect 847 181 881 215
 << locali >>
-rect 0 649 655 683
-rect 689 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 31 618 1121 649
 rect 639 593 705 618
 rect 639 559 655 593
@@ -173,9 +182,27 @@
 rect 655 48 689 84
 rect 31 17 1121 48
 rect 0 -17 127 17
-rect 161 -17 1152 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 << viali >>
-rect 655 649 689 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
 rect 655 559 689 593
 rect 175 451 209 485
 rect 943 451 977 485
@@ -188,10 +215,28 @@
 rect 463 100 497 134
 rect 943 100 977 134
 rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
 << metal1 >>
 rect 0 683 1152 714
-rect 0 649 655 683
-rect 689 649 1152 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1152 683
 rect 0 618 1152 649
 rect 643 593 701 618
 rect 643 559 655 593
@@ -247,7 +292,16 @@
 rect 130 48 158 88
 rect 0 17 1152 48
 rect 0 -17 127 17
-rect 161 -17 1152 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1152 17
 rect 0 -48 1152 -17
 << labels >>
 rlabel metal1 0 618 1152 714 0 VPWR
diff --git a/cells/mag/SUTHERLAND1989.lef b/cells/mag/SUTHERLAND1989.lef
index 1c79955..25ed6e2 100644
--- a/cells/mag/SUTHERLAND1989.lef
+++ b/cells/mag/SUTHERLAND1989.lef
@@ -72,7 +72,28 @@
         RECT 6.575 3.090 11.365 3.215 ;
         RECT 3.195 2.715 3.525 3.090 ;
       LAYER mcon ;
-        RECT 6.155 3.245 6.325 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
+        RECT 9.755 3.245 9.925 3.415 ;
+        RECT 10.235 3.245 10.405 3.415 ;
+        RECT 10.715 3.245 10.885 3.415 ;
         RECT 3.275 2.795 3.445 2.965 ;
     END
   END VPWR
@@ -139,7 +160,28 @@
         RECT 5.195 0.500 5.365 0.670 ;
         RECT 9.275 0.500 9.445 0.670 ;
         RECT 10.475 0.500 10.645 0.670 ;
-        RECT 3.275 -0.085 3.445 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
+        RECT 9.755 -0.085 9.925 0.085 ;
+        RECT 10.235 -0.085 10.405 0.085 ;
+        RECT 10.715 -0.085 10.885 0.085 ;
       LAYER met1 ;
         RECT 0.575 2.195 0.865 2.485 ;
         RECT 5.135 2.410 5.425 2.485 ;
diff --git a/cells/mag/SUTHERLAND1989.mag b/cells/mag/SUTHERLAND1989.mag
index d315a65..156bf1f 100644
--- a/cells/mag/SUTHERLAND1989.mag
+++ b/cells/mag/SUTHERLAND1989.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809601
+timestamp 1636962389
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -199,8 +199,29 @@
 rect 1423 181 1457 215
 rect 1999 181 2033 215
 << locali >>
-rect 0 649 1231 683
-rect 1265 649 2304 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2304 683
 rect 31 643 2273 649
 rect 31 618 1181 643
 rect 1315 618 2273 643
@@ -321,10 +342,52 @@
 rect 2079 84 2145 100
 rect 1231 48 1265 84
 rect 31 17 2273 48
-rect 0 -17 655 17
-rect 689 -17 2304 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2304 17
 << viali >>
-rect 1231 649 1265 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
+rect 1951 649 1985 683
+rect 2047 649 2081 683
+rect 2143 649 2177 683
 rect 655 559 689 593
 rect 1231 559 1265 593
 rect 127 451 161 485
@@ -346,11 +409,53 @@
 rect 1039 100 1073 134
 rect 1855 100 1889 134
 rect 2095 100 2129 134
-rect 655 -17 689 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
+rect 1951 -17 1985 17
+rect 2047 -17 2081 17
+rect 2143 -17 2177 17
 << metal1 >>
 rect 0 683 2304 714
-rect 0 649 1231 683
-rect 1265 649 2304 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2304 683
 rect 0 618 2304 649
 rect 643 593 701 618
 rect 643 559 655 593
@@ -461,8 +566,29 @@
 rect 2083 88 2141 100
 rect 658 48 686 88
 rect 0 17 2304 48
-rect 0 -17 655 17
-rect 689 -17 2304 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2304 17
 rect 0 -48 2304 -17
 << labels >>
 rlabel metal1 0 618 2304 714 0 VPWR
diff --git a/cells/mag/VANBERKEL1991.lef b/cells/mag/VANBERKEL1991.lef
index 735d483..4cab858 100644
--- a/cells/mag/VANBERKEL1991.lef
+++ b/cells/mag/VANBERKEL1991.lef
@@ -68,7 +68,28 @@
         RECT 4.635 2.715 4.965 3.090 ;
         RECT 8.955 2.715 9.285 3.090 ;
       LAYER mcon ;
-        RECT 4.715 3.245 4.885 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
+        RECT 9.755 3.245 9.925 3.415 ;
+        RECT 10.235 3.245 10.405 3.415 ;
+        RECT 10.715 3.245 10.885 3.415 ;
         RECT 9.035 2.795 9.205 2.965 ;
     END
   END VPWR
@@ -144,7 +165,28 @@
         RECT 6.395 0.500 6.565 0.670 ;
         RECT 8.075 0.500 8.245 0.670 ;
         RECT 10.475 0.500 10.645 0.670 ;
-        RECT 9.035 -0.085 9.205 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
+        RECT 9.755 -0.085 9.925 0.085 ;
+        RECT 10.235 -0.085 10.405 0.085 ;
+        RECT 10.715 -0.085 10.885 0.085 ;
       LAYER met1 ;
         RECT 0.815 2.815 1.105 2.890 ;
         RECT 7.535 2.815 7.825 2.890 ;
diff --git a/cells/mag/VANBERKEL1991.mag b/cells/mag/VANBERKEL1991.mag
index eef3174..68b3da0 100644
--- a/cells/mag/VANBERKEL1991.mag
+++ b/cells/mag/VANBERKEL1991.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809602
+timestamp 1636962390
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -209,8 +209,29 @@
 rect 1711 181 1745 215
 rect 1999 181 2033 215
 << locali >>
-rect 0 649 943 683
-rect 977 649 2304 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2304 683
 rect 31 618 2273 649
 rect 927 593 993 618
 rect 159 566 225 582
@@ -347,10 +368,52 @@
 rect 943 48 977 84
 rect 1807 48 1841 84
 rect 31 17 2273 48
-rect 0 -17 1807 17
-rect 1841 -17 2304 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2304 17
 << viali >>
-rect 943 649 977 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
+rect 1951 649 1985 683
+rect 2047 649 2081 683
+rect 2143 649 2177 683
 rect 175 532 209 566
 rect 1519 532 1553 566
 rect 1807 559 1841 593
@@ -373,11 +436,53 @@
 rect 1279 100 1313 134
 rect 1615 100 1649 134
 rect 2095 100 2129 134
-rect 1807 -17 1841 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
+rect 1951 -17 1985 17
+rect 2047 -17 2081 17
+rect 2143 -17 2177 17
 << metal1 >>
 rect 0 683 2304 714
-rect 0 649 943 683
-rect 977 649 2304 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 1951 683
+rect 1985 649 2047 683
+rect 2081 649 2143 683
+rect 2177 649 2304 683
 rect 0 618 2304 649
 rect 1795 593 1853 618
 rect 163 566 221 578
@@ -500,8 +605,29 @@
 rect 2129 100 2141 134
 rect 2083 88 2141 100
 rect 0 17 2304 48
-rect 0 -17 1807 17
-rect 1841 -17 2304 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 1951 17
+rect 1985 -17 2047 17
+rect 2081 -17 2143 17
+rect 2177 -17 2304 17
 rect 0 -48 2304 -17
 << labels >>
 rlabel metal1 0 618 2304 714 0 VPWR
diff --git a/cells/mag/XNOR2X1.lef b/cells/mag/XNOR2X1.lef
index 452d520..304f617 100644
--- a/cells/mag/XNOR2X1.lef
+++ b/cells/mag/XNOR2X1.lef
@@ -66,7 +66,25 @@
         RECT 1.755 2.715 2.085 3.090 ;
         RECT 7.515 2.715 7.845 3.090 ;
       LAYER mcon ;
-        RECT 7.595 3.245 7.765 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
         RECT 7.595 2.795 7.765 2.965 ;
     END
   END VPWR
@@ -132,7 +150,25 @@
         RECT 4.715 0.500 4.885 0.670 ;
         RECT 7.595 0.500 7.765 0.670 ;
         RECT 9.035 0.500 9.205 0.670 ;
-        RECT 7.595 -0.085 7.765 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
       LAYER met1 ;
         RECT 7.055 2.815 7.345 2.890 ;
         RECT 0.650 2.675 7.345 2.815 ;
diff --git a/cells/mag/XNOR2X1.mag b/cells/mag/XNOR2X1.mag
index 61cfe0e..17868c8 100644
--- a/cells/mag/XNOR2X1.mag
+++ b/cells/mag/XNOR2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809603
+timestamp 1636962391
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -177,8 +177,26 @@
 rect 1423 181 1457 215
 rect 1711 181 1745 215
 << locali >>
-rect 0 649 1519 683
-rect 1553 649 2016 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 2016 683
 rect 31 618 1985 649
 rect 351 593 417 618
 rect 351 559 367 593
@@ -279,10 +297,46 @@
 rect 1841 100 1857 134
 rect 1791 84 1857 100
 rect 31 17 1985 48
-rect 0 -17 1519 17
-rect 1553 -17 2016 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 2016 17
 << viali >>
-rect 1519 649 1553 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
 rect 1423 532 1457 566
 rect 1519 559 1553 593
 rect 127 451 161 485
@@ -302,11 +356,47 @@
 rect 943 100 977 134
 rect 1519 100 1553 134
 rect 1807 100 1841 134
-rect 1519 -17 1553 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
 << metal1 >>
 rect 0 683 2016 714
-rect 0 649 1519 683
-rect 1553 649 2016 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 2016 683
 rect 0 618 2016 649
 rect 1507 593 1565 618
 rect 1411 566 1469 578
@@ -412,8 +502,26 @@
 rect 370 48 398 88
 rect 1522 48 1550 88
 rect 0 17 2016 48
-rect 0 -17 1519 17
-rect 1553 -17 2016 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 2016 17
 rect 0 -48 2016 -17
 << labels >>
 rlabel metal1 0 618 2016 714 0 VPWR
diff --git a/cells/mag/XOR2X1.lef b/cells/mag/XOR2X1.lef
index 027ee0b..918bb9b 100644
--- a/cells/mag/XOR2X1.lef
+++ b/cells/mag/XOR2X1.lef
@@ -62,7 +62,25 @@
         RECT 8.015 3.090 9.925 3.215 ;
         RECT 1.755 2.715 2.085 3.090 ;
       LAYER mcon ;
-        RECT 1.835 3.245 2.005 3.415 ;
+        RECT 0.635 3.245 0.805 3.415 ;
+        RECT 1.115 3.245 1.285 3.415 ;
+        RECT 1.595 3.245 1.765 3.415 ;
+        RECT 2.075 3.245 2.245 3.415 ;
+        RECT 2.555 3.245 2.725 3.415 ;
+        RECT 3.035 3.245 3.205 3.415 ;
+        RECT 3.515 3.245 3.685 3.415 ;
+        RECT 3.995 3.245 4.165 3.415 ;
+        RECT 4.475 3.245 4.645 3.415 ;
+        RECT 4.955 3.245 5.125 3.415 ;
+        RECT 5.435 3.245 5.605 3.415 ;
+        RECT 5.915 3.245 6.085 3.415 ;
+        RECT 6.395 3.245 6.565 3.415 ;
+        RECT 6.875 3.245 7.045 3.415 ;
+        RECT 7.355 3.245 7.525 3.415 ;
+        RECT 7.835 3.245 8.005 3.415 ;
+        RECT 8.315 3.245 8.485 3.415 ;
+        RECT 8.795 3.245 8.965 3.415 ;
+        RECT 9.275 3.245 9.445 3.415 ;
         RECT 1.835 2.795 2.005 2.965 ;
     END
   END VPWR
@@ -132,7 +150,25 @@
         RECT 7.115 0.905 7.285 1.075 ;
         RECT 8.555 0.905 8.725 1.075 ;
         RECT 9.035 0.500 9.205 0.670 ;
-        RECT 7.595 -0.085 7.765 0.085 ;
+        RECT 0.635 -0.085 0.805 0.085 ;
+        RECT 1.115 -0.085 1.285 0.085 ;
+        RECT 1.595 -0.085 1.765 0.085 ;
+        RECT 2.075 -0.085 2.245 0.085 ;
+        RECT 2.555 -0.085 2.725 0.085 ;
+        RECT 3.035 -0.085 3.205 0.085 ;
+        RECT 3.515 -0.085 3.685 0.085 ;
+        RECT 3.995 -0.085 4.165 0.085 ;
+        RECT 4.475 -0.085 4.645 0.085 ;
+        RECT 4.955 -0.085 5.125 0.085 ;
+        RECT 5.435 -0.085 5.605 0.085 ;
+        RECT 5.915 -0.085 6.085 0.085 ;
+        RECT 6.395 -0.085 6.565 0.085 ;
+        RECT 6.875 -0.085 7.045 0.085 ;
+        RECT 7.355 -0.085 7.525 0.085 ;
+        RECT 7.835 -0.085 8.005 0.085 ;
+        RECT 8.315 -0.085 8.485 0.085 ;
+        RECT 8.795 -0.085 8.965 0.085 ;
+        RECT 9.275 -0.085 9.445 0.085 ;
       LAYER met1 ;
         RECT 0.575 2.195 0.865 2.485 ;
         RECT 8.975 2.195 9.265 2.485 ;
diff --git a/cells/mag/XOR2X1.mag b/cells/mag/XOR2X1.mag
index 251ab61..4e48fa3 100644
--- a/cells/mag/XOR2X1.mag
+++ b/cells/mag/XOR2X1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1636809603
+timestamp 1636962392
 << checkpaint >>
 rect -1260 -1374 11260 2038
 << nwell >>
@@ -181,8 +181,26 @@
 rect 1423 181 1457 215
 rect 1711 181 1745 215
 << locali >>
-rect 0 649 367 683
-rect 401 649 2016 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 2016 683
 rect 31 643 1985 649
 rect 31 618 1469 643
 rect 1603 618 1985 643
@@ -291,10 +309,46 @@
 rect 367 48 401 84
 rect 1519 48 1553 84
 rect 31 17 1985 48
-rect 0 -17 1519 17
-rect 1553 -17 2016 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 2016 17
 << viali >>
-rect 367 649 401 683
+rect 127 649 161 683
+rect 223 649 257 683
+rect 319 649 353 683
+rect 415 649 449 683
+rect 511 649 545 683
+rect 607 649 641 683
+rect 703 649 737 683
+rect 799 649 833 683
+rect 895 649 929 683
+rect 991 649 1025 683
+rect 1087 649 1121 683
+rect 1183 649 1217 683
+rect 1279 649 1313 683
+rect 1375 649 1409 683
+rect 1471 649 1505 683
+rect 1567 649 1601 683
+rect 1663 649 1697 683
+rect 1759 649 1793 683
+rect 1855 649 1889 683
 rect 367 559 401 593
 rect 943 532 977 566
 rect 1519 559 1553 593
@@ -313,11 +367,47 @@
 rect 1711 181 1745 215
 rect 943 100 977 134
 rect 1807 100 1841 134
-rect 1519 -17 1553 17
+rect 127 -17 161 17
+rect 223 -17 257 17
+rect 319 -17 353 17
+rect 415 -17 449 17
+rect 511 -17 545 17
+rect 607 -17 641 17
+rect 703 -17 737 17
+rect 799 -17 833 17
+rect 895 -17 929 17
+rect 991 -17 1025 17
+rect 1087 -17 1121 17
+rect 1183 -17 1217 17
+rect 1279 -17 1313 17
+rect 1375 -17 1409 17
+rect 1471 -17 1505 17
+rect 1567 -17 1601 17
+rect 1663 -17 1697 17
+rect 1759 -17 1793 17
+rect 1855 -17 1889 17
 << metal1 >>
 rect 0 683 2016 714
-rect 0 649 367 683
-rect 401 649 2016 683
+rect 0 649 127 683
+rect 161 649 223 683
+rect 257 649 319 683
+rect 353 649 415 683
+rect 449 649 511 683
+rect 545 649 607 683
+rect 641 649 703 683
+rect 737 649 799 683
+rect 833 649 895 683
+rect 929 649 991 683
+rect 1025 649 1087 683
+rect 1121 649 1183 683
+rect 1217 649 1279 683
+rect 1313 649 1375 683
+rect 1409 649 1471 683
+rect 1505 649 1567 683
+rect 1601 649 1663 683
+rect 1697 649 1759 683
+rect 1793 649 1855 683
+rect 1889 649 2016 683
 rect 0 618 2016 649
 rect 355 593 413 618
 rect 355 559 367 593
@@ -415,8 +505,26 @@
 rect 1841 100 1853 134
 rect 1795 88 1853 100
 rect 0 17 2016 48
-rect 0 -17 1519 17
-rect 1553 -17 2016 17
+rect 0 -17 127 17
+rect 161 -17 223 17
+rect 257 -17 319 17
+rect 353 -17 415 17
+rect 449 -17 511 17
+rect 545 -17 607 17
+rect 641 -17 703 17
+rect 737 -17 799 17
+rect 833 -17 895 17
+rect 929 -17 991 17
+rect 1025 -17 1087 17
+rect 1121 -17 1183 17
+rect 1217 -17 1279 17
+rect 1313 -17 1375 17
+rect 1409 -17 1471 17
+rect 1505 -17 1567 17
+rect 1601 -17 1663 17
+rect 1697 -17 1759 17
+rect 1793 -17 1855 17
+rect 1889 -17 2016 17
 rect 0 -48 2016 -17
 << labels >>
 rlabel metal1 0 618 2016 714 0 VPWR