blob: 9d8cf2d2d48bd8f1bd9b91cd777173d03c2f3dcf [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_proj_example ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 300000 300000 ) ;
ROW ROW_0 unit 5760 13320 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_1 unit 5760 16650 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_2 unit 5760 19980 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_3 unit 5760 23310 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_4 unit 5760 26640 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_5 unit 5760 29970 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_6 unit 5760 33300 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_7 unit 5760 36630 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_8 unit 5760 39960 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_9 unit 5760 43290 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_10 unit 5760 46620 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_11 unit 5760 49950 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_12 unit 5760 53280 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_13 unit 5760 56610 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_14 unit 5760 59940 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_15 unit 5760 63270 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_16 unit 5760 66600 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_17 unit 5760 69930 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_18 unit 5760 73260 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_19 unit 5760 76590 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_20 unit 5760 79920 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_21 unit 5760 83250 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_22 unit 5760 86580 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_23 unit 5760 89910 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_24 unit 5760 93240 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_25 unit 5760 96570 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_26 unit 5760 99900 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_27 unit 5760 103230 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_28 unit 5760 106560 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_29 unit 5760 109890 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_30 unit 5760 113220 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_31 unit 5760 116550 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_32 unit 5760 119880 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_33 unit 5760 123210 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_34 unit 5760 126540 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_35 unit 5760 129870 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_36 unit 5760 133200 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_37 unit 5760 136530 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_38 unit 5760 139860 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_39 unit 5760 143190 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_40 unit 5760 146520 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_41 unit 5760 149850 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_42 unit 5760 153180 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_43 unit 5760 156510 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_44 unit 5760 159840 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_45 unit 5760 163170 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_46 unit 5760 166500 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_47 unit 5760 169830 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_48 unit 5760 173160 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_49 unit 5760 176490 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_50 unit 5760 179820 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_51 unit 5760 183150 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_52 unit 5760 186480 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_53 unit 5760 189810 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_54 unit 5760 193140 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_55 unit 5760 196470 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_56 unit 5760 199800 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_57 unit 5760 203130 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_58 unit 5760 206460 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_59 unit 5760 209790 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_60 unit 5760 213120 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_61 unit 5760 216450 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_62 unit 5760 219780 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_63 unit 5760 223110 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_64 unit 5760 226440 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_65 unit 5760 229770 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_66 unit 5760 233100 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_67 unit 5760 236430 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_68 unit 5760 239760 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_69 unit 5760 243090 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_70 unit 5760 246420 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_71 unit 5760 249750 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_72 unit 5760 253080 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_73 unit 5760 256410 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_74 unit 5760 259740 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_75 unit 5760 263070 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_76 unit 5760 266400 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_77 unit 5760 269730 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_78 unit 5760 273060 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_79 unit 5760 276390 FS DO 601 BY 1 STEP 480 0 ;
ROW ROW_80 unit 5760 279720 N DO 601 BY 1 STEP 480 0 ;
ROW ROW_81 unit 5760 283050 FS DO 601 BY 1 STEP 480 0 ;
TRACKS X 240 DO 625 STEP 480 LAYER li1 ;
TRACKS Y 240 DO 625 STEP 480 LAYER li1 ;
TRACKS X 185 DO 811 STEP 370 LAYER met1 ;
TRACKS Y 185 DO 811 STEP 370 LAYER met1 ;
TRACKS X 240 DO 625 STEP 480 LAYER met2 ;
TRACKS Y 240 DO 625 STEP 480 LAYER met2 ;
TRACKS X 370 DO 405 STEP 740 LAYER met3 ;
TRACKS Y 370 DO 405 STEP 740 LAYER met3 ;
TRACKS X 480 DO 313 STEP 960 LAYER met4 ;
TRACKS Y 480 DO 313 STEP 960 LAYER met4 ;
TRACKS X 1665 DO 90 STEP 3330 LAYER met5 ;
TRACKS Y 1665 DO 90 STEP 3330 LAYER met5 ;
GCELLGRID X 0 DO 41 STEP 7200 ;
GCELLGRID Y 0 DO 42 STEP 7200 ;
VIAS 3 ;
- via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 85 165 55 165 + ROWCOL 1 5 ;
- via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ;
- via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ;
END VIAS
COMPONENTS 8848 ;
- AND2X1 AND2X1 + FIXED ( 45120 26640 ) N ;
- AND2X2 AND2X2 + FIXED ( 45120 33300 ) N ;
- ANTENNA_AND2X1_A sky130_fd_sc_ls__diode_2 + PLACED ( 41760 26640 ) N ;
- ANTENNA_AND2X1_B sky130_fd_sc_ls__diode_2 + PLACED ( 43200 23310 ) S ;
- ANTENNA_AND2X1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 52800 26640 ) FN ;
- ANTENNA_AND2X2_A sky130_fd_sc_ls__diode_2 + PLACED ( 41760 33300 ) N ;
- ANTENNA_AND2X2_B sky130_fd_sc_ls__diode_2 + PLACED ( 43200 29970 ) S ;
- ANTENNA_AND2X2_Y sky130_fd_sc_ls__diode_2 + PLACED ( 52800 33300 ) FN ;
- ANTENNA_AOI21X1_A sky130_fd_sc_ls__diode_2 + PLACED ( 52800 39960 ) FN ;
- ANTENNA_AOI21X1_B sky130_fd_sc_ls__diode_2 + PLACED ( 55680 39960 ) N ;
- ANTENNA_AOI21X1_C sky130_fd_sc_ls__diode_2 + PLACED ( 58560 39960 ) N ;
- ANTENNA_AOI21X1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 58080 36630 ) S ;
- ANTENNA_AOI22X1_A sky130_fd_sc_ls__diode_2 + PLACED ( 54240 46620 ) N ;
- ANTENNA_AOI22X1_B sky130_fd_sc_ls__diode_2 + PLACED ( 57120 46620 ) N ;
- ANTENNA_AOI22X1_C sky130_fd_sc_ls__diode_2 + PLACED ( 54240 49950 ) FS ;
- ANTENNA_AOI22X1_D sky130_fd_sc_ls__diode_2 + PLACED ( 60000 46620 ) N ;
- ANTENNA_AOI22X1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 58080 43290 ) S ;
- ANTENNA_BUFX2_A sky130_fd_sc_ls__diode_2 + PLACED ( 51360 53280 ) N ;
- ANTENNA_BUFX2_Y sky130_fd_sc_ls__diode_2 + PLACED ( 49440 49950 ) S ;
- ANTENNA_BUFX4_A sky130_fd_sc_ls__diode_2 + PLACED ( 52800 59940 ) N ;
- ANTENNA_BUFX4_Y sky130_fd_sc_ls__diode_2 + PLACED ( 50880 56610 ) S ;
- ANTENNA_CLKBUF1_A sky130_fd_sc_ls__diode_2 + PLACED ( 60000 66600 ) FN ;
- ANTENNA_CLKBUF1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 58080 63270 ) S ;
- ANTENNA_INVX1_A sky130_fd_sc_ls__diode_2 + PLACED ( 49920 79920 ) N ;
- ANTENNA_INVX1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 52800 79920 ) N ;
- ANTENNA_INVX2_A sky130_fd_sc_ls__diode_2 + PLACED ( 49920 86580 ) N ;
- ANTENNA_INVX2_Y sky130_fd_sc_ls__diode_2 + PLACED ( 52800 86580 ) N ;
- ANTENNA_INVX4_A sky130_fd_sc_ls__diode_2 + PLACED ( 51360 93240 ) N ;
- ANTENNA_INVX4_Y sky130_fd_sc_ls__diode_2 + PLACED ( 54240 93240 ) FN ;
- ANTENNA_INVX8_A sky130_fd_sc_ls__diode_2 + PLACED ( 54240 99900 ) N ;
- ANTENNA_INVX8_Y sky130_fd_sc_ls__diode_2 + PLACED ( 57120 99900 ) N ;
- ANTENNA_INV_A sky130_fd_sc_ls__diode_2 + PLACED ( 49920 73260 ) N ;
- ANTENNA_INV_Y sky130_fd_sc_ls__diode_2 + PLACED ( 52800 73260 ) N ;
- ANTENNA_MUX2X1_A sky130_fd_sc_ls__diode_2 + PLACED ( 55680 106560 ) N ;
- ANTENNA_MUX2X1_B sky130_fd_sc_ls__diode_2 + PLACED ( 58560 106560 ) N ;
- ANTENNA_MUX2X1_S sky130_fd_sc_ls__diode_2 + PLACED ( 58080 103230 ) S ;
- ANTENNA_MUX2X1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 58080 109890 ) FS ;
- ANTENNA_NAND2X1_A sky130_fd_sc_ls__diode_2 + PLACED ( 51360 113220 ) N ;
- ANTENNA_NAND2X1_B sky130_fd_sc_ls__diode_2 + PLACED ( 54240 113220 ) N ;
- ANTENNA_NAND2X1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 51360 109890 ) S ;
- ANTENNA_NAND3X1_A sky130_fd_sc_ls__diode_2 + PLACED ( 52800 119880 ) FN ;
- ANTENNA_NAND3X1_B sky130_fd_sc_ls__diode_2 + PLACED ( 55680 119880 ) FN ;
- ANTENNA_NAND3X1_C sky130_fd_sc_ls__diode_2 + PLACED ( 52800 116550 ) FS ;
- ANTENNA_NAND3X1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 53760 123210 ) S ;
- ANTENNA_NOR2X1_A sky130_fd_sc_ls__diode_2 + PLACED ( 51360 126540 ) FN ;
- ANTENNA_NOR2X1_B sky130_fd_sc_ls__diode_2 + PLACED ( 50400 123210 ) FS ;
- ANTENNA_NOR2X1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 51360 129870 ) S ;
- ANTENNA_OAI21X1_A sky130_fd_sc_ls__diode_2 + PLACED ( 52800 133200 ) FN ;
- ANTENNA_OAI21X1_B sky130_fd_sc_ls__diode_2 + PLACED ( 55680 133200 ) FN ;
- ANTENNA_OAI21X1_C sky130_fd_sc_ls__diode_2 + PLACED ( 52800 136530 ) S ;
- ANTENNA_OAI21X1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 54240 129870 ) FS ;
- ANTENNA_OAI22X1_A sky130_fd_sc_ls__diode_2 + PLACED ( 54240 139860 ) FN ;
- ANTENNA_OAI22X1_B sky130_fd_sc_ls__diode_2 + PLACED ( 57120 139860 ) FN ;
- ANTENNA_OAI22X1_C sky130_fd_sc_ls__diode_2 + PLACED ( 54240 143190 ) S ;
- ANTENNA_OAI22X1_D sky130_fd_sc_ls__diode_2 + PLACED ( 60000 139860 ) FN ;
- ANTENNA_OAI22X1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 58080 136530 ) FS ;
- ANTENNA_OR2X1_A sky130_fd_sc_ls__diode_2 + PLACED ( 52800 146520 ) N ;
- ANTENNA_OR2X1_B sky130_fd_sc_ls__diode_2 + PLACED ( 55680 146520 ) FN ;
- ANTENNA_OR2X1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 52800 149850 ) S ;
- ANTENNA_OR2X2_A sky130_fd_sc_ls__diode_2 + PLACED ( 52800 153180 ) FN ;
- ANTENNA_OR2X2_B sky130_fd_sc_ls__diode_2 + PLACED ( 55680 153180 ) N ;
- ANTENNA_OR2X2_Y sky130_fd_sc_ls__diode_2 + PLACED ( 52800 156510 ) S ;
- ANTENNA_XNOR2X1_A sky130_fd_sc_ls__diode_2 + PLACED ( 57120 159840 ) FN ;
- ANTENNA_XNOR2X1_B sky130_fd_sc_ls__diode_2 + PLACED ( 60000 159840 ) FN ;
- ANTENNA_XNOR2X1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 58080 156510 ) FS ;
- ANTENNA_XOR2X1_A sky130_fd_sc_ls__diode_2 + PLACED ( 57120 166500 ) FN ;
- ANTENNA_XOR2X1_B sky130_fd_sc_ls__diode_2 + PLACED ( 60000 166500 ) N ;
- ANTENNA_XOR2X1_Y sky130_fd_sc_ls__diode_2 + PLACED ( 58080 163170 ) FS ;
- ANTENNA_input10_A sky130_fd_sc_ls__diode_2 + PLACED ( 166080 279720 ) FN ;
- ANTENNA_input11_A sky130_fd_sc_ls__diode_2 + PLACED ( 187680 283050 ) S ;
- ANTENNA_input12_A sky130_fd_sc_ls__diode_2 + PLACED ( 200640 279720 ) FN ;
- ANTENNA_input13_A sky130_fd_sc_ls__diode_2 + PLACED ( 210240 283050 ) S ;
- ANTENNA_input14_A sky130_fd_sc_ls__diode_2 + PLACED ( 227040 283050 ) S ;
- ANTENNA_input15_A sky130_fd_sc_ls__diode_2 + PLACED ( 244800 279720 ) FN ;
- ANTENNA_input16_A sky130_fd_sc_ls__diode_2 + PLACED ( 252960 279720 ) FN ;
- ANTENNA_input17_A sky130_fd_sc_ls__diode_2 + PLACED ( 262080 283050 ) S ;
- ANTENNA_input18_A sky130_fd_sc_ls__diode_2 + PLACED ( 275040 279720 ) FN ;
- ANTENNA_input19_A sky130_fd_sc_ls__diode_2 + PLACED ( 284640 276390 ) S ;
- ANTENNA_input1_A sky130_fd_sc_ls__diode_2 + PLACED ( 7680 279720 ) FN ;
- ANTENNA_input20_A sky130_fd_sc_ls__diode_2 + PLACED ( 24000 279720 ) FN ;
- ANTENNA_input21_A sky130_fd_sc_ls__diode_2 + PLACED ( 31680 279720 ) FN ;
- ANTENNA_input22_A sky130_fd_sc_ls__diode_2 + PLACED ( 49920 279720 ) FN ;
- ANTENNA_input23_A sky130_fd_sc_ls__diode_2 + PLACED ( 57120 279720 ) FN ;
- ANTENNA_input24_A sky130_fd_sc_ls__diode_2 + PLACED ( 67200 279720 ) FN ;
- ANTENNA_input25_A sky130_fd_sc_ls__diode_2 + PLACED ( 61920 13320 ) FN ;
- ANTENNA_input26_A sky130_fd_sc_ls__diode_2 + PLACED ( 85440 19980 ) FN ;
- ANTENNA_input27_A sky130_fd_sc_ls__diode_2 + PLACED ( 92640 16650 ) S ;
- ANTENNA_input28_A sky130_fd_sc_ls__diode_2 + PLACED ( 87840 23310 ) S ;
- ANTENNA_input29_A sky130_fd_sc_ls__diode_2 + PLACED ( 91200 23310 ) S ;
- ANTENNA_input2_A sky130_fd_sc_ls__diode_2 + PLACED ( 83040 279720 ) FN ;
- ANTENNA_input30_A sky130_fd_sc_ls__diode_2 + PLACED ( 100320 16650 ) S ;
- ANTENNA_input31_A sky130_fd_sc_ls__diode_2 + PLACED ( 95040 23310 ) S ;
- ANTENNA_input32_A sky130_fd_sc_ls__diode_2 + PLACED ( 98400 23310 ) S ;
- ANTENNA_input33_A sky130_fd_sc_ls__diode_2 + PLACED ( 63840 16650 ) S ;
- ANTENNA_input34_A sky130_fd_sc_ls__diode_2 + PLACED ( 102720 23310 ) S ;
- ANTENNA_input35_A sky130_fd_sc_ls__diode_2 + PLACED ( 106560 13320 ) N ;
- ANTENNA_input36_A sky130_fd_sc_ls__diode_2 + PLACED ( 109920 23310 ) S ;
- ANTENNA_input37_A sky130_fd_sc_ls__diode_2 + PLACED ( 118560 16650 ) S ;
- ANTENNA_input38_A sky130_fd_sc_ls__diode_2 + PLACED ( 112800 23310 ) S ;
- ANTENNA_input39_A sky130_fd_sc_ls__diode_2 + PLACED ( 71040 19980 ) FN ;
- ANTENNA_input3_A sky130_fd_sc_ls__diode_2 + PLACED ( 93600 283050 ) S ;
- ANTENNA_input40_A sky130_fd_sc_ls__diode_2 + PLACED ( 73920 19980 ) FN ;
- ANTENNA_input41_A sky130_fd_sc_ls__diode_2 + PLACED ( 77760 23310 ) S ;
- ANTENNA_input42_A sky130_fd_sc_ls__diode_2 + PLACED ( 80640 23310 ) S ;
- ANTENNA_input43_A sky130_fd_sc_ls__diode_2 + PLACED ( 80640 26640 ) FN ;
- ANTENNA_input44_A sky130_fd_sc_ls__diode_2 + PLACED ( 83520 26640 ) FN ;
- ANTENNA_input4_A sky130_fd_sc_ls__diode_2 + PLACED ( 96960 279720 ) FN ;
- ANTENNA_input5_A sky130_fd_sc_ls__diode_2 + PLACED ( 102720 279720 ) FN ;
- ANTENNA_input6_A sky130_fd_sc_ls__diode_2 + PLACED ( 122880 279720 ) FN ;
- ANTENNA_input7_A sky130_fd_sc_ls__diode_2 + PLACED ( 134880 279720 ) FN ;
- ANTENNA_input8_A sky130_fd_sc_ls__diode_2 + PLACED ( 145440 283050 ) S ;
- ANTENNA_input9_A sky130_fd_sc_ls__diode_2 + PLACED ( 11520 279720 ) FN ;
- ANTENNA_output45_A sky130_fd_sc_ls__diode_2 + PLACED ( 114240 283050 ) FS ;
- ANTENNA_output46_A sky130_fd_sc_ls__diode_2 + PLACED ( 127680 283050 ) FS ;
- ANTENNA_output47_A sky130_fd_sc_ls__diode_2 + PLACED ( 145440 279720 ) FN ;
- ANTENNA_output48_A sky130_fd_sc_ls__diode_2 + PLACED ( 158400 283050 ) FS ;
- ANTENNA_output49_A sky130_fd_sc_ls__diode_2 + PLACED ( 174720 283050 ) FS ;
- ANTENNA_output50_A sky130_fd_sc_ls__diode_2 + PLACED ( 192480 283050 ) FS ;
- ANTENNA_output51_A sky130_fd_sc_ls__diode_2 + PLACED ( 208800 279720 ) FN ;
- ANTENNA_output52_A sky130_fd_sc_ls__diode_2 + PLACED ( 226560 276390 ) FS ;
- ANTENNA_output53_A sky130_fd_sc_ls__diode_2 + PLACED ( 26880 279720 ) N ;
- ANTENNA_output54_A sky130_fd_sc_ls__diode_2 + PLACED ( 240000 279720 ) N ;
- ANTENNA_output55_A sky130_fd_sc_ls__diode_2 + PLACED ( 272160 279720 ) N ;
- ANTENNA_output56_A sky130_fd_sc_ls__diode_2 + PLACED ( 287520 276390 ) FS ;
- ANTENNA_output57_A sky130_fd_sc_ls__diode_2 + PLACED ( 52800 279720 ) FN ;
- ANTENNA_output58_A sky130_fd_sc_ls__diode_2 + PLACED ( 74400 283050 ) FS ;
- ANTENNA_output59_A sky130_fd_sc_ls__diode_2 + PLACED ( 86400 26640 ) FN ;
- ANTENNA_output60_A sky130_fd_sc_ls__diode_2 + PLACED ( 96960 26640 ) N ;
- ANTENNA_output61_A sky130_fd_sc_ls__diode_2 + PLACED ( 119520 13320 ) FN ;
- ANTENNA_output62_A sky130_fd_sc_ls__diode_2 + PLACED ( 105600 23310 ) S ;
- ANTENNA_output63_A sky130_fd_sc_ls__diode_2 + PLACED ( 122880 13320 ) FN ;
- ANTENNA_output64_A sky130_fd_sc_ls__diode_2 + PLACED ( 116640 19980 ) FN ;
- ANTENNA_output65_A sky130_fd_sc_ls__diode_2 + PLACED ( 67680 19980 ) N ;
- ANTENNA_output66_A sky130_fd_sc_ls__diode_2 + PLACED ( 75360 26640 ) N ;
- AOI21X1 AOI21X1 + FIXED ( 45120 39960 ) N ;
- AOI22X1 AOI22X1 + FIXED ( 45120 46620 ) N ;
- BUFX2 BUFX2 + FIXED ( 45120 53280 ) N ;
- BUFX4 BUFX4 + FIXED ( 45120 59940 ) N ;
- CLKBUF1 CLKBUF1 + FIXED ( 45120 66600 ) N ;
- FILLER_0_106 sky130_fd_sc_ls__fill_2 + PLACED ( 56640 13320 ) N ;
- FILLER_0_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 13320 ) N ;
- FILLER_0_119 sky130_fd_sc_ls__decap_4 + PLACED ( 62880 13320 ) N ;
- FILLER_0_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 13320 ) N ;
- FILLER_0_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 13320 ) N ;
- FILLER_0_142 sky130_fd_sc_ls__decap_4 + PLACED ( 73920 13320 ) N ;
- FILLER_0_146 sky130_fd_sc_ls__fill_1 + PLACED ( 75840 13320 ) N ;
- FILLER_0_153 sky130_fd_sc_ls__decap_8 + PLACED ( 79200 13320 ) N ;
- FILLER_0_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 13320 ) N ;
- FILLER_0_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 13320 ) N ;
- FILLER_0_176 sky130_fd_sc_ls__decap_4 + PLACED ( 90240 13320 ) N ;
- FILLER_0_185 sky130_fd_sc_ls__decap_4 + PLACED ( 94560 13320 ) N ;
- FILLER_0_196 sky130_fd_sc_ls__decap_4 + PLACED ( 99840 13320 ) N ;
- FILLER_0_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 13320 ) N ;
- FILLER_0_206 sky130_fd_sc_ls__decap_4 + PLACED ( 104640 13320 ) N ;
- FILLER_0_212 sky130_fd_sc_ls__decap_4 + PLACED ( 107520 13320 ) N ;
- FILLER_0_223 sky130_fd_sc_ls__decap_4 + PLACED ( 112800 13320 ) N ;
- FILLER_0_233 sky130_fd_sc_ls__decap_4 + PLACED ( 117600 13320 ) N ;
- FILLER_0_239 sky130_fd_sc_ls__decap_4 + PLACED ( 120480 13320 ) N ;
- FILLER_0_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 13320 ) N ;
- FILLER_0_246 sky130_fd_sc_ls__decap_8 + PLACED ( 123840 13320 ) N ;
- FILLER_0_254 sky130_fd_sc_ls__decap_8 + PLACED ( 127680 13320 ) N ;
- FILLER_0_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 13320 ) N ;
- FILLER_0_262 sky130_fd_sc_ls__decap_8 + PLACED ( 131520 13320 ) N ;
- FILLER_0_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 13320 ) N ;
- FILLER_0_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 13320 ) N ;
- FILLER_0_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 13320 ) N ;
- FILLER_0_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 13320 ) N ;
- FILLER_0_295 sky130_fd_sc_ls__fill_2 + PLACED ( 147360 13320 ) N ;
- FILLER_0_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 13320 ) N ;
- FILLER_0_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 13320 ) N ;
- FILLER_0_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 13320 ) N ;
- FILLER_0_322 sky130_fd_sc_ls__fill_2 + PLACED ( 160320 13320 ) N ;
- FILLER_0_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 13320 ) N ;
- FILLER_0_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 13320 ) N ;
- FILLER_0_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 13320 ) N ;
- FILLER_0_349 sky130_fd_sc_ls__fill_2 + PLACED ( 173280 13320 ) N ;
- FILLER_0_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 13320 ) N ;
- FILLER_0_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 13320 ) N ;
- FILLER_0_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 13320 ) N ;
- FILLER_0_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 13320 ) N ;
- FILLER_0_376 sky130_fd_sc_ls__fill_2 + PLACED ( 186240 13320 ) N ;
- FILLER_0_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 13320 ) N ;
- FILLER_0_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 13320 ) N ;
- FILLER_0_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 13320 ) N ;
- FILLER_0_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 13320 ) N ;
- FILLER_0_403 sky130_fd_sc_ls__fill_2 + PLACED ( 199200 13320 ) N ;
- FILLER_0_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 13320 ) N ;
- FILLER_0_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 13320 ) N ;
- FILLER_0_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 13320 ) N ;
- FILLER_0_430 sky130_fd_sc_ls__fill_2 + PLACED ( 212160 13320 ) N ;
- FILLER_0_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 13320 ) N ;
- FILLER_0_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 13320 ) N ;
- FILLER_0_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 13320 ) N ;
- FILLER_0_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 13320 ) N ;
- FILLER_0_457 sky130_fd_sc_ls__fill_2 + PLACED ( 225120 13320 ) N ;
- FILLER_0_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 13320 ) N ;
- FILLER_0_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 13320 ) N ;
- FILLER_0_479 sky130_fd_sc_ls__decap_4 + PLACED ( 235680 13320 ) N ;
- FILLER_0_483 sky130_fd_sc_ls__fill_2 + PLACED ( 237600 13320 ) N ;
- FILLER_0_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 13320 ) N ;
- FILLER_0_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 13320 ) N ;
- FILLER_0_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 13320 ) N ;
- FILLER_0_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 13320 ) N ;
- FILLER_0_511 sky130_fd_sc_ls__fill_2 + PLACED ( 251040 13320 ) N ;
- FILLER_0_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 13320 ) N ;
- FILLER_0_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 13320 ) N ;
- FILLER_0_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 13320 ) N ;
- FILLER_0_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 13320 ) N ;
- FILLER_0_538 sky130_fd_sc_ls__fill_2 + PLACED ( 264000 13320 ) N ;
- FILLER_0_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 13320 ) N ;
- FILLER_0_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 13320 ) N ;
- FILLER_0_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 13320 ) N ;
- FILLER_0_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 13320 ) N ;
- FILLER_0_565 sky130_fd_sc_ls__fill_2 + PLACED ( 276960 13320 ) N ;
- FILLER_0_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 13320 ) N ;
- FILLER_0_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 13320 ) N ;
- FILLER_0_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 13320 ) N ;
- FILLER_0_592 sky130_fd_sc_ls__fill_2 + PLACED ( 289920 13320 ) N ;
- FILLER_0_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 13320 ) N ;
- FILLER_0_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 13320 ) N ;
- FILLER_0_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 13320 ) N ;
- FILLER_0_79 sky130_fd_sc_ls__fill_2 + PLACED ( 43680 13320 ) N ;
- FILLER_0_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 13320 ) N ;
- FILLER_0_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 13320 ) N ;
- FILLER_0_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 13320 ) N ;
- FILLER_10_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 46620 ) N ;
- FILLER_10_109 sky130_fd_sc_ls__decap_4 + PLACED ( 58080 46620 ) N ;
- FILLER_10_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 46620 ) N ;
- FILLER_10_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 46620 ) N ;
- FILLER_10_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 46620 ) N ;
- FILLER_10_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 46620 ) N ;
- FILLER_10_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 46620 ) N ;
- FILLER_10_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 46620 ) N ;
- FILLER_10_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 46620 ) N ;
- FILLER_10_160 sky130_fd_sc_ls__decap_4 + PLACED ( 82560 46620 ) N ;
- FILLER_10_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 46620 ) N ;
- FILLER_10_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 46620 ) N ;
- FILLER_10_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 46620 ) N ;
- FILLER_10_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 46620 ) N ;
- FILLER_10_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 46620 ) N ;
- FILLER_10_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 46620 ) N ;
- FILLER_10_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 46620 ) N ;
- FILLER_10_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 46620 ) N ;
- FILLER_10_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 46620 ) N ;
- FILLER_10_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 46620 ) N ;
- FILLER_10_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 46620 ) N ;
- FILLER_10_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 46620 ) N ;
- FILLER_10_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 46620 ) N ;
- FILLER_10_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 46620 ) N ;
- FILLER_10_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 46620 ) N ;
- FILLER_10_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 46620 ) N ;
- FILLER_10_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 46620 ) N ;
- FILLER_10_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 46620 ) N ;
- FILLER_10_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 46620 ) N ;
- FILLER_10_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 46620 ) N ;
- FILLER_10_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 46620 ) N ;
- FILLER_10_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 46620 ) N ;
- FILLER_10_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 46620 ) N ;
- FILLER_10_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 46620 ) N ;
- FILLER_10_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 46620 ) N ;
- FILLER_10_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 46620 ) N ;
- FILLER_10_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 46620 ) N ;
- FILLER_10_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 46620 ) N ;
- FILLER_10_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 46620 ) N ;
- FILLER_10_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 46620 ) N ;
- FILLER_10_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 46620 ) N ;
- FILLER_10_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 46620 ) N ;
- FILLER_10_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 46620 ) N ;
- FILLER_10_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 46620 ) N ;
- FILLER_10_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 46620 ) N ;
- FILLER_10_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 46620 ) N ;
- FILLER_10_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 46620 ) N ;
- FILLER_10_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 46620 ) N ;
- FILLER_10_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 46620 ) N ;
- FILLER_10_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 46620 ) N ;
- FILLER_10_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 46620 ) N ;
- FILLER_10_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 46620 ) N ;
- FILLER_10_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 46620 ) N ;
- FILLER_10_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 46620 ) N ;
- FILLER_10_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 46620 ) N ;
- FILLER_10_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 46620 ) N ;
- FILLER_10_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 46620 ) N ;
- FILLER_10_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 46620 ) N ;
- FILLER_10_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 46620 ) N ;
- FILLER_10_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 46620 ) N ;
- FILLER_10_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 46620 ) N ;
- FILLER_10_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 46620 ) N ;
- FILLER_10_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 46620 ) N ;
- FILLER_10_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 46620 ) N ;
- FILLER_10_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 46620 ) N ;
- FILLER_10_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 46620 ) N ;
- FILLER_10_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 46620 ) N ;
- FILLER_10_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 46620 ) N ;
- FILLER_10_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 46620 ) N ;
- FILLER_10_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 46620 ) N ;
- FILLER_10_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 46620 ) N ;
- FILLER_10_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 46620 ) N ;
- FILLER_10_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 46620 ) N ;
- FILLER_10_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 46620 ) N ;
- FILLER_10_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 46620 ) N ;
- FILLER_10_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 46620 ) N ;
- FILLER_10_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 46620 ) N ;
- FILLER_10_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 46620 ) N ;
- FILLER_10_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 46620 ) N ;
- FILLER_10_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 46620 ) N ;
- FILLER_10_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 46620 ) N ;
- FILLER_10_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 46620 ) N ;
- FILLER_10_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 46620 ) N ;
- FILLER_10_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 46620 ) N ;
- FILLER_10_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 46620 ) N ;
- FILLER_10_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 46620 ) N ;
- FILLER_10_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 46620 ) N ;
- FILLER_10_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 46620 ) N ;
- FILLER_10_97 sky130_fd_sc_ls__decap_4 + PLACED ( 52320 46620 ) N ;
- FILLER_11_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 49950 ) FS ;
- FILLER_11_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 49950 ) FS ;
- FILLER_11_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 49950 ) FS ;
- FILLER_11_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 49950 ) FS ;
- FILLER_11_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 49950 ) FS ;
- FILLER_11_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 49950 ) FS ;
- FILLER_11_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 49950 ) FS ;
- FILLER_11_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 49950 ) FS ;
- FILLER_11_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 49950 ) FS ;
- FILLER_11_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 49950 ) FS ;
- FILLER_11_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 49950 ) FS ;
- FILLER_11_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 49950 ) FS ;
- FILLER_11_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 49950 ) FS ;
- FILLER_11_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 49950 ) FS ;
- FILLER_11_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 49950 ) FS ;
- FILLER_11_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 49950 ) FS ;
- FILLER_11_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 49950 ) FS ;
- FILLER_11_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 49950 ) FS ;
- FILLER_11_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 49950 ) FS ;
- FILLER_11_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 49950 ) FS ;
- FILLER_11_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 49950 ) FS ;
- FILLER_11_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 49950 ) FS ;
- FILLER_11_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 49950 ) FS ;
- FILLER_11_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 49950 ) FS ;
- FILLER_11_249 sky130_fd_sc_ls__fill_2 + PLACED ( 125280 49950 ) FS ;
- FILLER_11_251 sky130_fd_sc_ls__fill_1 + PLACED ( 126240 49950 ) FS ;
- FILLER_11_255 sky130_fd_sc_ls__decap_8 + PLACED ( 128160 49950 ) FS ;
- FILLER_11_263 sky130_fd_sc_ls__decap_4 + PLACED ( 132000 49950 ) FS ;
- FILLER_11_267 sky130_fd_sc_ls__fill_2 + PLACED ( 133920 49950 ) FS ;
- FILLER_11_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 49950 ) FS ;
- FILLER_11_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 49950 ) FS ;
- FILLER_11_279 sky130_fd_sc_ls__fill_2 + PLACED ( 139680 49950 ) FS ;
- FILLER_11_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 49950 ) FS ;
- FILLER_11_281 sky130_fd_sc_ls__fill_1 + PLACED ( 140640 49950 ) FS ;
- FILLER_11_285 sky130_fd_sc_ls__decap_8 + PLACED ( 142560 49950 ) FS ;
- FILLER_11_293 sky130_fd_sc_ls__decap_8 + PLACED ( 146400 49950 ) FS ;
- FILLER_11_301 sky130_fd_sc_ls__decap_8 + PLACED ( 150240 49950 ) FS ;
- FILLER_11_309 sky130_fd_sc_ls__decap_8 + PLACED ( 154080 49950 ) FS ;
- FILLER_11_317 sky130_fd_sc_ls__decap_4 + PLACED ( 157920 49950 ) FS ;
- FILLER_11_321 sky130_fd_sc_ls__fill_2 + PLACED ( 159840 49950 ) FS ;
- FILLER_11_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 49950 ) FS ;
- FILLER_11_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 49950 ) FS ;
- FILLER_11_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 49950 ) FS ;
- FILLER_11_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 49950 ) FS ;
- FILLER_11_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 49950 ) FS ;
- FILLER_11_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 49950 ) FS ;
- FILLER_11_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 49950 ) FS ;
- FILLER_11_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 49950 ) FS ;
- FILLER_11_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 49950 ) FS ;
- FILLER_11_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 49950 ) FS ;
- FILLER_11_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 49950 ) FS ;
- FILLER_11_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 49950 ) FS ;
- FILLER_11_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 49950 ) FS ;
- FILLER_11_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 49950 ) FS ;
- FILLER_11_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 49950 ) FS ;
- FILLER_11_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 49950 ) FS ;
- FILLER_11_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 49950 ) FS ;
- FILLER_11_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 49950 ) FS ;
- FILLER_11_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 49950 ) FS ;
- FILLER_11_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 49950 ) FS ;
- FILLER_11_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 49950 ) FS ;
- FILLER_11_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 49950 ) FS ;
- FILLER_11_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 49950 ) FS ;
- FILLER_11_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 49950 ) FS ;
- FILLER_11_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 49950 ) FS ;
- FILLER_11_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 49950 ) FS ;
- FILLER_11_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 49950 ) FS ;
- FILLER_11_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 49950 ) FS ;
- FILLER_11_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 49950 ) FS ;
- FILLER_11_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 49950 ) FS ;
- FILLER_11_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 49950 ) FS ;
- FILLER_11_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 49950 ) FS ;
- FILLER_11_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 49950 ) FS ;
- FILLER_11_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 49950 ) FS ;
- FILLER_11_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 49950 ) FS ;
- FILLER_11_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 49950 ) FS ;
- FILLER_11_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 49950 ) FS ;
- FILLER_11_544 sky130_fd_sc_ls__decap_8 + PLACED ( 266880 49950 ) FS ;
- FILLER_11_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 49950 ) FS ;
- FILLER_11_552 sky130_fd_sc_ls__decap_8 + PLACED ( 270720 49950 ) FS ;
- FILLER_11_560 sky130_fd_sc_ls__decap_8 + PLACED ( 274560 49950 ) FS ;
- FILLER_11_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 49950 ) FS ;
- FILLER_11_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 49950 ) FS ;
- FILLER_11_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 49950 ) FS ;
- FILLER_11_592 sky130_fd_sc_ls__fill_2 + PLACED ( 289920 49950 ) FS ;
- FILLER_11_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 49950 ) FS ;
- FILLER_11_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 49950 ) FS ;
- FILLER_11_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 49950 ) FS ;
- FILLER_11_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 49950 ) FS ;
- FILLER_11_87 sky130_fd_sc_ls__decap_4 + PLACED ( 47520 49950 ) FS ;
- FILLER_11_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 49950 ) FS ;
- FILLER_12_105 sky130_fd_sc_ls__decap_8 + PLACED ( 56160 53280 ) N ;
- FILLER_12_113 sky130_fd_sc_ls__decap_8 + PLACED ( 60000 53280 ) N ;
- FILLER_12_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 53280 ) N ;
- FILLER_12_121 sky130_fd_sc_ls__decap_8 + PLACED ( 63840 53280 ) N ;
- FILLER_12_129 sky130_fd_sc_ls__decap_4 + PLACED ( 67680 53280 ) N ;
- FILLER_12_133 sky130_fd_sc_ls__fill_2 + PLACED ( 69600 53280 ) N ;
- FILLER_12_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 53280 ) N ;
- FILLER_12_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 53280 ) N ;
- FILLER_12_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 53280 ) N ;
- FILLER_12_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 53280 ) N ;
- FILLER_12_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 53280 ) N ;
- FILLER_12_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 53280 ) N ;
- FILLER_12_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 53280 ) N ;
- FILLER_12_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 53280 ) N ;
- FILLER_12_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 53280 ) N ;
- FILLER_12_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 53280 ) N ;
- FILLER_12_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 53280 ) N ;
- FILLER_12_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 53280 ) N ;
- FILLER_12_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 53280 ) N ;
- FILLER_12_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 53280 ) N ;
- FILLER_12_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 53280 ) N ;
- FILLER_12_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 53280 ) N ;
- FILLER_12_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 53280 ) N ;
- FILLER_12_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 53280 ) N ;
- FILLER_12_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 53280 ) N ;
- FILLER_12_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 53280 ) N ;
- FILLER_12_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 53280 ) N ;
- FILLER_12_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 53280 ) N ;
- FILLER_12_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 53280 ) N ;
- FILLER_12_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 53280 ) N ;
- FILLER_12_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 53280 ) N ;
- FILLER_12_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 53280 ) N ;
- FILLER_12_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 53280 ) N ;
- FILLER_12_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 53280 ) N ;
- FILLER_12_298 sky130_fd_sc_ls__fill_1 + PLACED ( 148800 53280 ) N ;
- FILLER_12_302 sky130_fd_sc_ls__decap_8 + PLACED ( 150720 53280 ) N ;
- FILLER_12_310 sky130_fd_sc_ls__decap_8 + PLACED ( 154560 53280 ) N ;
- FILLER_12_318 sky130_fd_sc_ls__decap_8 + PLACED ( 158400 53280 ) N ;
- FILLER_12_326 sky130_fd_sc_ls__decap_4 + PLACED ( 162240 53280 ) N ;
- FILLER_12_330 sky130_fd_sc_ls__fill_1 + PLACED ( 164160 53280 ) N ;
- FILLER_12_334 sky130_fd_sc_ls__decap_8 + PLACED ( 166080 53280 ) N ;
- FILLER_12_342 sky130_fd_sc_ls__decap_8 + PLACED ( 169920 53280 ) N ;
- FILLER_12_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 53280 ) N ;
- FILLER_12_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 53280 ) N ;
- FILLER_12_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 53280 ) N ;
- FILLER_12_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 53280 ) N ;
- FILLER_12_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 53280 ) N ;
- FILLER_12_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 53280 ) N ;
- FILLER_12_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 53280 ) N ;
- FILLER_12_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 53280 ) N ;
- FILLER_12_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 53280 ) N ;
- FILLER_12_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 53280 ) N ;
- FILLER_12_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 53280 ) N ;
- FILLER_12_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 53280 ) N ;
- FILLER_12_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 53280 ) N ;
- FILLER_12_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 53280 ) N ;
- FILLER_12_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 53280 ) N ;
- FILLER_12_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 53280 ) N ;
- FILLER_12_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 53280 ) N ;
- FILLER_12_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 53280 ) N ;
- FILLER_12_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 53280 ) N ;
- FILLER_12_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 53280 ) N ;
- FILLER_12_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 53280 ) N ;
- FILLER_12_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 53280 ) N ;
- FILLER_12_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 53280 ) N ;
- FILLER_12_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 53280 ) N ;
- FILLER_12_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 53280 ) N ;
- FILLER_12_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 53280 ) N ;
- FILLER_12_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 53280 ) N ;
- FILLER_12_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 53280 ) N ;
- FILLER_12_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 53280 ) N ;
- FILLER_12_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 53280 ) N ;
- FILLER_12_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 53280 ) N ;
- FILLER_12_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 53280 ) N ;
- FILLER_12_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 53280 ) N ;
- FILLER_12_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 53280 ) N ;
- FILLER_12_554 sky130_fd_sc_ls__decap_4 + PLACED ( 271680 53280 ) N ;
- FILLER_12_558 sky130_fd_sc_ls__fill_1 + PLACED ( 273600 53280 ) N ;
- FILLER_12_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 53280 ) N ;
- FILLER_12_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 53280 ) N ;
- FILLER_12_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 53280 ) N ;
- FILLER_12_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 53280 ) N ;
- FILLER_12_584 sky130_fd_sc_ls__decap_4 + PLACED ( 286080 53280 ) N ;
- FILLER_12_588 sky130_fd_sc_ls__fill_2 + PLACED ( 288000 53280 ) N ;
- FILLER_12_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 53280 ) N ;
- FILLER_12_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 53280 ) N ;
- FILLER_12_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 53280 ) N ;
- FILLER_12_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 53280 ) N ;
- FILLER_12_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 53280 ) N ;
- FILLER_12_91 sky130_fd_sc_ls__decap_4 + PLACED ( 49440 53280 ) N ;
- FILLER_12_97 sky130_fd_sc_ls__decap_8 + PLACED ( 52320 53280 ) N ;
- FILLER_13_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 56610 ) FS ;
- FILLER_13_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 56610 ) FS ;
- FILLER_13_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 56610 ) FS ;
- FILLER_13_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 56610 ) FS ;
- FILLER_13_125 sky130_fd_sc_ls__fill_1 + PLACED ( 65760 56610 ) FS ;
- FILLER_13_129 sky130_fd_sc_ls__decap_8 + PLACED ( 67680 56610 ) FS ;
- FILLER_13_137 sky130_fd_sc_ls__decap_8 + PLACED ( 71520 56610 ) FS ;
- FILLER_13_145 sky130_fd_sc_ls__decap_8 + PLACED ( 75360 56610 ) FS ;
- FILLER_13_153 sky130_fd_sc_ls__decap_8 + PLACED ( 79200 56610 ) FS ;
- FILLER_13_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 56610 ) FS ;
- FILLER_13_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 56610 ) FS ;
- FILLER_13_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 56610 ) FS ;
- FILLER_13_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 56610 ) FS ;
- FILLER_13_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 56610 ) FS ;
- FILLER_13_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 56610 ) FS ;
- FILLER_13_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 56610 ) FS ;
- FILLER_13_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 56610 ) FS ;
- FILLER_13_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 56610 ) FS ;
- FILLER_13_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 56610 ) FS ;
- FILLER_13_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 56610 ) FS ;
- FILLER_13_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 56610 ) FS ;
- FILLER_13_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 56610 ) FS ;
- FILLER_13_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 56610 ) FS ;
- FILLER_13_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 56610 ) FS ;
- FILLER_13_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 56610 ) FS ;
- FILLER_13_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 56610 ) FS ;
- FILLER_13_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 56610 ) FS ;
- FILLER_13_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 56610 ) FS ;
- FILLER_13_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 56610 ) FS ;
- FILLER_13_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 56610 ) FS ;
- FILLER_13_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 56610 ) FS ;
- FILLER_13_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 56610 ) FS ;
- FILLER_13_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 56610 ) FS ;
- FILLER_13_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 56610 ) FS ;
- FILLER_13_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 56610 ) FS ;
- FILLER_13_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 56610 ) FS ;
- FILLER_13_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 56610 ) FS ;
- FILLER_13_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 56610 ) FS ;
- FILLER_13_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 56610 ) FS ;
- FILLER_13_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 56610 ) FS ;
- FILLER_13_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 56610 ) FS ;
- FILLER_13_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 56610 ) FS ;
- FILLER_13_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 56610 ) FS ;
- FILLER_13_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 56610 ) FS ;
- FILLER_13_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 56610 ) FS ;
- FILLER_13_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 56610 ) FS ;
- FILLER_13_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 56610 ) FS ;
- FILLER_13_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 56610 ) FS ;
- FILLER_13_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 56610 ) FS ;
- FILLER_13_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 56610 ) FS ;
- FILLER_13_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 56610 ) FS ;
- FILLER_13_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 56610 ) FS ;
- FILLER_13_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 56610 ) FS ;
- FILLER_13_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 56610 ) FS ;
- FILLER_13_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 56610 ) FS ;
- FILLER_13_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 56610 ) FS ;
- FILLER_13_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 56610 ) FS ;
- FILLER_13_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 56610 ) FS ;
- FILLER_13_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 56610 ) FS ;
- FILLER_13_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 56610 ) FS ;
- FILLER_13_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 56610 ) FS ;
- FILLER_13_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 56610 ) FS ;
- FILLER_13_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 56610 ) FS ;
- FILLER_13_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 56610 ) FS ;
- FILLER_13_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 56610 ) FS ;
- FILLER_13_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 56610 ) FS ;
- FILLER_13_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 56610 ) FS ;
- FILLER_13_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 56610 ) FS ;
- FILLER_13_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 56610 ) FS ;
- FILLER_13_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 56610 ) FS ;
- FILLER_13_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 56610 ) FS ;
- FILLER_13_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 56610 ) FS ;
- FILLER_13_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 56610 ) FS ;
- FILLER_13_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 56610 ) FS ;
- FILLER_13_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 56610 ) FS ;
- FILLER_13_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 56610 ) FS ;
- FILLER_13_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 56610 ) FS ;
- FILLER_13_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 56610 ) FS ;
- FILLER_13_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 56610 ) FS ;
- FILLER_13_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 56610 ) FS ;
- FILLER_13_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 56610 ) FS ;
- FILLER_13_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 56610 ) FS ;
- FILLER_13_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 56610 ) FS ;
- FILLER_13_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 56610 ) FS ;
- FILLER_13_79 sky130_fd_sc_ls__decap_4 + PLACED ( 43680 56610 ) FS ;
- FILLER_13_86 sky130_fd_sc_ls__decap_8 + PLACED ( 47040 56610 ) FS ;
- FILLER_13_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 56610 ) FS ;
- FILLER_14_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 59940 ) N ;
- FILLER_14_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 59940 ) N ;
- FILLER_14_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 59940 ) N ;
- FILLER_14_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 59940 ) N ;
- FILLER_14_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 59940 ) N ;
- FILLER_14_132 sky130_fd_sc_ls__fill_2 + PLACED ( 69120 59940 ) N ;
- FILLER_14_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 59940 ) N ;
- FILLER_14_136 sky130_fd_sc_ls__decap_4 + PLACED ( 71040 59940 ) N ;
- FILLER_14_140 sky130_fd_sc_ls__fill_2 + PLACED ( 72960 59940 ) N ;
- FILLER_14_142 sky130_fd_sc_ls__fill_1 + PLACED ( 73920 59940 ) N ;
- FILLER_14_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 59940 ) N ;
- FILLER_14_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 59940 ) N ;
- FILLER_14_162 sky130_fd_sc_ls__decap_8 + PLACED ( 83520 59940 ) N ;
- FILLER_14_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 59940 ) N ;
- FILLER_14_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 59940 ) N ;
- FILLER_14_186 sky130_fd_sc_ls__fill_2 + PLACED ( 95040 59940 ) N ;
- FILLER_14_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 59940 ) N ;
- FILLER_14_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 59940 ) N ;
- FILLER_14_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 59940 ) N ;
- FILLER_14_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 59940 ) N ;
- FILLER_14_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 59940 ) N ;
- FILLER_14_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 59940 ) N ;
- FILLER_14_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 59940 ) N ;
- FILLER_14_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 59940 ) N ;
- FILLER_14_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 59940 ) N ;
- FILLER_14_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 59940 ) N ;
- FILLER_14_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 59940 ) N ;
- FILLER_14_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 59940 ) N ;
- FILLER_14_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 59940 ) N ;
- FILLER_14_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 59940 ) N ;
- FILLER_14_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 59940 ) N ;
- FILLER_14_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 59940 ) N ;
- FILLER_14_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 59940 ) N ;
- FILLER_14_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 59940 ) N ;
- FILLER_14_284 sky130_fd_sc_ls__fill_1 + PLACED ( 142080 59940 ) N ;
- FILLER_14_288 sky130_fd_sc_ls__decap_8 + PLACED ( 144000 59940 ) N ;
- FILLER_14_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 59940 ) N ;
- FILLER_14_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 59940 ) N ;
- FILLER_14_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 59940 ) N ;
- FILLER_14_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 59940 ) N ;
- FILLER_14_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 59940 ) N ;
- FILLER_14_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 59940 ) N ;
- FILLER_14_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 59940 ) N ;
- FILLER_14_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 59940 ) N ;
- FILLER_14_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 59940 ) N ;
- FILLER_14_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 59940 ) N ;
- FILLER_14_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 59940 ) N ;
- FILLER_14_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 59940 ) N ;
- FILLER_14_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 59940 ) N ;
- FILLER_14_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 59940 ) N ;
- FILLER_14_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 59940 ) N ;
- FILLER_14_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 59940 ) N ;
- FILLER_14_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 59940 ) N ;
- FILLER_14_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 59940 ) N ;
- FILLER_14_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 59940 ) N ;
- FILLER_14_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 59940 ) N ;
- FILLER_14_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 59940 ) N ;
- FILLER_14_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 59940 ) N ;
- FILLER_14_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 59940 ) N ;
- FILLER_14_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 59940 ) N ;
- FILLER_14_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 59940 ) N ;
- FILLER_14_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 59940 ) N ;
- FILLER_14_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 59940 ) N ;
- FILLER_14_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 59940 ) N ;
- FILLER_14_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 59940 ) N ;
- FILLER_14_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 59940 ) N ;
- FILLER_14_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 59940 ) N ;
- FILLER_14_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 59940 ) N ;
- FILLER_14_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 59940 ) N ;
- FILLER_14_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 59940 ) N ;
- FILLER_14_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 59940 ) N ;
- FILLER_14_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 59940 ) N ;
- FILLER_14_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 59940 ) N ;
- FILLER_14_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 59940 ) N ;
- FILLER_14_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 59940 ) N ;
- FILLER_14_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 59940 ) N ;
- FILLER_14_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 59940 ) N ;
- FILLER_14_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 59940 ) N ;
- FILLER_14_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 59940 ) N ;
- FILLER_14_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 59940 ) N ;
- FILLER_14_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 59940 ) N ;
- FILLER_14_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 59940 ) N ;
- FILLER_14_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 59940 ) N ;
- FILLER_14_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 59940 ) N ;
- FILLER_14_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 59940 ) N ;
- FILLER_14_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 59940 ) N ;
- FILLER_14_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 59940 ) N ;
- FILLER_14_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 59940 ) N ;
- FILLER_14_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 59940 ) N ;
- FILLER_14_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 59940 ) N ;
- FILLER_14_94 sky130_fd_sc_ls__decap_4 + PLACED ( 50880 59940 ) N ;
- FILLER_15_106 sky130_fd_sc_ls__fill_2 + PLACED ( 56640 63270 ) FS ;
- FILLER_15_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 63270 ) FS ;
- FILLER_15_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 63270 ) FS ;
- FILLER_15_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 63270 ) FS ;
- FILLER_15_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 63270 ) FS ;
- FILLER_15_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 63270 ) FS ;
- FILLER_15_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 63270 ) FS ;
- FILLER_15_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 63270 ) FS ;
- FILLER_15_159 sky130_fd_sc_ls__fill_2 + PLACED ( 82080 63270 ) FS ;
- FILLER_15_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 63270 ) FS ;
- FILLER_15_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 63270 ) FS ;
- FILLER_15_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 63270 ) FS ;
- FILLER_15_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 63270 ) FS ;
- FILLER_15_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 63270 ) FS ;
- FILLER_15_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 63270 ) FS ;
- FILLER_15_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 63270 ) FS ;
- FILLER_15_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 63270 ) FS ;
- FILLER_15_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 63270 ) FS ;
- FILLER_15_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 63270 ) FS ;
- FILLER_15_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 63270 ) FS ;
- FILLER_15_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 63270 ) FS ;
- FILLER_15_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 63270 ) FS ;
- FILLER_15_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 63270 ) FS ;
- FILLER_15_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 63270 ) FS ;
- FILLER_15_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 63270 ) FS ;
- FILLER_15_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 63270 ) FS ;
- FILLER_15_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 63270 ) FS ;
- FILLER_15_274 sky130_fd_sc_ls__decap_8 + PLACED ( 137280 63270 ) FS ;
- FILLER_15_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 63270 ) FS ;
- FILLER_15_282 sky130_fd_sc_ls__decap_8 + PLACED ( 141120 63270 ) FS ;
- FILLER_15_290 sky130_fd_sc_ls__decap_8 + PLACED ( 144960 63270 ) FS ;
- FILLER_15_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 63270 ) FS ;
- FILLER_15_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 63270 ) FS ;
- FILLER_15_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 63270 ) FS ;
- FILLER_15_322 sky130_fd_sc_ls__fill_2 + PLACED ( 160320 63270 ) FS ;
- FILLER_15_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 63270 ) FS ;
- FILLER_15_333 sky130_fd_sc_ls__fill_1 + PLACED ( 165600 63270 ) FS ;
- FILLER_15_337 sky130_fd_sc_ls__decap_8 + PLACED ( 167520 63270 ) FS ;
- FILLER_15_345 sky130_fd_sc_ls__decap_8 + PLACED ( 171360 63270 ) FS ;
- FILLER_15_353 sky130_fd_sc_ls__decap_8 + PLACED ( 175200 63270 ) FS ;
- FILLER_15_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 63270 ) FS ;
- FILLER_15_361 sky130_fd_sc_ls__decap_8 + PLACED ( 179040 63270 ) FS ;
- FILLER_15_369 sky130_fd_sc_ls__decap_8 + PLACED ( 182880 63270 ) FS ;
- FILLER_15_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 63270 ) FS ;
- FILLER_15_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 63270 ) FS ;
- FILLER_15_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 63270 ) FS ;
- FILLER_15_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 63270 ) FS ;
- FILLER_15_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 63270 ) FS ;
- FILLER_15_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 63270 ) FS ;
- FILLER_15_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 63270 ) FS ;
- FILLER_15_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 63270 ) FS ;
- FILLER_15_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 63270 ) FS ;
- FILLER_15_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 63270 ) FS ;
- FILLER_15_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 63270 ) FS ;
- FILLER_15_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 63270 ) FS ;
- FILLER_15_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 63270 ) FS ;
- FILLER_15_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 63270 ) FS ;
- FILLER_15_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 63270 ) FS ;
- FILLER_15_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 63270 ) FS ;
- FILLER_15_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 63270 ) FS ;
- FILLER_15_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 63270 ) FS ;
- FILLER_15_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 63270 ) FS ;
- FILLER_15_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 63270 ) FS ;
- FILLER_15_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 63270 ) FS ;
- FILLER_15_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 63270 ) FS ;
- FILLER_15_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 63270 ) FS ;
- FILLER_15_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 63270 ) FS ;
- FILLER_15_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 63270 ) FS ;
- FILLER_15_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 63270 ) FS ;
- FILLER_15_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 63270 ) FS ;
- FILLER_15_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 63270 ) FS ;
- FILLER_15_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 63270 ) FS ;
- FILLER_15_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 63270 ) FS ;
- FILLER_15_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 63270 ) FS ;
- FILLER_15_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 63270 ) FS ;
- FILLER_15_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 63270 ) FS ;
- FILLER_15_58 sky130_fd_sc_ls__decap_8 + PLACED ( 33600 63270 ) FS ;
- FILLER_15_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 63270 ) FS ;
- FILLER_15_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 63270 ) FS ;
- FILLER_15_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 63270 ) FS ;
- FILLER_15_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 63270 ) FS ;
- FILLER_15_66 sky130_fd_sc_ls__decap_8 + PLACED ( 37440 63270 ) FS ;
- FILLER_15_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 63270 ) FS ;
- FILLER_15_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 63270 ) FS ;
- FILLER_15_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 63270 ) FS ;
- FILLER_15_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 63270 ) FS ;
- FILLER_16_109 sky130_fd_sc_ls__decap_4 + PLACED ( 58080 66600 ) N ;
- FILLER_16_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 66600 ) N ;
- FILLER_16_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 66600 ) N ;
- FILLER_16_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 66600 ) N ;
- FILLER_16_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 66600 ) N ;
- FILLER_16_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 66600 ) N ;
- FILLER_16_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 66600 ) N ;
- FILLER_16_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 66600 ) N ;
- FILLER_16_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 66600 ) N ;
- FILLER_16_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 66600 ) N ;
- FILLER_16_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 66600 ) N ;
- FILLER_16_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 66600 ) N ;
- FILLER_16_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 66600 ) N ;
- FILLER_16_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 66600 ) N ;
- FILLER_16_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 66600 ) N ;
- FILLER_16_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 66600 ) N ;
- FILLER_16_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 66600 ) N ;
- FILLER_16_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 66600 ) N ;
- FILLER_16_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 66600 ) N ;
- FILLER_16_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 66600 ) N ;
- FILLER_16_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 66600 ) N ;
- FILLER_16_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 66600 ) N ;
- FILLER_16_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 66600 ) N ;
- FILLER_16_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 66600 ) N ;
- FILLER_16_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 66600 ) N ;
- FILLER_16_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 66600 ) N ;
- FILLER_16_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 66600 ) N ;
- FILLER_16_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 66600 ) N ;
- FILLER_16_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 66600 ) N ;
- FILLER_16_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 66600 ) N ;
- FILLER_16_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 66600 ) N ;
- FILLER_16_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 66600 ) N ;
- FILLER_16_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 66600 ) N ;
- FILLER_16_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 66600 ) N ;
- FILLER_16_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 66600 ) N ;
- FILLER_16_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 66600 ) N ;
- FILLER_16_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 66600 ) N ;
- FILLER_16_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 66600 ) N ;
- FILLER_16_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 66600 ) N ;
- FILLER_16_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 66600 ) N ;
- FILLER_16_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 66600 ) N ;
- FILLER_16_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 66600 ) N ;
- FILLER_16_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 66600 ) N ;
- FILLER_16_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 66600 ) N ;
- FILLER_16_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 66600 ) N ;
- FILLER_16_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 66600 ) N ;
- FILLER_16_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 66600 ) N ;
- FILLER_16_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 66600 ) N ;
- FILLER_16_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 66600 ) N ;
- FILLER_16_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 66600 ) N ;
- FILLER_16_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 66600 ) N ;
- FILLER_16_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 66600 ) N ;
- FILLER_16_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 66600 ) N ;
- FILLER_16_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 66600 ) N ;
- FILLER_16_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 66600 ) N ;
- FILLER_16_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 66600 ) N ;
- FILLER_16_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 66600 ) N ;
- FILLER_16_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 66600 ) N ;
- FILLER_16_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 66600 ) N ;
- FILLER_16_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 66600 ) N ;
- FILLER_16_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 66600 ) N ;
- FILLER_16_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 66600 ) N ;
- FILLER_16_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 66600 ) N ;
- FILLER_16_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 66600 ) N ;
- FILLER_16_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 66600 ) N ;
- FILLER_16_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 66600 ) N ;
- FILLER_16_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 66600 ) N ;
- FILLER_16_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 66600 ) N ;
- FILLER_16_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 66600 ) N ;
- FILLER_16_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 66600 ) N ;
- FILLER_16_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 66600 ) N ;
- FILLER_16_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 66600 ) N ;
- FILLER_16_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 66600 ) N ;
- FILLER_16_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 66600 ) N ;
- FILLER_16_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 66600 ) N ;
- FILLER_16_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 66600 ) N ;
- FILLER_16_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 66600 ) N ;
- FILLER_16_571 sky130_fd_sc_ls__decap_8 + PLACED ( 279840 66600 ) N ;
- FILLER_16_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 66600 ) N ;
- FILLER_16_587 sky130_fd_sc_ls__decap_8 + PLACED ( 287520 66600 ) N ;
- FILLER_16_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 66600 ) N ;
- FILLER_16_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 66600 ) N ;
- FILLER_16_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 66600 ) N ;
- FILLER_16_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 66600 ) N ;
- FILLER_16_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 66600 ) N ;
- FILLER_17_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 69930 ) FS ;
- FILLER_17_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 69930 ) FS ;
- FILLER_17_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 69930 ) FS ;
- FILLER_17_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 69930 ) FS ;
- FILLER_17_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 69930 ) FS ;
- FILLER_17_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 69930 ) FS ;
- FILLER_17_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 69930 ) FS ;
- FILLER_17_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 69930 ) FS ;
- FILLER_17_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 69930 ) FS ;
- FILLER_17_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 69930 ) FS ;
- FILLER_17_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 69930 ) FS ;
- FILLER_17_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 69930 ) FS ;
- FILLER_17_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 69930 ) FS ;
- FILLER_17_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 69930 ) FS ;
- FILLER_17_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 69930 ) FS ;
- FILLER_17_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 69930 ) FS ;
- FILLER_17_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 69930 ) FS ;
- FILLER_17_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 69930 ) FS ;
- FILLER_17_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 69930 ) FS ;
- FILLER_17_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 69930 ) FS ;
- FILLER_17_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 69930 ) FS ;
- FILLER_17_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 69930 ) FS ;
- FILLER_17_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 69930 ) FS ;
- FILLER_17_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 69930 ) FS ;
- FILLER_17_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 69930 ) FS ;
- FILLER_17_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 69930 ) FS ;
- FILLER_17_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 69930 ) FS ;
- FILLER_17_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 69930 ) FS ;
- FILLER_17_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 69930 ) FS ;
- FILLER_17_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 69930 ) FS ;
- FILLER_17_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 69930 ) FS ;
- FILLER_17_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 69930 ) FS ;
- FILLER_17_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 69930 ) FS ;
- FILLER_17_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 69930 ) FS ;
- FILLER_17_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 69930 ) FS ;
- FILLER_17_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 69930 ) FS ;
- FILLER_17_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 69930 ) FS ;
- FILLER_17_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 69930 ) FS ;
- FILLER_17_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 69930 ) FS ;
- FILLER_17_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 69930 ) FS ;
- FILLER_17_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 69930 ) FS ;
- FILLER_17_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 69930 ) FS ;
- FILLER_17_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 69930 ) FS ;
- FILLER_17_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 69930 ) FS ;
- FILLER_17_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 69930 ) FS ;
- FILLER_17_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 69930 ) FS ;
- FILLER_17_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 69930 ) FS ;
- FILLER_17_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 69930 ) FS ;
- FILLER_17_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 69930 ) FS ;
- FILLER_17_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 69930 ) FS ;
- FILLER_17_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 69930 ) FS ;
- FILLER_17_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 69930 ) FS ;
- FILLER_17_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 69930 ) FS ;
- FILLER_17_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 69930 ) FS ;
- FILLER_17_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 69930 ) FS ;
- FILLER_17_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 69930 ) FS ;
- FILLER_17_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 69930 ) FS ;
- FILLER_17_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 69930 ) FS ;
- FILLER_17_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 69930 ) FS ;
- FILLER_17_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 69930 ) FS ;
- FILLER_17_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 69930 ) FS ;
- FILLER_17_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 69930 ) FS ;
- FILLER_17_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 69930 ) FS ;
- FILLER_17_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 69930 ) FS ;
- FILLER_17_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 69930 ) FS ;
- FILLER_17_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 69930 ) FS ;
- FILLER_17_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 69930 ) FS ;
- FILLER_17_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 69930 ) FS ;
- FILLER_17_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 69930 ) FS ;
- FILLER_17_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 69930 ) FS ;
- FILLER_17_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 69930 ) FS ;
- FILLER_17_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 69930 ) FS ;
- FILLER_17_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 69930 ) FS ;
- FILLER_17_541 sky130_fd_sc_ls__decap_4 + PLACED ( 265440 69930 ) FS ;
- FILLER_17_545 sky130_fd_sc_ls__fill_2 + PLACED ( 267360 69930 ) FS ;
- FILLER_17_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 69930 ) FS ;
- FILLER_17_550 sky130_fd_sc_ls__decap_8 + PLACED ( 269760 69930 ) FS ;
- FILLER_17_558 sky130_fd_sc_ls__fill_2 + PLACED ( 273600 69930 ) FS ;
- FILLER_17_560 sky130_fd_sc_ls__fill_1 + PLACED ( 274560 69930 ) FS ;
- FILLER_17_564 sky130_fd_sc_ls__decap_8 + PLACED ( 276480 69930 ) FS ;
- FILLER_17_572 sky130_fd_sc_ls__decap_8 + PLACED ( 280320 69930 ) FS ;
- FILLER_17_580 sky130_fd_sc_ls__decap_8 + PLACED ( 284160 69930 ) FS ;
- FILLER_17_588 sky130_fd_sc_ls__decap_4 + PLACED ( 288000 69930 ) FS ;
- FILLER_17_592 sky130_fd_sc_ls__fill_2 + PLACED ( 289920 69930 ) FS ;
- FILLER_17_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 69930 ) FS ;
- FILLER_17_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 69930 ) FS ;
- FILLER_17_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 69930 ) FS ;
- FILLER_17_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 69930 ) FS ;
- FILLER_17_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 69930 ) FS ;
- FILLER_17_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 69930 ) FS ;
- FILLER_18_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 73260 ) N ;
- FILLER_18_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 73260 ) N ;
- FILLER_18_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 73260 ) N ;
- FILLER_18_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 73260 ) N ;
- FILLER_18_132 sky130_fd_sc_ls__fill_2 + PLACED ( 69120 73260 ) N ;
- FILLER_18_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 73260 ) N ;
- FILLER_18_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 73260 ) N ;
- FILLER_18_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 73260 ) N ;
- FILLER_18_15 sky130_fd_sc_ls__decap_8 + PLACED ( 12960 73260 ) N ;
- FILLER_18_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 73260 ) N ;
- FILLER_18_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 73260 ) N ;
- FILLER_18_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 73260 ) N ;
- FILLER_18_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 73260 ) N ;
- FILLER_18_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 73260 ) N ;
- FILLER_18_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 73260 ) N ;
- FILLER_18_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 73260 ) N ;
- FILLER_18_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 73260 ) N ;
- FILLER_18_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 73260 ) N ;
- FILLER_18_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 73260 ) N ;
- FILLER_18_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 73260 ) N ;
- FILLER_18_23 sky130_fd_sc_ls__decap_4 + PLACED ( 16800 73260 ) N ;
- FILLER_18_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 73260 ) N ;
- FILLER_18_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 73260 ) N ;
- FILLER_18_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 73260 ) N ;
- FILLER_18_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 73260 ) N ;
- FILLER_18_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 73260 ) N ;
- FILLER_18_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 73260 ) N ;
- FILLER_18_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 73260 ) N ;
- FILLER_18_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 73260 ) N ;
- FILLER_18_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 73260 ) N ;
- FILLER_18_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 73260 ) N ;
- FILLER_18_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 73260 ) N ;
- FILLER_18_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 73260 ) N ;
- FILLER_18_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 73260 ) N ;
- FILLER_18_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 73260 ) N ;
- FILLER_18_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 73260 ) N ;
- FILLER_18_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 73260 ) N ;
- FILLER_18_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 73260 ) N ;
- FILLER_18_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 73260 ) N ;
- FILLER_18_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 73260 ) N ;
- FILLER_18_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 73260 ) N ;
- FILLER_18_352 sky130_fd_sc_ls__decap_4 + PLACED ( 174720 73260 ) N ;
- FILLER_18_359 sky130_fd_sc_ls__decap_8 + PLACED ( 178080 73260 ) N ;
- FILLER_18_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 73260 ) N ;
- FILLER_18_367 sky130_fd_sc_ls__fill_2 + PLACED ( 181920 73260 ) N ;
- FILLER_18_372 sky130_fd_sc_ls__decap_8 + PLACED ( 184320 73260 ) N ;
- FILLER_18_380 sky130_fd_sc_ls__decap_8 + PLACED ( 188160 73260 ) N ;
- FILLER_18_388 sky130_fd_sc_ls__decap_8 + PLACED ( 192000 73260 ) N ;
- FILLER_18_396 sky130_fd_sc_ls__decap_8 + PLACED ( 195840 73260 ) N ;
- FILLER_18_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 73260 ) N ;
- FILLER_18_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 73260 ) N ;
- FILLER_18_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 73260 ) N ;
- FILLER_18_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 73260 ) N ;
- FILLER_18_430 sky130_fd_sc_ls__decap_4 + PLACED ( 212160 73260 ) N ;
- FILLER_18_437 sky130_fd_sc_ls__decap_8 + PLACED ( 215520 73260 ) N ;
- FILLER_18_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 73260 ) N ;
- FILLER_18_445 sky130_fd_sc_ls__decap_8 + PLACED ( 219360 73260 ) N ;
- FILLER_18_453 sky130_fd_sc_ls__decap_4 + PLACED ( 223200 73260 ) N ;
- FILLER_18_457 sky130_fd_sc_ls__fill_2 + PLACED ( 225120 73260 ) N ;
- FILLER_18_463 sky130_fd_sc_ls__decap_8 + PLACED ( 228000 73260 ) N ;
- FILLER_18_471 sky130_fd_sc_ls__decap_8 + PLACED ( 231840 73260 ) N ;
- FILLER_18_479 sky130_fd_sc_ls__decap_8 + PLACED ( 235680 73260 ) N ;
- FILLER_18_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 73260 ) N ;
- FILLER_18_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 73260 ) N ;
- FILLER_18_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 73260 ) N ;
- FILLER_18_511 sky130_fd_sc_ls__fill_2 + PLACED ( 251040 73260 ) N ;
- FILLER_18_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 73260 ) N ;
- FILLER_18_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 73260 ) N ;
- FILLER_18_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 73260 ) N ;
- FILLER_18_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 73260 ) N ;
- FILLER_18_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 73260 ) N ;
- FILLER_18_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 73260 ) N ;
- FILLER_18_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 73260 ) N ;
- FILLER_18_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 73260 ) N ;
- FILLER_18_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 73260 ) N ;
- FILLER_18_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 73260 ) N ;
- FILLER_18_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 73260 ) N ;
- FILLER_18_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 73260 ) N ;
- FILLER_18_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 73260 ) N ;
- FILLER_18_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 73260 ) N ;
- FILLER_18_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 73260 ) N ;
- FILLER_18_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 73260 ) N ;
- FILLER_18_7 sky130_fd_sc_ls__decap_8 + PLACED ( 9120 73260 ) N ;
- FILLER_18_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 73260 ) N ;
- FILLER_18_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 73260 ) N ;
- FILLER_18_88 sky130_fd_sc_ls__decap_4 + PLACED ( 48000 73260 ) N ;
- FILLER_18_94 sky130_fd_sc_ls__decap_4 + PLACED ( 50880 73260 ) N ;
- FILLER_19_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 76590 ) FS ;
- FILLER_19_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 76590 ) FS ;
- FILLER_19_109 sky130_fd_sc_ls__fill_2 + PLACED ( 58080 76590 ) FS ;
- FILLER_19_111 sky130_fd_sc_ls__fill_1 + PLACED ( 59040 76590 ) FS ;
- FILLER_19_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 76590 ) FS ;
- FILLER_19_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 76590 ) FS ;
- FILLER_19_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 76590 ) FS ;
- FILLER_19_131 sky130_fd_sc_ls__decap_8 + PLACED ( 68640 76590 ) FS ;
- FILLER_19_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 76590 ) FS ;
- FILLER_19_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 76590 ) FS ;
- FILLER_19_155 sky130_fd_sc_ls__decap_4 + PLACED ( 80160 76590 ) FS ;
- FILLER_19_159 sky130_fd_sc_ls__fill_2 + PLACED ( 82080 76590 ) FS ;
- FILLER_19_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 76590 ) FS ;
- FILLER_19_163 sky130_fd_sc_ls__decap_4 + PLACED ( 84000 76590 ) FS ;
- FILLER_19_167 sky130_fd_sc_ls__fill_2 + PLACED ( 85920 76590 ) FS ;
- FILLER_19_172 sky130_fd_sc_ls__decap_8 + PLACED ( 88320 76590 ) FS ;
- FILLER_19_180 sky130_fd_sc_ls__decap_8 + PLACED ( 92160 76590 ) FS ;
- FILLER_19_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 76590 ) FS ;
- FILLER_19_192 sky130_fd_sc_ls__decap_8 + PLACED ( 97920 76590 ) FS ;
- FILLER_19_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 76590 ) FS ;
- FILLER_19_200 sky130_fd_sc_ls__decap_8 + PLACED ( 101760 76590 ) FS ;
- FILLER_19_208 sky130_fd_sc_ls__decap_8 + PLACED ( 105600 76590 ) FS ;
- FILLER_19_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 76590 ) FS ;
- FILLER_19_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 76590 ) FS ;
- FILLER_19_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 76590 ) FS ;
- FILLER_19_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 76590 ) FS ;
- FILLER_19_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 76590 ) FS ;
- FILLER_19_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 76590 ) FS ;
- FILLER_19_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 76590 ) FS ;
- FILLER_19_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 76590 ) FS ;
- FILLER_19_271 sky130_fd_sc_ls__decap_4 + PLACED ( 135840 76590 ) FS ;
- FILLER_19_275 sky130_fd_sc_ls__fill_2 + PLACED ( 137760 76590 ) FS ;
- FILLER_19_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 76590 ) FS ;
- FILLER_19_280 sky130_fd_sc_ls__decap_8 + PLACED ( 140160 76590 ) FS ;
- FILLER_19_288 sky130_fd_sc_ls__decap_8 + PLACED ( 144000 76590 ) FS ;
- FILLER_19_296 sky130_fd_sc_ls__decap_8 + PLACED ( 147840 76590 ) FS ;
- FILLER_19_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 76590 ) FS ;
- FILLER_19_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 76590 ) FS ;
- FILLER_19_320 sky130_fd_sc_ls__decap_4 + PLACED ( 159360 76590 ) FS ;
- FILLER_19_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 76590 ) FS ;
- FILLER_19_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 76590 ) FS ;
- FILLER_19_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 76590 ) FS ;
- FILLER_19_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 76590 ) FS ;
- FILLER_19_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 76590 ) FS ;
- FILLER_19_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 76590 ) FS ;
- FILLER_19_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 76590 ) FS ;
- FILLER_19_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 76590 ) FS ;
- FILLER_19_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 76590 ) FS ;
- FILLER_19_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 76590 ) FS ;
- FILLER_19_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 76590 ) FS ;
- FILLER_19_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 76590 ) FS ;
- FILLER_19_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 76590 ) FS ;
- FILLER_19_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 76590 ) FS ;
- FILLER_19_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 76590 ) FS ;
- FILLER_19_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 76590 ) FS ;
- FILLER_19_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 76590 ) FS ;
- FILLER_19_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 76590 ) FS ;
- FILLER_19_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 76590 ) FS ;
- FILLER_19_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 76590 ) FS ;
- FILLER_19_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 76590 ) FS ;
- FILLER_19_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 76590 ) FS ;
- FILLER_19_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 76590 ) FS ;
- FILLER_19_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 76590 ) FS ;
- FILLER_19_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 76590 ) FS ;
- FILLER_19_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 76590 ) FS ;
- FILLER_19_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 76590 ) FS ;
- FILLER_19_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 76590 ) FS ;
- FILLER_19_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 76590 ) FS ;
- FILLER_19_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 76590 ) FS ;
- FILLER_19_511 sky130_fd_sc_ls__decap_4 + PLACED ( 251040 76590 ) FS ;
- FILLER_19_515 sky130_fd_sc_ls__fill_2 + PLACED ( 252960 76590 ) FS ;
- FILLER_19_517 sky130_fd_sc_ls__fill_1 + PLACED ( 253920 76590 ) FS ;
- FILLER_19_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 76590 ) FS ;
- FILLER_19_521 sky130_fd_sc_ls__decap_8 + PLACED ( 255840 76590 ) FS ;
- FILLER_19_529 sky130_fd_sc_ls__decap_8 + PLACED ( 259680 76590 ) FS ;
- FILLER_19_537 sky130_fd_sc_ls__fill_2 + PLACED ( 263520 76590 ) FS ;
- FILLER_19_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 76590 ) FS ;
- FILLER_19_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 76590 ) FS ;
- FILLER_19_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 76590 ) FS ;
- FILLER_19_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 76590 ) FS ;
- FILLER_19_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 76590 ) FS ;
- FILLER_19_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 76590 ) FS ;
- FILLER_19_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 76590 ) FS ;
- FILLER_19_581 sky130_fd_sc_ls__decap_4 + PLACED ( 284640 76590 ) FS ;
- FILLER_19_585 sky130_fd_sc_ls__fill_1 + PLACED ( 286560 76590 ) FS ;
- FILLER_19_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 76590 ) FS ;
- FILLER_19_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 76590 ) FS ;
- FILLER_19_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 76590 ) FS ;
- FILLER_19_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 76590 ) FS ;
- FILLER_19_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 76590 ) FS ;
- FILLER_19_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 76590 ) FS ;
- FILLER_19_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 76590 ) FS ;
- FILLER_19_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 76590 ) FS ;
- FILLER_1_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 16650 ) FS ;
- FILLER_1_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 16650 ) FS ;
- FILLER_1_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 16650 ) FS ;
- FILLER_1_117 sky130_fd_sc_ls__decap_4 + PLACED ( 61920 16650 ) FS ;
- FILLER_1_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 16650 ) FS ;
- FILLER_1_123 sky130_fd_sc_ls__decap_4 + PLACED ( 64800 16650 ) FS ;
- FILLER_1_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 16650 ) FS ;
- FILLER_1_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 16650 ) FS ;
- FILLER_1_147 sky130_fd_sc_ls__decap_4 + PLACED ( 76320 16650 ) FS ;
- FILLER_1_155 sky130_fd_sc_ls__decap_4 + PLACED ( 80160 16650 ) FS ;
- FILLER_1_159 sky130_fd_sc_ls__fill_2 + PLACED ( 82080 16650 ) FS ;
- FILLER_1_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 16650 ) FS ;
- FILLER_1_163 sky130_fd_sc_ls__fill_2 + PLACED ( 84000 16650 ) FS ;
- FILLER_1_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 16650 ) FS ;
- FILLER_1_177 sky130_fd_sc_ls__decap_4 + PLACED ( 90720 16650 ) FS ;
- FILLER_1_183 sky130_fd_sc_ls__decap_4 + PLACED ( 93600 16650 ) FS ;
- FILLER_1_187 sky130_fd_sc_ls__fill_1 + PLACED ( 95520 16650 ) FS ;
- FILLER_1_193 sky130_fd_sc_ls__decap_4 + PLACED ( 98400 16650 ) FS ;
- FILLER_1_199 sky130_fd_sc_ls__decap_4 + PLACED ( 101280 16650 ) FS ;
- FILLER_1_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 16650 ) FS ;
- FILLER_1_203 sky130_fd_sc_ls__fill_1 + PLACED ( 103200 16650 ) FS ;
- FILLER_1_210 sky130_fd_sc_ls__decap_4 + PLACED ( 106560 16650 ) FS ;
- FILLER_1_214 sky130_fd_sc_ls__fill_2 + PLACED ( 108480 16650 ) FS ;
- FILLER_1_222 sky130_fd_sc_ls__decap_4 + PLACED ( 112320 16650 ) FS ;
- FILLER_1_231 sky130_fd_sc_ls__decap_4 + PLACED ( 116640 16650 ) FS ;
- FILLER_1_237 sky130_fd_sc_ls__decap_8 + PLACED ( 119520 16650 ) FS ;
- FILLER_1_245 sky130_fd_sc_ls__decap_8 + PLACED ( 123360 16650 ) FS ;
- FILLER_1_253 sky130_fd_sc_ls__decap_8 + PLACED ( 127200 16650 ) FS ;
- FILLER_1_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 16650 ) FS ;
- FILLER_1_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 16650 ) FS ;
- FILLER_1_274 sky130_fd_sc_ls__decap_8 + PLACED ( 137280 16650 ) FS ;
- FILLER_1_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 16650 ) FS ;
- FILLER_1_282 sky130_fd_sc_ls__decap_8 + PLACED ( 141120 16650 ) FS ;
- FILLER_1_290 sky130_fd_sc_ls__decap_8 + PLACED ( 144960 16650 ) FS ;
- FILLER_1_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 16650 ) FS ;
- FILLER_1_306 sky130_fd_sc_ls__fill_2 + PLACED ( 152640 16650 ) FS ;
- FILLER_1_308 sky130_fd_sc_ls__fill_1 + PLACED ( 153600 16650 ) FS ;
- FILLER_1_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 16650 ) FS ;
- FILLER_1_320 sky130_fd_sc_ls__decap_4 + PLACED ( 159360 16650 ) FS ;
- FILLER_1_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 16650 ) FS ;
- FILLER_1_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 16650 ) FS ;
- FILLER_1_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 16650 ) FS ;
- FILLER_1_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 16650 ) FS ;
- FILLER_1_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 16650 ) FS ;
- FILLER_1_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 16650 ) FS ;
- FILLER_1_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 16650 ) FS ;
- FILLER_1_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 16650 ) FS ;
- FILLER_1_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 16650 ) FS ;
- FILLER_1_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 16650 ) FS ;
- FILLER_1_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 16650 ) FS ;
- FILLER_1_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 16650 ) FS ;
- FILLER_1_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 16650 ) FS ;
- FILLER_1_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 16650 ) FS ;
- FILLER_1_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 16650 ) FS ;
- FILLER_1_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 16650 ) FS ;
- FILLER_1_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 16650 ) FS ;
- FILLER_1_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 16650 ) FS ;
- FILLER_1_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 16650 ) FS ;
- FILLER_1_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 16650 ) FS ;
- FILLER_1_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 16650 ) FS ;
- FILLER_1_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 16650 ) FS ;
- FILLER_1_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 16650 ) FS ;
- FILLER_1_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 16650 ) FS ;
- FILLER_1_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 16650 ) FS ;
- FILLER_1_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 16650 ) FS ;
- FILLER_1_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 16650 ) FS ;
- FILLER_1_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 16650 ) FS ;
- FILLER_1_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 16650 ) FS ;
- FILLER_1_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 16650 ) FS ;
- FILLER_1_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 16650 ) FS ;
- FILLER_1_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 16650 ) FS ;
- FILLER_1_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 16650 ) FS ;
- FILLER_1_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 16650 ) FS ;
- FILLER_1_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 16650 ) FS ;
- FILLER_1_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 16650 ) FS ;
- FILLER_1_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 16650 ) FS ;
- FILLER_1_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 16650 ) FS ;
- FILLER_1_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 16650 ) FS ;
- FILLER_1_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 16650 ) FS ;
- FILLER_1_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 16650 ) FS ;
- FILLER_1_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 16650 ) FS ;
- FILLER_1_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 16650 ) FS ;
- FILLER_1_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 16650 ) FS ;
- FILLER_1_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 16650 ) FS ;
- FILLER_1_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 16650 ) FS ;
- FILLER_1_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 16650 ) FS ;
- FILLER_1_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 16650 ) FS ;
- FILLER_1_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 16650 ) FS ;
- FILLER_1_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 16650 ) FS ;
- FILLER_1_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 16650 ) FS ;
- FILLER_20_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 79920 ) N ;
- FILLER_20_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 79920 ) N ;
- FILLER_20_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 79920 ) N ;
- FILLER_20_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 79920 ) N ;
- FILLER_20_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 79920 ) N ;
- FILLER_20_132 sky130_fd_sc_ls__fill_2 + PLACED ( 69120 79920 ) N ;
- FILLER_20_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 79920 ) N ;
- FILLER_20_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 79920 ) N ;
- FILLER_20_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 79920 ) N ;
- FILLER_20_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 79920 ) N ;
- FILLER_20_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 79920 ) N ;
- FILLER_20_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 79920 ) N ;
- FILLER_20_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 79920 ) N ;
- FILLER_20_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 79920 ) N ;
- FILLER_20_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 79920 ) N ;
- FILLER_20_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 79920 ) N ;
- FILLER_20_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 79920 ) N ;
- FILLER_20_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 79920 ) N ;
- FILLER_20_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 79920 ) N ;
- FILLER_20_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 79920 ) N ;
- FILLER_20_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 79920 ) N ;
- FILLER_20_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 79920 ) N ;
- FILLER_20_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 79920 ) N ;
- FILLER_20_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 79920 ) N ;
- FILLER_20_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 79920 ) N ;
- FILLER_20_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 79920 ) N ;
- FILLER_20_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 79920 ) N ;
- FILLER_20_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 79920 ) N ;
- FILLER_20_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 79920 ) N ;
- FILLER_20_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 79920 ) N ;
- FILLER_20_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 79920 ) N ;
- FILLER_20_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 79920 ) N ;
- FILLER_20_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 79920 ) N ;
- FILLER_20_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 79920 ) N ;
- FILLER_20_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 79920 ) N ;
- FILLER_20_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 79920 ) N ;
- FILLER_20_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 79920 ) N ;
- FILLER_20_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 79920 ) N ;
- FILLER_20_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 79920 ) N ;
- FILLER_20_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 79920 ) N ;
- FILLER_20_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 79920 ) N ;
- FILLER_20_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 79920 ) N ;
- FILLER_20_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 79920 ) N ;
- FILLER_20_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 79920 ) N ;
- FILLER_20_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 79920 ) N ;
- FILLER_20_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 79920 ) N ;
- FILLER_20_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 79920 ) N ;
- FILLER_20_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 79920 ) N ;
- FILLER_20_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 79920 ) N ;
- FILLER_20_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 79920 ) N ;
- FILLER_20_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 79920 ) N ;
- FILLER_20_403 sky130_fd_sc_ls__fill_2 + PLACED ( 199200 79920 ) N ;
- FILLER_20_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 79920 ) N ;
- FILLER_20_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 79920 ) N ;
- FILLER_20_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 79920 ) N ;
- FILLER_20_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 79920 ) N ;
- FILLER_20_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 79920 ) N ;
- FILLER_20_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 79920 ) N ;
- FILLER_20_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 79920 ) N ;
- FILLER_20_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 79920 ) N ;
- FILLER_20_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 79920 ) N ;
- FILLER_20_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 79920 ) N ;
- FILLER_20_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 79920 ) N ;
- FILLER_20_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 79920 ) N ;
- FILLER_20_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 79920 ) N ;
- FILLER_20_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 79920 ) N ;
- FILLER_20_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 79920 ) N ;
- FILLER_20_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 79920 ) N ;
- FILLER_20_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 79920 ) N ;
- FILLER_20_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 79920 ) N ;
- FILLER_20_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 79920 ) N ;
- FILLER_20_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 79920 ) N ;
- FILLER_20_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 79920 ) N ;
- FILLER_20_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 79920 ) N ;
- FILLER_20_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 79920 ) N ;
- FILLER_20_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 79920 ) N ;
- FILLER_20_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 79920 ) N ;
- FILLER_20_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 79920 ) N ;
- FILLER_20_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 79920 ) N ;
- FILLER_20_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 79920 ) N ;
- FILLER_20_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 79920 ) N ;
- FILLER_20_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 79920 ) N ;
- FILLER_20_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 79920 ) N ;
- FILLER_20_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 79920 ) N ;
- FILLER_20_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 79920 ) N ;
- FILLER_20_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 79920 ) N ;
- FILLER_20_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 79920 ) N ;
- FILLER_20_88 sky130_fd_sc_ls__decap_4 + PLACED ( 48000 79920 ) N ;
- FILLER_20_94 sky130_fd_sc_ls__decap_4 + PLACED ( 50880 79920 ) N ;
- FILLER_21_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 83250 ) FS ;
- FILLER_21_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 83250 ) FS ;
- FILLER_21_112 sky130_fd_sc_ls__decap_8 + PLACED ( 59520 83250 ) FS ;
- FILLER_21_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 83250 ) FS ;
- FILLER_21_120 sky130_fd_sc_ls__decap_8 + PLACED ( 63360 83250 ) FS ;
- FILLER_21_128 sky130_fd_sc_ls__decap_8 + PLACED ( 67200 83250 ) FS ;
- FILLER_21_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 83250 ) FS ;
- FILLER_21_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 83250 ) FS ;
- FILLER_21_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 83250 ) FS ;
- FILLER_21_160 sky130_fd_sc_ls__fill_2 + PLACED ( 82560 83250 ) FS ;
- FILLER_21_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 83250 ) FS ;
- FILLER_21_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 83250 ) FS ;
- FILLER_21_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 83250 ) FS ;
- FILLER_21_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 83250 ) FS ;
- FILLER_21_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 83250 ) FS ;
- FILLER_21_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 83250 ) FS ;
- FILLER_21_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 83250 ) FS ;
- FILLER_21_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 83250 ) FS ;
- FILLER_21_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 83250 ) FS ;
- FILLER_21_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 83250 ) FS ;
- FILLER_21_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 83250 ) FS ;
- FILLER_21_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 83250 ) FS ;
- FILLER_21_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 83250 ) FS ;
- FILLER_21_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 83250 ) FS ;
- FILLER_21_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 83250 ) FS ;
- FILLER_21_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 83250 ) FS ;
- FILLER_21_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 83250 ) FS ;
- FILLER_21_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 83250 ) FS ;
- FILLER_21_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 83250 ) FS ;
- FILLER_21_282 sky130_fd_sc_ls__decap_8 + PLACED ( 141120 83250 ) FS ;
- FILLER_21_290 sky130_fd_sc_ls__decap_8 + PLACED ( 144960 83250 ) FS ;
- FILLER_21_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 83250 ) FS ;
- FILLER_21_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 83250 ) FS ;
- FILLER_21_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 83250 ) FS ;
- FILLER_21_322 sky130_fd_sc_ls__fill_2 + PLACED ( 160320 83250 ) FS ;
- FILLER_21_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 83250 ) FS ;
- FILLER_21_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 83250 ) FS ;
- FILLER_21_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 83250 ) FS ;
- FILLER_21_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 83250 ) FS ;
- FILLER_21_357 sky130_fd_sc_ls__decap_4 + PLACED ( 177120 83250 ) FS ;
- FILLER_21_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 83250 ) FS ;
- FILLER_21_364 sky130_fd_sc_ls__decap_8 + PLACED ( 180480 83250 ) FS ;
- FILLER_21_372 sky130_fd_sc_ls__decap_4 + PLACED ( 184320 83250 ) FS ;
- FILLER_21_376 sky130_fd_sc_ls__fill_2 + PLACED ( 186240 83250 ) FS ;
- FILLER_21_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 83250 ) FS ;
- FILLER_21_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 83250 ) FS ;
- FILLER_21_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 83250 ) FS ;
- FILLER_21_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 83250 ) FS ;
- FILLER_21_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 83250 ) FS ;
- FILLER_21_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 83250 ) FS ;
- FILLER_21_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 83250 ) FS ;
- FILLER_21_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 83250 ) FS ;
- FILLER_21_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 83250 ) FS ;
- FILLER_21_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 83250 ) FS ;
- FILLER_21_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 83250 ) FS ;
- FILLER_21_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 83250 ) FS ;
- FILLER_21_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 83250 ) FS ;
- FILLER_21_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 83250 ) FS ;
- FILLER_21_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 83250 ) FS ;
- FILLER_21_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 83250 ) FS ;
- FILLER_21_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 83250 ) FS ;
- FILLER_21_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 83250 ) FS ;
- FILLER_21_490 sky130_fd_sc_ls__decap_8 + PLACED ( 240960 83250 ) FS ;
- FILLER_21_498 sky130_fd_sc_ls__decap_8 + PLACED ( 244800 83250 ) FS ;
- FILLER_21_506 sky130_fd_sc_ls__decap_8 + PLACED ( 248640 83250 ) FS ;
- FILLER_21_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 83250 ) FS ;
- FILLER_21_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 83250 ) FS ;
- FILLER_21_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 83250 ) FS ;
- FILLER_21_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 83250 ) FS ;
- FILLER_21_538 sky130_fd_sc_ls__fill_2 + PLACED ( 264000 83250 ) FS ;
- FILLER_21_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 83250 ) FS ;
- FILLER_21_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 83250 ) FS ;
- FILLER_21_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 83250 ) FS ;
- FILLER_21_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 83250 ) FS ;
- FILLER_21_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 83250 ) FS ;
- FILLER_21_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 83250 ) FS ;
- FILLER_21_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 83250 ) FS ;
- FILLER_21_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 83250 ) FS ;
- FILLER_21_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 83250 ) FS ;
- FILLER_21_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 83250 ) FS ;
- FILLER_21_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 83250 ) FS ;
- FILLER_21_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 83250 ) FS ;
- FILLER_21_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 83250 ) FS ;
- FILLER_21_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 83250 ) FS ;
- FILLER_21_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 83250 ) FS ;
- FILLER_22_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 86580 ) N ;
- FILLER_22_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 86580 ) N ;
- FILLER_22_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 86580 ) N ;
- FILLER_22_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 86580 ) N ;
- FILLER_22_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 86580 ) N ;
- FILLER_22_132 sky130_fd_sc_ls__fill_2 + PLACED ( 69120 86580 ) N ;
- FILLER_22_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 86580 ) N ;
- FILLER_22_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 86580 ) N ;
- FILLER_22_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 86580 ) N ;
- FILLER_22_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 86580 ) N ;
- FILLER_22_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 86580 ) N ;
- FILLER_22_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 86580 ) N ;
- FILLER_22_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 86580 ) N ;
- FILLER_22_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 86580 ) N ;
- FILLER_22_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 86580 ) N ;
- FILLER_22_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 86580 ) N ;
- FILLER_22_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 86580 ) N ;
- FILLER_22_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 86580 ) N ;
- FILLER_22_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 86580 ) N ;
- FILLER_22_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 86580 ) N ;
- FILLER_22_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 86580 ) N ;
- FILLER_22_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 86580 ) N ;
- FILLER_22_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 86580 ) N ;
- FILLER_22_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 86580 ) N ;
- FILLER_22_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 86580 ) N ;
- FILLER_22_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 86580 ) N ;
- FILLER_22_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 86580 ) N ;
- FILLER_22_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 86580 ) N ;
- FILLER_22_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 86580 ) N ;
- FILLER_22_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 86580 ) N ;
- FILLER_22_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 86580 ) N ;
- FILLER_22_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 86580 ) N ;
- FILLER_22_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 86580 ) N ;
- FILLER_22_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 86580 ) N ;
- FILLER_22_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 86580 ) N ;
- FILLER_22_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 86580 ) N ;
- FILLER_22_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 86580 ) N ;
- FILLER_22_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 86580 ) N ;
- FILLER_22_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 86580 ) N ;
- FILLER_22_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 86580 ) N ;
- FILLER_22_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 86580 ) N ;
- FILLER_22_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 86580 ) N ;
- FILLER_22_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 86580 ) N ;
- FILLER_22_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 86580 ) N ;
- FILLER_22_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 86580 ) N ;
- FILLER_22_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 86580 ) N ;
- FILLER_22_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 86580 ) N ;
- FILLER_22_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 86580 ) N ;
- FILLER_22_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 86580 ) N ;
- FILLER_22_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 86580 ) N ;
- FILLER_22_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 86580 ) N ;
- FILLER_22_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 86580 ) N ;
- FILLER_22_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 86580 ) N ;
- FILLER_22_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 86580 ) N ;
- FILLER_22_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 86580 ) N ;
- FILLER_22_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 86580 ) N ;
- FILLER_22_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 86580 ) N ;
- FILLER_22_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 86580 ) N ;
- FILLER_22_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 86580 ) N ;
- FILLER_22_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 86580 ) N ;
- FILLER_22_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 86580 ) N ;
- FILLER_22_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 86580 ) N ;
- FILLER_22_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 86580 ) N ;
- FILLER_22_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 86580 ) N ;
- FILLER_22_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 86580 ) N ;
- FILLER_22_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 86580 ) N ;
- FILLER_22_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 86580 ) N ;
- FILLER_22_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 86580 ) N ;
- FILLER_22_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 86580 ) N ;
- FILLER_22_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 86580 ) N ;
- FILLER_22_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 86580 ) N ;
- FILLER_22_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 86580 ) N ;
- FILLER_22_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 86580 ) N ;
- FILLER_22_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 86580 ) N ;
- FILLER_22_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 86580 ) N ;
- FILLER_22_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 86580 ) N ;
- FILLER_22_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 86580 ) N ;
- FILLER_22_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 86580 ) N ;
- FILLER_22_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 86580 ) N ;
- FILLER_22_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 86580 ) N ;
- FILLER_22_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 86580 ) N ;
- FILLER_22_584 sky130_fd_sc_ls__fill_2 + PLACED ( 286080 86580 ) N ;
- FILLER_22_589 sky130_fd_sc_ls__decap_8 + PLACED ( 288480 86580 ) N ;
- FILLER_22_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 86580 ) N ;
- FILLER_22_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 86580 ) N ;
- FILLER_22_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 86580 ) N ;
- FILLER_22_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 86580 ) N ;
- FILLER_22_88 sky130_fd_sc_ls__decap_4 + PLACED ( 48000 86580 ) N ;
- FILLER_22_94 sky130_fd_sc_ls__decap_4 + PLACED ( 50880 86580 ) N ;
- FILLER_23_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 89910 ) FS ;
- FILLER_23_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 89910 ) FS ;
- FILLER_23_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 89910 ) FS ;
- FILLER_23_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 89910 ) FS ;
- FILLER_23_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 89910 ) FS ;
- FILLER_23_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 89910 ) FS ;
- FILLER_23_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 89910 ) FS ;
- FILLER_23_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 89910 ) FS ;
- FILLER_23_149 sky130_fd_sc_ls__fill_2 + PLACED ( 77280 89910 ) FS ;
- FILLER_23_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 89910 ) FS ;
- FILLER_23_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 89910 ) FS ;
- FILLER_23_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 89910 ) FS ;
- FILLER_23_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 89910 ) FS ;
- FILLER_23_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 89910 ) FS ;
- FILLER_23_195 sky130_fd_sc_ls__fill_2 + PLACED ( 99360 89910 ) FS ;
- FILLER_23_197 sky130_fd_sc_ls__fill_1 + PLACED ( 100320 89910 ) FS ;
- FILLER_23_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 89910 ) FS ;
- FILLER_23_201 sky130_fd_sc_ls__decap_8 + PLACED ( 102240 89910 ) FS ;
- FILLER_23_209 sky130_fd_sc_ls__decap_4 + PLACED ( 106080 89910 ) FS ;
- FILLER_23_213 sky130_fd_sc_ls__fill_2 + PLACED ( 108000 89910 ) FS ;
- FILLER_23_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 89910 ) FS ;
- FILLER_23_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 89910 ) FS ;
- FILLER_23_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 89910 ) FS ;
- FILLER_23_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 89910 ) FS ;
- FILLER_23_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 89910 ) FS ;
- FILLER_23_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 89910 ) FS ;
- FILLER_23_257 sky130_fd_sc_ls__decap_4 + PLACED ( 129120 89910 ) FS ;
- FILLER_23_261 sky130_fd_sc_ls__fill_1 + PLACED ( 131040 89910 ) FS ;
- FILLER_23_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 89910 ) FS ;
- FILLER_23_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 89910 ) FS ;
- FILLER_23_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 89910 ) FS ;
- FILLER_23_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 89910 ) FS ;
- FILLER_23_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 89910 ) FS ;
- FILLER_23_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 89910 ) FS ;
- FILLER_23_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 89910 ) FS ;
- FILLER_23_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 89910 ) FS ;
- FILLER_23_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 89910 ) FS ;
- FILLER_23_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 89910 ) FS ;
- FILLER_23_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 89910 ) FS ;
- FILLER_23_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 89910 ) FS ;
- FILLER_23_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 89910 ) FS ;
- FILLER_23_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 89910 ) FS ;
- FILLER_23_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 89910 ) FS ;
- FILLER_23_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 89910 ) FS ;
- FILLER_23_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 89910 ) FS ;
- FILLER_23_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 89910 ) FS ;
- FILLER_23_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 89910 ) FS ;
- FILLER_23_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 89910 ) FS ;
- FILLER_23_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 89910 ) FS ;
- FILLER_23_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 89910 ) FS ;
- FILLER_23_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 89910 ) FS ;
- FILLER_23_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 89910 ) FS ;
- FILLER_23_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 89910 ) FS ;
- FILLER_23_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 89910 ) FS ;
- FILLER_23_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 89910 ) FS ;
- FILLER_23_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 89910 ) FS ;
- FILLER_23_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 89910 ) FS ;
- FILLER_23_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 89910 ) FS ;
- FILLER_23_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 89910 ) FS ;
- FILLER_23_441 sky130_fd_sc_ls__decap_4 + PLACED ( 217440 89910 ) FS ;
- FILLER_23_445 sky130_fd_sc_ls__fill_2 + PLACED ( 219360 89910 ) FS ;
- FILLER_23_447 sky130_fd_sc_ls__fill_1 + PLACED ( 220320 89910 ) FS ;
- FILLER_23_451 sky130_fd_sc_ls__decap_8 + PLACED ( 222240 89910 ) FS ;
- FILLER_23_459 sky130_fd_sc_ls__decap_8 + PLACED ( 226080 89910 ) FS ;
- FILLER_23_467 sky130_fd_sc_ls__decap_8 + PLACED ( 229920 89910 ) FS ;
- FILLER_23_475 sky130_fd_sc_ls__decap_8 + PLACED ( 233760 89910 ) FS ;
- FILLER_23_483 sky130_fd_sc_ls__fill_2 + PLACED ( 237600 89910 ) FS ;
- FILLER_23_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 89910 ) FS ;
- FILLER_23_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 89910 ) FS ;
- FILLER_23_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 89910 ) FS ;
- FILLER_23_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 89910 ) FS ;
- FILLER_23_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 89910 ) FS ;
- FILLER_23_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 89910 ) FS ;
- FILLER_23_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 89910 ) FS ;
- FILLER_23_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 89910 ) FS ;
- FILLER_23_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 89910 ) FS ;
- FILLER_23_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 89910 ) FS ;
- FILLER_23_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 89910 ) FS ;
- FILLER_23_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 89910 ) FS ;
- FILLER_23_552 sky130_fd_sc_ls__decap_8 + PLACED ( 270720 89910 ) FS ;
- FILLER_23_560 sky130_fd_sc_ls__decap_8 + PLACED ( 274560 89910 ) FS ;
- FILLER_23_568 sky130_fd_sc_ls__decap_4 + PLACED ( 278400 89910 ) FS ;
- FILLER_23_572 sky130_fd_sc_ls__fill_1 + PLACED ( 280320 89910 ) FS ;
- FILLER_23_576 sky130_fd_sc_ls__decap_4 + PLACED ( 282240 89910 ) FS ;
- FILLER_23_583 sky130_fd_sc_ls__decap_8 + PLACED ( 285600 89910 ) FS ;
- FILLER_23_591 sky130_fd_sc_ls__fill_2 + PLACED ( 289440 89910 ) FS ;
- FILLER_23_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 89910 ) FS ;
- FILLER_23_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 89910 ) FS ;
- FILLER_23_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 89910 ) FS ;
- FILLER_23_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 89910 ) FS ;
- FILLER_23_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 89910 ) FS ;
- FILLER_23_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 89910 ) FS ;
- FILLER_23_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 89910 ) FS ;
- FILLER_24_103 sky130_fd_sc_ls__decap_8 + PLACED ( 55200 93240 ) N ;
- FILLER_24_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 93240 ) N ;
- FILLER_24_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 93240 ) N ;
- FILLER_24_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 93240 ) N ;
- FILLER_24_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 93240 ) N ;
- FILLER_24_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 93240 ) N ;
- FILLER_24_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 93240 ) N ;
- FILLER_24_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 93240 ) N ;
- FILLER_24_160 sky130_fd_sc_ls__fill_1 + PLACED ( 82560 93240 ) N ;
- FILLER_24_164 sky130_fd_sc_ls__decap_8 + PLACED ( 84480 93240 ) N ;
- FILLER_24_172 sky130_fd_sc_ls__decap_8 + PLACED ( 88320 93240 ) N ;
- FILLER_24_180 sky130_fd_sc_ls__decap_8 + PLACED ( 92160 93240 ) N ;
- FILLER_24_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 93240 ) N ;
- FILLER_24_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 93240 ) N ;
- FILLER_24_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 93240 ) N ;
- FILLER_24_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 93240 ) N ;
- FILLER_24_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 93240 ) N ;
- FILLER_24_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 93240 ) N ;
- FILLER_24_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 93240 ) N ;
- FILLER_24_230 sky130_fd_sc_ls__fill_1 + PLACED ( 116160 93240 ) N ;
- FILLER_24_234 sky130_fd_sc_ls__decap_8 + PLACED ( 118080 93240 ) N ;
- FILLER_24_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 93240 ) N ;
- FILLER_24_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 93240 ) N ;
- FILLER_24_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 93240 ) N ;
- FILLER_24_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 93240 ) N ;
- FILLER_24_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 93240 ) N ;
- FILLER_24_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 93240 ) N ;
- FILLER_24_268 sky130_fd_sc_ls__fill_2 + PLACED ( 134400 93240 ) N ;
- FILLER_24_273 sky130_fd_sc_ls__decap_8 + PLACED ( 136800 93240 ) N ;
- FILLER_24_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 93240 ) N ;
- FILLER_24_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 93240 ) N ;
- FILLER_24_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 93240 ) N ;
- FILLER_24_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 93240 ) N ;
- FILLER_24_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 93240 ) N ;
- FILLER_24_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 93240 ) N ;
- FILLER_24_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 93240 ) N ;
- FILLER_24_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 93240 ) N ;
- FILLER_24_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 93240 ) N ;
- FILLER_24_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 93240 ) N ;
- FILLER_24_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 93240 ) N ;
- FILLER_24_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 93240 ) N ;
- FILLER_24_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 93240 ) N ;
- FILLER_24_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 93240 ) N ;
- FILLER_24_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 93240 ) N ;
- FILLER_24_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 93240 ) N ;
- FILLER_24_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 93240 ) N ;
- FILLER_24_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 93240 ) N ;
- FILLER_24_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 93240 ) N ;
- FILLER_24_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 93240 ) N ;
- FILLER_24_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 93240 ) N ;
- FILLER_24_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 93240 ) N ;
- FILLER_24_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 93240 ) N ;
- FILLER_24_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 93240 ) N ;
- FILLER_24_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 93240 ) N ;
- FILLER_24_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 93240 ) N ;
- FILLER_24_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 93240 ) N ;
- FILLER_24_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 93240 ) N ;
- FILLER_24_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 93240 ) N ;
- FILLER_24_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 93240 ) N ;
- FILLER_24_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 93240 ) N ;
- FILLER_24_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 93240 ) N ;
- FILLER_24_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 93240 ) N ;
- FILLER_24_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 93240 ) N ;
- FILLER_24_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 93240 ) N ;
- FILLER_24_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 93240 ) N ;
- FILLER_24_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 93240 ) N ;
- FILLER_24_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 93240 ) N ;
- FILLER_24_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 93240 ) N ;
- FILLER_24_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 93240 ) N ;
- FILLER_24_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 93240 ) N ;
- FILLER_24_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 93240 ) N ;
- FILLER_24_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 93240 ) N ;
- FILLER_24_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 93240 ) N ;
- FILLER_24_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 93240 ) N ;
- FILLER_24_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 93240 ) N ;
- FILLER_24_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 93240 ) N ;
- FILLER_24_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 93240 ) N ;
- FILLER_24_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 93240 ) N ;
- FILLER_24_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 93240 ) N ;
- FILLER_24_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 93240 ) N ;
- FILLER_24_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 93240 ) N ;
- FILLER_24_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 93240 ) N ;
- FILLER_24_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 93240 ) N ;
- FILLER_24_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 93240 ) N ;
- FILLER_24_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 93240 ) N ;
- FILLER_24_91 sky130_fd_sc_ls__decap_4 + PLACED ( 49440 93240 ) N ;
- FILLER_24_97 sky130_fd_sc_ls__decap_4 + PLACED ( 52320 93240 ) N ;
- FILLER_25_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 96570 ) FS ;
- FILLER_25_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 96570 ) FS ;
- FILLER_25_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 96570 ) FS ;
- FILLER_25_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 96570 ) FS ;
- FILLER_25_12 sky130_fd_sc_ls__fill_2 + PLACED ( 11520 96570 ) FS ;
- FILLER_25_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 96570 ) FS ;
- FILLER_25_133 sky130_fd_sc_ls__decap_4 + PLACED ( 69600 96570 ) FS ;
- FILLER_25_14 sky130_fd_sc_ls__fill_1 + PLACED ( 12480 96570 ) FS ;
- FILLER_25_140 sky130_fd_sc_ls__decap_8 + PLACED ( 72960 96570 ) FS ;
- FILLER_25_148 sky130_fd_sc_ls__decap_8 + PLACED ( 76800 96570 ) FS ;
- FILLER_25_156 sky130_fd_sc_ls__decap_4 + PLACED ( 80640 96570 ) FS ;
- FILLER_25_160 sky130_fd_sc_ls__fill_2 + PLACED ( 82560 96570 ) FS ;
- FILLER_25_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 96570 ) FS ;
- FILLER_25_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 96570 ) FS ;
- FILLER_25_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 96570 ) FS ;
- FILLER_25_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 96570 ) FS ;
- FILLER_25_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 96570 ) FS ;
- FILLER_25_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 96570 ) FS ;
- FILLER_25_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 96570 ) FS ;
- FILLER_25_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 96570 ) FS ;
- FILLER_25_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 96570 ) FS ;
- FILLER_25_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 96570 ) FS ;
- FILLER_25_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 96570 ) FS ;
- FILLER_25_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 96570 ) FS ;
- FILLER_25_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 96570 ) FS ;
- FILLER_25_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 96570 ) FS ;
- FILLER_25_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 96570 ) FS ;
- FILLER_25_26 sky130_fd_sc_ls__decap_8 + PLACED ( 18240 96570 ) FS ;
- FILLER_25_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 96570 ) FS ;
- FILLER_25_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 96570 ) FS ;
- FILLER_25_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 96570 ) FS ;
- FILLER_25_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 96570 ) FS ;
- FILLER_25_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 96570 ) FS ;
- FILLER_25_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 96570 ) FS ;
- FILLER_25_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 96570 ) FS ;
- FILLER_25_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 96570 ) FS ;
- FILLER_25_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 96570 ) FS ;
- FILLER_25_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 96570 ) FS ;
- FILLER_25_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 96570 ) FS ;
- FILLER_25_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 96570 ) FS ;
- FILLER_25_34 sky130_fd_sc_ls__decap_8 + PLACED ( 22080 96570 ) FS ;
- FILLER_25_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 96570 ) FS ;
- FILLER_25_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 96570 ) FS ;
- FILLER_25_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 96570 ) FS ;
- FILLER_25_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 96570 ) FS ;
- FILLER_25_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 96570 ) FS ;
- FILLER_25_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 96570 ) FS ;
- FILLER_25_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 96570 ) FS ;
- FILLER_25_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 96570 ) FS ;
- FILLER_25_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 96570 ) FS ;
- FILLER_25_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 96570 ) FS ;
- FILLER_25_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 96570 ) FS ;
- FILLER_25_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 96570 ) FS ;
- FILLER_25_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 96570 ) FS ;
- FILLER_25_42 sky130_fd_sc_ls__decap_8 + PLACED ( 25920 96570 ) FS ;
- FILLER_25_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 96570 ) FS ;
- FILLER_25_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 96570 ) FS ;
- FILLER_25_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 96570 ) FS ;
- FILLER_25_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 96570 ) FS ;
- FILLER_25_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 96570 ) FS ;
- FILLER_25_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 96570 ) FS ;
- FILLER_25_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 96570 ) FS ;
- FILLER_25_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 96570 ) FS ;
- FILLER_25_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 96570 ) FS ;
- FILLER_25_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 96570 ) FS ;
- FILLER_25_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 96570 ) FS ;
- FILLER_25_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 96570 ) FS ;
- FILLER_25_50 sky130_fd_sc_ls__decap_4 + PLACED ( 29760 96570 ) FS ;
- FILLER_25_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 96570 ) FS ;
- FILLER_25_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 96570 ) FS ;
- FILLER_25_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 96570 ) FS ;
- FILLER_25_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 96570 ) FS ;
- FILLER_25_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 96570 ) FS ;
- FILLER_25_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 96570 ) FS ;
- FILLER_25_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 96570 ) FS ;
- FILLER_25_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 96570 ) FS ;
- FILLER_25_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 96570 ) FS ;
- FILLER_25_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 96570 ) FS ;
- FILLER_25_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 96570 ) FS ;
- FILLER_25_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 96570 ) FS ;
- FILLER_25_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 96570 ) FS ;
- FILLER_25_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 96570 ) FS ;
- FILLER_25_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 96570 ) FS ;
- FILLER_25_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 96570 ) FS ;
- FILLER_25_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 96570 ) FS ;
- FILLER_25_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 96570 ) FS ;
- FILLER_25_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 96570 ) FS ;
- FILLER_25_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 96570 ) FS ;
- FILLER_25_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 96570 ) FS ;
- FILLER_26_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 99900 ) N ;
- FILLER_26_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 99900 ) N ;
- FILLER_26_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 99900 ) N ;
- FILLER_26_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 99900 ) N ;
- FILLER_26_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 99900 ) N ;
- FILLER_26_133 sky130_fd_sc_ls__fill_2 + PLACED ( 69600 99900 ) N ;
- FILLER_26_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 99900 ) N ;
- FILLER_26_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 99900 ) N ;
- FILLER_26_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 99900 ) N ;
- FILLER_26_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 99900 ) N ;
- FILLER_26_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 99900 ) N ;
- FILLER_26_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 99900 ) N ;
- FILLER_26_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 99900 ) N ;
- FILLER_26_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 99900 ) N ;
- FILLER_26_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 99900 ) N ;
- FILLER_26_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 99900 ) N ;
- FILLER_26_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 99900 ) N ;
- FILLER_26_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 99900 ) N ;
- FILLER_26_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 99900 ) N ;
- FILLER_26_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 99900 ) N ;
- FILLER_26_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 99900 ) N ;
- FILLER_26_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 99900 ) N ;
- FILLER_26_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 99900 ) N ;
- FILLER_26_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 99900 ) N ;
- FILLER_26_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 99900 ) N ;
- FILLER_26_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 99900 ) N ;
- FILLER_26_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 99900 ) N ;
- FILLER_26_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 99900 ) N ;
- FILLER_26_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 99900 ) N ;
- FILLER_26_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 99900 ) N ;
- FILLER_26_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 99900 ) N ;
- FILLER_26_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 99900 ) N ;
- FILLER_26_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 99900 ) N ;
- FILLER_26_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 99900 ) N ;
- FILLER_26_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 99900 ) N ;
- FILLER_26_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 99900 ) N ;
- FILLER_26_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 99900 ) N ;
- FILLER_26_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 99900 ) N ;
- FILLER_26_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 99900 ) N ;
- FILLER_26_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 99900 ) N ;
- FILLER_26_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 99900 ) N ;
- FILLER_26_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 99900 ) N ;
- FILLER_26_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 99900 ) N ;
- FILLER_26_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 99900 ) N ;
- FILLER_26_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 99900 ) N ;
- FILLER_26_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 99900 ) N ;
- FILLER_26_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 99900 ) N ;
- FILLER_26_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 99900 ) N ;
- FILLER_26_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 99900 ) N ;
- FILLER_26_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 99900 ) N ;
- FILLER_26_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 99900 ) N ;
- FILLER_26_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 99900 ) N ;
- FILLER_26_409 sky130_fd_sc_ls__decap_8 + PLACED ( 202080 99900 ) N ;
- FILLER_26_417 sky130_fd_sc_ls__decap_8 + PLACED ( 205920 99900 ) N ;
- FILLER_26_425 sky130_fd_sc_ls__decap_8 + PLACED ( 209760 99900 ) N ;
- FILLER_26_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 99900 ) N ;
- FILLER_26_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 99900 ) N ;
- FILLER_26_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 99900 ) N ;
- FILLER_26_449 sky130_fd_sc_ls__fill_1 + PLACED ( 221280 99900 ) N ;
- FILLER_26_453 sky130_fd_sc_ls__decap_4 + PLACED ( 223200 99900 ) N ;
- FILLER_26_457 sky130_fd_sc_ls__fill_2 + PLACED ( 225120 99900 ) N ;
- FILLER_26_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 99900 ) N ;
- FILLER_26_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 99900 ) N ;
- FILLER_26_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 99900 ) N ;
- FILLER_26_484 sky130_fd_sc_ls__fill_2 + PLACED ( 238080 99900 ) N ;
- FILLER_26_486 sky130_fd_sc_ls__fill_1 + PLACED ( 239040 99900 ) N ;
- FILLER_26_490 sky130_fd_sc_ls__decap_8 + PLACED ( 240960 99900 ) N ;
- FILLER_26_498 sky130_fd_sc_ls__decap_8 + PLACED ( 244800 99900 ) N ;
- FILLER_26_506 sky130_fd_sc_ls__decap_4 + PLACED ( 248640 99900 ) N ;
- FILLER_26_510 sky130_fd_sc_ls__fill_2 + PLACED ( 250560 99900 ) N ;
- FILLER_26_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 99900 ) N ;
- FILLER_26_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 99900 ) N ;
- FILLER_26_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 99900 ) N ;
- FILLER_26_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 99900 ) N ;
- FILLER_26_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 99900 ) N ;
- FILLER_26_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 99900 ) N ;
- FILLER_26_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 99900 ) N ;
- FILLER_26_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 99900 ) N ;
- FILLER_26_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 99900 ) N ;
- FILLER_26_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 99900 ) N ;
- FILLER_26_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 99900 ) N ;
- FILLER_26_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 99900 ) N ;
- FILLER_26_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 99900 ) N ;
- FILLER_26_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 99900 ) N ;
- FILLER_26_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 99900 ) N ;
- FILLER_26_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 99900 ) N ;
- FILLER_26_68 sky130_fd_sc_ls__decap_4 + PLACED ( 38400 99900 ) N ;
- FILLER_26_72 sky130_fd_sc_ls__fill_2 + PLACED ( 40320 99900 ) N ;
- FILLER_26_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 99900 ) N ;
- FILLER_26_97 sky130_fd_sc_ls__decap_4 + PLACED ( 52320 99900 ) N ;
- FILLER_27_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 103230 ) FS ;
- FILLER_27_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 103230 ) FS ;
- FILLER_27_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 103230 ) FS ;
- FILLER_27_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 103230 ) FS ;
- FILLER_27_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 103230 ) FS ;
- FILLER_27_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 103230 ) FS ;
- FILLER_27_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 103230 ) FS ;
- FILLER_27_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 103230 ) FS ;
- FILLER_27_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 103230 ) FS ;
- FILLER_27_159 sky130_fd_sc_ls__fill_2 + PLACED ( 82080 103230 ) FS ;
- FILLER_27_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 103230 ) FS ;
- FILLER_27_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 103230 ) FS ;
- FILLER_27_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 103230 ) FS ;
- FILLER_27_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 103230 ) FS ;
- FILLER_27_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 103230 ) FS ;
- FILLER_27_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 103230 ) FS ;
- FILLER_27_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 103230 ) FS ;
- FILLER_27_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 103230 ) FS ;
- FILLER_27_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 103230 ) FS ;
- FILLER_27_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 103230 ) FS ;
- FILLER_27_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 103230 ) FS ;
- FILLER_27_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 103230 ) FS ;
- FILLER_27_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 103230 ) FS ;
- FILLER_27_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 103230 ) FS ;
- FILLER_27_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 103230 ) FS ;
- FILLER_27_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 103230 ) FS ;
- FILLER_27_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 103230 ) FS ;
- FILLER_27_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 103230 ) FS ;
- FILLER_27_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 103230 ) FS ;
- FILLER_27_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 103230 ) FS ;
- FILLER_27_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 103230 ) FS ;
- FILLER_27_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 103230 ) FS ;
- FILLER_27_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 103230 ) FS ;
- FILLER_27_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 103230 ) FS ;
- FILLER_27_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 103230 ) FS ;
- FILLER_27_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 103230 ) FS ;
- FILLER_27_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 103230 ) FS ;
- FILLER_27_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 103230 ) FS ;
- FILLER_27_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 103230 ) FS ;
- FILLER_27_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 103230 ) FS ;
- FILLER_27_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 103230 ) FS ;
- FILLER_27_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 103230 ) FS ;
- FILLER_27_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 103230 ) FS ;
- FILLER_27_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 103230 ) FS ;
- FILLER_27_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 103230 ) FS ;
- FILLER_27_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 103230 ) FS ;
- FILLER_27_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 103230 ) FS ;
- FILLER_27_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 103230 ) FS ;
- FILLER_27_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 103230 ) FS ;
- FILLER_27_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 103230 ) FS ;
- FILLER_27_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 103230 ) FS ;
- FILLER_27_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 103230 ) FS ;
- FILLER_27_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 103230 ) FS ;
- FILLER_27_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 103230 ) FS ;
- FILLER_27_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 103230 ) FS ;
- FILLER_27_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 103230 ) FS ;
- FILLER_27_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 103230 ) FS ;
- FILLER_27_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 103230 ) FS ;
- FILLER_27_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 103230 ) FS ;
- FILLER_27_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 103230 ) FS ;
- FILLER_27_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 103230 ) FS ;
- FILLER_27_473 sky130_fd_sc_ls__decap_4 + PLACED ( 232800 103230 ) FS ;
- FILLER_27_480 sky130_fd_sc_ls__decap_4 + PLACED ( 236160 103230 ) FS ;
- FILLER_27_484 sky130_fd_sc_ls__fill_2 + PLACED ( 238080 103230 ) FS ;
- FILLER_27_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 103230 ) FS ;
- FILLER_27_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 103230 ) FS ;
- FILLER_27_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 103230 ) FS ;
- FILLER_27_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 103230 ) FS ;
- FILLER_27_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 103230 ) FS ;
- FILLER_27_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 103230 ) FS ;
- FILLER_27_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 103230 ) FS ;
- FILLER_27_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 103230 ) FS ;
- FILLER_27_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 103230 ) FS ;
- FILLER_27_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 103230 ) FS ;
- FILLER_27_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 103230 ) FS ;
- FILLER_27_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 103230 ) FS ;
- FILLER_27_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 103230 ) FS ;
- FILLER_27_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 103230 ) FS ;
- FILLER_27_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 103230 ) FS ;
- FILLER_27_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 103230 ) FS ;
- FILLER_27_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 103230 ) FS ;
- FILLER_27_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 103230 ) FS ;
- FILLER_27_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 103230 ) FS ;
- FILLER_27_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 103230 ) FS ;
- FILLER_27_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 103230 ) FS ;
- FILLER_27_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 103230 ) FS ;
- FILLER_27_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 103230 ) FS ;
- FILLER_27_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 103230 ) FS ;
- FILLER_28_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 106560 ) N ;
- FILLER_28_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 106560 ) N ;
- FILLER_28_11 sky130_fd_sc_ls__decap_4 + PLACED ( 11040 106560 ) N ;
- FILLER_28_112 sky130_fd_sc_ls__decap_8 + PLACED ( 59520 106560 ) N ;
- FILLER_28_120 sky130_fd_sc_ls__decap_8 + PLACED ( 63360 106560 ) N ;
- FILLER_28_128 sky130_fd_sc_ls__decap_4 + PLACED ( 67200 106560 ) N ;
- FILLER_28_132 sky130_fd_sc_ls__fill_2 + PLACED ( 69120 106560 ) N ;
- FILLER_28_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 106560 ) N ;
- FILLER_28_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 106560 ) N ;
- FILLER_28_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 106560 ) N ;
- FILLER_28_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 106560 ) N ;
- FILLER_28_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 106560 ) N ;
- FILLER_28_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 106560 ) N ;
- FILLER_28_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 106560 ) N ;
- FILLER_28_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 106560 ) N ;
- FILLER_28_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 106560 ) N ;
- FILLER_28_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 106560 ) N ;
- FILLER_28_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 106560 ) N ;
- FILLER_28_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 106560 ) N ;
- FILLER_28_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 106560 ) N ;
- FILLER_28_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 106560 ) N ;
- FILLER_28_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 106560 ) N ;
- FILLER_28_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 106560 ) N ;
- FILLER_28_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 106560 ) N ;
- FILLER_28_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 106560 ) N ;
- FILLER_28_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 106560 ) N ;
- FILLER_28_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 106560 ) N ;
- FILLER_28_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 106560 ) N ;
- FILLER_28_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 106560 ) N ;
- FILLER_28_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 106560 ) N ;
- FILLER_28_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 106560 ) N ;
- FILLER_28_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 106560 ) N ;
- FILLER_28_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 106560 ) N ;
- FILLER_28_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 106560 ) N ;
- FILLER_28_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 106560 ) N ;
- FILLER_28_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 106560 ) N ;
- FILLER_28_306 sky130_fd_sc_ls__decap_4 + PLACED ( 152640 106560 ) N ;
- FILLER_28_310 sky130_fd_sc_ls__fill_1 + PLACED ( 154560 106560 ) N ;
- FILLER_28_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 106560 ) N ;
- FILLER_28_322 sky130_fd_sc_ls__fill_2 + PLACED ( 160320 106560 ) N ;
- FILLER_28_327 sky130_fd_sc_ls__decap_8 + PLACED ( 162720 106560 ) N ;
- FILLER_28_335 sky130_fd_sc_ls__decap_8 + PLACED ( 166560 106560 ) N ;
- FILLER_28_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 106560 ) N ;
- FILLER_28_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 106560 ) N ;
- FILLER_28_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 106560 ) N ;
- FILLER_28_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 106560 ) N ;
- FILLER_28_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 106560 ) N ;
- FILLER_28_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 106560 ) N ;
- FILLER_28_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 106560 ) N ;
- FILLER_28_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 106560 ) N ;
- FILLER_28_4 sky130_fd_sc_ls__decap_4 + PLACED ( 7680 106560 ) N ;
- FILLER_28_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 106560 ) N ;
- FILLER_28_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 106560 ) N ;
- FILLER_28_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 106560 ) N ;
- FILLER_28_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 106560 ) N ;
- FILLER_28_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 106560 ) N ;
- FILLER_28_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 106560 ) N ;
- FILLER_28_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 106560 ) N ;
- FILLER_28_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 106560 ) N ;
- FILLER_28_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 106560 ) N ;
- FILLER_28_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 106560 ) N ;
- FILLER_28_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 106560 ) N ;
- FILLER_28_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 106560 ) N ;
- FILLER_28_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 106560 ) N ;
- FILLER_28_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 106560 ) N ;
- FILLER_28_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 106560 ) N ;
- FILLER_28_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 106560 ) N ;
- FILLER_28_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 106560 ) N ;
- FILLER_28_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 106560 ) N ;
- FILLER_28_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 106560 ) N ;
- FILLER_28_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 106560 ) N ;
- FILLER_28_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 106560 ) N ;
- FILLER_28_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 106560 ) N ;
- FILLER_28_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 106560 ) N ;
- FILLER_28_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 106560 ) N ;
- FILLER_28_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 106560 ) N ;
- FILLER_28_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 106560 ) N ;
- FILLER_28_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 106560 ) N ;
- FILLER_28_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 106560 ) N ;
- FILLER_28_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 106560 ) N ;
- FILLER_28_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 106560 ) N ;
- FILLER_28_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 106560 ) N ;
- FILLER_28_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 106560 ) N ;
- FILLER_28_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 106560 ) N ;
- FILLER_28_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 106560 ) N ;
- FILLER_28_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 106560 ) N ;
- FILLER_28_79 sky130_fd_sc_ls__fill_2 + PLACED ( 43680 106560 ) N ;
- FILLER_29_105 sky130_fd_sc_ls__fill_2 + PLACED ( 56160 109890 ) FS ;
- FILLER_29_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 109890 ) FS ;
- FILLER_29_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 109890 ) FS ;
- FILLER_29_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 109890 ) FS ;
- FILLER_29_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 109890 ) FS ;
- FILLER_29_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 109890 ) FS ;
- FILLER_29_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 109890 ) FS ;
- FILLER_29_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 109890 ) FS ;
- FILLER_29_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 109890 ) FS ;
- FILLER_29_159 sky130_fd_sc_ls__fill_2 + PLACED ( 82080 109890 ) FS ;
- FILLER_29_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 109890 ) FS ;
- FILLER_29_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 109890 ) FS ;
- FILLER_29_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 109890 ) FS ;
- FILLER_29_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 109890 ) FS ;
- FILLER_29_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 109890 ) FS ;
- FILLER_29_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 109890 ) FS ;
- FILLER_29_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 109890 ) FS ;
- FILLER_29_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 109890 ) FS ;
- FILLER_29_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 109890 ) FS ;
- FILLER_29_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 109890 ) FS ;
- FILLER_29_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 109890 ) FS ;
- FILLER_29_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 109890 ) FS ;
- FILLER_29_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 109890 ) FS ;
- FILLER_29_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 109890 ) FS ;
- FILLER_29_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 109890 ) FS ;
- FILLER_29_257 sky130_fd_sc_ls__decap_4 + PLACED ( 129120 109890 ) FS ;
- FILLER_29_261 sky130_fd_sc_ls__fill_2 + PLACED ( 131040 109890 ) FS ;
- FILLER_29_266 sky130_fd_sc_ls__decap_4 + PLACED ( 133440 109890 ) FS ;
- FILLER_29_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 109890 ) FS ;
- FILLER_29_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 109890 ) FS ;
- FILLER_29_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 109890 ) FS ;
- FILLER_29_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 109890 ) FS ;
- FILLER_29_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 109890 ) FS ;
- FILLER_29_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 109890 ) FS ;
- FILLER_29_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 109890 ) FS ;
- FILLER_29_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 109890 ) FS ;
- FILLER_29_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 109890 ) FS ;
- FILLER_29_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 109890 ) FS ;
- FILLER_29_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 109890 ) FS ;
- FILLER_29_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 109890 ) FS ;
- FILLER_29_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 109890 ) FS ;
- FILLER_29_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 109890 ) FS ;
- FILLER_29_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 109890 ) FS ;
- FILLER_29_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 109890 ) FS ;
- FILLER_29_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 109890 ) FS ;
- FILLER_29_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 109890 ) FS ;
- FILLER_29_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 109890 ) FS ;
- FILLER_29_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 109890 ) FS ;
- FILLER_29_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 109890 ) FS ;
- FILLER_29_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 109890 ) FS ;
- FILLER_29_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 109890 ) FS ;
- FILLER_29_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 109890 ) FS ;
- FILLER_29_419 sky130_fd_sc_ls__fill_2 + PLACED ( 206880 109890 ) FS ;
- FILLER_29_424 sky130_fd_sc_ls__decap_8 + PLACED ( 209280 109890 ) FS ;
- FILLER_29_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 109890 ) FS ;
- FILLER_29_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 109890 ) FS ;
- FILLER_29_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 109890 ) FS ;
- FILLER_29_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 109890 ) FS ;
- FILLER_29_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 109890 ) FS ;
- FILLER_29_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 109890 ) FS ;
- FILLER_29_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 109890 ) FS ;
- FILLER_29_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 109890 ) FS ;
- FILLER_29_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 109890 ) FS ;
- FILLER_29_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 109890 ) FS ;
- FILLER_29_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 109890 ) FS ;
- FILLER_29_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 109890 ) FS ;
- FILLER_29_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 109890 ) FS ;
- FILLER_29_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 109890 ) FS ;
- FILLER_29_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 109890 ) FS ;
- FILLER_29_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 109890 ) FS ;
- FILLER_29_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 109890 ) FS ;
- FILLER_29_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 109890 ) FS ;
- FILLER_29_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 109890 ) FS ;
- FILLER_29_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 109890 ) FS ;
- FILLER_29_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 109890 ) FS ;
- FILLER_29_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 109890 ) FS ;
- FILLER_29_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 109890 ) FS ;
- FILLER_29_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 109890 ) FS ;
- FILLER_29_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 109890 ) FS ;
- FILLER_29_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 109890 ) FS ;
- FILLER_29_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 109890 ) FS ;
- FILLER_29_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 109890 ) FS ;
- FILLER_29_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 109890 ) FS ;
- FILLER_29_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 109890 ) FS ;
- FILLER_29_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 109890 ) FS ;
- FILLER_29_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 109890 ) FS ;
- FILLER_29_97 sky130_fd_sc_ls__decap_8 + PLACED ( 52320 109890 ) FS ;
- FILLER_2_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 19980 ) N ;
- FILLER_2_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 19980 ) N ;
- FILLER_2_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 19980 ) N ;
- FILLER_2_122 sky130_fd_sc_ls__decap_4 + PLACED ( 64320 19980 ) N ;
- FILLER_2_126 sky130_fd_sc_ls__fill_2 + PLACED ( 66240 19980 ) N ;
- FILLER_2_128 sky130_fd_sc_ls__fill_1 + PLACED ( 67200 19980 ) N ;
- FILLER_2_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 19980 ) N ;
- FILLER_2_138 sky130_fd_sc_ls__decap_4 + PLACED ( 72000 19980 ) N ;
- FILLER_2_144 sky130_fd_sc_ls__decap_4 + PLACED ( 74880 19980 ) N ;
- FILLER_2_148 sky130_fd_sc_ls__fill_2 + PLACED ( 76800 19980 ) N ;
- FILLER_2_154 sky130_fd_sc_ls__decap_4 + PLACED ( 79680 19980 ) N ;
- FILLER_2_162 sky130_fd_sc_ls__decap_4 + PLACED ( 83520 19980 ) N ;
- FILLER_2_168 sky130_fd_sc_ls__decap_4 + PLACED ( 86400 19980 ) N ;
- FILLER_2_176 sky130_fd_sc_ls__decap_4 + PLACED ( 90240 19980 ) N ;
- FILLER_2_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 19980 ) N ;
- FILLER_2_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 19980 ) N ;
- FILLER_2_190 sky130_fd_sc_ls__decap_4 + PLACED ( 96960 19980 ) N ;
- FILLER_2_194 sky130_fd_sc_ls__fill_1 + PLACED ( 98880 19980 ) N ;
- FILLER_2_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 19980 ) N ;
- FILLER_2_200 sky130_fd_sc_ls__decap_4 + PLACED ( 101760 19980 ) N ;
- FILLER_2_209 sky130_fd_sc_ls__decap_4 + PLACED ( 106080 19980 ) N ;
- FILLER_2_218 sky130_fd_sc_ls__decap_4 + PLACED ( 110400 19980 ) N ;
- FILLER_2_227 sky130_fd_sc_ls__decap_4 + PLACED ( 114720 19980 ) N ;
- FILLER_2_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 19980 ) N ;
- FILLER_2_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 19980 ) N ;
- FILLER_2_241 sky130_fd_sc_ls__fill_2 + PLACED ( 121440 19980 ) N ;
- FILLER_2_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 19980 ) N ;
- FILLER_2_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 19980 ) N ;
- FILLER_2_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 19980 ) N ;
- FILLER_2_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 19980 ) N ;
- FILLER_2_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 19980 ) N ;
- FILLER_2_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 19980 ) N ;
- FILLER_2_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 19980 ) N ;
- FILLER_2_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 19980 ) N ;
- FILLER_2_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 19980 ) N ;
- FILLER_2_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 19980 ) N ;
- FILLER_2_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 19980 ) N ;
- FILLER_2_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 19980 ) N ;
- FILLER_2_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 19980 ) N ;
- FILLER_2_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 19980 ) N ;
- FILLER_2_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 19980 ) N ;
- FILLER_2_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 19980 ) N ;
- FILLER_2_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 19980 ) N ;
- FILLER_2_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 19980 ) N ;
- FILLER_2_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 19980 ) N ;
- FILLER_2_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 19980 ) N ;
- FILLER_2_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 19980 ) N ;
- FILLER_2_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 19980 ) N ;
- FILLER_2_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 19980 ) N ;
- FILLER_2_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 19980 ) N ;
- FILLER_2_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 19980 ) N ;
- FILLER_2_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 19980 ) N ;
- FILLER_2_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 19980 ) N ;
- FILLER_2_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 19980 ) N ;
- FILLER_2_409 sky130_fd_sc_ls__decap_8 + PLACED ( 202080 19980 ) N ;
- FILLER_2_417 sky130_fd_sc_ls__decap_8 + PLACED ( 205920 19980 ) N ;
- FILLER_2_425 sky130_fd_sc_ls__decap_8 + PLACED ( 209760 19980 ) N ;
- FILLER_2_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 19980 ) N ;
- FILLER_2_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 19980 ) N ;
- FILLER_2_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 19980 ) N ;
- FILLER_2_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 19980 ) N ;
- FILLER_2_457 sky130_fd_sc_ls__fill_2 + PLACED ( 225120 19980 ) N ;
- FILLER_2_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 19980 ) N ;
- FILLER_2_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 19980 ) N ;
- FILLER_2_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 19980 ) N ;
- FILLER_2_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 19980 ) N ;
- FILLER_2_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 19980 ) N ;
- FILLER_2_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 19980 ) N ;
- FILLER_2_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 19980 ) N ;
- FILLER_2_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 19980 ) N ;
- FILLER_2_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 19980 ) N ;
- FILLER_2_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 19980 ) N ;
- FILLER_2_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 19980 ) N ;
- FILLER_2_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 19980 ) N ;
- FILLER_2_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 19980 ) N ;
- FILLER_2_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 19980 ) N ;
- FILLER_2_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 19980 ) N ;
- FILLER_2_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 19980 ) N ;
- FILLER_2_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 19980 ) N ;
- FILLER_2_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 19980 ) N ;
- FILLER_2_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 19980 ) N ;
- FILLER_2_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 19980 ) N ;
- FILLER_2_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 19980 ) N ;
- FILLER_2_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 19980 ) N ;
- FILLER_2_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 19980 ) N ;
- FILLER_2_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 19980 ) N ;
- FILLER_2_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 19980 ) N ;
- FILLER_2_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 19980 ) N ;
- FILLER_2_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 19980 ) N ;
- FILLER_2_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 19980 ) N ;
- FILLER_2_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 19980 ) N ;
- FILLER_30_103 sky130_fd_sc_ls__decap_8 + PLACED ( 55200 113220 ) N ;
- FILLER_30_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 113220 ) N ;
- FILLER_30_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 113220 ) N ;
- FILLER_30_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 113220 ) N ;
- FILLER_30_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 113220 ) N ;
- FILLER_30_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 113220 ) N ;
- FILLER_30_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 113220 ) N ;
- FILLER_30_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 113220 ) N ;
- FILLER_30_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 113220 ) N ;
- FILLER_30_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 113220 ) N ;
- FILLER_30_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 113220 ) N ;
- FILLER_30_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 113220 ) N ;
- FILLER_30_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 113220 ) N ;
- FILLER_30_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 113220 ) N ;
- FILLER_30_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 113220 ) N ;
- FILLER_30_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 113220 ) N ;
- FILLER_30_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 113220 ) N ;
- FILLER_30_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 113220 ) N ;
- FILLER_30_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 113220 ) N ;
- FILLER_30_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 113220 ) N ;
- FILLER_30_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 113220 ) N ;
- FILLER_30_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 113220 ) N ;
- FILLER_30_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 113220 ) N ;
- FILLER_30_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 113220 ) N ;
- FILLER_30_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 113220 ) N ;
- FILLER_30_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 113220 ) N ;
- FILLER_30_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 113220 ) N ;
- FILLER_30_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 113220 ) N ;
- FILLER_30_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 113220 ) N ;
- FILLER_30_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 113220 ) N ;
- FILLER_30_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 113220 ) N ;
- FILLER_30_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 113220 ) N ;
- FILLER_30_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 113220 ) N ;
- FILLER_30_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 113220 ) N ;
- FILLER_30_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 113220 ) N ;
- FILLER_30_314 sky130_fd_sc_ls__decap_4 + PLACED ( 156480 113220 ) N ;
- FILLER_30_318 sky130_fd_sc_ls__fill_2 + PLACED ( 158400 113220 ) N ;
- FILLER_30_323 sky130_fd_sc_ls__decap_8 + PLACED ( 160800 113220 ) N ;
- FILLER_30_331 sky130_fd_sc_ls__decap_8 + PLACED ( 164640 113220 ) N ;
- FILLER_30_339 sky130_fd_sc_ls__decap_8 + PLACED ( 168480 113220 ) N ;
- FILLER_30_347 sky130_fd_sc_ls__decap_4 + PLACED ( 172320 113220 ) N ;
- FILLER_30_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 113220 ) N ;
- FILLER_30_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 113220 ) N ;
- FILLER_30_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 113220 ) N ;
- FILLER_30_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 113220 ) N ;
- FILLER_30_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 113220 ) N ;
- FILLER_30_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 113220 ) N ;
- FILLER_30_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 113220 ) N ;
- FILLER_30_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 113220 ) N ;
- FILLER_30_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 113220 ) N ;
- FILLER_30_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 113220 ) N ;
- FILLER_30_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 113220 ) N ;
- FILLER_30_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 113220 ) N ;
- FILLER_30_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 113220 ) N ;
- FILLER_30_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 113220 ) N ;
- FILLER_30_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 113220 ) N ;
- FILLER_30_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 113220 ) N ;
- FILLER_30_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 113220 ) N ;
- FILLER_30_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 113220 ) N ;
- FILLER_30_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 113220 ) N ;
- FILLER_30_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 113220 ) N ;
- FILLER_30_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 113220 ) N ;
- FILLER_30_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 113220 ) N ;
- FILLER_30_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 113220 ) N ;
- FILLER_30_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 113220 ) N ;
- FILLER_30_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 113220 ) N ;
- FILLER_30_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 113220 ) N ;
- FILLER_30_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 113220 ) N ;
- FILLER_30_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 113220 ) N ;
- FILLER_30_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 113220 ) N ;
- FILLER_30_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 113220 ) N ;
- FILLER_30_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 113220 ) N ;
- FILLER_30_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 113220 ) N ;
- FILLER_30_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 113220 ) N ;
- FILLER_30_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 113220 ) N ;
- FILLER_30_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 113220 ) N ;
- FILLER_30_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 113220 ) N ;
- FILLER_30_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 113220 ) N ;
- FILLER_30_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 113220 ) N ;
- FILLER_30_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 113220 ) N ;
- FILLER_30_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 113220 ) N ;
- FILLER_30_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 113220 ) N ;
- FILLER_30_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 113220 ) N ;
- FILLER_30_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 113220 ) N ;
- FILLER_30_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 113220 ) N ;
- FILLER_30_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 113220 ) N ;
- FILLER_30_91 sky130_fd_sc_ls__decap_4 + PLACED ( 49440 113220 ) N ;
- FILLER_30_97 sky130_fd_sc_ls__decap_4 + PLACED ( 52320 113220 ) N ;
- FILLER_31_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 116550 ) FS ;
- FILLER_31_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 116550 ) FS ;
- FILLER_31_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 116550 ) FS ;
- FILLER_31_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 116550 ) FS ;
- FILLER_31_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 116550 ) FS ;
- FILLER_31_133 sky130_fd_sc_ls__fill_2 + PLACED ( 69600 116550 ) FS ;
- FILLER_31_135 sky130_fd_sc_ls__fill_1 + PLACED ( 70560 116550 ) FS ;
- FILLER_31_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 116550 ) FS ;
- FILLER_31_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 116550 ) FS ;
- FILLER_31_155 sky130_fd_sc_ls__decap_4 + PLACED ( 80160 116550 ) FS ;
- FILLER_31_159 sky130_fd_sc_ls__fill_2 + PLACED ( 82080 116550 ) FS ;
- FILLER_31_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 116550 ) FS ;
- FILLER_31_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 116550 ) FS ;
- FILLER_31_171 sky130_fd_sc_ls__fill_2 + PLACED ( 87840 116550 ) FS ;
- FILLER_31_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 116550 ) FS ;
- FILLER_31_184 sky130_fd_sc_ls__decap_8 + PLACED ( 94080 116550 ) FS ;
- FILLER_31_192 sky130_fd_sc_ls__decap_8 + PLACED ( 97920 116550 ) FS ;
- FILLER_31_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 116550 ) FS ;
- FILLER_31_200 sky130_fd_sc_ls__decap_8 + PLACED ( 101760 116550 ) FS ;
- FILLER_31_208 sky130_fd_sc_ls__decap_8 + PLACED ( 105600 116550 ) FS ;
- FILLER_31_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 116550 ) FS ;
- FILLER_31_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 116550 ) FS ;
- FILLER_31_233 sky130_fd_sc_ls__decap_4 + PLACED ( 117600 116550 ) FS ;
- FILLER_31_237 sky130_fd_sc_ls__fill_2 + PLACED ( 119520 116550 ) FS ;
- FILLER_31_239 sky130_fd_sc_ls__fill_1 + PLACED ( 120480 116550 ) FS ;
- FILLER_31_243 sky130_fd_sc_ls__decap_8 + PLACED ( 122400 116550 ) FS ;
- FILLER_31_251 sky130_fd_sc_ls__decap_8 + PLACED ( 126240 116550 ) FS ;
- FILLER_31_259 sky130_fd_sc_ls__decap_8 + PLACED ( 130080 116550 ) FS ;
- FILLER_31_267 sky130_fd_sc_ls__fill_2 + PLACED ( 133920 116550 ) FS ;
- FILLER_31_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 116550 ) FS ;
- FILLER_31_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 116550 ) FS ;
- FILLER_31_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 116550 ) FS ;
- FILLER_31_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 116550 ) FS ;
- FILLER_31_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 116550 ) FS ;
- FILLER_31_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 116550 ) FS ;
- FILLER_31_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 116550 ) FS ;
- FILLER_31_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 116550 ) FS ;
- FILLER_31_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 116550 ) FS ;
- FILLER_31_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 116550 ) FS ;
- FILLER_31_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 116550 ) FS ;
- FILLER_31_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 116550 ) FS ;
- FILLER_31_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 116550 ) FS ;
- FILLER_31_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 116550 ) FS ;
- FILLER_31_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 116550 ) FS ;
- FILLER_31_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 116550 ) FS ;
- FILLER_31_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 116550 ) FS ;
- FILLER_31_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 116550 ) FS ;
- FILLER_31_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 116550 ) FS ;
- FILLER_31_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 116550 ) FS ;
- FILLER_31_387 sky130_fd_sc_ls__fill_1 + PLACED ( 191520 116550 ) FS ;
- FILLER_31_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 116550 ) FS ;
- FILLER_31_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 116550 ) FS ;
- FILLER_31_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 116550 ) FS ;
- FILLER_31_407 sky130_fd_sc_ls__decap_8 + PLACED ( 201120 116550 ) FS ;
- FILLER_31_415 sky130_fd_sc_ls__decap_8 + PLACED ( 204960 116550 ) FS ;
- FILLER_31_423 sky130_fd_sc_ls__decap_8 + PLACED ( 208800 116550 ) FS ;
- FILLER_31_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 116550 ) FS ;
- FILLER_31_433 sky130_fd_sc_ls__decap_4 + PLACED ( 213600 116550 ) FS ;
- FILLER_31_437 sky130_fd_sc_ls__fill_2 + PLACED ( 215520 116550 ) FS ;
- FILLER_31_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 116550 ) FS ;
- FILLER_31_442 sky130_fd_sc_ls__decap_8 + PLACED ( 217920 116550 ) FS ;
- FILLER_31_450 sky130_fd_sc_ls__decap_8 + PLACED ( 221760 116550 ) FS ;
- FILLER_31_458 sky130_fd_sc_ls__decap_8 + PLACED ( 225600 116550 ) FS ;
- FILLER_31_466 sky130_fd_sc_ls__decap_8 + PLACED ( 229440 116550 ) FS ;
- FILLER_31_474 sky130_fd_sc_ls__decap_8 + PLACED ( 233280 116550 ) FS ;
- FILLER_31_482 sky130_fd_sc_ls__decap_4 + PLACED ( 237120 116550 ) FS ;
- FILLER_31_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 116550 ) FS ;
- FILLER_31_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 116550 ) FS ;
- FILLER_31_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 116550 ) FS ;
- FILLER_31_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 116550 ) FS ;
- FILLER_31_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 116550 ) FS ;
- FILLER_31_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 116550 ) FS ;
- FILLER_31_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 116550 ) FS ;
- FILLER_31_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 116550 ) FS ;
- FILLER_31_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 116550 ) FS ;
- FILLER_31_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 116550 ) FS ;
- FILLER_31_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 116550 ) FS ;
- FILLER_31_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 116550 ) FS ;
- FILLER_31_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 116550 ) FS ;
- FILLER_31_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 116550 ) FS ;
- FILLER_31_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 116550 ) FS ;
- FILLER_31_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 116550 ) FS ;
- FILLER_31_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 116550 ) FS ;
- FILLER_31_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 116550 ) FS ;
- FILLER_31_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 116550 ) FS ;
- FILLER_31_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 116550 ) FS ;
- FILLER_31_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 116550 ) FS ;
- FILLER_31_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 116550 ) FS ;
- FILLER_31_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 116550 ) FS ;
- FILLER_31_95 sky130_fd_sc_ls__fill_2 + PLACED ( 51360 116550 ) FS ;
- FILLER_31_97 sky130_fd_sc_ls__fill_1 + PLACED ( 52320 116550 ) FS ;
- FILLER_32_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 119880 ) N ;
- FILLER_32_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 119880 ) N ;
- FILLER_32_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 119880 ) N ;
- FILLER_32_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 119880 ) N ;
- FILLER_32_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 119880 ) N ;
- FILLER_32_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 119880 ) N ;
- FILLER_32_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 119880 ) N ;
- FILLER_32_136 sky130_fd_sc_ls__decap_4 + PLACED ( 71040 119880 ) N ;
- FILLER_32_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 119880 ) N ;
- FILLER_32_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 119880 ) N ;
- FILLER_32_159 sky130_fd_sc_ls__decap_8 + PLACED ( 82080 119880 ) N ;
- FILLER_32_167 sky130_fd_sc_ls__decap_8 + PLACED ( 85920 119880 ) N ;
- FILLER_32_175 sky130_fd_sc_ls__decap_8 + PLACED ( 89760 119880 ) N ;
- FILLER_32_183 sky130_fd_sc_ls__decap_4 + PLACED ( 93600 119880 ) N ;
- FILLER_32_187 sky130_fd_sc_ls__fill_2 + PLACED ( 95520 119880 ) N ;
- FILLER_32_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 119880 ) N ;
- FILLER_32_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 119880 ) N ;
- FILLER_32_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 119880 ) N ;
- FILLER_32_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 119880 ) N ;
- FILLER_32_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 119880 ) N ;
- FILLER_32_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 119880 ) N ;
- FILLER_32_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 119880 ) N ;
- FILLER_32_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 119880 ) N ;
- FILLER_32_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 119880 ) N ;
- FILLER_32_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 119880 ) N ;
- FILLER_32_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 119880 ) N ;
- FILLER_32_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 119880 ) N ;
- FILLER_32_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 119880 ) N ;
- FILLER_32_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 119880 ) N ;
- FILLER_32_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 119880 ) N ;
- FILLER_32_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 119880 ) N ;
- FILLER_32_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 119880 ) N ;
- FILLER_32_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 119880 ) N ;
- FILLER_32_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 119880 ) N ;
- FILLER_32_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 119880 ) N ;
- FILLER_32_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 119880 ) N ;
- FILLER_32_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 119880 ) N ;
- FILLER_32_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 119880 ) N ;
- FILLER_32_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 119880 ) N ;
- FILLER_32_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 119880 ) N ;
- FILLER_32_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 119880 ) N ;
- FILLER_32_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 119880 ) N ;
- FILLER_32_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 119880 ) N ;
- FILLER_32_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 119880 ) N ;
- FILLER_32_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 119880 ) N ;
- FILLER_32_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 119880 ) N ;
- FILLER_32_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 119880 ) N ;
- FILLER_32_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 119880 ) N ;
- FILLER_32_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 119880 ) N ;
- FILLER_32_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 119880 ) N ;
- FILLER_32_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 119880 ) N ;
- FILLER_32_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 119880 ) N ;
- FILLER_32_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 119880 ) N ;
- FILLER_32_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 119880 ) N ;
- FILLER_32_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 119880 ) N ;
- FILLER_32_422 sky130_fd_sc_ls__decap_4 + PLACED ( 208320 119880 ) N ;
- FILLER_32_426 sky130_fd_sc_ls__fill_1 + PLACED ( 210240 119880 ) N ;
- FILLER_32_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 119880 ) N ;
- FILLER_32_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 119880 ) N ;
- FILLER_32_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 119880 ) N ;
- FILLER_32_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 119880 ) N ;
- FILLER_32_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 119880 ) N ;
- FILLER_32_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 119880 ) N ;
- FILLER_32_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 119880 ) N ;
- FILLER_32_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 119880 ) N ;
- FILLER_32_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 119880 ) N ;
- FILLER_32_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 119880 ) N ;
- FILLER_32_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 119880 ) N ;
- FILLER_32_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 119880 ) N ;
- FILLER_32_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 119880 ) N ;
- FILLER_32_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 119880 ) N ;
- FILLER_32_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 119880 ) N ;
- FILLER_32_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 119880 ) N ;
- FILLER_32_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 119880 ) N ;
- FILLER_32_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 119880 ) N ;
- FILLER_32_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 119880 ) N ;
- FILLER_32_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 119880 ) N ;
- FILLER_32_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 119880 ) N ;
- FILLER_32_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 119880 ) N ;
- FILLER_32_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 119880 ) N ;
- FILLER_32_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 119880 ) N ;
- FILLER_32_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 119880 ) N ;
- FILLER_32_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 119880 ) N ;
- FILLER_32_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 119880 ) N ;
- FILLER_32_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 119880 ) N ;
- FILLER_32_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 119880 ) N ;
- FILLER_32_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 119880 ) N ;
- FILLER_32_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 119880 ) N ;
- FILLER_32_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 119880 ) N ;
- FILLER_32_94 sky130_fd_sc_ls__decap_4 + PLACED ( 50880 119880 ) N ;
- FILLER_33_102 sky130_fd_sc_ls__decap_4 + PLACED ( 54720 123210 ) FS ;
- FILLER_33_106 sky130_fd_sc_ls__fill_2 + PLACED ( 56640 123210 ) FS ;
- FILLER_33_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 123210 ) FS ;
- FILLER_33_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 123210 ) FS ;
- FILLER_33_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 123210 ) FS ;
- FILLER_33_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 123210 ) FS ;
- FILLER_33_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 123210 ) FS ;
- FILLER_33_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 123210 ) FS ;
- FILLER_33_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 123210 ) FS ;
- FILLER_33_160 sky130_fd_sc_ls__fill_2 + PLACED ( 82560 123210 ) FS ;
- FILLER_33_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 123210 ) FS ;
- FILLER_33_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 123210 ) FS ;
- FILLER_33_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 123210 ) FS ;
- FILLER_33_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 123210 ) FS ;
- FILLER_33_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 123210 ) FS ;
- FILLER_33_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 123210 ) FS ;
- FILLER_33_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 123210 ) FS ;
- FILLER_33_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 123210 ) FS ;
- FILLER_33_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 123210 ) FS ;
- FILLER_33_220 sky130_fd_sc_ls__decap_8 + PLACED ( 111360 123210 ) FS ;
- FILLER_33_228 sky130_fd_sc_ls__decap_8 + PLACED ( 115200 123210 ) FS ;
- FILLER_33_236 sky130_fd_sc_ls__decap_8 + PLACED ( 119040 123210 ) FS ;
- FILLER_33_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 123210 ) FS ;
- FILLER_33_252 sky130_fd_sc_ls__fill_1 + PLACED ( 126720 123210 ) FS ;
- FILLER_33_256 sky130_fd_sc_ls__decap_8 + PLACED ( 128640 123210 ) FS ;
- FILLER_33_264 sky130_fd_sc_ls__decap_4 + PLACED ( 132480 123210 ) FS ;
- FILLER_33_268 sky130_fd_sc_ls__fill_2 + PLACED ( 134400 123210 ) FS ;
- FILLER_33_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 123210 ) FS ;
- FILLER_33_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 123210 ) FS ;
- FILLER_33_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 123210 ) FS ;
- FILLER_33_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 123210 ) FS ;
- FILLER_33_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 123210 ) FS ;
- FILLER_33_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 123210 ) FS ;
- FILLER_33_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 123210 ) FS ;
- FILLER_33_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 123210 ) FS ;
- FILLER_33_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 123210 ) FS ;
- FILLER_33_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 123210 ) FS ;
- FILLER_33_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 123210 ) FS ;
- FILLER_33_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 123210 ) FS ;
- FILLER_33_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 123210 ) FS ;
- FILLER_33_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 123210 ) FS ;
- FILLER_33_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 123210 ) FS ;
- FILLER_33_365 sky130_fd_sc_ls__decap_4 + PLACED ( 180960 123210 ) FS ;
- FILLER_33_372 sky130_fd_sc_ls__decap_4 + PLACED ( 184320 123210 ) FS ;
- FILLER_33_376 sky130_fd_sc_ls__fill_2 + PLACED ( 186240 123210 ) FS ;
- FILLER_33_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 123210 ) FS ;
- FILLER_33_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 123210 ) FS ;
- FILLER_33_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 123210 ) FS ;
- FILLER_33_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 123210 ) FS ;
- FILLER_33_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 123210 ) FS ;
- FILLER_33_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 123210 ) FS ;
- FILLER_33_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 123210 ) FS ;
- FILLER_33_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 123210 ) FS ;
- FILLER_33_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 123210 ) FS ;
- FILLER_33_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 123210 ) FS ;
- FILLER_33_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 123210 ) FS ;
- FILLER_33_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 123210 ) FS ;
- FILLER_33_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 123210 ) FS ;
- FILLER_33_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 123210 ) FS ;
- FILLER_33_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 123210 ) FS ;
- FILLER_33_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 123210 ) FS ;
- FILLER_33_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 123210 ) FS ;
- FILLER_33_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 123210 ) FS ;
- FILLER_33_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 123210 ) FS ;
- FILLER_33_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 123210 ) FS ;
- FILLER_33_503 sky130_fd_sc_ls__decap_4 + PLACED ( 247200 123210 ) FS ;
- FILLER_33_507 sky130_fd_sc_ls__fill_1 + PLACED ( 249120 123210 ) FS ;
- FILLER_33_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 123210 ) FS ;
- FILLER_33_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 123210 ) FS ;
- FILLER_33_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 123210 ) FS ;
- FILLER_33_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 123210 ) FS ;
- FILLER_33_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 123210 ) FS ;
- FILLER_33_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 123210 ) FS ;
- FILLER_33_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 123210 ) FS ;
- FILLER_33_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 123210 ) FS ;
- FILLER_33_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 123210 ) FS ;
- FILLER_33_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 123210 ) FS ;
- FILLER_33_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 123210 ) FS ;
- FILLER_33_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 123210 ) FS ;
- FILLER_33_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 123210 ) FS ;
- FILLER_33_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 123210 ) FS ;
- FILLER_33_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 123210 ) FS ;
- FILLER_33_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 123210 ) FS ;
- FILLER_33_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 123210 ) FS ;
- FILLER_33_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 123210 ) FS ;
- FILLER_33_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 123210 ) FS ;
- FILLER_33_87 sky130_fd_sc_ls__decap_4 + PLACED ( 47520 123210 ) FS ;
- FILLER_33_91 sky130_fd_sc_ls__fill_2 + PLACED ( 49440 123210 ) FS ;
- FILLER_33_95 sky130_fd_sc_ls__decap_4 + PLACED ( 51360 123210 ) FS ;
- FILLER_33_99 sky130_fd_sc_ls__fill_1 + PLACED ( 53280 123210 ) FS ;
- FILLER_34_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 126540 ) N ;
- FILLER_34_116 sky130_fd_sc_ls__fill_2 + PLACED ( 61440 126540 ) N ;
- FILLER_34_118 sky130_fd_sc_ls__fill_1 + PLACED ( 62400 126540 ) N ;
- FILLER_34_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 126540 ) N ;
- FILLER_34_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 126540 ) N ;
- FILLER_34_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 126540 ) N ;
- FILLER_34_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 126540 ) N ;
- FILLER_34_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 126540 ) N ;
- FILLER_34_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 126540 ) N ;
- FILLER_34_152 sky130_fd_sc_ls__fill_2 + PLACED ( 78720 126540 ) N ;
- FILLER_34_154 sky130_fd_sc_ls__fill_1 + PLACED ( 79680 126540 ) N ;
- FILLER_34_158 sky130_fd_sc_ls__decap_8 + PLACED ( 81600 126540 ) N ;
- FILLER_34_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 126540 ) N ;
- FILLER_34_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 126540 ) N ;
- FILLER_34_182 sky130_fd_sc_ls__decap_4 + PLACED ( 93120 126540 ) N ;
- FILLER_34_186 sky130_fd_sc_ls__fill_2 + PLACED ( 95040 126540 ) N ;
- FILLER_34_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 126540 ) N ;
- FILLER_34_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 126540 ) N ;
- FILLER_34_198 sky130_fd_sc_ls__decap_4 + PLACED ( 100800 126540 ) N ;
- FILLER_34_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 126540 ) N ;
- FILLER_34_202 sky130_fd_sc_ls__fill_2 + PLACED ( 102720 126540 ) N ;
- FILLER_34_207 sky130_fd_sc_ls__decap_8 + PLACED ( 105120 126540 ) N ;
- FILLER_34_215 sky130_fd_sc_ls__decap_8 + PLACED ( 108960 126540 ) N ;
- FILLER_34_223 sky130_fd_sc_ls__decap_8 + PLACED ( 112800 126540 ) N ;
- FILLER_34_231 sky130_fd_sc_ls__decap_8 + PLACED ( 116640 126540 ) N ;
- FILLER_34_239 sky130_fd_sc_ls__decap_4 + PLACED ( 120480 126540 ) N ;
- FILLER_34_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 126540 ) N ;
- FILLER_34_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 126540 ) N ;
- FILLER_34_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 126540 ) N ;
- FILLER_34_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 126540 ) N ;
- FILLER_34_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 126540 ) N ;
- FILLER_34_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 126540 ) N ;
- FILLER_34_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 126540 ) N ;
- FILLER_34_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 126540 ) N ;
- FILLER_34_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 126540 ) N ;
- FILLER_34_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 126540 ) N ;
- FILLER_34_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 126540 ) N ;
- FILLER_34_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 126540 ) N ;
- FILLER_34_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 126540 ) N ;
- FILLER_34_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 126540 ) N ;
- FILLER_34_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 126540 ) N ;
- FILLER_34_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 126540 ) N ;
- FILLER_34_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 126540 ) N ;
- FILLER_34_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 126540 ) N ;
- FILLER_34_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 126540 ) N ;
- FILLER_34_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 126540 ) N ;
- FILLER_34_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 126540 ) N ;
- FILLER_34_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 126540 ) N ;
- FILLER_34_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 126540 ) N ;
- FILLER_34_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 126540 ) N ;
- FILLER_34_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 126540 ) N ;
- FILLER_34_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 126540 ) N ;
- FILLER_34_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 126540 ) N ;
- FILLER_34_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 126540 ) N ;
- FILLER_34_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 126540 ) N ;
- FILLER_34_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 126540 ) N ;
- FILLER_34_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 126540 ) N ;
- FILLER_34_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 126540 ) N ;
- FILLER_34_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 126540 ) N ;
- FILLER_34_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 126540 ) N ;
- FILLER_34_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 126540 ) N ;
- FILLER_34_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 126540 ) N ;
- FILLER_34_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 126540 ) N ;
- FILLER_34_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 126540 ) N ;
- FILLER_34_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 126540 ) N ;
- FILLER_34_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 126540 ) N ;
- FILLER_34_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 126540 ) N ;
- FILLER_34_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 126540 ) N ;
- FILLER_34_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 126540 ) N ;
- FILLER_34_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 126540 ) N ;
- FILLER_34_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 126540 ) N ;
- FILLER_34_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 126540 ) N ;
- FILLER_34_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 126540 ) N ;
- FILLER_34_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 126540 ) N ;
- FILLER_34_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 126540 ) N ;
- FILLER_34_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 126540 ) N ;
- FILLER_34_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 126540 ) N ;
- FILLER_34_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 126540 ) N ;
- FILLER_34_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 126540 ) N ;
- FILLER_34_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 126540 ) N ;
- FILLER_34_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 126540 ) N ;
- FILLER_34_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 126540 ) N ;
- FILLER_34_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 126540 ) N ;
- FILLER_34_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 126540 ) N ;
- FILLER_34_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 126540 ) N ;
- FILLER_34_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 126540 ) N ;
- FILLER_34_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 126540 ) N ;
- FILLER_34_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 126540 ) N ;
- FILLER_34_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 126540 ) N ;
- FILLER_34_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 126540 ) N ;
- FILLER_34_91 sky130_fd_sc_ls__decap_4 + PLACED ( 49440 126540 ) N ;
- FILLER_34_97 sky130_fd_sc_ls__decap_8 + PLACED ( 52320 126540 ) N ;
- FILLER_35_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 129870 ) FS ;
- FILLER_35_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 129870 ) FS ;
- FILLER_35_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 129870 ) FS ;
- FILLER_35_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 129870 ) FS ;
- FILLER_35_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 129870 ) FS ;
- FILLER_35_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 129870 ) FS ;
- FILLER_35_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 129870 ) FS ;
- FILLER_35_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 129870 ) FS ;
- FILLER_35_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 129870 ) FS ;
- FILLER_35_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 129870 ) FS ;
- FILLER_35_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 129870 ) FS ;
- FILLER_35_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 129870 ) FS ;
- FILLER_35_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 129870 ) FS ;
- FILLER_35_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 129870 ) FS ;
- FILLER_35_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 129870 ) FS ;
- FILLER_35_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 129870 ) FS ;
- FILLER_35_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 129870 ) FS ;
- FILLER_35_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 129870 ) FS ;
- FILLER_35_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 129870 ) FS ;
- FILLER_35_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 129870 ) FS ;
- FILLER_35_217 sky130_fd_sc_ls__decap_4 + PLACED ( 109920 129870 ) FS ;
- FILLER_35_224 sky130_fd_sc_ls__decap_8 + PLACED ( 113280 129870 ) FS ;
- FILLER_35_232 sky130_fd_sc_ls__decap_8 + PLACED ( 117120 129870 ) FS ;
- FILLER_35_240 sky130_fd_sc_ls__decap_8 + PLACED ( 120960 129870 ) FS ;
- FILLER_35_248 sky130_fd_sc_ls__decap_8 + PLACED ( 124800 129870 ) FS ;
- FILLER_35_256 sky130_fd_sc_ls__decap_8 + PLACED ( 128640 129870 ) FS ;
- FILLER_35_264 sky130_fd_sc_ls__decap_4 + PLACED ( 132480 129870 ) FS ;
- FILLER_35_268 sky130_fd_sc_ls__fill_2 + PLACED ( 134400 129870 ) FS ;
- FILLER_35_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 129870 ) FS ;
- FILLER_35_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 129870 ) FS ;
- FILLER_35_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 129870 ) FS ;
- FILLER_35_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 129870 ) FS ;
- FILLER_35_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 129870 ) FS ;
- FILLER_35_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 129870 ) FS ;
- FILLER_35_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 129870 ) FS ;
- FILLER_35_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 129870 ) FS ;
- FILLER_35_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 129870 ) FS ;
- FILLER_35_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 129870 ) FS ;
- FILLER_35_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 129870 ) FS ;
- FILLER_35_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 129870 ) FS ;
- FILLER_35_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 129870 ) FS ;
- FILLER_35_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 129870 ) FS ;
- FILLER_35_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 129870 ) FS ;
- FILLER_35_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 129870 ) FS ;
- FILLER_35_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 129870 ) FS ;
- FILLER_35_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 129870 ) FS ;
- FILLER_35_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 129870 ) FS ;
- FILLER_35_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 129870 ) FS ;
- FILLER_35_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 129870 ) FS ;
- FILLER_35_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 129870 ) FS ;
- FILLER_35_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 129870 ) FS ;
- FILLER_35_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 129870 ) FS ;
- FILLER_35_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 129870 ) FS ;
- FILLER_35_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 129870 ) FS ;
- FILLER_35_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 129870 ) FS ;
- FILLER_35_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 129870 ) FS ;
- FILLER_35_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 129870 ) FS ;
- FILLER_35_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 129870 ) FS ;
- FILLER_35_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 129870 ) FS ;
- FILLER_35_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 129870 ) FS ;
- FILLER_35_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 129870 ) FS ;
- FILLER_35_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 129870 ) FS ;
- FILLER_35_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 129870 ) FS ;
- FILLER_35_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 129870 ) FS ;
- FILLER_35_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 129870 ) FS ;
- FILLER_35_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 129870 ) FS ;
- FILLER_35_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 129870 ) FS ;
- FILLER_35_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 129870 ) FS ;
- FILLER_35_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 129870 ) FS ;
- FILLER_35_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 129870 ) FS ;
- FILLER_35_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 129870 ) FS ;
- FILLER_35_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 129870 ) FS ;
- FILLER_35_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 129870 ) FS ;
- FILLER_35_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 129870 ) FS ;
- FILLER_35_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 129870 ) FS ;
- FILLER_35_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 129870 ) FS ;
- FILLER_35_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 129870 ) FS ;
- FILLER_35_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 129870 ) FS ;
- FILLER_35_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 129870 ) FS ;
- FILLER_35_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 129870 ) FS ;
- FILLER_35_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 129870 ) FS ;
- FILLER_35_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 129870 ) FS ;
- FILLER_35_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 129870 ) FS ;
- FILLER_35_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 129870 ) FS ;
- FILLER_35_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 129870 ) FS ;
- FILLER_35_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 129870 ) FS ;
- FILLER_35_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 129870 ) FS ;
- FILLER_35_97 sky130_fd_sc_ls__decap_4 + PLACED ( 52320 129870 ) FS ;
- FILLER_36_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 133200 ) N ;
- FILLER_36_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 133200 ) N ;
- FILLER_36_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 133200 ) N ;
- FILLER_36_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 133200 ) N ;
- FILLER_36_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 133200 ) N ;
- FILLER_36_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 133200 ) N ;
- FILLER_36_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 133200 ) N ;
- FILLER_36_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 133200 ) N ;
- FILLER_36_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 133200 ) N ;
- FILLER_36_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 133200 ) N ;
- FILLER_36_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 133200 ) N ;
- FILLER_36_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 133200 ) N ;
- FILLER_36_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 133200 ) N ;
- FILLER_36_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 133200 ) N ;
- FILLER_36_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 133200 ) N ;
- FILLER_36_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 133200 ) N ;
- FILLER_36_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 133200 ) N ;
- FILLER_36_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 133200 ) N ;
- FILLER_36_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 133200 ) N ;
- FILLER_36_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 133200 ) N ;
- FILLER_36_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 133200 ) N ;
- FILLER_36_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 133200 ) N ;
- FILLER_36_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 133200 ) N ;
- FILLER_36_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 133200 ) N ;
- FILLER_36_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 133200 ) N ;
- FILLER_36_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 133200 ) N ;
- FILLER_36_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 133200 ) N ;
- FILLER_36_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 133200 ) N ;
- FILLER_36_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 133200 ) N ;
- FILLER_36_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 133200 ) N ;
- FILLER_36_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 133200 ) N ;
- FILLER_36_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 133200 ) N ;
- FILLER_36_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 133200 ) N ;
- FILLER_36_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 133200 ) N ;
- FILLER_36_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 133200 ) N ;
- FILLER_36_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 133200 ) N ;
- FILLER_36_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 133200 ) N ;
- FILLER_36_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 133200 ) N ;
- FILLER_36_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 133200 ) N ;
- FILLER_36_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 133200 ) N ;
- FILLER_36_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 133200 ) N ;
- FILLER_36_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 133200 ) N ;
- FILLER_36_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 133200 ) N ;
- FILLER_36_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 133200 ) N ;
- FILLER_36_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 133200 ) N ;
- FILLER_36_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 133200 ) N ;
- FILLER_36_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 133200 ) N ;
- FILLER_36_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 133200 ) N ;
- FILLER_36_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 133200 ) N ;
- FILLER_36_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 133200 ) N ;
- FILLER_36_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 133200 ) N ;
- FILLER_36_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 133200 ) N ;
- FILLER_36_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 133200 ) N ;
- FILLER_36_406 sky130_fd_sc_ls__decap_4 + PLACED ( 200640 133200 ) N ;
- FILLER_36_410 sky130_fd_sc_ls__fill_1 + PLACED ( 202560 133200 ) N ;
- FILLER_36_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 133200 ) N ;
- FILLER_36_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 133200 ) N ;
- FILLER_36_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 133200 ) N ;
- FILLER_36_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 133200 ) N ;
- FILLER_36_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 133200 ) N ;
- FILLER_36_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 133200 ) N ;
- FILLER_36_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 133200 ) N ;
- FILLER_36_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 133200 ) N ;
- FILLER_36_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 133200 ) N ;
- FILLER_36_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 133200 ) N ;
- FILLER_36_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 133200 ) N ;
- FILLER_36_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 133200 ) N ;
- FILLER_36_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 133200 ) N ;
- FILLER_36_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 133200 ) N ;
- FILLER_36_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 133200 ) N ;
- FILLER_36_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 133200 ) N ;
- FILLER_36_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 133200 ) N ;
- FILLER_36_52 sky130_fd_sc_ls__fill_1 + PLACED ( 30720 133200 ) N ;
- FILLER_36_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 133200 ) N ;
- FILLER_36_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 133200 ) N ;
- FILLER_36_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 133200 ) N ;
- FILLER_36_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 133200 ) N ;
- FILLER_36_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 133200 ) N ;
- FILLER_36_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 133200 ) N ;
- FILLER_36_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 133200 ) N ;
- FILLER_36_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 133200 ) N ;
- FILLER_36_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 133200 ) N ;
- FILLER_36_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 133200 ) N ;
- FILLER_36_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 133200 ) N ;
- FILLER_36_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 133200 ) N ;
- FILLER_36_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 133200 ) N ;
- FILLER_36_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 133200 ) N ;
- FILLER_36_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 133200 ) N ;
- FILLER_36_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 133200 ) N ;
- FILLER_36_94 sky130_fd_sc_ls__decap_4 + PLACED ( 50880 133200 ) N ;
- FILLER_37_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 136530 ) FS ;
- FILLER_37_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 136530 ) FS ;
- FILLER_37_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 136530 ) FS ;
- FILLER_37_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 136530 ) FS ;
- FILLER_37_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 136530 ) FS ;
- FILLER_37_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 136530 ) FS ;
- FILLER_37_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 136530 ) FS ;
- FILLER_37_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 136530 ) FS ;
- FILLER_37_159 sky130_fd_sc_ls__fill_2 + PLACED ( 82080 136530 ) FS ;
- FILLER_37_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 136530 ) FS ;
- FILLER_37_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 136530 ) FS ;
- FILLER_37_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 136530 ) FS ;
- FILLER_37_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 136530 ) FS ;
- FILLER_37_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 136530 ) FS ;
- FILLER_37_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 136530 ) FS ;
- FILLER_37_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 136530 ) FS ;
- FILLER_37_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 136530 ) FS ;
- FILLER_37_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 136530 ) FS ;
- FILLER_37_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 136530 ) FS ;
- FILLER_37_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 136530 ) FS ;
- FILLER_37_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 136530 ) FS ;
- FILLER_37_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 136530 ) FS ;
- FILLER_37_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 136530 ) FS ;
- FILLER_37_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 136530 ) FS ;
- FILLER_37_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 136530 ) FS ;
- FILLER_37_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 136530 ) FS ;
- FILLER_37_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 136530 ) FS ;
- FILLER_37_274 sky130_fd_sc_ls__decap_8 + PLACED ( 137280 136530 ) FS ;
- FILLER_37_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 136530 ) FS ;
- FILLER_37_282 sky130_fd_sc_ls__decap_8 + PLACED ( 141120 136530 ) FS ;
- FILLER_37_290 sky130_fd_sc_ls__decap_8 + PLACED ( 144960 136530 ) FS ;
- FILLER_37_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 136530 ) FS ;
- FILLER_37_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 136530 ) FS ;
- FILLER_37_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 136530 ) FS ;
- FILLER_37_322 sky130_fd_sc_ls__fill_2 + PLACED ( 160320 136530 ) FS ;
- FILLER_37_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 136530 ) FS ;
- FILLER_37_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 136530 ) FS ;
- FILLER_37_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 136530 ) FS ;
- FILLER_37_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 136530 ) FS ;
- FILLER_37_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 136530 ) FS ;
- FILLER_37_36 sky130_fd_sc_ls__fill_2 + PLACED ( 23040 136530 ) FS ;
- FILLER_37_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 136530 ) FS ;
- FILLER_37_376 sky130_fd_sc_ls__fill_2 + PLACED ( 186240 136530 ) FS ;
- FILLER_37_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 136530 ) FS ;
- FILLER_37_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 136530 ) FS ;
- FILLER_37_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 136530 ) FS ;
- FILLER_37_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 136530 ) FS ;
- FILLER_37_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 136530 ) FS ;
- FILLER_37_41 sky130_fd_sc_ls__decap_8 + PLACED ( 25440 136530 ) FS ;
- FILLER_37_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 136530 ) FS ;
- FILLER_37_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 136530 ) FS ;
- FILLER_37_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 136530 ) FS ;
- FILLER_37_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 136530 ) FS ;
- FILLER_37_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 136530 ) FS ;
- FILLER_37_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 136530 ) FS ;
- FILLER_37_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 136530 ) FS ;
- FILLER_37_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 136530 ) FS ;
- FILLER_37_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 136530 ) FS ;
- FILLER_37_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 136530 ) FS ;
- FILLER_37_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 136530 ) FS ;
- FILLER_37_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 136530 ) FS ;
- FILLER_37_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 136530 ) FS ;
- FILLER_37_49 sky130_fd_sc_ls__decap_4 + PLACED ( 29280 136530 ) FS ;
- FILLER_37_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 136530 ) FS ;
- FILLER_37_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 136530 ) FS ;
- FILLER_37_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 136530 ) FS ;
- FILLER_37_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 136530 ) FS ;
- FILLER_37_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 136530 ) FS ;
- FILLER_37_53 sky130_fd_sc_ls__fill_1 + PLACED ( 31200 136530 ) FS ;
- FILLER_37_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 136530 ) FS ;
- FILLER_37_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 136530 ) FS ;
- FILLER_37_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 136530 ) FS ;
- FILLER_37_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 136530 ) FS ;
- FILLER_37_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 136530 ) FS ;
- FILLER_37_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 136530 ) FS ;
- FILLER_37_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 136530 ) FS ;
- FILLER_37_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 136530 ) FS ;
- FILLER_37_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 136530 ) FS ;
- FILLER_37_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 136530 ) FS ;
- FILLER_37_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 136530 ) FS ;
- FILLER_37_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 136530 ) FS ;
- FILLER_37_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 136530 ) FS ;
- FILLER_37_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 136530 ) FS ;
- FILLER_37_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 136530 ) FS ;
- FILLER_37_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 136530 ) FS ;
- FILLER_37_95 sky130_fd_sc_ls__fill_2 + PLACED ( 51360 136530 ) FS ;
- FILLER_37_97 sky130_fd_sc_ls__fill_1 + PLACED ( 52320 136530 ) FS ;
- FILLER_38_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 139860 ) N ;
- FILLER_38_109 sky130_fd_sc_ls__decap_4 + PLACED ( 58080 139860 ) N ;
- FILLER_38_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 139860 ) N ;
- FILLER_38_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 139860 ) N ;
- FILLER_38_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 139860 ) N ;
- FILLER_38_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 139860 ) N ;
- FILLER_38_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 139860 ) N ;
- FILLER_38_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 139860 ) N ;
- FILLER_38_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 139860 ) N ;
- FILLER_38_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 139860 ) N ;
- FILLER_38_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 139860 ) N ;
- FILLER_38_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 139860 ) N ;
- FILLER_38_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 139860 ) N ;
- FILLER_38_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 139860 ) N ;
- FILLER_38_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 139860 ) N ;
- FILLER_38_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 139860 ) N ;
- FILLER_38_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 139860 ) N ;
- FILLER_38_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 139860 ) N ;
- FILLER_38_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 139860 ) N ;
- FILLER_38_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 139860 ) N ;
- FILLER_38_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 139860 ) N ;
- FILLER_38_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 139860 ) N ;
- FILLER_38_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 139860 ) N ;
- FILLER_38_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 139860 ) N ;
- FILLER_38_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 139860 ) N ;
- FILLER_38_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 139860 ) N ;
- FILLER_38_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 139860 ) N ;
- FILLER_38_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 139860 ) N ;
- FILLER_38_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 139860 ) N ;
- FILLER_38_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 139860 ) N ;
- FILLER_38_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 139860 ) N ;
- FILLER_38_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 139860 ) N ;
- FILLER_38_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 139860 ) N ;
- FILLER_38_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 139860 ) N ;
- FILLER_38_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 139860 ) N ;
- FILLER_38_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 139860 ) N ;
- FILLER_38_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 139860 ) N ;
- FILLER_38_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 139860 ) N ;
- FILLER_38_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 139860 ) N ;
- FILLER_38_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 139860 ) N ;
- FILLER_38_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 139860 ) N ;
- FILLER_38_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 139860 ) N ;
- FILLER_38_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 139860 ) N ;
- FILLER_38_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 139860 ) N ;
- FILLER_38_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 139860 ) N ;
- FILLER_38_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 139860 ) N ;
- FILLER_38_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 139860 ) N ;
- FILLER_38_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 139860 ) N ;
- FILLER_38_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 139860 ) N ;
- FILLER_38_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 139860 ) N ;
- FILLER_38_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 139860 ) N ;
- FILLER_38_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 139860 ) N ;
- FILLER_38_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 139860 ) N ;
- FILLER_38_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 139860 ) N ;
- FILLER_38_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 139860 ) N ;
- FILLER_38_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 139860 ) N ;
- FILLER_38_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 139860 ) N ;
- FILLER_38_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 139860 ) N ;
- FILLER_38_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 139860 ) N ;
- FILLER_38_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 139860 ) N ;
- FILLER_38_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 139860 ) N ;
- FILLER_38_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 139860 ) N ;
- FILLER_38_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 139860 ) N ;
- FILLER_38_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 139860 ) N ;
- FILLER_38_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 139860 ) N ;
- FILLER_38_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 139860 ) N ;
- FILLER_38_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 139860 ) N ;
- FILLER_38_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 139860 ) N ;
- FILLER_38_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 139860 ) N ;
- FILLER_38_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 139860 ) N ;
- FILLER_38_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 139860 ) N ;
- FILLER_38_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 139860 ) N ;
- FILLER_38_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 139860 ) N ;
- FILLER_38_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 139860 ) N ;
- FILLER_38_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 139860 ) N ;
- FILLER_38_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 139860 ) N ;
- FILLER_38_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 139860 ) N ;
- FILLER_38_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 139860 ) N ;
- FILLER_38_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 139860 ) N ;
- FILLER_38_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 139860 ) N ;
- FILLER_38_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 139860 ) N ;
- FILLER_38_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 139860 ) N ;
- FILLER_38_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 139860 ) N ;
- FILLER_38_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 139860 ) N ;
- FILLER_38_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 139860 ) N ;
- FILLER_38_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 139860 ) N ;
- FILLER_38_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 139860 ) N ;
- FILLER_38_97 sky130_fd_sc_ls__decap_4 + PLACED ( 52320 139860 ) N ;
- FILLER_39_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 143190 ) FS ;
- FILLER_39_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 143190 ) FS ;
- FILLER_39_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 143190 ) FS ;
- FILLER_39_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 143190 ) FS ;
- FILLER_39_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 143190 ) FS ;
- FILLER_39_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 143190 ) FS ;
- FILLER_39_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 143190 ) FS ;
- FILLER_39_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 143190 ) FS ;
- FILLER_39_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 143190 ) FS ;
- FILLER_39_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 143190 ) FS ;
- FILLER_39_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 143190 ) FS ;
- FILLER_39_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 143190 ) FS ;
- FILLER_39_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 143190 ) FS ;
- FILLER_39_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 143190 ) FS ;
- FILLER_39_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 143190 ) FS ;
- FILLER_39_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 143190 ) FS ;
- FILLER_39_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 143190 ) FS ;
- FILLER_39_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 143190 ) FS ;
- FILLER_39_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 143190 ) FS ;
- FILLER_39_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 143190 ) FS ;
- FILLER_39_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 143190 ) FS ;
- FILLER_39_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 143190 ) FS ;
- FILLER_39_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 143190 ) FS ;
- FILLER_39_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 143190 ) FS ;
- FILLER_39_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 143190 ) FS ;
- FILLER_39_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 143190 ) FS ;
- FILLER_39_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 143190 ) FS ;
- FILLER_39_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 143190 ) FS ;
- FILLER_39_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 143190 ) FS ;
- FILLER_39_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 143190 ) FS ;
- FILLER_39_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 143190 ) FS ;
- FILLER_39_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 143190 ) FS ;
- FILLER_39_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 143190 ) FS ;
- FILLER_39_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 143190 ) FS ;
- FILLER_39_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 143190 ) FS ;
- FILLER_39_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 143190 ) FS ;
- FILLER_39_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 143190 ) FS ;
- FILLER_39_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 143190 ) FS ;
- FILLER_39_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 143190 ) FS ;
- FILLER_39_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 143190 ) FS ;
- FILLER_39_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 143190 ) FS ;
- FILLER_39_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 143190 ) FS ;
- FILLER_39_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 143190 ) FS ;
- FILLER_39_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 143190 ) FS ;
- FILLER_39_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 143190 ) FS ;
- FILLER_39_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 143190 ) FS ;
- FILLER_39_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 143190 ) FS ;
- FILLER_39_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 143190 ) FS ;
- FILLER_39_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 143190 ) FS ;
- FILLER_39_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 143190 ) FS ;
- FILLER_39_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 143190 ) FS ;
- FILLER_39_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 143190 ) FS ;
- FILLER_39_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 143190 ) FS ;
- FILLER_39_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 143190 ) FS ;
- FILLER_39_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 143190 ) FS ;
- FILLER_39_436 sky130_fd_sc_ls__decap_4 + PLACED ( 215040 143190 ) FS ;
- FILLER_39_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 143190 ) FS ;
- FILLER_39_440 sky130_fd_sc_ls__fill_2 + PLACED ( 216960 143190 ) FS ;
- FILLER_39_442 sky130_fd_sc_ls__fill_1 + PLACED ( 217920 143190 ) FS ;
- FILLER_39_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 143190 ) FS ;
- FILLER_39_454 sky130_fd_sc_ls__decap_8 + PLACED ( 223680 143190 ) FS ;
- FILLER_39_462 sky130_fd_sc_ls__decap_8 + PLACED ( 227520 143190 ) FS ;
- FILLER_39_470 sky130_fd_sc_ls__decap_8 + PLACED ( 231360 143190 ) FS ;
- FILLER_39_478 sky130_fd_sc_ls__decap_8 + PLACED ( 235200 143190 ) FS ;
- FILLER_39_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 143190 ) FS ;
- FILLER_39_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 143190 ) FS ;
- FILLER_39_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 143190 ) FS ;
- FILLER_39_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 143190 ) FS ;
- FILLER_39_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 143190 ) FS ;
- FILLER_39_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 143190 ) FS ;
- FILLER_39_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 143190 ) FS ;
- FILLER_39_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 143190 ) FS ;
- FILLER_39_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 143190 ) FS ;
- FILLER_39_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 143190 ) FS ;
- FILLER_39_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 143190 ) FS ;
- FILLER_39_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 143190 ) FS ;
- FILLER_39_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 143190 ) FS ;
- FILLER_39_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 143190 ) FS ;
- FILLER_39_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 143190 ) FS ;
- FILLER_39_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 143190 ) FS ;
- FILLER_39_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 143190 ) FS ;
- FILLER_39_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 143190 ) FS ;
- FILLER_39_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 143190 ) FS ;
- FILLER_39_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 143190 ) FS ;
- FILLER_39_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 143190 ) FS ;
- FILLER_39_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 143190 ) FS ;
- FILLER_39_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 143190 ) FS ;
- FILLER_39_95 sky130_fd_sc_ls__decap_4 + PLACED ( 51360 143190 ) FS ;
- FILLER_39_99 sky130_fd_sc_ls__fill_2 + PLACED ( 53280 143190 ) FS ;
- FILLER_3_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 23310 ) FS ;
- FILLER_3_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 23310 ) FS ;
- FILLER_3_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 23310 ) FS ;
- FILLER_3_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 23310 ) FS ;
- FILLER_3_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 23310 ) FS ;
- FILLER_3_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 23310 ) FS ;
- FILLER_3_141 sky130_fd_sc_ls__fill_2 + PLACED ( 73440 23310 ) FS ;
- FILLER_3_146 sky130_fd_sc_ls__decap_4 + PLACED ( 75840 23310 ) FS ;
- FILLER_3_152 sky130_fd_sc_ls__decap_4 + PLACED ( 78720 23310 ) FS ;
- FILLER_3_158 sky130_fd_sc_ls__decap_4 + PLACED ( 81600 23310 ) FS ;
- FILLER_3_167 sky130_fd_sc_ls__decap_4 + PLACED ( 85920 23310 ) FS ;
- FILLER_3_173 sky130_fd_sc_ls__decap_4 + PLACED ( 88800 23310 ) FS ;
- FILLER_3_177 sky130_fd_sc_ls__fill_1 + PLACED ( 90720 23310 ) FS ;
- FILLER_3_180 sky130_fd_sc_ls__decap_4 + PLACED ( 92160 23310 ) FS ;
- FILLER_3_184 sky130_fd_sc_ls__fill_2 + PLACED ( 94080 23310 ) FS ;
- FILLER_3_188 sky130_fd_sc_ls__decap_4 + PLACED ( 96000 23310 ) FS ;
- FILLER_3_192 sky130_fd_sc_ls__fill_1 + PLACED ( 97920 23310 ) FS ;
- FILLER_3_195 sky130_fd_sc_ls__decap_4 + PLACED ( 99360 23310 ) FS ;
- FILLER_3_199 sky130_fd_sc_ls__fill_2 + PLACED ( 101280 23310 ) FS ;
- FILLER_3_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 23310 ) FS ;
- FILLER_3_201 sky130_fd_sc_ls__fill_1 + PLACED ( 102240 23310 ) FS ;
- FILLER_3_204 sky130_fd_sc_ls__decap_4 + PLACED ( 103680 23310 ) FS ;
- FILLER_3_210 sky130_fd_sc_ls__decap_4 + PLACED ( 106560 23310 ) FS ;
- FILLER_3_214 sky130_fd_sc_ls__fill_2 + PLACED ( 108480 23310 ) FS ;
- FILLER_3_219 sky130_fd_sc_ls__decap_4 + PLACED ( 110880 23310 ) FS ;
- FILLER_3_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 23310 ) FS ;
- FILLER_3_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 23310 ) FS ;
- FILLER_3_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 23310 ) FS ;
- FILLER_3_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 23310 ) FS ;
- FILLER_3_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 23310 ) FS ;
- FILLER_3_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 23310 ) FS ;
- FILLER_3_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 23310 ) FS ;
- FILLER_3_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 23310 ) FS ;
- FILLER_3_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 23310 ) FS ;
- FILLER_3_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 23310 ) FS ;
- FILLER_3_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 23310 ) FS ;
- FILLER_3_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 23310 ) FS ;
- FILLER_3_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 23310 ) FS ;
- FILLER_3_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 23310 ) FS ;
- FILLER_3_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 23310 ) FS ;
- FILLER_3_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 23310 ) FS ;
- FILLER_3_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 23310 ) FS ;
- FILLER_3_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 23310 ) FS ;
- FILLER_3_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 23310 ) FS ;
- FILLER_3_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 23310 ) FS ;
- FILLER_3_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 23310 ) FS ;
- FILLER_3_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 23310 ) FS ;
- FILLER_3_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 23310 ) FS ;
- FILLER_3_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 23310 ) FS ;
- FILLER_3_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 23310 ) FS ;
- FILLER_3_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 23310 ) FS ;
- FILLER_3_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 23310 ) FS ;
- FILLER_3_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 23310 ) FS ;
- FILLER_3_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 23310 ) FS ;
- FILLER_3_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 23310 ) FS ;
- FILLER_3_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 23310 ) FS ;
- FILLER_3_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 23310 ) FS ;
- FILLER_3_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 23310 ) FS ;
- FILLER_3_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 23310 ) FS ;
- FILLER_3_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 23310 ) FS ;
- FILLER_3_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 23310 ) FS ;
- FILLER_3_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 23310 ) FS ;
- FILLER_3_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 23310 ) FS ;
- FILLER_3_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 23310 ) FS ;
- FILLER_3_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 23310 ) FS ;
- FILLER_3_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 23310 ) FS ;
- FILLER_3_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 23310 ) FS ;
- FILLER_3_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 23310 ) FS ;
- FILLER_3_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 23310 ) FS ;
- FILLER_3_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 23310 ) FS ;
- FILLER_3_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 23310 ) FS ;
- FILLER_3_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 23310 ) FS ;
- FILLER_3_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 23310 ) FS ;
- FILLER_3_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 23310 ) FS ;
- FILLER_3_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 23310 ) FS ;
- FILLER_3_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 23310 ) FS ;
- FILLER_3_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 23310 ) FS ;
- FILLER_3_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 23310 ) FS ;
- FILLER_3_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 23310 ) FS ;
- FILLER_3_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 23310 ) FS ;
- FILLER_3_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 23310 ) FS ;
- FILLER_3_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 23310 ) FS ;
- FILLER_3_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 23310 ) FS ;
- FILLER_3_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 23310 ) FS ;
- FILLER_3_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 23310 ) FS ;
- FILLER_3_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 23310 ) FS ;
- FILLER_3_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 23310 ) FS ;
- FILLER_3_63 sky130_fd_sc_ls__fill_1 + PLACED ( 36000 23310 ) FS ;
- FILLER_3_67 sky130_fd_sc_ls__decap_8 + PLACED ( 37920 23310 ) FS ;
- FILLER_3_75 sky130_fd_sc_ls__fill_2 + PLACED ( 41760 23310 ) FS ;
- FILLER_3_77 sky130_fd_sc_ls__fill_1 + PLACED ( 42720 23310 ) FS ;
- FILLER_3_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 23310 ) FS ;
- FILLER_3_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 23310 ) FS ;
- FILLER_3_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 23310 ) FS ;
- FILLER_40_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 146520 ) N ;
- FILLER_40_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 146520 ) N ;
- FILLER_40_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 146520 ) N ;
- FILLER_40_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 146520 ) N ;
- FILLER_40_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 146520 ) N ;
- FILLER_40_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 146520 ) N ;
- FILLER_40_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 146520 ) N ;
- FILLER_40_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 146520 ) N ;
- FILLER_40_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 146520 ) N ;
- FILLER_40_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 146520 ) N ;
- FILLER_40_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 146520 ) N ;
- FILLER_40_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 146520 ) N ;
- FILLER_40_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 146520 ) N ;
- FILLER_40_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 146520 ) N ;
- FILLER_40_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 146520 ) N ;
- FILLER_40_193 sky130_fd_sc_ls__decap_8 + PLACED ( 98400 146520 ) N ;
- FILLER_40_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 146520 ) N ;
- FILLER_40_201 sky130_fd_sc_ls__decap_8 + PLACED ( 102240 146520 ) N ;
- FILLER_40_209 sky130_fd_sc_ls__decap_8 + PLACED ( 106080 146520 ) N ;
- FILLER_40_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 146520 ) N ;
- FILLER_40_228 sky130_fd_sc_ls__decap_8 + PLACED ( 115200 146520 ) N ;
- FILLER_40_236 sky130_fd_sc_ls__decap_4 + PLACED ( 119040 146520 ) N ;
- FILLER_40_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 146520 ) N ;
- FILLER_40_240 sky130_fd_sc_ls__fill_2 + PLACED ( 120960 146520 ) N ;
- FILLER_40_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 146520 ) N ;
- FILLER_40_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 146520 ) N ;
- FILLER_40_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 146520 ) N ;
- FILLER_40_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 146520 ) N ;
- FILLER_40_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 146520 ) N ;
- FILLER_40_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 146520 ) N ;
- FILLER_40_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 146520 ) N ;
- FILLER_40_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 146520 ) N ;
- FILLER_40_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 146520 ) N ;
- FILLER_40_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 146520 ) N ;
- FILLER_40_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 146520 ) N ;
- FILLER_40_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 146520 ) N ;
- FILLER_40_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 146520 ) N ;
- FILLER_40_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 146520 ) N ;
- FILLER_40_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 146520 ) N ;
- FILLER_40_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 146520 ) N ;
- FILLER_40_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 146520 ) N ;
- FILLER_40_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 146520 ) N ;
- FILLER_40_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 146520 ) N ;
- FILLER_40_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 146520 ) N ;
- FILLER_40_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 146520 ) N ;
- FILLER_40_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 146520 ) N ;
- FILLER_40_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 146520 ) N ;
- FILLER_40_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 146520 ) N ;
- FILLER_40_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 146520 ) N ;
- FILLER_40_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 146520 ) N ;
- FILLER_40_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 146520 ) N ;
- FILLER_40_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 146520 ) N ;
- FILLER_40_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 146520 ) N ;
- FILLER_40_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 146520 ) N ;
- FILLER_40_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 146520 ) N ;
- FILLER_40_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 146520 ) N ;
- FILLER_40_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 146520 ) N ;
- FILLER_40_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 146520 ) N ;
- FILLER_40_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 146520 ) N ;
- FILLER_40_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 146520 ) N ;
- FILLER_40_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 146520 ) N ;
- FILLER_40_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 146520 ) N ;
- FILLER_40_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 146520 ) N ;
- FILLER_40_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 146520 ) N ;
- FILLER_40_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 146520 ) N ;
- FILLER_40_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 146520 ) N ;
- FILLER_40_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 146520 ) N ;
- FILLER_40_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 146520 ) N ;
- FILLER_40_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 146520 ) N ;
- FILLER_40_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 146520 ) N ;
- FILLER_40_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 146520 ) N ;
- FILLER_40_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 146520 ) N ;
- FILLER_40_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 146520 ) N ;
- FILLER_40_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 146520 ) N ;
- FILLER_40_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 146520 ) N ;
- FILLER_40_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 146520 ) N ;
- FILLER_40_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 146520 ) N ;
- FILLER_40_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 146520 ) N ;
- FILLER_40_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 146520 ) N ;
- FILLER_40_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 146520 ) N ;
- FILLER_40_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 146520 ) N ;
- FILLER_40_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 146520 ) N ;
- FILLER_40_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 146520 ) N ;
- FILLER_40_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 146520 ) N ;
- FILLER_40_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 146520 ) N ;
- FILLER_40_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 146520 ) N ;
- FILLER_40_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 146520 ) N ;
- FILLER_40_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 146520 ) N ;
- FILLER_40_94 sky130_fd_sc_ls__decap_4 + PLACED ( 50880 146520 ) N ;
- FILLER_41_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 149850 ) FS ;
- FILLER_41_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 149850 ) FS ;
- FILLER_41_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 149850 ) FS ;
- FILLER_41_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 149850 ) FS ;
- FILLER_41_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 149850 ) FS ;
- FILLER_41_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 149850 ) FS ;
- FILLER_41_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 149850 ) FS ;
- FILLER_41_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 149850 ) FS ;
- FILLER_41_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 149850 ) FS ;
- FILLER_41_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 149850 ) FS ;
- FILLER_41_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 149850 ) FS ;
- FILLER_41_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 149850 ) FS ;
- FILLER_41_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 149850 ) FS ;
- FILLER_41_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 149850 ) FS ;
- FILLER_41_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 149850 ) FS ;
- FILLER_41_20 sky130_fd_sc_ls__fill_1 + PLACED ( 15360 149850 ) FS ;
- FILLER_41_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 149850 ) FS ;
- FILLER_41_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 149850 ) FS ;
- FILLER_41_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 149850 ) FS ;
- FILLER_41_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 149850 ) FS ;
- FILLER_41_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 149850 ) FS ;
- FILLER_41_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 149850 ) FS ;
- FILLER_41_24 sky130_fd_sc_ls__decap_4 + PLACED ( 17280 149850 ) FS ;
- FILLER_41_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 149850 ) FS ;
- FILLER_41_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 149850 ) FS ;
- FILLER_41_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 149850 ) FS ;
- FILLER_41_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 149850 ) FS ;
- FILLER_41_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 149850 ) FS ;
- FILLER_41_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 149850 ) FS ;
- FILLER_41_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 149850 ) FS ;
- FILLER_41_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 149850 ) FS ;
- FILLER_41_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 149850 ) FS ;
- FILLER_41_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 149850 ) FS ;
- FILLER_41_31 sky130_fd_sc_ls__decap_8 + PLACED ( 20640 149850 ) FS ;
- FILLER_41_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 149850 ) FS ;
- FILLER_41_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 149850 ) FS ;
- FILLER_41_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 149850 ) FS ;
- FILLER_41_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 149850 ) FS ;
- FILLER_41_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 149850 ) FS ;
- FILLER_41_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 149850 ) FS ;
- FILLER_41_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 149850 ) FS ;
- FILLER_41_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 149850 ) FS ;
- FILLER_41_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 149850 ) FS ;
- FILLER_41_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 149850 ) FS ;
- FILLER_41_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 149850 ) FS ;
- FILLER_41_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 149850 ) FS ;
- FILLER_41_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 149850 ) FS ;
- FILLER_41_39 sky130_fd_sc_ls__decap_8 + PLACED ( 24480 149850 ) FS ;
- FILLER_41_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 149850 ) FS ;
- FILLER_41_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 149850 ) FS ;
- FILLER_41_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 149850 ) FS ;
- FILLER_41_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 149850 ) FS ;
- FILLER_41_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 149850 ) FS ;
- FILLER_41_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 149850 ) FS ;
- FILLER_41_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 149850 ) FS ;
- FILLER_41_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 149850 ) FS ;
- FILLER_41_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 149850 ) FS ;
- FILLER_41_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 149850 ) FS ;
- FILLER_41_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 149850 ) FS ;
- FILLER_41_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 149850 ) FS ;
- FILLER_41_47 sky130_fd_sc_ls__decap_4 + PLACED ( 28320 149850 ) FS ;
- FILLER_41_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 149850 ) FS ;
- FILLER_41_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 149850 ) FS ;
- FILLER_41_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 149850 ) FS ;
- FILLER_41_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 149850 ) FS ;
- FILLER_41_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 149850 ) FS ;
- FILLER_41_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 149850 ) FS ;
- FILLER_41_51 sky130_fd_sc_ls__fill_2 + PLACED ( 30240 149850 ) FS ;
- FILLER_41_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 149850 ) FS ;
- FILLER_41_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 149850 ) FS ;
- FILLER_41_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 149850 ) FS ;
- FILLER_41_53 sky130_fd_sc_ls__fill_1 + PLACED ( 31200 149850 ) FS ;
- FILLER_41_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 149850 ) FS ;
- FILLER_41_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 149850 ) FS ;
- FILLER_41_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 149850 ) FS ;
- FILLER_41_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 149850 ) FS ;
- FILLER_41_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 149850 ) FS ;
- FILLER_41_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 149850 ) FS ;
- FILLER_41_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 149850 ) FS ;
- FILLER_41_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 149850 ) FS ;
- FILLER_41_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 149850 ) FS ;
- FILLER_41_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 149850 ) FS ;
- FILLER_41_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 149850 ) FS ;
- FILLER_41_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 149850 ) FS ;
- FILLER_41_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 149850 ) FS ;
- FILLER_41_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 149850 ) FS ;
- FILLER_41_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 149850 ) FS ;
- FILLER_41_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 149850 ) FS ;
- FILLER_41_95 sky130_fd_sc_ls__fill_2 + PLACED ( 51360 149850 ) FS ;
- FILLER_41_97 sky130_fd_sc_ls__fill_1 + PLACED ( 52320 149850 ) FS ;
- FILLER_42_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 153180 ) N ;
- FILLER_42_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 153180 ) N ;
- FILLER_42_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 153180 ) N ;
- FILLER_42_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 153180 ) N ;
- FILLER_42_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 153180 ) N ;
- FILLER_42_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 153180 ) N ;
- FILLER_42_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 153180 ) N ;
- FILLER_42_136 sky130_fd_sc_ls__decap_4 + PLACED ( 71040 153180 ) N ;
- FILLER_42_140 sky130_fd_sc_ls__fill_2 + PLACED ( 72960 153180 ) N ;
- FILLER_42_145 sky130_fd_sc_ls__decap_8 + PLACED ( 75360 153180 ) N ;
- FILLER_42_153 sky130_fd_sc_ls__decap_8 + PLACED ( 79200 153180 ) N ;
- FILLER_42_161 sky130_fd_sc_ls__fill_2 + PLACED ( 83040 153180 ) N ;
- FILLER_42_166 sky130_fd_sc_ls__decap_8 + PLACED ( 85440 153180 ) N ;
- FILLER_42_174 sky130_fd_sc_ls__decap_8 + PLACED ( 89280 153180 ) N ;
- FILLER_42_182 sky130_fd_sc_ls__decap_4 + PLACED ( 93120 153180 ) N ;
- FILLER_42_186 sky130_fd_sc_ls__fill_2 + PLACED ( 95040 153180 ) N ;
- FILLER_42_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 153180 ) N ;
- FILLER_42_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 153180 ) N ;
- FILLER_42_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 153180 ) N ;
- FILLER_42_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 153180 ) N ;
- FILLER_42_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 153180 ) N ;
- FILLER_42_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 153180 ) N ;
- FILLER_42_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 153180 ) N ;
- FILLER_42_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 153180 ) N ;
- FILLER_42_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 153180 ) N ;
- FILLER_42_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 153180 ) N ;
- FILLER_42_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 153180 ) N ;
- FILLER_42_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 153180 ) N ;
- FILLER_42_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 153180 ) N ;
- FILLER_42_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 153180 ) N ;
- FILLER_42_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 153180 ) N ;
- FILLER_42_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 153180 ) N ;
- FILLER_42_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 153180 ) N ;
- FILLER_42_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 153180 ) N ;
- FILLER_42_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 153180 ) N ;
- FILLER_42_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 153180 ) N ;
- FILLER_42_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 153180 ) N ;
- FILLER_42_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 153180 ) N ;
- FILLER_42_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 153180 ) N ;
- FILLER_42_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 153180 ) N ;
- FILLER_42_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 153180 ) N ;
- FILLER_42_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 153180 ) N ;
- FILLER_42_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 153180 ) N ;
- FILLER_42_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 153180 ) N ;
- FILLER_42_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 153180 ) N ;
- FILLER_42_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 153180 ) N ;
- FILLER_42_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 153180 ) N ;
- FILLER_42_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 153180 ) N ;
- FILLER_42_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 153180 ) N ;
- FILLER_42_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 153180 ) N ;
- FILLER_42_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 153180 ) N ;
- FILLER_42_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 153180 ) N ;
- FILLER_42_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 153180 ) N ;
- FILLER_42_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 153180 ) N ;
- FILLER_42_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 153180 ) N ;
- FILLER_42_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 153180 ) N ;
- FILLER_42_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 153180 ) N ;
- FILLER_42_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 153180 ) N ;
- FILLER_42_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 153180 ) N ;
- FILLER_42_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 153180 ) N ;
- FILLER_42_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 153180 ) N ;
- FILLER_42_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 153180 ) N ;
- FILLER_42_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 153180 ) N ;
- FILLER_42_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 153180 ) N ;
- FILLER_42_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 153180 ) N ;
- FILLER_42_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 153180 ) N ;
- FILLER_42_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 153180 ) N ;
- FILLER_42_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 153180 ) N ;
- FILLER_42_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 153180 ) N ;
- FILLER_42_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 153180 ) N ;
- FILLER_42_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 153180 ) N ;
- FILLER_42_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 153180 ) N ;
- FILLER_42_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 153180 ) N ;
- FILLER_42_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 153180 ) N ;
- FILLER_42_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 153180 ) N ;
- FILLER_42_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 153180 ) N ;
- FILLER_42_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 153180 ) N ;
- FILLER_42_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 153180 ) N ;
- FILLER_42_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 153180 ) N ;
- FILLER_42_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 153180 ) N ;
- FILLER_42_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 153180 ) N ;
- FILLER_42_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 153180 ) N ;
- FILLER_42_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 153180 ) N ;
- FILLER_42_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 153180 ) N ;
- FILLER_42_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 153180 ) N ;
- FILLER_42_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 153180 ) N ;
- FILLER_42_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 153180 ) N ;
- FILLER_42_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 153180 ) N ;
- FILLER_42_79 sky130_fd_sc_ls__fill_2 + PLACED ( 43680 153180 ) N ;
- FILLER_42_94 sky130_fd_sc_ls__decap_4 + PLACED ( 50880 153180 ) N ;
- FILLER_43_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 156510 ) FS ;
- FILLER_43_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 156510 ) FS ;
- FILLER_43_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 156510 ) FS ;
- FILLER_43_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 156510 ) FS ;
- FILLER_43_127 sky130_fd_sc_ls__decap_4 + PLACED ( 66720 156510 ) FS ;
- FILLER_43_131 sky130_fd_sc_ls__fill_1 + PLACED ( 68640 156510 ) FS ;
- FILLER_43_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 156510 ) FS ;
- FILLER_43_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 156510 ) FS ;
- FILLER_43_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 156510 ) FS ;
- FILLER_43_159 sky130_fd_sc_ls__fill_2 + PLACED ( 82080 156510 ) FS ;
- FILLER_43_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 156510 ) FS ;
- FILLER_43_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 156510 ) FS ;
- FILLER_43_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 156510 ) FS ;
- FILLER_43_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 156510 ) FS ;
- FILLER_43_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 156510 ) FS ;
- FILLER_43_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 156510 ) FS ;
- FILLER_43_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 156510 ) FS ;
- FILLER_43_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 156510 ) FS ;
- FILLER_43_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 156510 ) FS ;
- FILLER_43_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 156510 ) FS ;
- FILLER_43_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 156510 ) FS ;
- FILLER_43_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 156510 ) FS ;
- FILLER_43_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 156510 ) FS ;
- FILLER_43_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 156510 ) FS ;
- FILLER_43_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 156510 ) FS ;
- FILLER_43_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 156510 ) FS ;
- FILLER_43_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 156510 ) FS ;
- FILLER_43_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 156510 ) FS ;
- FILLER_43_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 156510 ) FS ;
- FILLER_43_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 156510 ) FS ;
- FILLER_43_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 156510 ) FS ;
- FILLER_43_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 156510 ) FS ;
- FILLER_43_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 156510 ) FS ;
- FILLER_43_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 156510 ) FS ;
- FILLER_43_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 156510 ) FS ;
- FILLER_43_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 156510 ) FS ;
- FILLER_43_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 156510 ) FS ;
- FILLER_43_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 156510 ) FS ;
- FILLER_43_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 156510 ) FS ;
- FILLER_43_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 156510 ) FS ;
- FILLER_43_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 156510 ) FS ;
- FILLER_43_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 156510 ) FS ;
- FILLER_43_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 156510 ) FS ;
- FILLER_43_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 156510 ) FS ;
- FILLER_43_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 156510 ) FS ;
- FILLER_43_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 156510 ) FS ;
- FILLER_43_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 156510 ) FS ;
- FILLER_43_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 156510 ) FS ;
- FILLER_43_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 156510 ) FS ;
- FILLER_43_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 156510 ) FS ;
- FILLER_43_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 156510 ) FS ;
- FILLER_43_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 156510 ) FS ;
- FILLER_43_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 156510 ) FS ;
- FILLER_43_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 156510 ) FS ;
- FILLER_43_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 156510 ) FS ;
- FILLER_43_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 156510 ) FS ;
- FILLER_43_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 156510 ) FS ;
- FILLER_43_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 156510 ) FS ;
- FILLER_43_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 156510 ) FS ;
- FILLER_43_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 156510 ) FS ;
- FILLER_43_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 156510 ) FS ;
- FILLER_43_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 156510 ) FS ;
- FILLER_43_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 156510 ) FS ;
- FILLER_43_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 156510 ) FS ;
- FILLER_43_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 156510 ) FS ;
- FILLER_43_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 156510 ) FS ;
- FILLER_43_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 156510 ) FS ;
- FILLER_43_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 156510 ) FS ;
- FILLER_43_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 156510 ) FS ;
- FILLER_43_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 156510 ) FS ;
- FILLER_43_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 156510 ) FS ;
- FILLER_43_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 156510 ) FS ;
- FILLER_43_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 156510 ) FS ;
- FILLER_43_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 156510 ) FS ;
- FILLER_43_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 156510 ) FS ;
- FILLER_43_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 156510 ) FS ;
- FILLER_43_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 156510 ) FS ;
- FILLER_43_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 156510 ) FS ;
- FILLER_43_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 156510 ) FS ;
- FILLER_43_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 156510 ) FS ;
- FILLER_43_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 156510 ) FS ;
- FILLER_43_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 156510 ) FS ;
- FILLER_43_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 156510 ) FS ;
- FILLER_43_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 156510 ) FS ;
- FILLER_43_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 156510 ) FS ;
- FILLER_43_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 156510 ) FS ;
- FILLER_43_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 156510 ) FS ;
- FILLER_43_95 sky130_fd_sc_ls__fill_2 + PLACED ( 51360 156510 ) FS ;
- FILLER_43_97 sky130_fd_sc_ls__fill_1 + PLACED ( 52320 156510 ) FS ;
- FILLER_44_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 159840 ) N ;
- FILLER_44_109 sky130_fd_sc_ls__decap_4 + PLACED ( 58080 159840 ) N ;
- FILLER_44_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 159840 ) N ;
- FILLER_44_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 159840 ) N ;
- FILLER_44_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 159840 ) N ;
- FILLER_44_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 159840 ) N ;
- FILLER_44_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 159840 ) N ;
- FILLER_44_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 159840 ) N ;
- FILLER_44_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 159840 ) N ;
- FILLER_44_160 sky130_fd_sc_ls__decap_4 + PLACED ( 82560 159840 ) N ;
- FILLER_44_164 sky130_fd_sc_ls__fill_1 + PLACED ( 84480 159840 ) N ;
- FILLER_44_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 159840 ) N ;
- FILLER_44_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 159840 ) N ;
- FILLER_44_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 159840 ) N ;
- FILLER_44_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 159840 ) N ;
- FILLER_44_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 159840 ) N ;
- FILLER_44_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 159840 ) N ;
- FILLER_44_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 159840 ) N ;
- FILLER_44_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 159840 ) N ;
- FILLER_44_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 159840 ) N ;
- FILLER_44_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 159840 ) N ;
- FILLER_44_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 159840 ) N ;
- FILLER_44_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 159840 ) N ;
- FILLER_44_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 159840 ) N ;
- FILLER_44_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 159840 ) N ;
- FILLER_44_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 159840 ) N ;
- FILLER_44_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 159840 ) N ;
- FILLER_44_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 159840 ) N ;
- FILLER_44_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 159840 ) N ;
- FILLER_44_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 159840 ) N ;
- FILLER_44_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 159840 ) N ;
- FILLER_44_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 159840 ) N ;
- FILLER_44_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 159840 ) N ;
- FILLER_44_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 159840 ) N ;
- FILLER_44_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 159840 ) N ;
- FILLER_44_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 159840 ) N ;
- FILLER_44_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 159840 ) N ;
- FILLER_44_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 159840 ) N ;
- FILLER_44_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 159840 ) N ;
- FILLER_44_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 159840 ) N ;
- FILLER_44_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 159840 ) N ;
- FILLER_44_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 159840 ) N ;
- FILLER_44_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 159840 ) N ;
- FILLER_44_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 159840 ) N ;
- FILLER_44_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 159840 ) N ;
- FILLER_44_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 159840 ) N ;
- FILLER_44_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 159840 ) N ;
- FILLER_44_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 159840 ) N ;
- FILLER_44_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 159840 ) N ;
- FILLER_44_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 159840 ) N ;
- FILLER_44_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 159840 ) N ;
- FILLER_44_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 159840 ) N ;
- FILLER_44_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 159840 ) N ;
- FILLER_44_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 159840 ) N ;
- FILLER_44_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 159840 ) N ;
- FILLER_44_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 159840 ) N ;
- FILLER_44_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 159840 ) N ;
- FILLER_44_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 159840 ) N ;
- FILLER_44_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 159840 ) N ;
- FILLER_44_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 159840 ) N ;
- FILLER_44_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 159840 ) N ;
- FILLER_44_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 159840 ) N ;
- FILLER_44_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 159840 ) N ;
- FILLER_44_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 159840 ) N ;
- FILLER_44_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 159840 ) N ;
- FILLER_44_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 159840 ) N ;
- FILLER_44_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 159840 ) N ;
- FILLER_44_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 159840 ) N ;
- FILLER_44_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 159840 ) N ;
- FILLER_44_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 159840 ) N ;
- FILLER_44_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 159840 ) N ;
- FILLER_44_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 159840 ) N ;
- FILLER_44_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 159840 ) N ;
- FILLER_44_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 159840 ) N ;
- FILLER_44_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 159840 ) N ;
- FILLER_44_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 159840 ) N ;
- FILLER_44_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 159840 ) N ;
- FILLER_44_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 159840 ) N ;
- FILLER_44_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 159840 ) N ;
- FILLER_44_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 159840 ) N ;
- FILLER_44_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 159840 ) N ;
- FILLER_44_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 159840 ) N ;
- FILLER_44_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 159840 ) N ;
- FILLER_44_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 159840 ) N ;
- FILLER_44_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 159840 ) N ;
- FILLER_44_68 sky130_fd_sc_ls__decap_4 + PLACED ( 38400 159840 ) N ;
- FILLER_44_75 sky130_fd_sc_ls__decap_4 + PLACED ( 41760 159840 ) N ;
- FILLER_44_79 sky130_fd_sc_ls__fill_2 + PLACED ( 43680 159840 ) N ;
- FILLER_45_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 163170 ) FS ;
- FILLER_45_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 163170 ) FS ;
- FILLER_45_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 163170 ) FS ;
- FILLER_45_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 163170 ) FS ;
- FILLER_45_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 163170 ) FS ;
- FILLER_45_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 163170 ) FS ;
- FILLER_45_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 163170 ) FS ;
- FILLER_45_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 163170 ) FS ;
- FILLER_45_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 163170 ) FS ;
- FILLER_45_159 sky130_fd_sc_ls__fill_2 + PLACED ( 82080 163170 ) FS ;
- FILLER_45_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 163170 ) FS ;
- FILLER_45_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 163170 ) FS ;
- FILLER_45_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 163170 ) FS ;
- FILLER_45_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 163170 ) FS ;
- FILLER_45_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 163170 ) FS ;
- FILLER_45_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 163170 ) FS ;
- FILLER_45_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 163170 ) FS ;
- FILLER_45_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 163170 ) FS ;
- FILLER_45_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 163170 ) FS ;
- FILLER_45_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 163170 ) FS ;
- FILLER_45_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 163170 ) FS ;
- FILLER_45_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 163170 ) FS ;
- FILLER_45_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 163170 ) FS ;
- FILLER_45_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 163170 ) FS ;
- FILLER_45_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 163170 ) FS ;
- FILLER_45_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 163170 ) FS ;
- FILLER_45_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 163170 ) FS ;
- FILLER_45_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 163170 ) FS ;
- FILLER_45_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 163170 ) FS ;
- FILLER_45_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 163170 ) FS ;
- FILLER_45_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 163170 ) FS ;
- FILLER_45_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 163170 ) FS ;
- FILLER_45_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 163170 ) FS ;
- FILLER_45_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 163170 ) FS ;
- FILLER_45_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 163170 ) FS ;
- FILLER_45_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 163170 ) FS ;
- FILLER_45_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 163170 ) FS ;
- FILLER_45_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 163170 ) FS ;
- FILLER_45_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 163170 ) FS ;
- FILLER_45_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 163170 ) FS ;
- FILLER_45_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 163170 ) FS ;
- FILLER_45_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 163170 ) FS ;
- FILLER_45_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 163170 ) FS ;
- FILLER_45_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 163170 ) FS ;
- FILLER_45_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 163170 ) FS ;
- FILLER_45_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 163170 ) FS ;
- FILLER_45_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 163170 ) FS ;
- FILLER_45_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 163170 ) FS ;
- FILLER_45_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 163170 ) FS ;
- FILLER_45_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 163170 ) FS ;
- FILLER_45_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 163170 ) FS ;
- FILLER_45_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 163170 ) FS ;
- FILLER_45_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 163170 ) FS ;
- FILLER_45_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 163170 ) FS ;
- FILLER_45_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 163170 ) FS ;
- FILLER_45_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 163170 ) FS ;
- FILLER_45_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 163170 ) FS ;
- FILLER_45_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 163170 ) FS ;
- FILLER_45_452 sky130_fd_sc_ls__decap_8 + PLACED ( 222720 163170 ) FS ;
- FILLER_45_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 163170 ) FS ;
- FILLER_45_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 163170 ) FS ;
- FILLER_45_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 163170 ) FS ;
- FILLER_45_484 sky130_fd_sc_ls__fill_2 + PLACED ( 238080 163170 ) FS ;
- FILLER_45_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 163170 ) FS ;
- FILLER_45_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 163170 ) FS ;
- FILLER_45_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 163170 ) FS ;
- FILLER_45_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 163170 ) FS ;
- FILLER_45_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 163170 ) FS ;
- FILLER_45_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 163170 ) FS ;
- FILLER_45_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 163170 ) FS ;
- FILLER_45_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 163170 ) FS ;
- FILLER_45_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 163170 ) FS ;
- FILLER_45_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 163170 ) FS ;
- FILLER_45_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 163170 ) FS ;
- FILLER_45_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 163170 ) FS ;
- FILLER_45_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 163170 ) FS ;
- FILLER_45_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 163170 ) FS ;
- FILLER_45_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 163170 ) FS ;
- FILLER_45_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 163170 ) FS ;
- FILLER_45_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 163170 ) FS ;
- FILLER_45_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 163170 ) FS ;
- FILLER_45_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 163170 ) FS ;
- FILLER_45_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 163170 ) FS ;
- FILLER_45_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 163170 ) FS ;
- FILLER_45_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 163170 ) FS ;
- FILLER_45_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 163170 ) FS ;
- FILLER_45_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 163170 ) FS ;
- FILLER_46_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 166500 ) N ;
- FILLER_46_109 sky130_fd_sc_ls__decap_4 + PLACED ( 58080 166500 ) N ;
- FILLER_46_115 sky130_fd_sc_ls__decap_8 + PLACED ( 60960 166500 ) N ;
- FILLER_46_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 166500 ) N ;
- FILLER_46_123 sky130_fd_sc_ls__decap_8 + PLACED ( 64800 166500 ) N ;
- FILLER_46_131 sky130_fd_sc_ls__decap_4 + PLACED ( 68640 166500 ) N ;
- FILLER_46_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 166500 ) N ;
- FILLER_46_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 166500 ) N ;
- FILLER_46_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 166500 ) N ;
- FILLER_46_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 166500 ) N ;
- FILLER_46_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 166500 ) N ;
- FILLER_46_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 166500 ) N ;
- FILLER_46_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 166500 ) N ;
- FILLER_46_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 166500 ) N ;
- FILLER_46_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 166500 ) N ;
- FILLER_46_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 166500 ) N ;
- FILLER_46_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 166500 ) N ;
- FILLER_46_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 166500 ) N ;
- FILLER_46_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 166500 ) N ;
- FILLER_46_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 166500 ) N ;
- FILLER_46_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 166500 ) N ;
- FILLER_46_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 166500 ) N ;
- FILLER_46_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 166500 ) N ;
- FILLER_46_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 166500 ) N ;
- FILLER_46_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 166500 ) N ;
- FILLER_46_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 166500 ) N ;
- FILLER_46_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 166500 ) N ;
- FILLER_46_260 sky130_fd_sc_ls__fill_2 + PLACED ( 130560 166500 ) N ;
- FILLER_46_262 sky130_fd_sc_ls__fill_1 + PLACED ( 131520 166500 ) N ;
- FILLER_46_266 sky130_fd_sc_ls__decap_8 + PLACED ( 133440 166500 ) N ;
- FILLER_46_274 sky130_fd_sc_ls__decap_8 + PLACED ( 137280 166500 ) N ;
- FILLER_46_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 166500 ) N ;
- FILLER_46_282 sky130_fd_sc_ls__decap_8 + PLACED ( 141120 166500 ) N ;
- FILLER_46_290 sky130_fd_sc_ls__decap_4 + PLACED ( 144960 166500 ) N ;
- FILLER_46_294 sky130_fd_sc_ls__fill_2 + PLACED ( 146880 166500 ) N ;
- FILLER_46_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 166500 ) N ;
- FILLER_46_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 166500 ) N ;
- FILLER_46_306 sky130_fd_sc_ls__fill_2 + PLACED ( 152640 166500 ) N ;
- FILLER_46_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 166500 ) N ;
- FILLER_46_319 sky130_fd_sc_ls__decap_8 + PLACED ( 158880 166500 ) N ;
- FILLER_46_327 sky130_fd_sc_ls__decap_8 + PLACED ( 162720 166500 ) N ;
- FILLER_46_335 sky130_fd_sc_ls__decap_8 + PLACED ( 166560 166500 ) N ;
- FILLER_46_343 sky130_fd_sc_ls__decap_8 + PLACED ( 170400 166500 ) N ;
- FILLER_46_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 166500 ) N ;
- FILLER_46_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 166500 ) N ;
- FILLER_46_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 166500 ) N ;
- FILLER_46_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 166500 ) N ;
- FILLER_46_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 166500 ) N ;
- FILLER_46_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 166500 ) N ;
- FILLER_46_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 166500 ) N ;
- FILLER_46_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 166500 ) N ;
- FILLER_46_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 166500 ) N ;
- FILLER_46_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 166500 ) N ;
- FILLER_46_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 166500 ) N ;
- FILLER_46_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 166500 ) N ;
- FILLER_46_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 166500 ) N ;
- FILLER_46_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 166500 ) N ;
- FILLER_46_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 166500 ) N ;
- FILLER_46_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 166500 ) N ;
- FILLER_46_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 166500 ) N ;
- FILLER_46_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 166500 ) N ;
- FILLER_46_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 166500 ) N ;
- FILLER_46_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 166500 ) N ;
- FILLER_46_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 166500 ) N ;
- FILLER_46_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 166500 ) N ;
- FILLER_46_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 166500 ) N ;
- FILLER_46_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 166500 ) N ;
- FILLER_46_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 166500 ) N ;
- FILLER_46_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 166500 ) N ;
- FILLER_46_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 166500 ) N ;
- FILLER_46_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 166500 ) N ;
- FILLER_46_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 166500 ) N ;
- FILLER_46_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 166500 ) N ;
- FILLER_46_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 166500 ) N ;
- FILLER_46_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 166500 ) N ;
- FILLER_46_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 166500 ) N ;
- FILLER_46_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 166500 ) N ;
- FILLER_46_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 166500 ) N ;
- FILLER_46_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 166500 ) N ;
- FILLER_46_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 166500 ) N ;
- FILLER_46_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 166500 ) N ;
- FILLER_46_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 166500 ) N ;
- FILLER_46_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 166500 ) N ;
- FILLER_46_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 166500 ) N ;
- FILLER_46_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 166500 ) N ;
- FILLER_46_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 166500 ) N ;
- FILLER_46_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 166500 ) N ;
- FILLER_46_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 166500 ) N ;
- FILLER_47_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 169830 ) FS ;
- FILLER_47_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 169830 ) FS ;
- FILLER_47_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 169830 ) FS ;
- FILLER_47_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 169830 ) FS ;
- FILLER_47_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 169830 ) FS ;
- FILLER_47_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 169830 ) FS ;
- FILLER_47_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 169830 ) FS ;
- FILLER_47_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 169830 ) FS ;
- FILLER_47_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 169830 ) FS ;
- FILLER_47_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 169830 ) FS ;
- FILLER_47_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 169830 ) FS ;
- FILLER_47_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 169830 ) FS ;
- FILLER_47_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 169830 ) FS ;
- FILLER_47_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 169830 ) FS ;
- FILLER_47_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 169830 ) FS ;
- FILLER_47_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 169830 ) FS ;
- FILLER_47_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 169830 ) FS ;
- FILLER_47_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 169830 ) FS ;
- FILLER_47_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 169830 ) FS ;
- FILLER_47_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 169830 ) FS ;
- FILLER_47_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 169830 ) FS ;
- FILLER_47_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 169830 ) FS ;
- FILLER_47_233 sky130_fd_sc_ls__decap_4 + PLACED ( 117600 169830 ) FS ;
- FILLER_47_240 sky130_fd_sc_ls__decap_8 + PLACED ( 120960 169830 ) FS ;
- FILLER_47_248 sky130_fd_sc_ls__decap_8 + PLACED ( 124800 169830 ) FS ;
- FILLER_47_256 sky130_fd_sc_ls__decap_8 + PLACED ( 128640 169830 ) FS ;
- FILLER_47_264 sky130_fd_sc_ls__decap_4 + PLACED ( 132480 169830 ) FS ;
- FILLER_47_268 sky130_fd_sc_ls__fill_2 + PLACED ( 134400 169830 ) FS ;
- FILLER_47_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 169830 ) FS ;
- FILLER_47_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 169830 ) FS ;
- FILLER_47_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 169830 ) FS ;
- FILLER_47_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 169830 ) FS ;
- FILLER_47_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 169830 ) FS ;
- FILLER_47_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 169830 ) FS ;
- FILLER_47_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 169830 ) FS ;
- FILLER_47_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 169830 ) FS ;
- FILLER_47_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 169830 ) FS ;
- FILLER_47_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 169830 ) FS ;
- FILLER_47_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 169830 ) FS ;
- FILLER_47_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 169830 ) FS ;
- FILLER_47_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 169830 ) FS ;
- FILLER_47_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 169830 ) FS ;
- FILLER_47_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 169830 ) FS ;
- FILLER_47_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 169830 ) FS ;
- FILLER_47_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 169830 ) FS ;
- FILLER_47_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 169830 ) FS ;
- FILLER_47_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 169830 ) FS ;
- FILLER_47_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 169830 ) FS ;
- FILLER_47_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 169830 ) FS ;
- FILLER_47_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 169830 ) FS ;
- FILLER_47_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 169830 ) FS ;
- FILLER_47_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 169830 ) FS ;
- FILLER_47_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 169830 ) FS ;
- FILLER_47_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 169830 ) FS ;
- FILLER_47_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 169830 ) FS ;
- FILLER_47_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 169830 ) FS ;
- FILLER_47_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 169830 ) FS ;
- FILLER_47_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 169830 ) FS ;
- FILLER_47_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 169830 ) FS ;
- FILLER_47_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 169830 ) FS ;
- FILLER_47_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 169830 ) FS ;
- FILLER_47_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 169830 ) FS ;
- FILLER_47_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 169830 ) FS ;
- FILLER_47_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 169830 ) FS ;
- FILLER_47_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 169830 ) FS ;
- FILLER_47_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 169830 ) FS ;
- FILLER_47_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 169830 ) FS ;
- FILLER_47_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 169830 ) FS ;
- FILLER_47_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 169830 ) FS ;
- FILLER_47_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 169830 ) FS ;
- FILLER_47_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 169830 ) FS ;
- FILLER_47_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 169830 ) FS ;
- FILLER_47_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 169830 ) FS ;
- FILLER_47_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 169830 ) FS ;
- FILLER_47_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 169830 ) FS ;
- FILLER_47_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 169830 ) FS ;
- FILLER_47_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 169830 ) FS ;
- FILLER_47_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 169830 ) FS ;
- FILLER_47_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 169830 ) FS ;
- FILLER_47_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 169830 ) FS ;
- FILLER_47_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 169830 ) FS ;
- FILLER_47_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 169830 ) FS ;
- FILLER_47_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 169830 ) FS ;
- FILLER_47_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 169830 ) FS ;
- FILLER_47_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 169830 ) FS ;
- FILLER_47_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 169830 ) FS ;
- FILLER_47_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 169830 ) FS ;
- FILLER_47_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 169830 ) FS ;
- FILLER_48_102 sky130_fd_sc_ls__fill_2 + PLACED ( 54720 173160 ) N ;
- FILLER_48_107 sky130_fd_sc_ls__decap_8 + PLACED ( 57120 173160 ) N ;
- FILLER_48_115 sky130_fd_sc_ls__fill_2 + PLACED ( 60960 173160 ) N ;
- FILLER_48_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 173160 ) N ;
- FILLER_48_120 sky130_fd_sc_ls__decap_8 + PLACED ( 63360 173160 ) N ;
- FILLER_48_128 sky130_fd_sc_ls__decap_4 + PLACED ( 67200 173160 ) N ;
- FILLER_48_132 sky130_fd_sc_ls__fill_2 + PLACED ( 69120 173160 ) N ;
- FILLER_48_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 173160 ) N ;
- FILLER_48_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 173160 ) N ;
- FILLER_48_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 173160 ) N ;
- FILLER_48_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 173160 ) N ;
- FILLER_48_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 173160 ) N ;
- FILLER_48_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 173160 ) N ;
- FILLER_48_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 173160 ) N ;
- FILLER_48_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 173160 ) N ;
- FILLER_48_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 173160 ) N ;
- FILLER_48_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 173160 ) N ;
- FILLER_48_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 173160 ) N ;
- FILLER_48_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 173160 ) N ;
- FILLER_48_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 173160 ) N ;
- FILLER_48_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 173160 ) N ;
- FILLER_48_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 173160 ) N ;
- FILLER_48_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 173160 ) N ;
- FILLER_48_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 173160 ) N ;
- FILLER_48_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 173160 ) N ;
- FILLER_48_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 173160 ) N ;
- FILLER_48_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 173160 ) N ;
- FILLER_48_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 173160 ) N ;
- FILLER_48_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 173160 ) N ;
- FILLER_48_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 173160 ) N ;
- FILLER_48_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 173160 ) N ;
- FILLER_48_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 173160 ) N ;
- FILLER_48_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 173160 ) N ;
- FILLER_48_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 173160 ) N ;
- FILLER_48_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 173160 ) N ;
- FILLER_48_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 173160 ) N ;
- FILLER_48_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 173160 ) N ;
- FILLER_48_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 173160 ) N ;
- FILLER_48_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 173160 ) N ;
- FILLER_48_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 173160 ) N ;
- FILLER_48_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 173160 ) N ;
- FILLER_48_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 173160 ) N ;
- FILLER_48_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 173160 ) N ;
- FILLER_48_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 173160 ) N ;
- FILLER_48_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 173160 ) N ;
- FILLER_48_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 173160 ) N ;
- FILLER_48_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 173160 ) N ;
- FILLER_48_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 173160 ) N ;
- FILLER_48_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 173160 ) N ;
- FILLER_48_39 sky130_fd_sc_ls__decap_8 + PLACED ( 24480 173160 ) N ;
- FILLER_48_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 173160 ) N ;
- FILLER_48_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 173160 ) N ;
- FILLER_48_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 173160 ) N ;
- FILLER_48_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 173160 ) N ;
- FILLER_48_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 173160 ) N ;
- FILLER_48_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 173160 ) N ;
- FILLER_48_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 173160 ) N ;
- FILLER_48_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 173160 ) N ;
- FILLER_48_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 173160 ) N ;
- FILLER_48_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 173160 ) N ;
- FILLER_48_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 173160 ) N ;
- FILLER_48_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 173160 ) N ;
- FILLER_48_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 173160 ) N ;
- FILLER_48_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 173160 ) N ;
- FILLER_48_47 sky130_fd_sc_ls__decap_8 + PLACED ( 28320 173160 ) N ;
- FILLER_48_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 173160 ) N ;
- FILLER_48_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 173160 ) N ;
- FILLER_48_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 173160 ) N ;
- FILLER_48_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 173160 ) N ;
- FILLER_48_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 173160 ) N ;
- FILLER_48_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 173160 ) N ;
- FILLER_48_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 173160 ) N ;
- FILLER_48_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 173160 ) N ;
- FILLER_48_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 173160 ) N ;
- FILLER_48_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 173160 ) N ;
- FILLER_48_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 173160 ) N ;
- FILLER_48_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 173160 ) N ;
- FILLER_48_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 173160 ) N ;
- FILLER_48_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 173160 ) N ;
- FILLER_48_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 173160 ) N ;
- FILLER_48_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 173160 ) N ;
- FILLER_48_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 173160 ) N ;
- FILLER_48_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 173160 ) N ;
- FILLER_48_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 173160 ) N ;
- FILLER_48_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 173160 ) N ;
- FILLER_48_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 173160 ) N ;
- FILLER_48_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 173160 ) N ;
- FILLER_48_79 sky130_fd_sc_ls__fill_2 + PLACED ( 43680 173160 ) N ;
- FILLER_48_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 173160 ) N ;
- FILLER_48_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 173160 ) N ;
- FILLER_48_98 sky130_fd_sc_ls__decap_4 + PLACED ( 52800 173160 ) N ;
- FILLER_49_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 176490 ) FS ;
- FILLER_49_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 176490 ) FS ;
- FILLER_49_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 176490 ) FS ;
- FILLER_49_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 176490 ) FS ;
- FILLER_49_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 176490 ) FS ;
- FILLER_49_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 176490 ) FS ;
- FILLER_49_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 176490 ) FS ;
- FILLER_49_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 176490 ) FS ;
- FILLER_49_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 176490 ) FS ;
- FILLER_49_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 176490 ) FS ;
- FILLER_49_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 176490 ) FS ;
- FILLER_49_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 176490 ) FS ;
- FILLER_49_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 176490 ) FS ;
- FILLER_49_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 176490 ) FS ;
- FILLER_49_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 176490 ) FS ;
- FILLER_49_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 176490 ) FS ;
- FILLER_49_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 176490 ) FS ;
- FILLER_49_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 176490 ) FS ;
- FILLER_49_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 176490 ) FS ;
- FILLER_49_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 176490 ) FS ;
- FILLER_49_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 176490 ) FS ;
- FILLER_49_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 176490 ) FS ;
- FILLER_49_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 176490 ) FS ;
- FILLER_49_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 176490 ) FS ;
- FILLER_49_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 176490 ) FS ;
- FILLER_49_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 176490 ) FS ;
- FILLER_49_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 176490 ) FS ;
- FILLER_49_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 176490 ) FS ;
- FILLER_49_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 176490 ) FS ;
- FILLER_49_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 176490 ) FS ;
- FILLER_49_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 176490 ) FS ;
- FILLER_49_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 176490 ) FS ;
- FILLER_49_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 176490 ) FS ;
- FILLER_49_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 176490 ) FS ;
- FILLER_49_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 176490 ) FS ;
- FILLER_49_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 176490 ) FS ;
- FILLER_49_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 176490 ) FS ;
- FILLER_49_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 176490 ) FS ;
- FILLER_49_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 176490 ) FS ;
- FILLER_49_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 176490 ) FS ;
- FILLER_49_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 176490 ) FS ;
- FILLER_49_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 176490 ) FS ;
- FILLER_49_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 176490 ) FS ;
- FILLER_49_365 sky130_fd_sc_ls__decap_4 + PLACED ( 180960 176490 ) FS ;
- FILLER_49_369 sky130_fd_sc_ls__fill_1 + PLACED ( 182880 176490 ) FS ;
- FILLER_49_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 176490 ) FS ;
- FILLER_49_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 176490 ) FS ;
- FILLER_49_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 176490 ) FS ;
- FILLER_49_387 sky130_fd_sc_ls__fill_1 + PLACED ( 191520 176490 ) FS ;
- FILLER_49_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 176490 ) FS ;
- FILLER_49_399 sky130_fd_sc_ls__decap_8 + PLACED ( 197280 176490 ) FS ;
- FILLER_49_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 176490 ) FS ;
- FILLER_49_407 sky130_fd_sc_ls__decap_8 + PLACED ( 201120 176490 ) FS ;
- FILLER_49_415 sky130_fd_sc_ls__decap_8 + PLACED ( 204960 176490 ) FS ;
- FILLER_49_423 sky130_fd_sc_ls__decap_8 + PLACED ( 208800 176490 ) FS ;
- FILLER_49_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 176490 ) FS ;
- FILLER_49_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 176490 ) FS ;
- FILLER_49_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 176490 ) FS ;
- FILLER_49_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 176490 ) FS ;
- FILLER_49_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 176490 ) FS ;
- FILLER_49_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 176490 ) FS ;
- FILLER_49_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 176490 ) FS ;
- FILLER_49_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 176490 ) FS ;
- FILLER_49_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 176490 ) FS ;
- FILLER_49_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 176490 ) FS ;
- FILLER_49_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 176490 ) FS ;
- FILLER_49_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 176490 ) FS ;
- FILLER_49_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 176490 ) FS ;
- FILLER_49_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 176490 ) FS ;
- FILLER_49_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 176490 ) FS ;
- FILLER_49_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 176490 ) FS ;
- FILLER_49_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 176490 ) FS ;
- FILLER_49_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 176490 ) FS ;
- FILLER_49_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 176490 ) FS ;
- FILLER_49_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 176490 ) FS ;
- FILLER_49_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 176490 ) FS ;
- FILLER_49_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 176490 ) FS ;
- FILLER_49_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 176490 ) FS ;
- FILLER_49_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 176490 ) FS ;
- FILLER_49_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 176490 ) FS ;
- FILLER_49_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 176490 ) FS ;
- FILLER_49_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 176490 ) FS ;
- FILLER_49_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 176490 ) FS ;
- FILLER_49_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 176490 ) FS ;
- FILLER_49_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 176490 ) FS ;
- FILLER_49_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 176490 ) FS ;
- FILLER_49_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 176490 ) FS ;
- FILLER_49_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 176490 ) FS ;
- FILLER_49_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 176490 ) FS ;
- FILLER_4_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 26640 ) N ;
- FILLER_4_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 26640 ) N ;
- FILLER_4_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 26640 ) N ;
- FILLER_4_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 26640 ) N ;
- FILLER_4_124 sky130_fd_sc_ls__fill_2 + PLACED ( 65280 26640 ) N ;
- FILLER_4_129 sky130_fd_sc_ls__decap_4 + PLACED ( 67680 26640 ) N ;
- FILLER_4_133 sky130_fd_sc_ls__fill_2 + PLACED ( 69600 26640 ) N ;
- FILLER_4_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 26640 ) N ;
- FILLER_4_144 sky130_fd_sc_ls__fill_1 + PLACED ( 74880 26640 ) N ;
- FILLER_4_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 26640 ) N ;
- FILLER_4_155 sky130_fd_sc_ls__fill_1 + PLACED ( 80160 26640 ) N ;
- FILLER_4_158 sky130_fd_sc_ls__decap_4 + PLACED ( 81600 26640 ) N ;
- FILLER_4_164 sky130_fd_sc_ls__decap_4 + PLACED ( 84480 26640 ) N ;
- FILLER_4_170 sky130_fd_sc_ls__decap_8 + PLACED ( 87360 26640 ) N ;
- FILLER_4_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 26640 ) N ;
- FILLER_4_186 sky130_fd_sc_ls__fill_2 + PLACED ( 95040 26640 ) N ;
- FILLER_4_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 26640 ) N ;
- FILLER_4_192 sky130_fd_sc_ls__decap_8 + PLACED ( 97920 26640 ) N ;
- FILLER_4_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 26640 ) N ;
- FILLER_4_200 sky130_fd_sc_ls__decap_8 + PLACED ( 101760 26640 ) N ;
- FILLER_4_208 sky130_fd_sc_ls__decap_8 + PLACED ( 105600 26640 ) N ;
- FILLER_4_216 sky130_fd_sc_ls__decap_8 + PLACED ( 109440 26640 ) N ;
- FILLER_4_224 sky130_fd_sc_ls__decap_8 + PLACED ( 113280 26640 ) N ;
- FILLER_4_232 sky130_fd_sc_ls__decap_8 + PLACED ( 117120 26640 ) N ;
- FILLER_4_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 26640 ) N ;
- FILLER_4_240 sky130_fd_sc_ls__fill_2 + PLACED ( 120960 26640 ) N ;
- FILLER_4_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 26640 ) N ;
- FILLER_4_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 26640 ) N ;
- FILLER_4_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 26640 ) N ;
- FILLER_4_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 26640 ) N ;
- FILLER_4_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 26640 ) N ;
- FILLER_4_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 26640 ) N ;
- FILLER_4_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 26640 ) N ;
- FILLER_4_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 26640 ) N ;
- FILLER_4_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 26640 ) N ;
- FILLER_4_295 sky130_fd_sc_ls__fill_2 + PLACED ( 147360 26640 ) N ;
- FILLER_4_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 26640 ) N ;
- FILLER_4_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 26640 ) N ;
- FILLER_4_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 26640 ) N ;
- FILLER_4_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 26640 ) N ;
- FILLER_4_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 26640 ) N ;
- FILLER_4_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 26640 ) N ;
- FILLER_4_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 26640 ) N ;
- FILLER_4_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 26640 ) N ;
- FILLER_4_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 26640 ) N ;
- FILLER_4_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 26640 ) N ;
- FILLER_4_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 26640 ) N ;
- FILLER_4_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 26640 ) N ;
- FILLER_4_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 26640 ) N ;
- FILLER_4_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 26640 ) N ;
- FILLER_4_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 26640 ) N ;
- FILLER_4_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 26640 ) N ;
- FILLER_4_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 26640 ) N ;
- FILLER_4_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 26640 ) N ;
- FILLER_4_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 26640 ) N ;
- FILLER_4_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 26640 ) N ;
- FILLER_4_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 26640 ) N ;
- FILLER_4_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 26640 ) N ;
- FILLER_4_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 26640 ) N ;
- FILLER_4_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 26640 ) N ;
- FILLER_4_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 26640 ) N ;
- FILLER_4_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 26640 ) N ;
- FILLER_4_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 26640 ) N ;
- FILLER_4_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 26640 ) N ;
- FILLER_4_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 26640 ) N ;
- FILLER_4_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 26640 ) N ;
- FILLER_4_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 26640 ) N ;
- FILLER_4_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 26640 ) N ;
- FILLER_4_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 26640 ) N ;
- FILLER_4_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 26640 ) N ;
- FILLER_4_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 26640 ) N ;
- FILLER_4_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 26640 ) N ;
- FILLER_4_52 sky130_fd_sc_ls__decap_4 + PLACED ( 30720 26640 ) N ;
- FILLER_4_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 26640 ) N ;
- FILLER_4_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 26640 ) N ;
- FILLER_4_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 26640 ) N ;
- FILLER_4_546 sky130_fd_sc_ls__fill_1 + PLACED ( 267840 26640 ) N ;
- FILLER_4_550 sky130_fd_sc_ls__decap_8 + PLACED ( 269760 26640 ) N ;
- FILLER_4_558 sky130_fd_sc_ls__decap_8 + PLACED ( 273600 26640 ) N ;
- FILLER_4_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 26640 ) N ;
- FILLER_4_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 26640 ) N ;
- FILLER_4_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 26640 ) N ;
- FILLER_4_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 26640 ) N ;
- FILLER_4_59 sky130_fd_sc_ls__decap_8 + PLACED ( 34080 26640 ) N ;
- FILLER_4_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 26640 ) N ;
- FILLER_4_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 26640 ) N ;
- FILLER_4_67 sky130_fd_sc_ls__decap_8 + PLACED ( 37920 26640 ) N ;
- FILLER_4_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 26640 ) N ;
- FILLER_4_94 sky130_fd_sc_ls__decap_4 + PLACED ( 50880 26640 ) N ;
- FILLER_50_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 179820 ) N ;
- FILLER_50_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 179820 ) N ;
- FILLER_50_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 179820 ) N ;
- FILLER_50_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 179820 ) N ;
- FILLER_50_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 179820 ) N ;
- FILLER_50_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 179820 ) N ;
- FILLER_50_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 179820 ) N ;
- FILLER_50_15 sky130_fd_sc_ls__decap_8 + PLACED ( 12960 179820 ) N ;
- FILLER_50_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 179820 ) N ;
- FILLER_50_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 179820 ) N ;
- FILLER_50_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 179820 ) N ;
- FILLER_50_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 179820 ) N ;
- FILLER_50_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 179820 ) N ;
- FILLER_50_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 179820 ) N ;
- FILLER_50_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 179820 ) N ;
- FILLER_50_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 179820 ) N ;
- FILLER_50_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 179820 ) N ;
- FILLER_50_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 179820 ) N ;
- FILLER_50_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 179820 ) N ;
- FILLER_50_23 sky130_fd_sc_ls__decap_4 + PLACED ( 16800 179820 ) N ;
- FILLER_50_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 179820 ) N ;
- FILLER_50_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 179820 ) N ;
- FILLER_50_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 179820 ) N ;
- FILLER_50_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 179820 ) N ;
- FILLER_50_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 179820 ) N ;
- FILLER_50_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 179820 ) N ;
- FILLER_50_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 179820 ) N ;
- FILLER_50_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 179820 ) N ;
- FILLER_50_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 179820 ) N ;
- FILLER_50_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 179820 ) N ;
- FILLER_50_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 179820 ) N ;
- FILLER_50_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 179820 ) N ;
- FILLER_50_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 179820 ) N ;
- FILLER_50_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 179820 ) N ;
- FILLER_50_314 sky130_fd_sc_ls__decap_4 + PLACED ( 156480 179820 ) N ;
- FILLER_50_318 sky130_fd_sc_ls__fill_1 + PLACED ( 158400 179820 ) N ;
- FILLER_50_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 179820 ) N ;
- FILLER_50_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 179820 ) N ;
- FILLER_50_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 179820 ) N ;
- FILLER_50_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 179820 ) N ;
- FILLER_50_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 179820 ) N ;
- FILLER_50_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 179820 ) N ;
- FILLER_50_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 179820 ) N ;
- FILLER_50_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 179820 ) N ;
- FILLER_50_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 179820 ) N ;
- FILLER_50_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 179820 ) N ;
- FILLER_50_384 sky130_fd_sc_ls__fill_2 + PLACED ( 190080 179820 ) N ;
- FILLER_50_386 sky130_fd_sc_ls__fill_1 + PLACED ( 191040 179820 ) N ;
- FILLER_50_390 sky130_fd_sc_ls__decap_8 + PLACED ( 192960 179820 ) N ;
- FILLER_50_398 sky130_fd_sc_ls__decap_4 + PLACED ( 196800 179820 ) N ;
- FILLER_50_402 sky130_fd_sc_ls__fill_2 + PLACED ( 198720 179820 ) N ;
- FILLER_50_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 179820 ) N ;
- FILLER_50_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 179820 ) N ;
- FILLER_50_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 179820 ) N ;
- FILLER_50_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 179820 ) N ;
- FILLER_50_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 179820 ) N ;
- FILLER_50_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 179820 ) N ;
- FILLER_50_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 179820 ) N ;
- FILLER_50_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 179820 ) N ;
- FILLER_50_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 179820 ) N ;
- FILLER_50_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 179820 ) N ;
- FILLER_50_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 179820 ) N ;
- FILLER_50_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 179820 ) N ;
- FILLER_50_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 179820 ) N ;
- FILLER_50_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 179820 ) N ;
- FILLER_50_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 179820 ) N ;
- FILLER_50_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 179820 ) N ;
- FILLER_50_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 179820 ) N ;
- FILLER_50_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 179820 ) N ;
- FILLER_50_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 179820 ) N ;
- FILLER_50_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 179820 ) N ;
- FILLER_50_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 179820 ) N ;
- FILLER_50_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 179820 ) N ;
- FILLER_50_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 179820 ) N ;
- FILLER_50_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 179820 ) N ;
- FILLER_50_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 179820 ) N ;
- FILLER_50_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 179820 ) N ;
- FILLER_50_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 179820 ) N ;
- FILLER_50_568 sky130_fd_sc_ls__decap_4 + PLACED ( 278400 179820 ) N ;
- FILLER_50_572 sky130_fd_sc_ls__fill_2 + PLACED ( 280320 179820 ) N ;
- FILLER_50_577 sky130_fd_sc_ls__decap_8 + PLACED ( 282720 179820 ) N ;
- FILLER_50_585 sky130_fd_sc_ls__decap_8 + PLACED ( 286560 179820 ) N ;
- FILLER_50_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 179820 ) N ;
- FILLER_50_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 179820 ) N ;
- FILLER_50_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 179820 ) N ;
- FILLER_50_7 sky130_fd_sc_ls__decap_8 + PLACED ( 9120 179820 ) N ;
- FILLER_50_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 179820 ) N ;
- FILLER_50_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 179820 ) N ;
- FILLER_50_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 179820 ) N ;
- FILLER_50_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 179820 ) N ;
- FILLER_50_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 179820 ) N ;
- FILLER_51_104 sky130_fd_sc_ls__decap_4 + PLACED ( 55680 183150 ) FS ;
- FILLER_51_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 183150 ) FS ;
- FILLER_51_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 183150 ) FS ;
- FILLER_51_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 183150 ) FS ;
- FILLER_51_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 183150 ) FS ;
- FILLER_51_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 183150 ) FS ;
- FILLER_51_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 183150 ) FS ;
- FILLER_51_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 183150 ) FS ;
- FILLER_51_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 183150 ) FS ;
- FILLER_51_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 183150 ) FS ;
- FILLER_51_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 183150 ) FS ;
- FILLER_51_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 183150 ) FS ;
- FILLER_51_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 183150 ) FS ;
- FILLER_51_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 183150 ) FS ;
- FILLER_51_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 183150 ) FS ;
- FILLER_51_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 183150 ) FS ;
- FILLER_51_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 183150 ) FS ;
- FILLER_51_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 183150 ) FS ;
- FILLER_51_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 183150 ) FS ;
- FILLER_51_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 183150 ) FS ;
- FILLER_51_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 183150 ) FS ;
- FILLER_51_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 183150 ) FS ;
- FILLER_51_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 183150 ) FS ;
- FILLER_51_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 183150 ) FS ;
- FILLER_51_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 183150 ) FS ;
- FILLER_51_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 183150 ) FS ;
- FILLER_51_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 183150 ) FS ;
- FILLER_51_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 183150 ) FS ;
- FILLER_51_279 sky130_fd_sc_ls__fill_2 + PLACED ( 139680 183150 ) FS ;
- FILLER_51_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 183150 ) FS ;
- FILLER_51_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 183150 ) FS ;
- FILLER_51_292 sky130_fd_sc_ls__decap_8 + PLACED ( 145920 183150 ) FS ;
- FILLER_51_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 183150 ) FS ;
- FILLER_51_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 183150 ) FS ;
- FILLER_51_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 183150 ) FS ;
- FILLER_51_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 183150 ) FS ;
- FILLER_51_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 183150 ) FS ;
- FILLER_51_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 183150 ) FS ;
- FILLER_51_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 183150 ) FS ;
- FILLER_51_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 183150 ) FS ;
- FILLER_51_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 183150 ) FS ;
- FILLER_51_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 183150 ) FS ;
- FILLER_51_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 183150 ) FS ;
- FILLER_51_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 183150 ) FS ;
- FILLER_51_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 183150 ) FS ;
- FILLER_51_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 183150 ) FS ;
- FILLER_51_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 183150 ) FS ;
- FILLER_51_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 183150 ) FS ;
- FILLER_51_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 183150 ) FS ;
- FILLER_51_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 183150 ) FS ;
- FILLER_51_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 183150 ) FS ;
- FILLER_51_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 183150 ) FS ;
- FILLER_51_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 183150 ) FS ;
- FILLER_51_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 183150 ) FS ;
- FILLER_51_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 183150 ) FS ;
- FILLER_51_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 183150 ) FS ;
- FILLER_51_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 183150 ) FS ;
- FILLER_51_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 183150 ) FS ;
- FILLER_51_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 183150 ) FS ;
- FILLER_51_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 183150 ) FS ;
- FILLER_51_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 183150 ) FS ;
- FILLER_51_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 183150 ) FS ;
- FILLER_51_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 183150 ) FS ;
- FILLER_51_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 183150 ) FS ;
- FILLER_51_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 183150 ) FS ;
- FILLER_51_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 183150 ) FS ;
- FILLER_51_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 183150 ) FS ;
- FILLER_51_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 183150 ) FS ;
- FILLER_51_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 183150 ) FS ;
- FILLER_51_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 183150 ) FS ;
- FILLER_51_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 183150 ) FS ;
- FILLER_51_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 183150 ) FS ;
- FILLER_51_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 183150 ) FS ;
- FILLER_51_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 183150 ) FS ;
- FILLER_51_557 sky130_fd_sc_ls__decap_4 + PLACED ( 273120 183150 ) FS ;
- FILLER_51_561 sky130_fd_sc_ls__fill_2 + PLACED ( 275040 183150 ) FS ;
- FILLER_51_566 sky130_fd_sc_ls__decap_8 + PLACED ( 277440 183150 ) FS ;
- FILLER_51_574 sky130_fd_sc_ls__decap_8 + PLACED ( 281280 183150 ) FS ;
- FILLER_51_582 sky130_fd_sc_ls__decap_8 + PLACED ( 285120 183150 ) FS ;
- FILLER_51_590 sky130_fd_sc_ls__decap_4 + PLACED ( 288960 183150 ) FS ;
- FILLER_51_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 183150 ) FS ;
- FILLER_51_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 183150 ) FS ;
- FILLER_51_71 sky130_fd_sc_ls__decap_4 + PLACED ( 39840 183150 ) FS ;
- FILLER_51_75 sky130_fd_sc_ls__fill_2 + PLACED ( 41760 183150 ) FS ;
- FILLER_51_80 sky130_fd_sc_ls__decap_8 + PLACED ( 44160 183150 ) FS ;
- FILLER_51_88 sky130_fd_sc_ls__decap_8 + PLACED ( 48000 183150 ) FS ;
- FILLER_51_96 sky130_fd_sc_ls__decap_8 + PLACED ( 51840 183150 ) FS ;
- FILLER_52_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 186480 ) N ;
- FILLER_52_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 186480 ) N ;
- FILLER_52_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 186480 ) N ;
- FILLER_52_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 186480 ) N ;
- FILLER_52_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 186480 ) N ;
- FILLER_52_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 186480 ) N ;
- FILLER_52_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 186480 ) N ;
- FILLER_52_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 186480 ) N ;
- FILLER_52_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 186480 ) N ;
- FILLER_52_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 186480 ) N ;
- FILLER_52_168 sky130_fd_sc_ls__decap_4 + PLACED ( 86400 186480 ) N ;
- FILLER_52_172 sky130_fd_sc_ls__fill_2 + PLACED ( 88320 186480 ) N ;
- FILLER_52_177 sky130_fd_sc_ls__decap_8 + PLACED ( 90720 186480 ) N ;
- FILLER_52_185 sky130_fd_sc_ls__decap_4 + PLACED ( 94560 186480 ) N ;
- FILLER_52_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 186480 ) N ;
- FILLER_52_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 186480 ) N ;
- FILLER_52_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 186480 ) N ;
- FILLER_52_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 186480 ) N ;
- FILLER_52_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 186480 ) N ;
- FILLER_52_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 186480 ) N ;
- FILLER_52_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 186480 ) N ;
- FILLER_52_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 186480 ) N ;
- FILLER_52_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 186480 ) N ;
- FILLER_52_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 186480 ) N ;
- FILLER_52_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 186480 ) N ;
- FILLER_52_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 186480 ) N ;
- FILLER_52_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 186480 ) N ;
- FILLER_52_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 186480 ) N ;
- FILLER_52_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 186480 ) N ;
- FILLER_52_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 186480 ) N ;
- FILLER_52_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 186480 ) N ;
- FILLER_52_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 186480 ) N ;
- FILLER_52_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 186480 ) N ;
- FILLER_52_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 186480 ) N ;
- FILLER_52_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 186480 ) N ;
- FILLER_52_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 186480 ) N ;
- FILLER_52_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 186480 ) N ;
- FILLER_52_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 186480 ) N ;
- FILLER_52_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 186480 ) N ;
- FILLER_52_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 186480 ) N ;
- FILLER_52_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 186480 ) N ;
- FILLER_52_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 186480 ) N ;
- FILLER_52_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 186480 ) N ;
- FILLER_52_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 186480 ) N ;
- FILLER_52_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 186480 ) N ;
- FILLER_52_368 sky130_fd_sc_ls__fill_2 + PLACED ( 182400 186480 ) N ;
- FILLER_52_370 sky130_fd_sc_ls__fill_1 + PLACED ( 183360 186480 ) N ;
- FILLER_52_374 sky130_fd_sc_ls__decap_8 + PLACED ( 185280 186480 ) N ;
- FILLER_52_382 sky130_fd_sc_ls__decap_8 + PLACED ( 189120 186480 ) N ;
- FILLER_52_390 sky130_fd_sc_ls__decap_8 + PLACED ( 192960 186480 ) N ;
- FILLER_52_398 sky130_fd_sc_ls__decap_4 + PLACED ( 196800 186480 ) N ;
- FILLER_52_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 186480 ) N ;
- FILLER_52_402 sky130_fd_sc_ls__fill_2 + PLACED ( 198720 186480 ) N ;
- FILLER_52_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 186480 ) N ;
- FILLER_52_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 186480 ) N ;
- FILLER_52_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 186480 ) N ;
- FILLER_52_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 186480 ) N ;
- FILLER_52_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 186480 ) N ;
- FILLER_52_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 186480 ) N ;
- FILLER_52_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 186480 ) N ;
- FILLER_52_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 186480 ) N ;
- FILLER_52_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 186480 ) N ;
- FILLER_52_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 186480 ) N ;
- FILLER_52_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 186480 ) N ;
- FILLER_52_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 186480 ) N ;
- FILLER_52_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 186480 ) N ;
- FILLER_52_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 186480 ) N ;
- FILLER_52_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 186480 ) N ;
- FILLER_52_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 186480 ) N ;
- FILLER_52_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 186480 ) N ;
- FILLER_52_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 186480 ) N ;
- FILLER_52_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 186480 ) N ;
- FILLER_52_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 186480 ) N ;
- FILLER_52_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 186480 ) N ;
- FILLER_52_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 186480 ) N ;
- FILLER_52_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 186480 ) N ;
- FILLER_52_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 186480 ) N ;
- FILLER_52_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 186480 ) N ;
- FILLER_52_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 186480 ) N ;
- FILLER_52_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 186480 ) N ;
- FILLER_52_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 186480 ) N ;
- FILLER_52_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 186480 ) N ;
- FILLER_52_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 186480 ) N ;
- FILLER_52_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 186480 ) N ;
- FILLER_52_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 186480 ) N ;
- FILLER_52_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 186480 ) N ;
- FILLER_52_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 186480 ) N ;
- FILLER_52_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 186480 ) N ;
- FILLER_52_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 186480 ) N ;
- FILLER_52_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 186480 ) N ;
- FILLER_52_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 186480 ) N ;
- FILLER_52_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 186480 ) N ;
- FILLER_53_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 189810 ) FS ;
- FILLER_53_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 189810 ) FS ;
- FILLER_53_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 189810 ) FS ;
- FILLER_53_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 189810 ) FS ;
- FILLER_53_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 189810 ) FS ;
- FILLER_53_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 189810 ) FS ;
- FILLER_53_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 189810 ) FS ;
- FILLER_53_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 189810 ) FS ;
- FILLER_53_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 189810 ) FS ;
- FILLER_53_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 189810 ) FS ;
- FILLER_53_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 189810 ) FS ;
- FILLER_53_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 189810 ) FS ;
- FILLER_53_171 sky130_fd_sc_ls__decap_4 + PLACED ( 87840 189810 ) FS ;
- FILLER_53_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 189810 ) FS ;
- FILLER_53_186 sky130_fd_sc_ls__decap_8 + PLACED ( 95040 189810 ) FS ;
- FILLER_53_194 sky130_fd_sc_ls__decap_8 + PLACED ( 98880 189810 ) FS ;
- FILLER_53_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 189810 ) FS ;
- FILLER_53_202 sky130_fd_sc_ls__decap_8 + PLACED ( 102720 189810 ) FS ;
- FILLER_53_210 sky130_fd_sc_ls__decap_4 + PLACED ( 106560 189810 ) FS ;
- FILLER_53_214 sky130_fd_sc_ls__fill_2 + PLACED ( 108480 189810 ) FS ;
- FILLER_53_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 189810 ) FS ;
- FILLER_53_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 189810 ) FS ;
- FILLER_53_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 189810 ) FS ;
- FILLER_53_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 189810 ) FS ;
- FILLER_53_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 189810 ) FS ;
- FILLER_53_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 189810 ) FS ;
- FILLER_53_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 189810 ) FS ;
- FILLER_53_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 189810 ) FS ;
- FILLER_53_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 189810 ) FS ;
- FILLER_53_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 189810 ) FS ;
- FILLER_53_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 189810 ) FS ;
- FILLER_53_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 189810 ) FS ;
- FILLER_53_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 189810 ) FS ;
- FILLER_53_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 189810 ) FS ;
- FILLER_53_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 189810 ) FS ;
- FILLER_53_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 189810 ) FS ;
- FILLER_53_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 189810 ) FS ;
- FILLER_53_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 189810 ) FS ;
- FILLER_53_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 189810 ) FS ;
- FILLER_53_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 189810 ) FS ;
- FILLER_53_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 189810 ) FS ;
- FILLER_53_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 189810 ) FS ;
- FILLER_53_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 189810 ) FS ;
- FILLER_53_365 sky130_fd_sc_ls__decap_4 + PLACED ( 180960 189810 ) FS ;
- FILLER_53_369 sky130_fd_sc_ls__fill_2 + PLACED ( 182880 189810 ) FS ;
- FILLER_53_374 sky130_fd_sc_ls__decap_4 + PLACED ( 185280 189810 ) FS ;
- FILLER_53_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 189810 ) FS ;
- FILLER_53_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 189810 ) FS ;
- FILLER_53_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 189810 ) FS ;
- FILLER_53_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 189810 ) FS ;
- FILLER_53_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 189810 ) FS ;
- FILLER_53_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 189810 ) FS ;
- FILLER_53_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 189810 ) FS ;
- FILLER_53_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 189810 ) FS ;
- FILLER_53_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 189810 ) FS ;
- FILLER_53_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 189810 ) FS ;
- FILLER_53_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 189810 ) FS ;
- FILLER_53_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 189810 ) FS ;
- FILLER_53_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 189810 ) FS ;
- FILLER_53_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 189810 ) FS ;
- FILLER_53_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 189810 ) FS ;
- FILLER_53_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 189810 ) FS ;
- FILLER_53_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 189810 ) FS ;
- FILLER_53_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 189810 ) FS ;
- FILLER_53_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 189810 ) FS ;
- FILLER_53_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 189810 ) FS ;
- FILLER_53_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 189810 ) FS ;
- FILLER_53_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 189810 ) FS ;
- FILLER_53_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 189810 ) FS ;
- FILLER_53_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 189810 ) FS ;
- FILLER_53_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 189810 ) FS ;
- FILLER_53_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 189810 ) FS ;
- FILLER_53_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 189810 ) FS ;
- FILLER_53_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 189810 ) FS ;
- FILLER_53_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 189810 ) FS ;
- FILLER_53_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 189810 ) FS ;
- FILLER_53_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 189810 ) FS ;
- FILLER_53_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 189810 ) FS ;
- FILLER_53_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 189810 ) FS ;
- FILLER_53_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 189810 ) FS ;
- FILLER_53_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 189810 ) FS ;
- FILLER_53_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 189810 ) FS ;
- FILLER_53_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 189810 ) FS ;
- FILLER_53_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 189810 ) FS ;
- FILLER_53_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 189810 ) FS ;
- FILLER_53_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 189810 ) FS ;
- FILLER_53_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 189810 ) FS ;
- FILLER_53_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 189810 ) FS ;
- FILLER_54_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 193140 ) N ;
- FILLER_54_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 193140 ) N ;
- FILLER_54_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 193140 ) N ;
- FILLER_54_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 193140 ) N ;
- FILLER_54_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 193140 ) N ;
- FILLER_54_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 193140 ) N ;
- FILLER_54_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 193140 ) N ;
- FILLER_54_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 193140 ) N ;
- FILLER_54_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 193140 ) N ;
- FILLER_54_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 193140 ) N ;
- FILLER_54_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 193140 ) N ;
- FILLER_54_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 193140 ) N ;
- FILLER_54_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 193140 ) N ;
- FILLER_54_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 193140 ) N ;
- FILLER_54_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 193140 ) N ;
- FILLER_54_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 193140 ) N ;
- FILLER_54_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 193140 ) N ;
- FILLER_54_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 193140 ) N ;
- FILLER_54_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 193140 ) N ;
- FILLER_54_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 193140 ) N ;
- FILLER_54_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 193140 ) N ;
- FILLER_54_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 193140 ) N ;
- FILLER_54_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 193140 ) N ;
- FILLER_54_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 193140 ) N ;
- FILLER_54_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 193140 ) N ;
- FILLER_54_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 193140 ) N ;
- FILLER_54_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 193140 ) N ;
- FILLER_54_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 193140 ) N ;
- FILLER_54_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 193140 ) N ;
- FILLER_54_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 193140 ) N ;
- FILLER_54_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 193140 ) N ;
- FILLER_54_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 193140 ) N ;
- FILLER_54_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 193140 ) N ;
- FILLER_54_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 193140 ) N ;
- FILLER_54_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 193140 ) N ;
- FILLER_54_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 193140 ) N ;
- FILLER_54_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 193140 ) N ;
- FILLER_54_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 193140 ) N ;
- FILLER_54_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 193140 ) N ;
- FILLER_54_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 193140 ) N ;
- FILLER_54_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 193140 ) N ;
- FILLER_54_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 193140 ) N ;
- FILLER_54_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 193140 ) N ;
- FILLER_54_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 193140 ) N ;
- FILLER_54_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 193140 ) N ;
- FILLER_54_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 193140 ) N ;
- FILLER_54_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 193140 ) N ;
- FILLER_54_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 193140 ) N ;
- FILLER_54_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 193140 ) N ;
- FILLER_54_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 193140 ) N ;
- FILLER_54_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 193140 ) N ;
- FILLER_54_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 193140 ) N ;
- FILLER_54_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 193140 ) N ;
- FILLER_54_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 193140 ) N ;
- FILLER_54_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 193140 ) N ;
- FILLER_54_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 193140 ) N ;
- FILLER_54_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 193140 ) N ;
- FILLER_54_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 193140 ) N ;
- FILLER_54_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 193140 ) N ;
- FILLER_54_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 193140 ) N ;
- FILLER_54_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 193140 ) N ;
- FILLER_54_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 193140 ) N ;
- FILLER_54_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 193140 ) N ;
- FILLER_54_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 193140 ) N ;
- FILLER_54_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 193140 ) N ;
- FILLER_54_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 193140 ) N ;
- FILLER_54_500 sky130_fd_sc_ls__decap_4 + PLACED ( 245760 193140 ) N ;
- FILLER_54_507 sky130_fd_sc_ls__decap_4 + PLACED ( 249120 193140 ) N ;
- FILLER_54_511 sky130_fd_sc_ls__fill_2 + PLACED ( 251040 193140 ) N ;
- FILLER_54_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 193140 ) N ;
- FILLER_54_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 193140 ) N ;
- FILLER_54_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 193140 ) N ;
- FILLER_54_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 193140 ) N ;
- FILLER_54_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 193140 ) N ;
- FILLER_54_546 sky130_fd_sc_ls__decap_4 + PLACED ( 267840 193140 ) N ;
- FILLER_54_550 sky130_fd_sc_ls__fill_1 + PLACED ( 269760 193140 ) N ;
- FILLER_54_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 193140 ) N ;
- FILLER_54_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 193140 ) N ;
- FILLER_54_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 193140 ) N ;
- FILLER_54_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 193140 ) N ;
- FILLER_54_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 193140 ) N ;
- FILLER_54_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 193140 ) N ;
- FILLER_54_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 193140 ) N ;
- FILLER_54_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 193140 ) N ;
- FILLER_54_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 193140 ) N ;
- FILLER_54_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 193140 ) N ;
- FILLER_54_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 193140 ) N ;
- FILLER_54_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 193140 ) N ;
- FILLER_54_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 193140 ) N ;
- FILLER_54_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 193140 ) N ;
- FILLER_54_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 193140 ) N ;
- FILLER_55_102 sky130_fd_sc_ls__decap_4 + PLACED ( 54720 196470 ) FS ;
- FILLER_55_106 sky130_fd_sc_ls__fill_2 + PLACED ( 56640 196470 ) FS ;
- FILLER_55_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 196470 ) FS ;
- FILLER_55_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 196470 ) FS ;
- FILLER_55_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 196470 ) FS ;
- FILLER_55_13 sky130_fd_sc_ls__decap_8 + PLACED ( 12000 196470 ) FS ;
- FILLER_55_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 196470 ) FS ;
- FILLER_55_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 196470 ) FS ;
- FILLER_55_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 196470 ) FS ;
- FILLER_55_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 196470 ) FS ;
- FILLER_55_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 196470 ) FS ;
- FILLER_55_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 196470 ) FS ;
- FILLER_55_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 196470 ) FS ;
- FILLER_55_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 196470 ) FS ;
- FILLER_55_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 196470 ) FS ;
- FILLER_55_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 196470 ) FS ;
- FILLER_55_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 196470 ) FS ;
- FILLER_55_21 sky130_fd_sc_ls__decap_8 + PLACED ( 15840 196470 ) FS ;
- FILLER_55_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 196470 ) FS ;
- FILLER_55_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 196470 ) FS ;
- FILLER_55_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 196470 ) FS ;
- FILLER_55_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 196470 ) FS ;
- FILLER_55_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 196470 ) FS ;
- FILLER_55_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 196470 ) FS ;
- FILLER_55_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 196470 ) FS ;
- FILLER_55_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 196470 ) FS ;
- FILLER_55_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 196470 ) FS ;
- FILLER_55_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 196470 ) FS ;
- FILLER_55_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 196470 ) FS ;
- FILLER_55_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 196470 ) FS ;
- FILLER_55_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 196470 ) FS ;
- FILLER_55_29 sky130_fd_sc_ls__decap_8 + PLACED ( 19680 196470 ) FS ;
- FILLER_55_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 196470 ) FS ;
- FILLER_55_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 196470 ) FS ;
- FILLER_55_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 196470 ) FS ;
- FILLER_55_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 196470 ) FS ;
- FILLER_55_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 196470 ) FS ;
- FILLER_55_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 196470 ) FS ;
- FILLER_55_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 196470 ) FS ;
- FILLER_55_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 196470 ) FS ;
- FILLER_55_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 196470 ) FS ;
- FILLER_55_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 196470 ) FS ;
- FILLER_55_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 196470 ) FS ;
- FILLER_55_37 sky130_fd_sc_ls__decap_8 + PLACED ( 23520 196470 ) FS ;
- FILLER_55_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 196470 ) FS ;
- FILLER_55_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 196470 ) FS ;
- FILLER_55_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 196470 ) FS ;
- FILLER_55_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 196470 ) FS ;
- FILLER_55_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 196470 ) FS ;
- FILLER_55_4 sky130_fd_sc_ls__decap_4 + PLACED ( 7680 196470 ) FS ;
- FILLER_55_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 196470 ) FS ;
- FILLER_55_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 196470 ) FS ;
- FILLER_55_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 196470 ) FS ;
- FILLER_55_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 196470 ) FS ;
- FILLER_55_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 196470 ) FS ;
- FILLER_55_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 196470 ) FS ;
- FILLER_55_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 196470 ) FS ;
- FILLER_55_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 196470 ) FS ;
- FILLER_55_45 sky130_fd_sc_ls__decap_8 + PLACED ( 27360 196470 ) FS ;
- FILLER_55_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 196470 ) FS ;
- FILLER_55_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 196470 ) FS ;
- FILLER_55_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 196470 ) FS ;
- FILLER_55_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 196470 ) FS ;
- FILLER_55_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 196470 ) FS ;
- FILLER_55_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 196470 ) FS ;
- FILLER_55_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 196470 ) FS ;
- FILLER_55_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 196470 ) FS ;
- FILLER_55_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 196470 ) FS ;
- FILLER_55_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 196470 ) FS ;
- FILLER_55_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 196470 ) FS ;
- FILLER_55_53 sky130_fd_sc_ls__fill_1 + PLACED ( 31200 196470 ) FS ;
- FILLER_55_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 196470 ) FS ;
- FILLER_55_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 196470 ) FS ;
- FILLER_55_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 196470 ) FS ;
- FILLER_55_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 196470 ) FS ;
- FILLER_55_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 196470 ) FS ;
- FILLER_55_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 196470 ) FS ;
- FILLER_55_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 196470 ) FS ;
- FILLER_55_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 196470 ) FS ;
- FILLER_55_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 196470 ) FS ;
- FILLER_55_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 196470 ) FS ;
- FILLER_55_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 196470 ) FS ;
- FILLER_55_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 196470 ) FS ;
- FILLER_55_63 sky130_fd_sc_ls__decap_4 + PLACED ( 36000 196470 ) FS ;
- FILLER_55_70 sky130_fd_sc_ls__decap_8 + PLACED ( 39360 196470 ) FS ;
- FILLER_55_78 sky130_fd_sc_ls__decap_8 + PLACED ( 43200 196470 ) FS ;
- FILLER_55_8 sky130_fd_sc_ls__fill_2 + PLACED ( 9600 196470 ) FS ;
- FILLER_55_86 sky130_fd_sc_ls__decap_8 + PLACED ( 47040 196470 ) FS ;
- FILLER_55_94 sky130_fd_sc_ls__decap_8 + PLACED ( 50880 196470 ) FS ;
- FILLER_56_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 199800 ) N ;
- FILLER_56_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 199800 ) N ;
- FILLER_56_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 199800 ) N ;
- FILLER_56_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 199800 ) N ;
- FILLER_56_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 199800 ) N ;
- FILLER_56_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 199800 ) N ;
- FILLER_56_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 199800 ) N ;
- FILLER_56_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 199800 ) N ;
- FILLER_56_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 199800 ) N ;
- FILLER_56_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 199800 ) N ;
- FILLER_56_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 199800 ) N ;
- FILLER_56_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 199800 ) N ;
- FILLER_56_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 199800 ) N ;
- FILLER_56_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 199800 ) N ;
- FILLER_56_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 199800 ) N ;
- FILLER_56_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 199800 ) N ;
- FILLER_56_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 199800 ) N ;
- FILLER_56_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 199800 ) N ;
- FILLER_56_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 199800 ) N ;
- FILLER_56_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 199800 ) N ;
- FILLER_56_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 199800 ) N ;
- FILLER_56_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 199800 ) N ;
- FILLER_56_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 199800 ) N ;
- FILLER_56_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 199800 ) N ;
- FILLER_56_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 199800 ) N ;
- FILLER_56_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 199800 ) N ;
- FILLER_56_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 199800 ) N ;
- FILLER_56_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 199800 ) N ;
- FILLER_56_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 199800 ) N ;
- FILLER_56_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 199800 ) N ;
- FILLER_56_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 199800 ) N ;
- FILLER_56_280 sky130_fd_sc_ls__fill_2 + PLACED ( 140160 199800 ) N ;
- FILLER_56_282 sky130_fd_sc_ls__fill_1 + PLACED ( 141120 199800 ) N ;
- FILLER_56_286 sky130_fd_sc_ls__decap_8 + PLACED ( 143040 199800 ) N ;
- FILLER_56_294 sky130_fd_sc_ls__fill_2 + PLACED ( 146880 199800 ) N ;
- FILLER_56_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 199800 ) N ;
- FILLER_56_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 199800 ) N ;
- FILLER_56_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 199800 ) N ;
- FILLER_56_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 199800 ) N ;
- FILLER_56_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 199800 ) N ;
- FILLER_56_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 199800 ) N ;
- FILLER_56_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 199800 ) N ;
- FILLER_56_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 199800 ) N ;
- FILLER_56_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 199800 ) N ;
- FILLER_56_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 199800 ) N ;
- FILLER_56_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 199800 ) N ;
- FILLER_56_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 199800 ) N ;
- FILLER_56_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 199800 ) N ;
- FILLER_56_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 199800 ) N ;
- FILLER_56_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 199800 ) N ;
- FILLER_56_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 199800 ) N ;
- FILLER_56_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 199800 ) N ;
- FILLER_56_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 199800 ) N ;
- FILLER_56_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 199800 ) N ;
- FILLER_56_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 199800 ) N ;
- FILLER_56_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 199800 ) N ;
- FILLER_56_422 sky130_fd_sc_ls__decap_4 + PLACED ( 208320 199800 ) N ;
- FILLER_56_426 sky130_fd_sc_ls__fill_2 + PLACED ( 210240 199800 ) N ;
- FILLER_56_428 sky130_fd_sc_ls__fill_1 + PLACED ( 211200 199800 ) N ;
- FILLER_56_432 sky130_fd_sc_ls__decap_8 + PLACED ( 213120 199800 ) N ;
- FILLER_56_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 199800 ) N ;
- FILLER_56_440 sky130_fd_sc_ls__decap_8 + PLACED ( 216960 199800 ) N ;
- FILLER_56_448 sky130_fd_sc_ls__decap_8 + PLACED ( 220800 199800 ) N ;
- FILLER_56_456 sky130_fd_sc_ls__fill_2 + PLACED ( 224640 199800 ) N ;
- FILLER_56_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 199800 ) N ;
- FILLER_56_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 199800 ) N ;
- FILLER_56_471 sky130_fd_sc_ls__decap_8 + PLACED ( 231840 199800 ) N ;
- FILLER_56_479 sky130_fd_sc_ls__decap_8 + PLACED ( 235680 199800 ) N ;
- FILLER_56_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 199800 ) N ;
- FILLER_56_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 199800 ) N ;
- FILLER_56_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 199800 ) N ;
- FILLER_56_511 sky130_fd_sc_ls__fill_2 + PLACED ( 251040 199800 ) N ;
- FILLER_56_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 199800 ) N ;
- FILLER_56_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 199800 ) N ;
- FILLER_56_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 199800 ) N ;
- FILLER_56_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 199800 ) N ;
- FILLER_56_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 199800 ) N ;
- FILLER_56_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 199800 ) N ;
- FILLER_56_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 199800 ) N ;
- FILLER_56_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 199800 ) N ;
- FILLER_56_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 199800 ) N ;
- FILLER_56_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 199800 ) N ;
- FILLER_56_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 199800 ) N ;
- FILLER_56_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 199800 ) N ;
- FILLER_56_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 199800 ) N ;
- FILLER_56_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 199800 ) N ;
- FILLER_56_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 199800 ) N ;
- FILLER_56_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 199800 ) N ;
- FILLER_56_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 199800 ) N ;
- FILLER_56_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 199800 ) N ;
- FILLER_56_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 199800 ) N ;
- FILLER_56_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 199800 ) N ;
- FILLER_56_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 199800 ) N ;
- FILLER_57_106 sky130_fd_sc_ls__fill_2 + PLACED ( 56640 203130 ) FS ;
- FILLER_57_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 203130 ) FS ;
- FILLER_57_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 203130 ) FS ;
- FILLER_57_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 203130 ) FS ;
- FILLER_57_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 203130 ) FS ;
- FILLER_57_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 203130 ) FS ;
- FILLER_57_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 203130 ) FS ;
- FILLER_57_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 203130 ) FS ;
- FILLER_57_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 203130 ) FS ;
- FILLER_57_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 203130 ) FS ;
- FILLER_57_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 203130 ) FS ;
- FILLER_57_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 203130 ) FS ;
- FILLER_57_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 203130 ) FS ;
- FILLER_57_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 203130 ) FS ;
- FILLER_57_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 203130 ) FS ;
- FILLER_57_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 203130 ) FS ;
- FILLER_57_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 203130 ) FS ;
- FILLER_57_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 203130 ) FS ;
- FILLER_57_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 203130 ) FS ;
- FILLER_57_220 sky130_fd_sc_ls__decap_8 + PLACED ( 111360 203130 ) FS ;
- FILLER_57_228 sky130_fd_sc_ls__decap_8 + PLACED ( 115200 203130 ) FS ;
- FILLER_57_236 sky130_fd_sc_ls__decap_8 + PLACED ( 119040 203130 ) FS ;
- FILLER_57_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 203130 ) FS ;
- FILLER_57_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 203130 ) FS ;
- FILLER_57_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 203130 ) FS ;
- FILLER_57_268 sky130_fd_sc_ls__fill_2 + PLACED ( 134400 203130 ) FS ;
- FILLER_57_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 203130 ) FS ;
- FILLER_57_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 203130 ) FS ;
- FILLER_57_28 sky130_fd_sc_ls__decap_4 + PLACED ( 19200 203130 ) FS ;
- FILLER_57_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 203130 ) FS ;
- FILLER_57_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 203130 ) FS ;
- FILLER_57_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 203130 ) FS ;
- FILLER_57_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 203130 ) FS ;
- FILLER_57_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 203130 ) FS ;
- FILLER_57_32 sky130_fd_sc_ls__fill_1 + PLACED ( 21120 203130 ) FS ;
- FILLER_57_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 203130 ) FS ;
- FILLER_57_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 203130 ) FS ;
- FILLER_57_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 203130 ) FS ;
- FILLER_57_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 203130 ) FS ;
- FILLER_57_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 203130 ) FS ;
- FILLER_57_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 203130 ) FS ;
- FILLER_57_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 203130 ) FS ;
- FILLER_57_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 203130 ) FS ;
- FILLER_57_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 203130 ) FS ;
- FILLER_57_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 203130 ) FS ;
- FILLER_57_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 203130 ) FS ;
- FILLER_57_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 203130 ) FS ;
- FILLER_57_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 203130 ) FS ;
- FILLER_57_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 203130 ) FS ;
- FILLER_57_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 203130 ) FS ;
- FILLER_57_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 203130 ) FS ;
- FILLER_57_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 203130 ) FS ;
- FILLER_57_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 203130 ) FS ;
- FILLER_57_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 203130 ) FS ;
- FILLER_57_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 203130 ) FS ;
- FILLER_57_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 203130 ) FS ;
- FILLER_57_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 203130 ) FS ;
- FILLER_57_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 203130 ) FS ;
- FILLER_57_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 203130 ) FS ;
- FILLER_57_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 203130 ) FS ;
- FILLER_57_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 203130 ) FS ;
- FILLER_57_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 203130 ) FS ;
- FILLER_57_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 203130 ) FS ;
- FILLER_57_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 203130 ) FS ;
- FILLER_57_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 203130 ) FS ;
- FILLER_57_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 203130 ) FS ;
- FILLER_57_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 203130 ) FS ;
- FILLER_57_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 203130 ) FS ;
- FILLER_57_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 203130 ) FS ;
- FILLER_57_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 203130 ) FS ;
- FILLER_57_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 203130 ) FS ;
- FILLER_57_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 203130 ) FS ;
- FILLER_57_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 203130 ) FS ;
- FILLER_57_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 203130 ) FS ;
- FILLER_57_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 203130 ) FS ;
- FILLER_57_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 203130 ) FS ;
- FILLER_57_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 203130 ) FS ;
- FILLER_57_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 203130 ) FS ;
- FILLER_57_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 203130 ) FS ;
- FILLER_57_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 203130 ) FS ;
- FILLER_57_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 203130 ) FS ;
- FILLER_57_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 203130 ) FS ;
- FILLER_57_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 203130 ) FS ;
- FILLER_57_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 203130 ) FS ;
- FILLER_57_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 203130 ) FS ;
- FILLER_57_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 203130 ) FS ;
- FILLER_57_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 203130 ) FS ;
- FILLER_58_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 206460 ) N ;
- FILLER_58_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 206460 ) N ;
- FILLER_58_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 206460 ) N ;
- FILLER_58_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 206460 ) N ;
- FILLER_58_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 206460 ) N ;
- FILLER_58_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 206460 ) N ;
- FILLER_58_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 206460 ) N ;
- FILLER_58_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 206460 ) N ;
- FILLER_58_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 206460 ) N ;
- FILLER_58_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 206460 ) N ;
- FILLER_58_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 206460 ) N ;
- FILLER_58_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 206460 ) N ;
- FILLER_58_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 206460 ) N ;
- FILLER_58_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 206460 ) N ;
- FILLER_58_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 206460 ) N ;
- FILLER_58_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 206460 ) N ;
- FILLER_58_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 206460 ) N ;
- FILLER_58_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 206460 ) N ;
- FILLER_58_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 206460 ) N ;
- FILLER_58_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 206460 ) N ;
- FILLER_58_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 206460 ) N ;
- FILLER_58_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 206460 ) N ;
- FILLER_58_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 206460 ) N ;
- FILLER_58_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 206460 ) N ;
- FILLER_58_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 206460 ) N ;
- FILLER_58_252 sky130_fd_sc_ls__decap_4 + PLACED ( 126720 206460 ) N ;
- FILLER_58_256 sky130_fd_sc_ls__fill_2 + PLACED ( 128640 206460 ) N ;
- FILLER_58_258 sky130_fd_sc_ls__fill_1 + PLACED ( 129600 206460 ) N ;
- FILLER_58_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 206460 ) N ;
- FILLER_58_262 sky130_fd_sc_ls__decap_8 + PLACED ( 131520 206460 ) N ;
- FILLER_58_270 sky130_fd_sc_ls__decap_8 + PLACED ( 135360 206460 ) N ;
- FILLER_58_278 sky130_fd_sc_ls__decap_8 + PLACED ( 139200 206460 ) N ;
- FILLER_58_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 206460 ) N ;
- FILLER_58_286 sky130_fd_sc_ls__decap_8 + PLACED ( 143040 206460 ) N ;
- FILLER_58_294 sky130_fd_sc_ls__fill_2 + PLACED ( 146880 206460 ) N ;
- FILLER_58_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 206460 ) N ;
- FILLER_58_301 sky130_fd_sc_ls__decap_8 + PLACED ( 150240 206460 ) N ;
- FILLER_58_309 sky130_fd_sc_ls__decap_8 + PLACED ( 154080 206460 ) N ;
- FILLER_58_317 sky130_fd_sc_ls__decap_8 + PLACED ( 157920 206460 ) N ;
- FILLER_58_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 206460 ) N ;
- FILLER_58_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 206460 ) N ;
- FILLER_58_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 206460 ) N ;
- FILLER_58_349 sky130_fd_sc_ls__fill_2 + PLACED ( 173280 206460 ) N ;
- FILLER_58_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 206460 ) N ;
- FILLER_58_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 206460 ) N ;
- FILLER_58_360 sky130_fd_sc_ls__decap_4 + PLACED ( 178560 206460 ) N ;
- FILLER_58_367 sky130_fd_sc_ls__decap_8 + PLACED ( 181920 206460 ) N ;
- FILLER_58_375 sky130_fd_sc_ls__decap_8 + PLACED ( 185760 206460 ) N ;
- FILLER_58_383 sky130_fd_sc_ls__decap_8 + PLACED ( 189600 206460 ) N ;
- FILLER_58_391 sky130_fd_sc_ls__decap_8 + PLACED ( 193440 206460 ) N ;
- FILLER_58_399 sky130_fd_sc_ls__decap_4 + PLACED ( 197280 206460 ) N ;
- FILLER_58_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 206460 ) N ;
- FILLER_58_403 sky130_fd_sc_ls__fill_2 + PLACED ( 199200 206460 ) N ;
- FILLER_58_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 206460 ) N ;
- FILLER_58_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 206460 ) N ;
- FILLER_58_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 206460 ) N ;
- FILLER_58_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 206460 ) N ;
- FILLER_58_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 206460 ) N ;
- FILLER_58_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 206460 ) N ;
- FILLER_58_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 206460 ) N ;
- FILLER_58_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 206460 ) N ;
- FILLER_58_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 206460 ) N ;
- FILLER_58_463 sky130_fd_sc_ls__decap_8 + PLACED ( 228000 206460 ) N ;
- FILLER_58_471 sky130_fd_sc_ls__decap_8 + PLACED ( 231840 206460 ) N ;
- FILLER_58_479 sky130_fd_sc_ls__decap_8 + PLACED ( 235680 206460 ) N ;
- FILLER_58_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 206460 ) N ;
- FILLER_58_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 206460 ) N ;
- FILLER_58_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 206460 ) N ;
- FILLER_58_511 sky130_fd_sc_ls__fill_2 + PLACED ( 251040 206460 ) N ;
- FILLER_58_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 206460 ) N ;
- FILLER_58_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 206460 ) N ;
- FILLER_58_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 206460 ) N ;
- FILLER_58_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 206460 ) N ;
- FILLER_58_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 206460 ) N ;
- FILLER_58_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 206460 ) N ;
- FILLER_58_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 206460 ) N ;
- FILLER_58_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 206460 ) N ;
- FILLER_58_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 206460 ) N ;
- FILLER_58_571 sky130_fd_sc_ls__decap_8 + PLACED ( 279840 206460 ) N ;
- FILLER_58_579 sky130_fd_sc_ls__decap_8 + PLACED ( 283680 206460 ) N ;
- FILLER_58_587 sky130_fd_sc_ls__fill_1 + PLACED ( 287520 206460 ) N ;
- FILLER_58_591 sky130_fd_sc_ls__decap_4 + PLACED ( 289440 206460 ) N ;
- FILLER_58_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 206460 ) N ;
- FILLER_58_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 206460 ) N ;
- FILLER_58_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 206460 ) N ;
- FILLER_58_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 206460 ) N ;
- FILLER_58_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 206460 ) N ;
- FILLER_58_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 206460 ) N ;
- FILLER_58_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 206460 ) N ;
- FILLER_58_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 206460 ) N ;
- FILLER_59_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 209790 ) FS ;
- FILLER_59_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 209790 ) FS ;
- FILLER_59_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 209790 ) FS ;
- FILLER_59_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 209790 ) FS ;
- FILLER_59_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 209790 ) FS ;
- FILLER_59_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 209790 ) FS ;
- FILLER_59_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 209790 ) FS ;
- FILLER_59_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 209790 ) FS ;
- FILLER_59_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 209790 ) FS ;
- FILLER_59_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 209790 ) FS ;
- FILLER_59_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 209790 ) FS ;
- FILLER_59_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 209790 ) FS ;
- FILLER_59_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 209790 ) FS ;
- FILLER_59_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 209790 ) FS ;
- FILLER_59_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 209790 ) FS ;
- FILLER_59_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 209790 ) FS ;
- FILLER_59_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 209790 ) FS ;
- FILLER_59_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 209790 ) FS ;
- FILLER_59_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 209790 ) FS ;
- FILLER_59_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 209790 ) FS ;
- FILLER_59_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 209790 ) FS ;
- FILLER_59_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 209790 ) FS ;
- FILLER_59_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 209790 ) FS ;
- FILLER_59_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 209790 ) FS ;
- FILLER_59_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 209790 ) FS ;
- FILLER_59_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 209790 ) FS ;
- FILLER_59_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 209790 ) FS ;
- FILLER_59_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 209790 ) FS ;
- FILLER_59_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 209790 ) FS ;
- FILLER_59_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 209790 ) FS ;
- FILLER_59_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 209790 ) FS ;
- FILLER_59_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 209790 ) FS ;
- FILLER_59_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 209790 ) FS ;
- FILLER_59_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 209790 ) FS ;
- FILLER_59_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 209790 ) FS ;
- FILLER_59_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 209790 ) FS ;
- FILLER_59_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 209790 ) FS ;
- FILLER_59_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 209790 ) FS ;
- FILLER_59_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 209790 ) FS ;
- FILLER_59_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 209790 ) FS ;
- FILLER_59_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 209790 ) FS ;
- FILLER_59_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 209790 ) FS ;
- FILLER_59_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 209790 ) FS ;
- FILLER_59_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 209790 ) FS ;
- FILLER_59_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 209790 ) FS ;
- FILLER_59_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 209790 ) FS ;
- FILLER_59_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 209790 ) FS ;
- FILLER_59_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 209790 ) FS ;
- FILLER_59_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 209790 ) FS ;
- FILLER_59_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 209790 ) FS ;
- FILLER_59_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 209790 ) FS ;
- FILLER_59_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 209790 ) FS ;
- FILLER_59_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 209790 ) FS ;
- FILLER_59_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 209790 ) FS ;
- FILLER_59_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 209790 ) FS ;
- FILLER_59_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 209790 ) FS ;
- FILLER_59_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 209790 ) FS ;
- FILLER_59_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 209790 ) FS ;
- FILLER_59_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 209790 ) FS ;
- FILLER_59_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 209790 ) FS ;
- FILLER_59_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 209790 ) FS ;
- FILLER_59_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 209790 ) FS ;
- FILLER_59_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 209790 ) FS ;
- FILLER_59_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 209790 ) FS ;
- FILLER_59_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 209790 ) FS ;
- FILLER_59_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 209790 ) FS ;
- FILLER_59_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 209790 ) FS ;
- FILLER_59_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 209790 ) FS ;
- FILLER_59_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 209790 ) FS ;
- FILLER_59_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 209790 ) FS ;
- FILLER_59_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 209790 ) FS ;
- FILLER_59_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 209790 ) FS ;
- FILLER_59_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 209790 ) FS ;
- FILLER_59_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 209790 ) FS ;
- FILLER_59_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 209790 ) FS ;
- FILLER_59_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 209790 ) FS ;
- FILLER_59_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 209790 ) FS ;
- FILLER_59_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 209790 ) FS ;
- FILLER_59_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 209790 ) FS ;
- FILLER_59_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 209790 ) FS ;
- FILLER_59_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 209790 ) FS ;
- FILLER_59_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 209790 ) FS ;
- FILLER_59_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 209790 ) FS ;
- FILLER_59_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 209790 ) FS ;
- FILLER_59_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 209790 ) FS ;
- FILLER_59_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 209790 ) FS ;
- FILLER_59_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 209790 ) FS ;
- FILLER_59_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 209790 ) FS ;
- FILLER_5_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 29970 ) FS ;
- FILLER_5_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 29970 ) FS ;
- FILLER_5_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 29970 ) FS ;
- FILLER_5_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 29970 ) FS ;
- FILLER_5_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 29970 ) FS ;
- FILLER_5_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 29970 ) FS ;
- FILLER_5_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 29970 ) FS ;
- FILLER_5_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 29970 ) FS ;
- FILLER_5_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 29970 ) FS ;
- FILLER_5_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 29970 ) FS ;
- FILLER_5_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 29970 ) FS ;
- FILLER_5_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 29970 ) FS ;
- FILLER_5_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 29970 ) FS ;
- FILLER_5_182 sky130_fd_sc_ls__decap_8 + PLACED ( 93120 29970 ) FS ;
- FILLER_5_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 29970 ) FS ;
- FILLER_5_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 29970 ) FS ;
- FILLER_5_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 29970 ) FS ;
- FILLER_5_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 29970 ) FS ;
- FILLER_5_214 sky130_fd_sc_ls__fill_2 + PLACED ( 108480 29970 ) FS ;
- FILLER_5_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 29970 ) FS ;
- FILLER_5_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 29970 ) FS ;
- FILLER_5_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 29970 ) FS ;
- FILLER_5_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 29970 ) FS ;
- FILLER_5_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 29970 ) FS ;
- FILLER_5_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 29970 ) FS ;
- FILLER_5_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 29970 ) FS ;
- FILLER_5_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 29970 ) FS ;
- FILLER_5_271 sky130_fd_sc_ls__decap_4 + PLACED ( 135840 29970 ) FS ;
- FILLER_5_275 sky130_fd_sc_ls__fill_2 + PLACED ( 137760 29970 ) FS ;
- FILLER_5_277 sky130_fd_sc_ls__fill_1 + PLACED ( 138720 29970 ) FS ;
- FILLER_5_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 29970 ) FS ;
- FILLER_5_281 sky130_fd_sc_ls__decap_8 + PLACED ( 140640 29970 ) FS ;
- FILLER_5_289 sky130_fd_sc_ls__decap_8 + PLACED ( 144480 29970 ) FS ;
- FILLER_5_300 sky130_fd_sc_ls__decap_8 + PLACED ( 149760 29970 ) FS ;
- FILLER_5_308 sky130_fd_sc_ls__decap_8 + PLACED ( 153600 29970 ) FS ;
- FILLER_5_316 sky130_fd_sc_ls__decap_8 + PLACED ( 157440 29970 ) FS ;
- FILLER_5_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 29970 ) FS ;
- FILLER_5_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 29970 ) FS ;
- FILLER_5_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 29970 ) FS ;
- FILLER_5_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 29970 ) FS ;
- FILLER_5_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 29970 ) FS ;
- FILLER_5_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 29970 ) FS ;
- FILLER_5_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 29970 ) FS ;
- FILLER_5_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 29970 ) FS ;
- FILLER_5_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 29970 ) FS ;
- FILLER_5_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 29970 ) FS ;
- FILLER_5_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 29970 ) FS ;
- FILLER_5_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 29970 ) FS ;
- FILLER_5_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 29970 ) FS ;
- FILLER_5_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 29970 ) FS ;
- FILLER_5_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 29970 ) FS ;
- FILLER_5_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 29970 ) FS ;
- FILLER_5_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 29970 ) FS ;
- FILLER_5_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 29970 ) FS ;
- FILLER_5_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 29970 ) FS ;
- FILLER_5_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 29970 ) FS ;
- FILLER_5_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 29970 ) FS ;
- FILLER_5_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 29970 ) FS ;
- FILLER_5_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 29970 ) FS ;
- FILLER_5_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 29970 ) FS ;
- FILLER_5_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 29970 ) FS ;
- FILLER_5_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 29970 ) FS ;
- FILLER_5_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 29970 ) FS ;
- FILLER_5_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 29970 ) FS ;
- FILLER_5_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 29970 ) FS ;
- FILLER_5_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 29970 ) FS ;
- FILLER_5_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 29970 ) FS ;
- FILLER_5_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 29970 ) FS ;
- FILLER_5_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 29970 ) FS ;
- FILLER_5_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 29970 ) FS ;
- FILLER_5_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 29970 ) FS ;
- FILLER_5_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 29970 ) FS ;
- FILLER_5_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 29970 ) FS ;
- FILLER_5_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 29970 ) FS ;
- FILLER_5_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 29970 ) FS ;
- FILLER_5_557 sky130_fd_sc_ls__fill_2 + PLACED ( 273120 29970 ) FS ;
- FILLER_5_562 sky130_fd_sc_ls__decap_8 + PLACED ( 275520 29970 ) FS ;
- FILLER_5_570 sky130_fd_sc_ls__decap_8 + PLACED ( 279360 29970 ) FS ;
- FILLER_5_578 sky130_fd_sc_ls__decap_8 + PLACED ( 283200 29970 ) FS ;
- FILLER_5_586 sky130_fd_sc_ls__decap_8 + PLACED ( 287040 29970 ) FS ;
- FILLER_5_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 29970 ) FS ;
- FILLER_5_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 29970 ) FS ;
- FILLER_5_71 sky130_fd_sc_ls__decap_4 + PLACED ( 39840 29970 ) FS ;
- FILLER_5_75 sky130_fd_sc_ls__fill_2 + PLACED ( 41760 29970 ) FS ;
- FILLER_5_77 sky130_fd_sc_ls__fill_1 + PLACED ( 42720 29970 ) FS ;
- FILLER_5_80 sky130_fd_sc_ls__decap_4 + PLACED ( 44160 29970 ) FS ;
- FILLER_5_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 29970 ) FS ;
- FILLER_5_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 29970 ) FS ;
- FILLER_60_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 213120 ) N ;
- FILLER_60_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 213120 ) N ;
- FILLER_60_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 213120 ) N ;
- FILLER_60_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 213120 ) N ;
- FILLER_60_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 213120 ) N ;
- FILLER_60_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 213120 ) N ;
- FILLER_60_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 213120 ) N ;
- FILLER_60_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 213120 ) N ;
- FILLER_60_152 sky130_fd_sc_ls__fill_2 + PLACED ( 78720 213120 ) N ;
- FILLER_60_157 sky130_fd_sc_ls__decap_8 + PLACED ( 81120 213120 ) N ;
- FILLER_60_165 sky130_fd_sc_ls__decap_8 + PLACED ( 84960 213120 ) N ;
- FILLER_60_173 sky130_fd_sc_ls__decap_8 + PLACED ( 88800 213120 ) N ;
- FILLER_60_181 sky130_fd_sc_ls__decap_8 + PLACED ( 92640 213120 ) N ;
- FILLER_60_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 213120 ) N ;
- FILLER_60_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 213120 ) N ;
- FILLER_60_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 213120 ) N ;
- FILLER_60_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 213120 ) N ;
- FILLER_60_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 213120 ) N ;
- FILLER_60_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 213120 ) N ;
- FILLER_60_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 213120 ) N ;
- FILLER_60_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 213120 ) N ;
- FILLER_60_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 213120 ) N ;
- FILLER_60_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 213120 ) N ;
- FILLER_60_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 213120 ) N ;
- FILLER_60_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 213120 ) N ;
- FILLER_60_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 213120 ) N ;
- FILLER_60_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 213120 ) N ;
- FILLER_60_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 213120 ) N ;
- FILLER_60_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 213120 ) N ;
- FILLER_60_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 213120 ) N ;
- FILLER_60_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 213120 ) N ;
- FILLER_60_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 213120 ) N ;
- FILLER_60_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 213120 ) N ;
- FILLER_60_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 213120 ) N ;
- FILLER_60_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 213120 ) N ;
- FILLER_60_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 213120 ) N ;
- FILLER_60_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 213120 ) N ;
- FILLER_60_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 213120 ) N ;
- FILLER_60_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 213120 ) N ;
- FILLER_60_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 213120 ) N ;
- FILLER_60_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 213120 ) N ;
- FILLER_60_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 213120 ) N ;
- FILLER_60_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 213120 ) N ;
- FILLER_60_360 sky130_fd_sc_ls__decap_4 + PLACED ( 178560 213120 ) N ;
- FILLER_60_364 sky130_fd_sc_ls__fill_2 + PLACED ( 180480 213120 ) N ;
- FILLER_60_366 sky130_fd_sc_ls__fill_1 + PLACED ( 181440 213120 ) N ;
- FILLER_60_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 213120 ) N ;
- FILLER_60_378 sky130_fd_sc_ls__decap_8 + PLACED ( 187200 213120 ) N ;
- FILLER_60_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 213120 ) N ;
- FILLER_60_394 sky130_fd_sc_ls__decap_8 + PLACED ( 194880 213120 ) N ;
- FILLER_60_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 213120 ) N ;
- FILLER_60_402 sky130_fd_sc_ls__fill_2 + PLACED ( 198720 213120 ) N ;
- FILLER_60_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 213120 ) N ;
- FILLER_60_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 213120 ) N ;
- FILLER_60_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 213120 ) N ;
- FILLER_60_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 213120 ) N ;
- FILLER_60_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 213120 ) N ;
- FILLER_60_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 213120 ) N ;
- FILLER_60_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 213120 ) N ;
- FILLER_60_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 213120 ) N ;
- FILLER_60_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 213120 ) N ;
- FILLER_60_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 213120 ) N ;
- FILLER_60_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 213120 ) N ;
- FILLER_60_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 213120 ) N ;
- FILLER_60_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 213120 ) N ;
- FILLER_60_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 213120 ) N ;
- FILLER_60_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 213120 ) N ;
- FILLER_60_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 213120 ) N ;
- FILLER_60_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 213120 ) N ;
- FILLER_60_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 213120 ) N ;
- FILLER_60_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 213120 ) N ;
- FILLER_60_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 213120 ) N ;
- FILLER_60_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 213120 ) N ;
- FILLER_60_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 213120 ) N ;
- FILLER_60_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 213120 ) N ;
- FILLER_60_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 213120 ) N ;
- FILLER_60_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 213120 ) N ;
- FILLER_60_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 213120 ) N ;
- FILLER_60_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 213120 ) N ;
- FILLER_60_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 213120 ) N ;
- FILLER_60_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 213120 ) N ;
- FILLER_60_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 213120 ) N ;
- FILLER_60_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 213120 ) N ;
- FILLER_60_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 213120 ) N ;
- FILLER_60_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 213120 ) N ;
- FILLER_60_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 213120 ) N ;
- FILLER_60_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 213120 ) N ;
- FILLER_60_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 213120 ) N ;
- FILLER_60_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 213120 ) N ;
- FILLER_60_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 213120 ) N ;
- FILLER_60_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 213120 ) N ;
- FILLER_61_102 sky130_fd_sc_ls__decap_4 + PLACED ( 54720 216450 ) FS ;
- FILLER_61_106 sky130_fd_sc_ls__fill_2 + PLACED ( 56640 216450 ) FS ;
- FILLER_61_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 216450 ) FS ;
- FILLER_61_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 216450 ) FS ;
- FILLER_61_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 216450 ) FS ;
- FILLER_61_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 216450 ) FS ;
- FILLER_61_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 216450 ) FS ;
- FILLER_61_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 216450 ) FS ;
- FILLER_61_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 216450 ) FS ;
- FILLER_61_160 sky130_fd_sc_ls__fill_2 + PLACED ( 82560 216450 ) FS ;
- FILLER_61_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 216450 ) FS ;
- FILLER_61_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 216450 ) FS ;
- FILLER_61_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 216450 ) FS ;
- FILLER_61_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 216450 ) FS ;
- FILLER_61_191 sky130_fd_sc_ls__fill_2 + PLACED ( 97440 216450 ) FS ;
- FILLER_61_196 sky130_fd_sc_ls__decap_8 + PLACED ( 99840 216450 ) FS ;
- FILLER_61_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 216450 ) FS ;
- FILLER_61_204 sky130_fd_sc_ls__decap_8 + PLACED ( 103680 216450 ) FS ;
- FILLER_61_212 sky130_fd_sc_ls__decap_4 + PLACED ( 107520 216450 ) FS ;
- FILLER_61_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 216450 ) FS ;
- FILLER_61_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 216450 ) FS ;
- FILLER_61_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 216450 ) FS ;
- FILLER_61_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 216450 ) FS ;
- FILLER_61_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 216450 ) FS ;
- FILLER_61_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 216450 ) FS ;
- FILLER_61_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 216450 ) FS ;
- FILLER_61_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 216450 ) FS ;
- FILLER_61_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 216450 ) FS ;
- FILLER_61_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 216450 ) FS ;
- FILLER_61_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 216450 ) FS ;
- FILLER_61_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 216450 ) FS ;
- FILLER_61_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 216450 ) FS ;
- FILLER_61_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 216450 ) FS ;
- FILLER_61_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 216450 ) FS ;
- FILLER_61_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 216450 ) FS ;
- FILLER_61_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 216450 ) FS ;
- FILLER_61_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 216450 ) FS ;
- FILLER_61_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 216450 ) FS ;
- FILLER_61_341 sky130_fd_sc_ls__fill_2 + PLACED ( 169440 216450 ) FS ;
- FILLER_61_346 sky130_fd_sc_ls__decap_8 + PLACED ( 171840 216450 ) FS ;
- FILLER_61_354 sky130_fd_sc_ls__decap_8 + PLACED ( 175680 216450 ) FS ;
- FILLER_61_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 216450 ) FS ;
- FILLER_61_362 sky130_fd_sc_ls__decap_8 + PLACED ( 179520 216450 ) FS ;
- FILLER_61_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 216450 ) FS ;
- FILLER_61_382 sky130_fd_sc_ls__decap_8 + PLACED ( 189120 216450 ) FS ;
- FILLER_61_390 sky130_fd_sc_ls__decap_8 + PLACED ( 192960 216450 ) FS ;
- FILLER_61_398 sky130_fd_sc_ls__decap_8 + PLACED ( 196800 216450 ) FS ;
- FILLER_61_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 216450 ) FS ;
- FILLER_61_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 216450 ) FS ;
- FILLER_61_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 216450 ) FS ;
- FILLER_61_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 216450 ) FS ;
- FILLER_61_430 sky130_fd_sc_ls__fill_2 + PLACED ( 212160 216450 ) FS ;
- FILLER_61_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 216450 ) FS ;
- FILLER_61_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 216450 ) FS ;
- FILLER_61_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 216450 ) FS ;
- FILLER_61_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 216450 ) FS ;
- FILLER_61_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 216450 ) FS ;
- FILLER_61_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 216450 ) FS ;
- FILLER_61_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 216450 ) FS ;
- FILLER_61_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 216450 ) FS ;
- FILLER_61_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 216450 ) FS ;
- FILLER_61_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 216450 ) FS ;
- FILLER_61_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 216450 ) FS ;
- FILLER_61_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 216450 ) FS ;
- FILLER_61_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 216450 ) FS ;
- FILLER_61_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 216450 ) FS ;
- FILLER_61_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 216450 ) FS ;
- FILLER_61_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 216450 ) FS ;
- FILLER_61_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 216450 ) FS ;
- FILLER_61_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 216450 ) FS ;
- FILLER_61_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 216450 ) FS ;
- FILLER_61_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 216450 ) FS ;
- FILLER_61_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 216450 ) FS ;
- FILLER_61_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 216450 ) FS ;
- FILLER_61_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 216450 ) FS ;
- FILLER_61_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 216450 ) FS ;
- FILLER_61_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 216450 ) FS ;
- FILLER_61_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 216450 ) FS ;
- FILLER_61_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 216450 ) FS ;
- FILLER_61_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 216450 ) FS ;
- FILLER_61_63 sky130_fd_sc_ls__decap_4 + PLACED ( 36000 216450 ) FS ;
- FILLER_61_70 sky130_fd_sc_ls__decap_8 + PLACED ( 39360 216450 ) FS ;
- FILLER_61_78 sky130_fd_sc_ls__decap_8 + PLACED ( 43200 216450 ) FS ;
- FILLER_61_86 sky130_fd_sc_ls__decap_8 + PLACED ( 47040 216450 ) FS ;
- FILLER_61_94 sky130_fd_sc_ls__decap_8 + PLACED ( 50880 216450 ) FS ;
- FILLER_62_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 219780 ) N ;
- FILLER_62_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 219780 ) N ;
- FILLER_62_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 219780 ) N ;
- FILLER_62_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 219780 ) N ;
- FILLER_62_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 219780 ) N ;
- FILLER_62_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 219780 ) N ;
- FILLER_62_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 219780 ) N ;
- FILLER_62_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 219780 ) N ;
- FILLER_62_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 219780 ) N ;
- FILLER_62_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 219780 ) N ;
- FILLER_62_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 219780 ) N ;
- FILLER_62_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 219780 ) N ;
- FILLER_62_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 219780 ) N ;
- FILLER_62_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 219780 ) N ;
- FILLER_62_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 219780 ) N ;
- FILLER_62_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 219780 ) N ;
- FILLER_62_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 219780 ) N ;
- FILLER_62_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 219780 ) N ;
- FILLER_62_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 219780 ) N ;
- FILLER_62_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 219780 ) N ;
- FILLER_62_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 219780 ) N ;
- FILLER_62_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 219780 ) N ;
- FILLER_62_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 219780 ) N ;
- FILLER_62_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 219780 ) N ;
- FILLER_62_247 sky130_fd_sc_ls__decap_8 + PLACED ( 124320 219780 ) N ;
- FILLER_62_255 sky130_fd_sc_ls__decap_8 + PLACED ( 128160 219780 ) N ;
- FILLER_62_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 219780 ) N ;
- FILLER_62_263 sky130_fd_sc_ls__decap_8 + PLACED ( 132000 219780 ) N ;
- FILLER_62_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 219780 ) N ;
- FILLER_62_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 219780 ) N ;
- FILLER_62_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 219780 ) N ;
- FILLER_62_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 219780 ) N ;
- FILLER_62_295 sky130_fd_sc_ls__fill_2 + PLACED ( 147360 219780 ) N ;
- FILLER_62_301 sky130_fd_sc_ls__decap_8 + PLACED ( 150240 219780 ) N ;
- FILLER_62_309 sky130_fd_sc_ls__decap_8 + PLACED ( 154080 219780 ) N ;
- FILLER_62_317 sky130_fd_sc_ls__decap_8 + PLACED ( 157920 219780 ) N ;
- FILLER_62_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 219780 ) N ;
- FILLER_62_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 219780 ) N ;
- FILLER_62_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 219780 ) N ;
- FILLER_62_349 sky130_fd_sc_ls__fill_2 + PLACED ( 173280 219780 ) N ;
- FILLER_62_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 219780 ) N ;
- FILLER_62_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 219780 ) N ;
- FILLER_62_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 219780 ) N ;
- FILLER_62_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 219780 ) N ;
- FILLER_62_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 219780 ) N ;
- FILLER_62_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 219780 ) N ;
- FILLER_62_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 219780 ) N ;
- FILLER_62_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 219780 ) N ;
- FILLER_62_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 219780 ) N ;
- FILLER_62_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 219780 ) N ;
- FILLER_62_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 219780 ) N ;
- FILLER_62_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 219780 ) N ;
- FILLER_62_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 219780 ) N ;
- FILLER_62_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 219780 ) N ;
- FILLER_62_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 219780 ) N ;
- FILLER_62_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 219780 ) N ;
- FILLER_62_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 219780 ) N ;
- FILLER_62_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 219780 ) N ;
- FILLER_62_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 219780 ) N ;
- FILLER_62_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 219780 ) N ;
- FILLER_62_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 219780 ) N ;
- FILLER_62_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 219780 ) N ;
- FILLER_62_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 219780 ) N ;
- FILLER_62_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 219780 ) N ;
- FILLER_62_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 219780 ) N ;
- FILLER_62_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 219780 ) N ;
- FILLER_62_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 219780 ) N ;
- FILLER_62_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 219780 ) N ;
- FILLER_62_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 219780 ) N ;
- FILLER_62_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 219780 ) N ;
- FILLER_62_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 219780 ) N ;
- FILLER_62_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 219780 ) N ;
- FILLER_62_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 219780 ) N ;
- FILLER_62_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 219780 ) N ;
- FILLER_62_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 219780 ) N ;
- FILLER_62_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 219780 ) N ;
- FILLER_62_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 219780 ) N ;
- FILLER_62_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 219780 ) N ;
- FILLER_62_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 219780 ) N ;
- FILLER_62_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 219780 ) N ;
- FILLER_62_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 219780 ) N ;
- FILLER_62_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 219780 ) N ;
- FILLER_62_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 219780 ) N ;
- FILLER_62_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 219780 ) N ;
- FILLER_62_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 219780 ) N ;
- FILLER_62_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 219780 ) N ;
- FILLER_62_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 219780 ) N ;
- FILLER_62_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 219780 ) N ;
- FILLER_63_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 223110 ) FS ;
- FILLER_63_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 223110 ) FS ;
- FILLER_63_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 223110 ) FS ;
- FILLER_63_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 223110 ) FS ;
- FILLER_63_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 223110 ) FS ;
- FILLER_63_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 223110 ) FS ;
- FILLER_63_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 223110 ) FS ;
- FILLER_63_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 223110 ) FS ;
- FILLER_63_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 223110 ) FS ;
- FILLER_63_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 223110 ) FS ;
- FILLER_63_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 223110 ) FS ;
- FILLER_63_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 223110 ) FS ;
- FILLER_63_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 223110 ) FS ;
- FILLER_63_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 223110 ) FS ;
- FILLER_63_187 sky130_fd_sc_ls__decap_4 + PLACED ( 95520 223110 ) FS ;
- FILLER_63_191 sky130_fd_sc_ls__fill_1 + PLACED ( 97440 223110 ) FS ;
- FILLER_63_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 223110 ) FS ;
- FILLER_63_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 223110 ) FS ;
- FILLER_63_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 223110 ) FS ;
- FILLER_63_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 223110 ) FS ;
- FILLER_63_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 223110 ) FS ;
- FILLER_63_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 223110 ) FS ;
- FILLER_63_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 223110 ) FS ;
- FILLER_63_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 223110 ) FS ;
- FILLER_63_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 223110 ) FS ;
- FILLER_63_249 sky130_fd_sc_ls__decap_4 + PLACED ( 125280 223110 ) FS ;
- FILLER_63_253 sky130_fd_sc_ls__fill_2 + PLACED ( 127200 223110 ) FS ;
- FILLER_63_258 sky130_fd_sc_ls__decap_8 + PLACED ( 129600 223110 ) FS ;
- FILLER_63_266 sky130_fd_sc_ls__decap_4 + PLACED ( 133440 223110 ) FS ;
- FILLER_63_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 223110 ) FS ;
- FILLER_63_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 223110 ) FS ;
- FILLER_63_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 223110 ) FS ;
- FILLER_63_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 223110 ) FS ;
- FILLER_63_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 223110 ) FS ;
- FILLER_63_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 223110 ) FS ;
- FILLER_63_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 223110 ) FS ;
- FILLER_63_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 223110 ) FS ;
- FILLER_63_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 223110 ) FS ;
- FILLER_63_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 223110 ) FS ;
- FILLER_63_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 223110 ) FS ;
- FILLER_63_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 223110 ) FS ;
- FILLER_63_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 223110 ) FS ;
- FILLER_63_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 223110 ) FS ;
- FILLER_63_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 223110 ) FS ;
- FILLER_63_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 223110 ) FS ;
- FILLER_63_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 223110 ) FS ;
- FILLER_63_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 223110 ) FS ;
- FILLER_63_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 223110 ) FS ;
- FILLER_63_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 223110 ) FS ;
- FILLER_63_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 223110 ) FS ;
- FILLER_63_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 223110 ) FS ;
- FILLER_63_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 223110 ) FS ;
- FILLER_63_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 223110 ) FS ;
- FILLER_63_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 223110 ) FS ;
- FILLER_63_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 223110 ) FS ;
- FILLER_63_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 223110 ) FS ;
- FILLER_63_436 sky130_fd_sc_ls__decap_8 + PLACED ( 215040 223110 ) FS ;
- FILLER_63_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 223110 ) FS ;
- FILLER_63_444 sky130_fd_sc_ls__decap_8 + PLACED ( 218880 223110 ) FS ;
- FILLER_63_452 sky130_fd_sc_ls__decap_8 + PLACED ( 222720 223110 ) FS ;
- FILLER_63_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 223110 ) FS ;
- FILLER_63_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 223110 ) FS ;
- FILLER_63_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 223110 ) FS ;
- FILLER_63_484 sky130_fd_sc_ls__fill_2 + PLACED ( 238080 223110 ) FS ;
- FILLER_63_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 223110 ) FS ;
- FILLER_63_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 223110 ) FS ;
- FILLER_63_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 223110 ) FS ;
- FILLER_63_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 223110 ) FS ;
- FILLER_63_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 223110 ) FS ;
- FILLER_63_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 223110 ) FS ;
- FILLER_63_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 223110 ) FS ;
- FILLER_63_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 223110 ) FS ;
- FILLER_63_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 223110 ) FS ;
- FILLER_63_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 223110 ) FS ;
- FILLER_63_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 223110 ) FS ;
- FILLER_63_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 223110 ) FS ;
- FILLER_63_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 223110 ) FS ;
- FILLER_63_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 223110 ) FS ;
- FILLER_63_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 223110 ) FS ;
- FILLER_63_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 223110 ) FS ;
- FILLER_63_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 223110 ) FS ;
- FILLER_63_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 223110 ) FS ;
- FILLER_63_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 223110 ) FS ;
- FILLER_63_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 223110 ) FS ;
- FILLER_63_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 223110 ) FS ;
- FILLER_63_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 223110 ) FS ;
- FILLER_63_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 223110 ) FS ;
- FILLER_63_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 223110 ) FS ;
- FILLER_64_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 226440 ) N ;
- FILLER_64_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 226440 ) N ;
- FILLER_64_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 226440 ) N ;
- FILLER_64_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 226440 ) N ;
- FILLER_64_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 226440 ) N ;
- FILLER_64_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 226440 ) N ;
- FILLER_64_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 226440 ) N ;
- FILLER_64_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 226440 ) N ;
- FILLER_64_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 226440 ) N ;
- FILLER_64_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 226440 ) N ;
- FILLER_64_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 226440 ) N ;
- FILLER_64_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 226440 ) N ;
- FILLER_64_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 226440 ) N ;
- FILLER_64_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 226440 ) N ;
- FILLER_64_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 226440 ) N ;
- FILLER_64_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 226440 ) N ;
- FILLER_64_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 226440 ) N ;
- FILLER_64_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 226440 ) N ;
- FILLER_64_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 226440 ) N ;
- FILLER_64_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 226440 ) N ;
- FILLER_64_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 226440 ) N ;
- FILLER_64_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 226440 ) N ;
- FILLER_64_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 226440 ) N ;
- FILLER_64_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 226440 ) N ;
- FILLER_64_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 226440 ) N ;
- FILLER_64_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 226440 ) N ;
- FILLER_64_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 226440 ) N ;
- FILLER_64_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 226440 ) N ;
- FILLER_64_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 226440 ) N ;
- FILLER_64_276 sky130_fd_sc_ls__decap_4 + PLACED ( 138240 226440 ) N ;
- FILLER_64_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 226440 ) N ;
- FILLER_64_283 sky130_fd_sc_ls__decap_8 + PLACED ( 141600 226440 ) N ;
- FILLER_64_291 sky130_fd_sc_ls__decap_4 + PLACED ( 145440 226440 ) N ;
- FILLER_64_295 sky130_fd_sc_ls__fill_2 + PLACED ( 147360 226440 ) N ;
- FILLER_64_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 226440 ) N ;
- FILLER_64_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 226440 ) N ;
- FILLER_64_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 226440 ) N ;
- FILLER_64_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 226440 ) N ;
- FILLER_64_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 226440 ) N ;
- FILLER_64_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 226440 ) N ;
- FILLER_64_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 226440 ) N ;
- FILLER_64_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 226440 ) N ;
- FILLER_64_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 226440 ) N ;
- FILLER_64_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 226440 ) N ;
- FILLER_64_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 226440 ) N ;
- FILLER_64_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 226440 ) N ;
- FILLER_64_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 226440 ) N ;
- FILLER_64_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 226440 ) N ;
- FILLER_64_392 sky130_fd_sc_ls__fill_2 + PLACED ( 193920 226440 ) N ;
- FILLER_64_397 sky130_fd_sc_ls__decap_8 + PLACED ( 196320 226440 ) N ;
- FILLER_64_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 226440 ) N ;
- FILLER_64_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 226440 ) N ;
- FILLER_64_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 226440 ) N ;
- FILLER_64_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 226440 ) N ;
- FILLER_64_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 226440 ) N ;
- FILLER_64_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 226440 ) N ;
- FILLER_64_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 226440 ) N ;
- FILLER_64_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 226440 ) N ;
- FILLER_64_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 226440 ) N ;
- FILLER_64_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 226440 ) N ;
- FILLER_64_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 226440 ) N ;
- FILLER_64_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 226440 ) N ;
- FILLER_64_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 226440 ) N ;
- FILLER_64_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 226440 ) N ;
- FILLER_64_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 226440 ) N ;
- FILLER_64_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 226440 ) N ;
- FILLER_64_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 226440 ) N ;
- FILLER_64_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 226440 ) N ;
- FILLER_64_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 226440 ) N ;
- FILLER_64_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 226440 ) N ;
- FILLER_64_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 226440 ) N ;
- FILLER_64_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 226440 ) N ;
- FILLER_64_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 226440 ) N ;
- FILLER_64_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 226440 ) N ;
- FILLER_64_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 226440 ) N ;
- FILLER_64_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 226440 ) N ;
- FILLER_64_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 226440 ) N ;
- FILLER_64_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 226440 ) N ;
- FILLER_64_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 226440 ) N ;
- FILLER_64_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 226440 ) N ;
- FILLER_64_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 226440 ) N ;
- FILLER_64_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 226440 ) N ;
- FILLER_64_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 226440 ) N ;
- FILLER_64_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 226440 ) N ;
- FILLER_64_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 226440 ) N ;
- FILLER_64_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 226440 ) N ;
- FILLER_64_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 226440 ) N ;
- FILLER_64_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 226440 ) N ;
- FILLER_64_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 226440 ) N ;
- FILLER_65_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 229770 ) FS ;
- FILLER_65_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 229770 ) FS ;
- FILLER_65_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 229770 ) FS ;
- FILLER_65_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 229770 ) FS ;
- FILLER_65_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 229770 ) FS ;
- FILLER_65_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 229770 ) FS ;
- FILLER_65_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 229770 ) FS ;
- FILLER_65_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 229770 ) FS ;
- FILLER_65_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 229770 ) FS ;
- FILLER_65_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 229770 ) FS ;
- FILLER_65_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 229770 ) FS ;
- FILLER_65_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 229770 ) FS ;
- FILLER_65_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 229770 ) FS ;
- FILLER_65_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 229770 ) FS ;
- FILLER_65_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 229770 ) FS ;
- FILLER_65_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 229770 ) FS ;
- FILLER_65_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 229770 ) FS ;
- FILLER_65_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 229770 ) FS ;
- FILLER_65_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 229770 ) FS ;
- FILLER_65_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 229770 ) FS ;
- FILLER_65_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 229770 ) FS ;
- FILLER_65_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 229770 ) FS ;
- FILLER_65_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 229770 ) FS ;
- FILLER_65_241 sky130_fd_sc_ls__fill_2 + PLACED ( 121440 229770 ) FS ;
- FILLER_65_243 sky130_fd_sc_ls__fill_1 + PLACED ( 122400 229770 ) FS ;
- FILLER_65_247 sky130_fd_sc_ls__decap_8 + PLACED ( 124320 229770 ) FS ;
- FILLER_65_255 sky130_fd_sc_ls__decap_8 + PLACED ( 128160 229770 ) FS ;
- FILLER_65_263 sky130_fd_sc_ls__decap_4 + PLACED ( 132000 229770 ) FS ;
- FILLER_65_267 sky130_fd_sc_ls__fill_2 + PLACED ( 133920 229770 ) FS ;
- FILLER_65_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 229770 ) FS ;
- FILLER_65_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 229770 ) FS ;
- FILLER_65_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 229770 ) FS ;
- FILLER_65_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 229770 ) FS ;
- FILLER_65_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 229770 ) FS ;
- FILLER_65_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 229770 ) FS ;
- FILLER_65_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 229770 ) FS ;
- FILLER_65_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 229770 ) FS ;
- FILLER_65_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 229770 ) FS ;
- FILLER_65_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 229770 ) FS ;
- FILLER_65_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 229770 ) FS ;
- FILLER_65_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 229770 ) FS ;
- FILLER_65_344 sky130_fd_sc_ls__decap_8 + PLACED ( 170880 229770 ) FS ;
- FILLER_65_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 229770 ) FS ;
- FILLER_65_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 229770 ) FS ;
- FILLER_65_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 229770 ) FS ;
- FILLER_65_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 229770 ) FS ;
- FILLER_65_376 sky130_fd_sc_ls__fill_2 + PLACED ( 186240 229770 ) FS ;
- FILLER_65_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 229770 ) FS ;
- FILLER_65_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 229770 ) FS ;
- FILLER_65_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 229770 ) FS ;
- FILLER_65_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 229770 ) FS ;
- FILLER_65_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 229770 ) FS ;
- FILLER_65_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 229770 ) FS ;
- FILLER_65_419 sky130_fd_sc_ls__fill_1 + PLACED ( 206880 229770 ) FS ;
- FILLER_65_423 sky130_fd_sc_ls__decap_8 + PLACED ( 208800 229770 ) FS ;
- FILLER_65_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 229770 ) FS ;
- FILLER_65_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 229770 ) FS ;
- FILLER_65_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 229770 ) FS ;
- FILLER_65_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 229770 ) FS ;
- FILLER_65_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 229770 ) FS ;
- FILLER_65_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 229770 ) FS ;
- FILLER_65_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 229770 ) FS ;
- FILLER_65_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 229770 ) FS ;
- FILLER_65_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 229770 ) FS ;
- FILLER_65_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 229770 ) FS ;
- FILLER_65_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 229770 ) FS ;
- FILLER_65_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 229770 ) FS ;
- FILLER_65_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 229770 ) FS ;
- FILLER_65_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 229770 ) FS ;
- FILLER_65_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 229770 ) FS ;
- FILLER_65_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 229770 ) FS ;
- FILLER_65_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 229770 ) FS ;
- FILLER_65_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 229770 ) FS ;
- FILLER_65_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 229770 ) FS ;
- FILLER_65_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 229770 ) FS ;
- FILLER_65_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 229770 ) FS ;
- FILLER_65_55 sky130_fd_sc_ls__decap_4 + PLACED ( 32160 229770 ) FS ;
- FILLER_65_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 229770 ) FS ;
- FILLER_65_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 229770 ) FS ;
- FILLER_65_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 229770 ) FS ;
- FILLER_65_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 229770 ) FS ;
- FILLER_65_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 229770 ) FS ;
- FILLER_65_59 sky130_fd_sc_ls__fill_1 + PLACED ( 34080 229770 ) FS ;
- FILLER_65_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 229770 ) FS ;
- FILLER_65_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 229770 ) FS ;
- FILLER_65_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 229770 ) FS ;
- FILLER_65_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 229770 ) FS ;
- FILLER_65_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 229770 ) FS ;
- FILLER_65_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 229770 ) FS ;
- FILLER_65_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 229770 ) FS ;
- FILLER_66_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 233100 ) N ;
- FILLER_66_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 233100 ) N ;
- FILLER_66_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 233100 ) N ;
- FILLER_66_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 233100 ) N ;
- FILLER_66_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 233100 ) N ;
- FILLER_66_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 233100 ) N ;
- FILLER_66_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 233100 ) N ;
- FILLER_66_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 233100 ) N ;
- FILLER_66_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 233100 ) N ;
- FILLER_66_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 233100 ) N ;
- FILLER_66_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 233100 ) N ;
- FILLER_66_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 233100 ) N ;
- FILLER_66_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 233100 ) N ;
- FILLER_66_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 233100 ) N ;
- FILLER_66_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 233100 ) N ;
- FILLER_66_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 233100 ) N ;
- FILLER_66_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 233100 ) N ;
- FILLER_66_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 233100 ) N ;
- FILLER_66_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 233100 ) N ;
- FILLER_66_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 233100 ) N ;
- FILLER_66_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 233100 ) N ;
- FILLER_66_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 233100 ) N ;
- FILLER_66_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 233100 ) N ;
- FILLER_66_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 233100 ) N ;
- FILLER_66_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 233100 ) N ;
- FILLER_66_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 233100 ) N ;
- FILLER_66_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 233100 ) N ;
- FILLER_66_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 233100 ) N ;
- FILLER_66_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 233100 ) N ;
- FILLER_66_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 233100 ) N ;
- FILLER_66_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 233100 ) N ;
- FILLER_66_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 233100 ) N ;
- FILLER_66_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 233100 ) N ;
- FILLER_66_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 233100 ) N ;
- FILLER_66_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 233100 ) N ;
- FILLER_66_31 sky130_fd_sc_ls__decap_8 + PLACED ( 20640 233100 ) N ;
- FILLER_66_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 233100 ) N ;
- FILLER_66_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 233100 ) N ;
- FILLER_66_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 233100 ) N ;
- FILLER_66_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 233100 ) N ;
- FILLER_66_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 233100 ) N ;
- FILLER_66_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 233100 ) N ;
- FILLER_66_355 sky130_fd_sc_ls__decap_8 + PLACED ( 176160 233100 ) N ;
- FILLER_66_363 sky130_fd_sc_ls__decap_8 + PLACED ( 180000 233100 ) N ;
- FILLER_66_371 sky130_fd_sc_ls__decap_8 + PLACED ( 183840 233100 ) N ;
- FILLER_66_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 233100 ) N ;
- FILLER_66_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 233100 ) N ;
- FILLER_66_39 sky130_fd_sc_ls__decap_8 + PLACED ( 24480 233100 ) N ;
- FILLER_66_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 233100 ) N ;
- FILLER_66_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 233100 ) N ;
- FILLER_66_403 sky130_fd_sc_ls__fill_2 + PLACED ( 199200 233100 ) N ;
- FILLER_66_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 233100 ) N ;
- FILLER_66_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 233100 ) N ;
- FILLER_66_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 233100 ) N ;
- FILLER_66_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 233100 ) N ;
- FILLER_66_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 233100 ) N ;
- FILLER_66_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 233100 ) N ;
- FILLER_66_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 233100 ) N ;
- FILLER_66_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 233100 ) N ;
- FILLER_66_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 233100 ) N ;
- FILLER_66_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 233100 ) N ;
- FILLER_66_47 sky130_fd_sc_ls__decap_8 + PLACED ( 28320 233100 ) N ;
- FILLER_66_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 233100 ) N ;
- FILLER_66_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 233100 ) N ;
- FILLER_66_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 233100 ) N ;
- FILLER_66_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 233100 ) N ;
- FILLER_66_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 233100 ) N ;
- FILLER_66_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 233100 ) N ;
- FILLER_66_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 233100 ) N ;
- FILLER_66_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 233100 ) N ;
- FILLER_66_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 233100 ) N ;
- FILLER_66_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 233100 ) N ;
- FILLER_66_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 233100 ) N ;
- FILLER_66_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 233100 ) N ;
- FILLER_66_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 233100 ) N ;
- FILLER_66_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 233100 ) N ;
- FILLER_66_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 233100 ) N ;
- FILLER_66_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 233100 ) N ;
- FILLER_66_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 233100 ) N ;
- FILLER_66_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 233100 ) N ;
- FILLER_66_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 233100 ) N ;
- FILLER_66_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 233100 ) N ;
- FILLER_66_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 233100 ) N ;
- FILLER_66_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 233100 ) N ;
- FILLER_66_79 sky130_fd_sc_ls__fill_2 + PLACED ( 43680 233100 ) N ;
- FILLER_66_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 233100 ) N ;
- FILLER_66_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 233100 ) N ;
- FILLER_66_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 233100 ) N ;
- FILLER_67_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 236430 ) FS ;
- FILLER_67_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 236430 ) FS ;
- FILLER_67_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 236430 ) FS ;
- FILLER_67_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 236430 ) FS ;
- FILLER_67_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 236430 ) FS ;
- FILLER_67_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 236430 ) FS ;
- FILLER_67_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 236430 ) FS ;
- FILLER_67_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 236430 ) FS ;
- FILLER_67_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 236430 ) FS ;
- FILLER_67_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 236430 ) FS ;
- FILLER_67_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 236430 ) FS ;
- FILLER_67_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 236430 ) FS ;
- FILLER_67_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 236430 ) FS ;
- FILLER_67_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 236430 ) FS ;
- FILLER_67_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 236430 ) FS ;
- FILLER_67_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 236430 ) FS ;
- FILLER_67_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 236430 ) FS ;
- FILLER_67_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 236430 ) FS ;
- FILLER_67_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 236430 ) FS ;
- FILLER_67_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 236430 ) FS ;
- FILLER_67_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 236430 ) FS ;
- FILLER_67_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 236430 ) FS ;
- FILLER_67_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 236430 ) FS ;
- FILLER_67_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 236430 ) FS ;
- FILLER_67_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 236430 ) FS ;
- FILLER_67_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 236430 ) FS ;
- FILLER_67_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 236430 ) FS ;
- FILLER_67_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 236430 ) FS ;
- FILLER_67_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 236430 ) FS ;
- FILLER_67_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 236430 ) FS ;
- FILLER_67_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 236430 ) FS ;
- FILLER_67_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 236430 ) FS ;
- FILLER_67_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 236430 ) FS ;
- FILLER_67_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 236430 ) FS ;
- FILLER_67_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 236430 ) FS ;
- FILLER_67_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 236430 ) FS ;
- FILLER_67_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 236430 ) FS ;
- FILLER_67_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 236430 ) FS ;
- FILLER_67_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 236430 ) FS ;
- FILLER_67_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 236430 ) FS ;
- FILLER_67_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 236430 ) FS ;
- FILLER_67_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 236430 ) FS ;
- FILLER_67_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 236430 ) FS ;
- FILLER_67_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 236430 ) FS ;
- FILLER_67_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 236430 ) FS ;
- FILLER_67_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 236430 ) FS ;
- FILLER_67_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 236430 ) FS ;
- FILLER_67_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 236430 ) FS ;
- FILLER_67_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 236430 ) FS ;
- FILLER_67_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 236430 ) FS ;
- FILLER_67_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 236430 ) FS ;
- FILLER_67_411 sky130_fd_sc_ls__fill_1 + PLACED ( 203040 236430 ) FS ;
- FILLER_67_415 sky130_fd_sc_ls__decap_4 + PLACED ( 204960 236430 ) FS ;
- FILLER_67_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 236430 ) FS ;
- FILLER_67_430 sky130_fd_sc_ls__fill_2 + PLACED ( 212160 236430 ) FS ;
- FILLER_67_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 236430 ) FS ;
- FILLER_67_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 236430 ) FS ;
- FILLER_67_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 236430 ) FS ;
- FILLER_67_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 236430 ) FS ;
- FILLER_67_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 236430 ) FS ;
- FILLER_67_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 236430 ) FS ;
- FILLER_67_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 236430 ) FS ;
- FILLER_67_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 236430 ) FS ;
- FILLER_67_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 236430 ) FS ;
- FILLER_67_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 236430 ) FS ;
- FILLER_67_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 236430 ) FS ;
- FILLER_67_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 236430 ) FS ;
- FILLER_67_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 236430 ) FS ;
- FILLER_67_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 236430 ) FS ;
- FILLER_67_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 236430 ) FS ;
- FILLER_67_527 sky130_fd_sc_ls__fill_1 + PLACED ( 258720 236430 ) FS ;
- FILLER_67_531 sky130_fd_sc_ls__decap_8 + PLACED ( 260640 236430 ) FS ;
- FILLER_67_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 236430 ) FS ;
- FILLER_67_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 236430 ) FS ;
- FILLER_67_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 236430 ) FS ;
- FILLER_67_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 236430 ) FS ;
- FILLER_67_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 236430 ) FS ;
- FILLER_67_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 236430 ) FS ;
- FILLER_67_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 236430 ) FS ;
- FILLER_67_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 236430 ) FS ;
- FILLER_67_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 236430 ) FS ;
- FILLER_67_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 236430 ) FS ;
- FILLER_67_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 236430 ) FS ;
- FILLER_67_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 236430 ) FS ;
- FILLER_67_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 236430 ) FS ;
- FILLER_67_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 236430 ) FS ;
- FILLER_67_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 236430 ) FS ;
- FILLER_67_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 236430 ) FS ;
- FILLER_68_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 239760 ) N ;
- FILLER_68_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 239760 ) N ;
- FILLER_68_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 239760 ) N ;
- FILLER_68_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 239760 ) N ;
- FILLER_68_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 239760 ) N ;
- FILLER_68_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 239760 ) N ;
- FILLER_68_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 239760 ) N ;
- FILLER_68_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 239760 ) N ;
- FILLER_68_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 239760 ) N ;
- FILLER_68_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 239760 ) N ;
- FILLER_68_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 239760 ) N ;
- FILLER_68_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 239760 ) N ;
- FILLER_68_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 239760 ) N ;
- FILLER_68_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 239760 ) N ;
- FILLER_68_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 239760 ) N ;
- FILLER_68_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 239760 ) N ;
- FILLER_68_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 239760 ) N ;
- FILLER_68_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 239760 ) N ;
- FILLER_68_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 239760 ) N ;
- FILLER_68_222 sky130_fd_sc_ls__decap_4 + PLACED ( 112320 239760 ) N ;
- FILLER_68_229 sky130_fd_sc_ls__decap_8 + PLACED ( 115680 239760 ) N ;
- FILLER_68_237 sky130_fd_sc_ls__decap_4 + PLACED ( 119520 239760 ) N ;
- FILLER_68_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 239760 ) N ;
- FILLER_68_241 sky130_fd_sc_ls__fill_2 + PLACED ( 121440 239760 ) N ;
- FILLER_68_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 239760 ) N ;
- FILLER_68_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 239760 ) N ;
- FILLER_68_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 239760 ) N ;
- FILLER_68_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 239760 ) N ;
- FILLER_68_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 239760 ) N ;
- FILLER_68_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 239760 ) N ;
- FILLER_68_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 239760 ) N ;
- FILLER_68_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 239760 ) N ;
- FILLER_68_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 239760 ) N ;
- FILLER_68_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 239760 ) N ;
- FILLER_68_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 239760 ) N ;
- FILLER_68_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 239760 ) N ;
- FILLER_68_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 239760 ) N ;
- FILLER_68_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 239760 ) N ;
- FILLER_68_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 239760 ) N ;
- FILLER_68_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 239760 ) N ;
- FILLER_68_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 239760 ) N ;
- FILLER_68_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 239760 ) N ;
- FILLER_68_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 239760 ) N ;
- FILLER_68_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 239760 ) N ;
- FILLER_68_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 239760 ) N ;
- FILLER_68_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 239760 ) N ;
- FILLER_68_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 239760 ) N ;
- FILLER_68_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 239760 ) N ;
- FILLER_68_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 239760 ) N ;
- FILLER_68_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 239760 ) N ;
- FILLER_68_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 239760 ) N ;
- FILLER_68_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 239760 ) N ;
- FILLER_68_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 239760 ) N ;
- FILLER_68_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 239760 ) N ;
- FILLER_68_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 239760 ) N ;
- FILLER_68_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 239760 ) N ;
- FILLER_68_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 239760 ) N ;
- FILLER_68_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 239760 ) N ;
- FILLER_68_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 239760 ) N ;
- FILLER_68_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 239760 ) N ;
- FILLER_68_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 239760 ) N ;
- FILLER_68_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 239760 ) N ;
- FILLER_68_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 239760 ) N ;
- FILLER_68_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 239760 ) N ;
- FILLER_68_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 239760 ) N ;
- FILLER_68_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 239760 ) N ;
- FILLER_68_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 239760 ) N ;
- FILLER_68_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 239760 ) N ;
- FILLER_68_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 239760 ) N ;
- FILLER_68_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 239760 ) N ;
- FILLER_68_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 239760 ) N ;
- FILLER_68_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 239760 ) N ;
- FILLER_68_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 239760 ) N ;
- FILLER_68_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 239760 ) N ;
- FILLER_68_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 239760 ) N ;
- FILLER_68_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 239760 ) N ;
- FILLER_68_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 239760 ) N ;
- FILLER_68_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 239760 ) N ;
- FILLER_68_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 239760 ) N ;
- FILLER_68_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 239760 ) N ;
- FILLER_68_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 239760 ) N ;
- FILLER_68_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 239760 ) N ;
- FILLER_68_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 239760 ) N ;
- FILLER_68_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 239760 ) N ;
- FILLER_68_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 239760 ) N ;
- FILLER_68_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 239760 ) N ;
- FILLER_68_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 239760 ) N ;
- FILLER_68_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 239760 ) N ;
- FILLER_68_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 239760 ) N ;
- FILLER_68_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 239760 ) N ;
- FILLER_69_106 sky130_fd_sc_ls__fill_2 + PLACED ( 56640 243090 ) FS ;
- FILLER_69_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 243090 ) FS ;
- FILLER_69_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 243090 ) FS ;
- FILLER_69_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 243090 ) FS ;
- FILLER_69_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 243090 ) FS ;
- FILLER_69_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 243090 ) FS ;
- FILLER_69_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 243090 ) FS ;
- FILLER_69_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 243090 ) FS ;
- FILLER_69_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 243090 ) FS ;
- FILLER_69_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 243090 ) FS ;
- FILLER_69_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 243090 ) FS ;
- FILLER_69_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 243090 ) FS ;
- FILLER_69_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 243090 ) FS ;
- FILLER_69_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 243090 ) FS ;
- FILLER_69_195 sky130_fd_sc_ls__fill_2 + PLACED ( 99360 243090 ) FS ;
- FILLER_69_197 sky130_fd_sc_ls__fill_1 + PLACED ( 100320 243090 ) FS ;
- FILLER_69_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 243090 ) FS ;
- FILLER_69_201 sky130_fd_sc_ls__decap_8 + PLACED ( 102240 243090 ) FS ;
- FILLER_69_209 sky130_fd_sc_ls__decap_4 + PLACED ( 106080 243090 ) FS ;
- FILLER_69_213 sky130_fd_sc_ls__fill_2 + PLACED ( 108000 243090 ) FS ;
- FILLER_69_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 243090 ) FS ;
- FILLER_69_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 243090 ) FS ;
- FILLER_69_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 243090 ) FS ;
- FILLER_69_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 243090 ) FS ;
- FILLER_69_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 243090 ) FS ;
- FILLER_69_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 243090 ) FS ;
- FILLER_69_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 243090 ) FS ;
- FILLER_69_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 243090 ) FS ;
- FILLER_69_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 243090 ) FS ;
- FILLER_69_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 243090 ) FS ;
- FILLER_69_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 243090 ) FS ;
- FILLER_69_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 243090 ) FS ;
- FILLER_69_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 243090 ) FS ;
- FILLER_69_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 243090 ) FS ;
- FILLER_69_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 243090 ) FS ;
- FILLER_69_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 243090 ) FS ;
- FILLER_69_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 243090 ) FS ;
- FILLER_69_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 243090 ) FS ;
- FILLER_69_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 243090 ) FS ;
- FILLER_69_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 243090 ) FS ;
- FILLER_69_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 243090 ) FS ;
- FILLER_69_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 243090 ) FS ;
- FILLER_69_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 243090 ) FS ;
- FILLER_69_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 243090 ) FS ;
- FILLER_69_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 243090 ) FS ;
- FILLER_69_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 243090 ) FS ;
- FILLER_69_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 243090 ) FS ;
- FILLER_69_382 sky130_fd_sc_ls__decap_8 + PLACED ( 189120 243090 ) FS ;
- FILLER_69_390 sky130_fd_sc_ls__decap_8 + PLACED ( 192960 243090 ) FS ;
- FILLER_69_398 sky130_fd_sc_ls__decap_8 + PLACED ( 196800 243090 ) FS ;
- FILLER_69_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 243090 ) FS ;
- FILLER_69_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 243090 ) FS ;
- FILLER_69_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 243090 ) FS ;
- FILLER_69_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 243090 ) FS ;
- FILLER_69_430 sky130_fd_sc_ls__fill_2 + PLACED ( 212160 243090 ) FS ;
- FILLER_69_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 243090 ) FS ;
- FILLER_69_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 243090 ) FS ;
- FILLER_69_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 243090 ) FS ;
- FILLER_69_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 243090 ) FS ;
- FILLER_69_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 243090 ) FS ;
- FILLER_69_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 243090 ) FS ;
- FILLER_69_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 243090 ) FS ;
- FILLER_69_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 243090 ) FS ;
- FILLER_69_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 243090 ) FS ;
- FILLER_69_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 243090 ) FS ;
- FILLER_69_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 243090 ) FS ;
- FILLER_69_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 243090 ) FS ;
- FILLER_69_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 243090 ) FS ;
- FILLER_69_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 243090 ) FS ;
- FILLER_69_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 243090 ) FS ;
- FILLER_69_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 243090 ) FS ;
- FILLER_69_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 243090 ) FS ;
- FILLER_69_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 243090 ) FS ;
- FILLER_69_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 243090 ) FS ;
- FILLER_69_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 243090 ) FS ;
- FILLER_69_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 243090 ) FS ;
- FILLER_69_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 243090 ) FS ;
- FILLER_69_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 243090 ) FS ;
- FILLER_69_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 243090 ) FS ;
- FILLER_69_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 243090 ) FS ;
- FILLER_69_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 243090 ) FS ;
- FILLER_69_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 243090 ) FS ;
- FILLER_69_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 243090 ) FS ;
- FILLER_69_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 243090 ) FS ;
- FILLER_69_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 243090 ) FS ;
- FILLER_69_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 243090 ) FS ;
- FILLER_69_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 243090 ) FS ;
- FILLER_69_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 243090 ) FS ;
- FILLER_6_100 sky130_fd_sc_ls__decap_8 + PLACED ( 53760 33300 ) N ;
- FILLER_6_108 sky130_fd_sc_ls__decap_8 + PLACED ( 57600 33300 ) N ;
- FILLER_6_116 sky130_fd_sc_ls__decap_8 + PLACED ( 61440 33300 ) N ;
- FILLER_6_12 sky130_fd_sc_ls__fill_2 + PLACED ( 11520 33300 ) N ;
- FILLER_6_124 sky130_fd_sc_ls__decap_8 + PLACED ( 65280 33300 ) N ;
- FILLER_6_132 sky130_fd_sc_ls__fill_2 + PLACED ( 69120 33300 ) N ;
- FILLER_6_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 33300 ) N ;
- FILLER_6_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 33300 ) N ;
- FILLER_6_14 sky130_fd_sc_ls__fill_1 + PLACED ( 12480 33300 ) N ;
- FILLER_6_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 33300 ) N ;
- FILLER_6_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 33300 ) N ;
- FILLER_6_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 33300 ) N ;
- FILLER_6_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 33300 ) N ;
- FILLER_6_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 33300 ) N ;
- FILLER_6_18 sky130_fd_sc_ls__decap_8 + PLACED ( 14400 33300 ) N ;
- FILLER_6_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 33300 ) N ;
- FILLER_6_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 33300 ) N ;
- FILLER_6_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 33300 ) N ;
- FILLER_6_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 33300 ) N ;
- FILLER_6_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 33300 ) N ;
- FILLER_6_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 33300 ) N ;
- FILLER_6_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 33300 ) N ;
- FILLER_6_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 33300 ) N ;
- FILLER_6_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 33300 ) N ;
- FILLER_6_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 33300 ) N ;
- FILLER_6_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 33300 ) N ;
- FILLER_6_252 sky130_fd_sc_ls__decap_4 + PLACED ( 126720 33300 ) N ;
- FILLER_6_256 sky130_fd_sc_ls__fill_2 + PLACED ( 128640 33300 ) N ;
- FILLER_6_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 33300 ) N ;
- FILLER_6_261 sky130_fd_sc_ls__decap_8 + PLACED ( 131040 33300 ) N ;
- FILLER_6_269 sky130_fd_sc_ls__decap_8 + PLACED ( 134880 33300 ) N ;
- FILLER_6_277 sky130_fd_sc_ls__decap_8 + PLACED ( 138720 33300 ) N ;
- FILLER_6_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 33300 ) N ;
- FILLER_6_285 sky130_fd_sc_ls__decap_8 + PLACED ( 142560 33300 ) N ;
- FILLER_6_293 sky130_fd_sc_ls__decap_4 + PLACED ( 146400 33300 ) N ;
- FILLER_6_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 33300 ) N ;
- FILLER_6_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 33300 ) N ;
- FILLER_6_314 sky130_fd_sc_ls__fill_2 + PLACED ( 156480 33300 ) N ;
- FILLER_6_316 sky130_fd_sc_ls__fill_1 + PLACED ( 157440 33300 ) N ;
- FILLER_6_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 33300 ) N ;
- FILLER_6_328 sky130_fd_sc_ls__decap_8 + PLACED ( 163200 33300 ) N ;
- FILLER_6_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 33300 ) N ;
- FILLER_6_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 33300 ) N ;
- FILLER_6_348 sky130_fd_sc_ls__fill_2 + PLACED ( 172800 33300 ) N ;
- FILLER_6_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 33300 ) N ;
- FILLER_6_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 33300 ) N ;
- FILLER_6_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 33300 ) N ;
- FILLER_6_360 sky130_fd_sc_ls__decap_4 + PLACED ( 178560 33300 ) N ;
- FILLER_6_364 sky130_fd_sc_ls__fill_2 + PLACED ( 180480 33300 ) N ;
- FILLER_6_366 sky130_fd_sc_ls__fill_1 + PLACED ( 181440 33300 ) N ;
- FILLER_6_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 33300 ) N ;
- FILLER_6_378 sky130_fd_sc_ls__decap_8 + PLACED ( 187200 33300 ) N ;
- FILLER_6_386 sky130_fd_sc_ls__decap_8 + PLACED ( 191040 33300 ) N ;
- FILLER_6_394 sky130_fd_sc_ls__fill_1 + PLACED ( 194880 33300 ) N ;
- FILLER_6_398 sky130_fd_sc_ls__decap_4 + PLACED ( 196800 33300 ) N ;
- FILLER_6_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 33300 ) N ;
- FILLER_6_402 sky130_fd_sc_ls__fill_2 + PLACED ( 198720 33300 ) N ;
- FILLER_6_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 33300 ) N ;
- FILLER_6_409 sky130_fd_sc_ls__decap_4 + PLACED ( 202080 33300 ) N ;
- FILLER_6_416 sky130_fd_sc_ls__decap_8 + PLACED ( 205440 33300 ) N ;
- FILLER_6_424 sky130_fd_sc_ls__decap_8 + PLACED ( 209280 33300 ) N ;
- FILLER_6_432 sky130_fd_sc_ls__decap_8 + PLACED ( 213120 33300 ) N ;
- FILLER_6_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 33300 ) N ;
- FILLER_6_440 sky130_fd_sc_ls__decap_8 + PLACED ( 216960 33300 ) N ;
- FILLER_6_448 sky130_fd_sc_ls__decap_8 + PLACED ( 220800 33300 ) N ;
- FILLER_6_456 sky130_fd_sc_ls__fill_2 + PLACED ( 224640 33300 ) N ;
- FILLER_6_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 33300 ) N ;
- FILLER_6_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 33300 ) N ;
- FILLER_6_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 33300 ) N ;
- FILLER_6_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 33300 ) N ;
- FILLER_6_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 33300 ) N ;
- FILLER_6_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 33300 ) N ;
- FILLER_6_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 33300 ) N ;
- FILLER_6_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 33300 ) N ;
- FILLER_6_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 33300 ) N ;
- FILLER_6_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 33300 ) N ;
- FILLER_6_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 33300 ) N ;
- FILLER_6_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 33300 ) N ;
- FILLER_6_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 33300 ) N ;
- FILLER_6_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 33300 ) N ;
- FILLER_6_546 sky130_fd_sc_ls__decap_4 + PLACED ( 267840 33300 ) N ;
- FILLER_6_550 sky130_fd_sc_ls__fill_1 + PLACED ( 269760 33300 ) N ;
- FILLER_6_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 33300 ) N ;
- FILLER_6_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 33300 ) N ;
- FILLER_6_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 33300 ) N ;
- FILLER_6_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 33300 ) N ;
- FILLER_6_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 33300 ) N ;
- FILLER_6_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 33300 ) N ;
- FILLER_6_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 33300 ) N ;
- FILLER_6_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 33300 ) N ;
- FILLER_6_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 33300 ) N ;
- FILLER_6_68 sky130_fd_sc_ls__decap_4 + PLACED ( 38400 33300 ) N ;
- FILLER_6_72 sky130_fd_sc_ls__fill_2 + PLACED ( 40320 33300 ) N ;
- FILLER_6_74 sky130_fd_sc_ls__fill_1 + PLACED ( 41280 33300 ) N ;
- FILLER_6_77 sky130_fd_sc_ls__decap_4 + PLACED ( 42720 33300 ) N ;
- FILLER_6_94 sky130_fd_sc_ls__decap_4 + PLACED ( 50880 33300 ) N ;
- FILLER_70_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 246420 ) N ;
- FILLER_70_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 246420 ) N ;
- FILLER_70_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 246420 ) N ;
- FILLER_70_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 246420 ) N ;
- FILLER_70_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 246420 ) N ;
- FILLER_70_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 246420 ) N ;
- FILLER_70_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 246420 ) N ;
- FILLER_70_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 246420 ) N ;
- FILLER_70_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 246420 ) N ;
- FILLER_70_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 246420 ) N ;
- FILLER_70_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 246420 ) N ;
- FILLER_70_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 246420 ) N ;
- FILLER_70_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 246420 ) N ;
- FILLER_70_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 246420 ) N ;
- FILLER_70_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 246420 ) N ;
- FILLER_70_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 246420 ) N ;
- FILLER_70_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 246420 ) N ;
- FILLER_70_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 246420 ) N ;
- FILLER_70_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 246420 ) N ;
- FILLER_70_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 246420 ) N ;
- FILLER_70_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 246420 ) N ;
- FILLER_70_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 246420 ) N ;
- FILLER_70_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 246420 ) N ;
- FILLER_70_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 246420 ) N ;
- FILLER_70_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 246420 ) N ;
- FILLER_70_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 246420 ) N ;
- FILLER_70_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 246420 ) N ;
- FILLER_70_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 246420 ) N ;
- FILLER_70_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 246420 ) N ;
- FILLER_70_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 246420 ) N ;
- FILLER_70_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 246420 ) N ;
- FILLER_70_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 246420 ) N ;
- FILLER_70_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 246420 ) N ;
- FILLER_70_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 246420 ) N ;
- FILLER_70_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 246420 ) N ;
- FILLER_70_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 246420 ) N ;
- FILLER_70_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 246420 ) N ;
- FILLER_70_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 246420 ) N ;
- FILLER_70_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 246420 ) N ;
- FILLER_70_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 246420 ) N ;
- FILLER_70_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 246420 ) N ;
- FILLER_70_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 246420 ) N ;
- FILLER_70_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 246420 ) N ;
- FILLER_70_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 246420 ) N ;
- FILLER_70_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 246420 ) N ;
- FILLER_70_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 246420 ) N ;
- FILLER_70_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 246420 ) N ;
- FILLER_70_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 246420 ) N ;
- FILLER_70_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 246420 ) N ;
- FILLER_70_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 246420 ) N ;
- FILLER_70_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 246420 ) N ;
- FILLER_70_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 246420 ) N ;
- FILLER_70_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 246420 ) N ;
- FILLER_70_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 246420 ) N ;
- FILLER_70_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 246420 ) N ;
- FILLER_70_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 246420 ) N ;
- FILLER_70_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 246420 ) N ;
- FILLER_70_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 246420 ) N ;
- FILLER_70_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 246420 ) N ;
- FILLER_70_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 246420 ) N ;
- FILLER_70_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 246420 ) N ;
- FILLER_70_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 246420 ) N ;
- FILLER_70_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 246420 ) N ;
- FILLER_70_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 246420 ) N ;
- FILLER_70_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 246420 ) N ;
- FILLER_70_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 246420 ) N ;
- FILLER_70_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 246420 ) N ;
- FILLER_70_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 246420 ) N ;
- FILLER_70_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 246420 ) N ;
- FILLER_70_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 246420 ) N ;
- FILLER_70_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 246420 ) N ;
- FILLER_70_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 246420 ) N ;
- FILLER_70_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 246420 ) N ;
- FILLER_70_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 246420 ) N ;
- FILLER_70_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 246420 ) N ;
- FILLER_70_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 246420 ) N ;
- FILLER_70_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 246420 ) N ;
- FILLER_70_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 246420 ) N ;
- FILLER_70_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 246420 ) N ;
- FILLER_70_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 246420 ) N ;
- FILLER_70_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 246420 ) N ;
- FILLER_70_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 246420 ) N ;
- FILLER_70_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 246420 ) N ;
- FILLER_70_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 246420 ) N ;
- FILLER_70_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 246420 ) N ;
- FILLER_70_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 246420 ) N ;
- FILLER_70_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 246420 ) N ;
- FILLER_70_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 246420 ) N ;
- FILLER_70_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 246420 ) N ;
- FILLER_70_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 246420 ) N ;
- FILLER_71_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 249750 ) FS ;
- FILLER_71_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 249750 ) FS ;
- FILLER_71_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 249750 ) FS ;
- FILLER_71_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 249750 ) FS ;
- FILLER_71_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 249750 ) FS ;
- FILLER_71_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 249750 ) FS ;
- FILLER_71_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 249750 ) FS ;
- FILLER_71_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 249750 ) FS ;
- FILLER_71_149 sky130_fd_sc_ls__fill_2 + PLACED ( 77280 249750 ) FS ;
- FILLER_71_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 249750 ) FS ;
- FILLER_71_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 249750 ) FS ;
- FILLER_71_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 249750 ) FS ;
- FILLER_71_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 249750 ) FS ;
- FILLER_71_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 249750 ) FS ;
- FILLER_71_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 249750 ) FS ;
- FILLER_71_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 249750 ) FS ;
- FILLER_71_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 249750 ) FS ;
- FILLER_71_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 249750 ) FS ;
- FILLER_71_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 249750 ) FS ;
- FILLER_71_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 249750 ) FS ;
- FILLER_71_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 249750 ) FS ;
- FILLER_71_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 249750 ) FS ;
- FILLER_71_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 249750 ) FS ;
- FILLER_71_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 249750 ) FS ;
- FILLER_71_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 249750 ) FS ;
- FILLER_71_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 249750 ) FS ;
- FILLER_71_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 249750 ) FS ;
- FILLER_71_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 249750 ) FS ;
- FILLER_71_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 249750 ) FS ;
- FILLER_71_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 249750 ) FS ;
- FILLER_71_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 249750 ) FS ;
- FILLER_71_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 249750 ) FS ;
- FILLER_71_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 249750 ) FS ;
- FILLER_71_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 249750 ) FS ;
- FILLER_71_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 249750 ) FS ;
- FILLER_71_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 249750 ) FS ;
- FILLER_71_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 249750 ) FS ;
- FILLER_71_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 249750 ) FS ;
- FILLER_71_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 249750 ) FS ;
- FILLER_71_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 249750 ) FS ;
- FILLER_71_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 249750 ) FS ;
- FILLER_71_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 249750 ) FS ;
- FILLER_71_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 249750 ) FS ;
- FILLER_71_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 249750 ) FS ;
- FILLER_71_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 249750 ) FS ;
- FILLER_71_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 249750 ) FS ;
- FILLER_71_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 249750 ) FS ;
- FILLER_71_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 249750 ) FS ;
- FILLER_71_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 249750 ) FS ;
- FILLER_71_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 249750 ) FS ;
- FILLER_71_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 249750 ) FS ;
- FILLER_71_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 249750 ) FS ;
- FILLER_71_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 249750 ) FS ;
- FILLER_71_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 249750 ) FS ;
- FILLER_71_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 249750 ) FS ;
- FILLER_71_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 249750 ) FS ;
- FILLER_71_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 249750 ) FS ;
- FILLER_71_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 249750 ) FS ;
- FILLER_71_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 249750 ) FS ;
- FILLER_71_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 249750 ) FS ;
- FILLER_71_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 249750 ) FS ;
- FILLER_71_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 249750 ) FS ;
- FILLER_71_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 249750 ) FS ;
- FILLER_71_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 249750 ) FS ;
- FILLER_71_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 249750 ) FS ;
- FILLER_71_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 249750 ) FS ;
- FILLER_71_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 249750 ) FS ;
- FILLER_71_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 249750 ) FS ;
- FILLER_71_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 249750 ) FS ;
- FILLER_71_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 249750 ) FS ;
- FILLER_71_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 249750 ) FS ;
- FILLER_71_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 249750 ) FS ;
- FILLER_71_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 249750 ) FS ;
- FILLER_71_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 249750 ) FS ;
- FILLER_71_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 249750 ) FS ;
- FILLER_71_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 249750 ) FS ;
- FILLER_71_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 249750 ) FS ;
- FILLER_71_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 249750 ) FS ;
- FILLER_71_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 249750 ) FS ;
- FILLER_71_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 249750 ) FS ;
- FILLER_71_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 249750 ) FS ;
- FILLER_71_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 249750 ) FS ;
- FILLER_71_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 249750 ) FS ;
- FILLER_71_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 249750 ) FS ;
- FILLER_71_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 249750 ) FS ;
- FILLER_71_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 249750 ) FS ;
- FILLER_71_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 249750 ) FS ;
- FILLER_72_101 sky130_fd_sc_ls__decap_8 + PLACED ( 54240 253080 ) N ;
- FILLER_72_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 253080 ) N ;
- FILLER_72_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 253080 ) N ;
- FILLER_72_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 253080 ) N ;
- FILLER_72_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 253080 ) N ;
- FILLER_72_133 sky130_fd_sc_ls__fill_2 + PLACED ( 69600 253080 ) N ;
- FILLER_72_136 sky130_fd_sc_ls__decap_4 + PLACED ( 71040 253080 ) N ;
- FILLER_72_140 sky130_fd_sc_ls__fill_1 + PLACED ( 72960 253080 ) N ;
- FILLER_72_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 253080 ) N ;
- FILLER_72_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 253080 ) N ;
- FILLER_72_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 253080 ) N ;
- FILLER_72_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 253080 ) N ;
- FILLER_72_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 253080 ) N ;
- FILLER_72_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 253080 ) N ;
- FILLER_72_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 253080 ) N ;
- FILLER_72_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 253080 ) N ;
- FILLER_72_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 253080 ) N ;
- FILLER_72_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 253080 ) N ;
- FILLER_72_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 253080 ) N ;
- FILLER_72_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 253080 ) N ;
- FILLER_72_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 253080 ) N ;
- FILLER_72_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 253080 ) N ;
- FILLER_72_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 253080 ) N ;
- FILLER_72_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 253080 ) N ;
- FILLER_72_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 253080 ) N ;
- FILLER_72_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 253080 ) N ;
- FILLER_72_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 253080 ) N ;
- FILLER_72_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 253080 ) N ;
- FILLER_72_263 sky130_fd_sc_ls__decap_8 + PLACED ( 132000 253080 ) N ;
- FILLER_72_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 253080 ) N ;
- FILLER_72_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 253080 ) N ;
- FILLER_72_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 253080 ) N ;
- FILLER_72_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 253080 ) N ;
- FILLER_72_295 sky130_fd_sc_ls__fill_2 + PLACED ( 147360 253080 ) N ;
- FILLER_72_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 253080 ) N ;
- FILLER_72_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 253080 ) N ;
- FILLER_72_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 253080 ) N ;
- FILLER_72_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 253080 ) N ;
- FILLER_72_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 253080 ) N ;
- FILLER_72_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 253080 ) N ;
- FILLER_72_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 253080 ) N ;
- FILLER_72_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 253080 ) N ;
- FILLER_72_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 253080 ) N ;
- FILLER_72_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 253080 ) N ;
- FILLER_72_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 253080 ) N ;
- FILLER_72_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 253080 ) N ;
- FILLER_72_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 253080 ) N ;
- FILLER_72_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 253080 ) N ;
- FILLER_72_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 253080 ) N ;
- FILLER_72_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 253080 ) N ;
- FILLER_72_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 253080 ) N ;
- FILLER_72_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 253080 ) N ;
- FILLER_72_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 253080 ) N ;
- FILLER_72_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 253080 ) N ;
- FILLER_72_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 253080 ) N ;
- FILLER_72_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 253080 ) N ;
- FILLER_72_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 253080 ) N ;
- FILLER_72_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 253080 ) N ;
- FILLER_72_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 253080 ) N ;
- FILLER_72_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 253080 ) N ;
- FILLER_72_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 253080 ) N ;
- FILLER_72_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 253080 ) N ;
- FILLER_72_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 253080 ) N ;
- FILLER_72_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 253080 ) N ;
- FILLER_72_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 253080 ) N ;
- FILLER_72_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 253080 ) N ;
- FILLER_72_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 253080 ) N ;
- FILLER_72_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 253080 ) N ;
- FILLER_72_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 253080 ) N ;
- FILLER_72_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 253080 ) N ;
- FILLER_72_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 253080 ) N ;
- FILLER_72_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 253080 ) N ;
- FILLER_72_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 253080 ) N ;
- FILLER_72_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 253080 ) N ;
- FILLER_72_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 253080 ) N ;
- FILLER_72_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 253080 ) N ;
- FILLER_72_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 253080 ) N ;
- FILLER_72_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 253080 ) N ;
- FILLER_72_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 253080 ) N ;
- FILLER_72_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 253080 ) N ;
- FILLER_72_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 253080 ) N ;
- FILLER_72_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 253080 ) N ;
- FILLER_72_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 253080 ) N ;
- FILLER_72_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 253080 ) N ;
- FILLER_72_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 253080 ) N ;
- FILLER_72_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 253080 ) N ;
- FILLER_72_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 253080 ) N ;
- FILLER_72_85 sky130_fd_sc_ls__decap_8 + PLACED ( 46560 253080 ) N ;
- FILLER_72_93 sky130_fd_sc_ls__decap_8 + PLACED ( 50400 253080 ) N ;
- FILLER_73_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 256410 ) FS ;
- FILLER_73_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 256410 ) FS ;
- FILLER_73_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 256410 ) FS ;
- FILLER_73_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 256410 ) FS ;
- FILLER_73_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 256410 ) FS ;
- FILLER_73_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 256410 ) FS ;
- FILLER_73_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 256410 ) FS ;
- FILLER_73_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 256410 ) FS ;
- FILLER_73_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 256410 ) FS ;
- FILLER_73_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 256410 ) FS ;
- FILLER_73_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 256410 ) FS ;
- FILLER_73_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 256410 ) FS ;
- FILLER_73_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 256410 ) FS ;
- FILLER_73_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 256410 ) FS ;
- FILLER_73_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 256410 ) FS ;
- FILLER_73_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 256410 ) FS ;
- FILLER_73_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 256410 ) FS ;
- FILLER_73_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 256410 ) FS ;
- FILLER_73_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 256410 ) FS ;
- FILLER_73_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 256410 ) FS ;
- FILLER_73_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 256410 ) FS ;
- FILLER_73_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 256410 ) FS ;
- FILLER_73_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 256410 ) FS ;
- FILLER_73_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 256410 ) FS ;
- FILLER_73_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 256410 ) FS ;
- FILLER_73_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 256410 ) FS ;
- FILLER_73_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 256410 ) FS ;
- FILLER_73_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 256410 ) FS ;
- FILLER_73_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 256410 ) FS ;
- FILLER_73_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 256410 ) FS ;
- FILLER_73_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 256410 ) FS ;
- FILLER_73_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 256410 ) FS ;
- FILLER_73_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 256410 ) FS ;
- FILLER_73_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 256410 ) FS ;
- FILLER_73_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 256410 ) FS ;
- FILLER_73_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 256410 ) FS ;
- FILLER_73_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 256410 ) FS ;
- FILLER_73_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 256410 ) FS ;
- FILLER_73_333 sky130_fd_sc_ls__fill_2 + PLACED ( 165600 256410 ) FS ;
- FILLER_73_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 256410 ) FS ;
- FILLER_73_346 sky130_fd_sc_ls__decap_8 + PLACED ( 171840 256410 ) FS ;
- FILLER_73_354 sky130_fd_sc_ls__decap_8 + PLACED ( 175680 256410 ) FS ;
- FILLER_73_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 256410 ) FS ;
- FILLER_73_362 sky130_fd_sc_ls__decap_8 + PLACED ( 179520 256410 ) FS ;
- FILLER_73_370 sky130_fd_sc_ls__decap_8 + PLACED ( 183360 256410 ) FS ;
- FILLER_73_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 256410 ) FS ;
- FILLER_73_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 256410 ) FS ;
- FILLER_73_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 256410 ) FS ;
- FILLER_73_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 256410 ) FS ;
- FILLER_73_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 256410 ) FS ;
- FILLER_73_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 256410 ) FS ;
- FILLER_73_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 256410 ) FS ;
- FILLER_73_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 256410 ) FS ;
- FILLER_73_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 256410 ) FS ;
- FILLER_73_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 256410 ) FS ;
- FILLER_73_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 256410 ) FS ;
- FILLER_73_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 256410 ) FS ;
- FILLER_73_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 256410 ) FS ;
- FILLER_73_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 256410 ) FS ;
- FILLER_73_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 256410 ) FS ;
- FILLER_73_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 256410 ) FS ;
- FILLER_73_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 256410 ) FS ;
- FILLER_73_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 256410 ) FS ;
- FILLER_73_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 256410 ) FS ;
- FILLER_73_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 256410 ) FS ;
- FILLER_73_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 256410 ) FS ;
- FILLER_73_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 256410 ) FS ;
- FILLER_73_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 256410 ) FS ;
- FILLER_73_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 256410 ) FS ;
- FILLER_73_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 256410 ) FS ;
- FILLER_73_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 256410 ) FS ;
- FILLER_73_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 256410 ) FS ;
- FILLER_73_544 sky130_fd_sc_ls__decap_8 + PLACED ( 266880 256410 ) FS ;
- FILLER_73_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 256410 ) FS ;
- FILLER_73_552 sky130_fd_sc_ls__decap_8 + PLACED ( 270720 256410 ) FS ;
- FILLER_73_560 sky130_fd_sc_ls__decap_8 + PLACED ( 274560 256410 ) FS ;
- FILLER_73_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 256410 ) FS ;
- FILLER_73_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 256410 ) FS ;
- FILLER_73_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 256410 ) FS ;
- FILLER_73_592 sky130_fd_sc_ls__fill_2 + PLACED ( 289920 256410 ) FS ;
- FILLER_73_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 256410 ) FS ;
- FILLER_73_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 256410 ) FS ;
- FILLER_73_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 256410 ) FS ;
- FILLER_73_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 256410 ) FS ;
- FILLER_73_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 256410 ) FS ;
- FILLER_73_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 256410 ) FS ;
- FILLER_74_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 259740 ) N ;
- FILLER_74_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 259740 ) N ;
- FILLER_74_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 259740 ) N ;
- FILLER_74_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 259740 ) N ;
- FILLER_74_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 259740 ) N ;
- FILLER_74_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 259740 ) N ;
- FILLER_74_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 259740 ) N ;
- FILLER_74_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 259740 ) N ;
- FILLER_74_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 259740 ) N ;
- FILLER_74_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 259740 ) N ;
- FILLER_74_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 259740 ) N ;
- FILLER_74_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 259740 ) N ;
- FILLER_74_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 259740 ) N ;
- FILLER_74_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 259740 ) N ;
- FILLER_74_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 259740 ) N ;
- FILLER_74_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 259740 ) N ;
- FILLER_74_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 259740 ) N ;
- FILLER_74_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 259740 ) N ;
- FILLER_74_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 259740 ) N ;
- FILLER_74_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 259740 ) N ;
- FILLER_74_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 259740 ) N ;
- FILLER_74_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 259740 ) N ;
- FILLER_74_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 259740 ) N ;
- FILLER_74_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 259740 ) N ;
- FILLER_74_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 259740 ) N ;
- FILLER_74_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 259740 ) N ;
- FILLER_74_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 259740 ) N ;
- FILLER_74_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 259740 ) N ;
- FILLER_74_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 259740 ) N ;
- FILLER_74_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 259740 ) N ;
- FILLER_74_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 259740 ) N ;
- FILLER_74_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 259740 ) N ;
- FILLER_74_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 259740 ) N ;
- FILLER_74_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 259740 ) N ;
- FILLER_74_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 259740 ) N ;
- FILLER_74_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 259740 ) N ;
- FILLER_74_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 259740 ) N ;
- FILLER_74_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 259740 ) N ;
- FILLER_74_330 sky130_fd_sc_ls__decap_4 + PLACED ( 164160 259740 ) N ;
- FILLER_74_334 sky130_fd_sc_ls__fill_2 + PLACED ( 166080 259740 ) N ;
- FILLER_74_336 sky130_fd_sc_ls__fill_1 + PLACED ( 167040 259740 ) N ;
- FILLER_74_340 sky130_fd_sc_ls__decap_8 + PLACED ( 168960 259740 ) N ;
- FILLER_74_348 sky130_fd_sc_ls__fill_2 + PLACED ( 172800 259740 ) N ;
- FILLER_74_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 259740 ) N ;
- FILLER_74_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 259740 ) N ;
- FILLER_74_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 259740 ) N ;
- FILLER_74_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 259740 ) N ;
- FILLER_74_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 259740 ) N ;
- FILLER_74_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 259740 ) N ;
- FILLER_74_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 259740 ) N ;
- FILLER_74_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 259740 ) N ;
- FILLER_74_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 259740 ) N ;
- FILLER_74_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 259740 ) N ;
- FILLER_74_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 259740 ) N ;
- FILLER_74_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 259740 ) N ;
- FILLER_74_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 259740 ) N ;
- FILLER_74_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 259740 ) N ;
- FILLER_74_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 259740 ) N ;
- FILLER_74_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 259740 ) N ;
- FILLER_74_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 259740 ) N ;
- FILLER_74_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 259740 ) N ;
- FILLER_74_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 259740 ) N ;
- FILLER_74_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 259740 ) N ;
- FILLER_74_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 259740 ) N ;
- FILLER_74_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 259740 ) N ;
- FILLER_74_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 259740 ) N ;
- FILLER_74_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 259740 ) N ;
- FILLER_74_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 259740 ) N ;
- FILLER_74_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 259740 ) N ;
- FILLER_74_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 259740 ) N ;
- FILLER_74_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 259740 ) N ;
- FILLER_74_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 259740 ) N ;
- FILLER_74_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 259740 ) N ;
- FILLER_74_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 259740 ) N ;
- FILLER_74_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 259740 ) N ;
- FILLER_74_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 259740 ) N ;
- FILLER_74_546 sky130_fd_sc_ls__decap_4 + PLACED ( 267840 259740 ) N ;
- FILLER_74_553 sky130_fd_sc_ls__decap_8 + PLACED ( 271200 259740 ) N ;
- FILLER_74_561 sky130_fd_sc_ls__decap_4 + PLACED ( 275040 259740 ) N ;
- FILLER_74_565 sky130_fd_sc_ls__fill_2 + PLACED ( 276960 259740 ) N ;
- FILLER_74_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 259740 ) N ;
- FILLER_74_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 259740 ) N ;
- FILLER_74_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 259740 ) N ;
- FILLER_74_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 259740 ) N ;
- FILLER_74_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 259740 ) N ;
- FILLER_74_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 259740 ) N ;
- FILLER_74_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 259740 ) N ;
- FILLER_74_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 259740 ) N ;
- FILLER_74_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 259740 ) N ;
- FILLER_74_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 259740 ) N ;
- FILLER_74_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 259740 ) N ;
- FILLER_74_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 259740 ) N ;
- FILLER_75_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 263070 ) FS ;
- FILLER_75_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 263070 ) FS ;
- FILLER_75_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 263070 ) FS ;
- FILLER_75_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 263070 ) FS ;
- FILLER_75_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 263070 ) FS ;
- FILLER_75_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 263070 ) FS ;
- FILLER_75_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 263070 ) FS ;
- FILLER_75_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 263070 ) FS ;
- FILLER_75_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 263070 ) FS ;
- FILLER_75_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 263070 ) FS ;
- FILLER_75_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 263070 ) FS ;
- FILLER_75_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 263070 ) FS ;
- FILLER_75_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 263070 ) FS ;
- FILLER_75_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 263070 ) FS ;
- FILLER_75_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 263070 ) FS ;
- FILLER_75_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 263070 ) FS ;
- FILLER_75_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 263070 ) FS ;
- FILLER_75_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 263070 ) FS ;
- FILLER_75_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 263070 ) FS ;
- FILLER_75_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 263070 ) FS ;
- FILLER_75_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 263070 ) FS ;
- FILLER_75_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 263070 ) FS ;
- FILLER_75_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 263070 ) FS ;
- FILLER_75_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 263070 ) FS ;
- FILLER_75_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 263070 ) FS ;
- FILLER_75_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 263070 ) FS ;
- FILLER_75_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 263070 ) FS ;
- FILLER_75_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 263070 ) FS ;
- FILLER_75_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 263070 ) FS ;
- FILLER_75_279 sky130_fd_sc_ls__fill_2 + PLACED ( 139680 263070 ) FS ;
- FILLER_75_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 263070 ) FS ;
- FILLER_75_281 sky130_fd_sc_ls__fill_1 + PLACED ( 140640 263070 ) FS ;
- FILLER_75_285 sky130_fd_sc_ls__decap_8 + PLACED ( 142560 263070 ) FS ;
- FILLER_75_293 sky130_fd_sc_ls__decap_8 + PLACED ( 146400 263070 ) FS ;
- FILLER_75_301 sky130_fd_sc_ls__decap_8 + PLACED ( 150240 263070 ) FS ;
- FILLER_75_309 sky130_fd_sc_ls__decap_8 + PLACED ( 154080 263070 ) FS ;
- FILLER_75_317 sky130_fd_sc_ls__decap_4 + PLACED ( 157920 263070 ) FS ;
- FILLER_75_321 sky130_fd_sc_ls__fill_2 + PLACED ( 159840 263070 ) FS ;
- FILLER_75_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 263070 ) FS ;
- FILLER_75_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 263070 ) FS ;
- FILLER_75_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 263070 ) FS ;
- FILLER_75_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 263070 ) FS ;
- FILLER_75_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 263070 ) FS ;
- FILLER_75_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 263070 ) FS ;
- FILLER_75_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 263070 ) FS ;
- FILLER_75_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 263070 ) FS ;
- FILLER_75_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 263070 ) FS ;
- FILLER_75_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 263070 ) FS ;
- FILLER_75_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 263070 ) FS ;
- FILLER_75_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 263070 ) FS ;
- FILLER_75_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 263070 ) FS ;
- FILLER_75_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 263070 ) FS ;
- FILLER_75_403 sky130_fd_sc_ls__decap_4 + PLACED ( 199200 263070 ) FS ;
- FILLER_75_407 sky130_fd_sc_ls__fill_1 + PLACED ( 201120 263070 ) FS ;
- FILLER_75_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 263070 ) FS ;
- FILLER_75_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 263070 ) FS ;
- FILLER_75_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 263070 ) FS ;
- FILLER_75_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 263070 ) FS ;
- FILLER_75_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 263070 ) FS ;
- FILLER_75_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 263070 ) FS ;
- FILLER_75_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 263070 ) FS ;
- FILLER_75_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 263070 ) FS ;
- FILLER_75_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 263070 ) FS ;
- FILLER_75_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 263070 ) FS ;
- FILLER_75_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 263070 ) FS ;
- FILLER_75_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 263070 ) FS ;
- FILLER_75_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 263070 ) FS ;
- FILLER_75_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 263070 ) FS ;
- FILLER_75_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 263070 ) FS ;
- FILLER_75_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 263070 ) FS ;
- FILLER_75_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 263070 ) FS ;
- FILLER_75_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 263070 ) FS ;
- FILLER_75_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 263070 ) FS ;
- FILLER_75_527 sky130_fd_sc_ls__fill_2 + PLACED ( 258720 263070 ) FS ;
- FILLER_75_529 sky130_fd_sc_ls__fill_1 + PLACED ( 259680 263070 ) FS ;
- FILLER_75_533 sky130_fd_sc_ls__decap_4 + PLACED ( 261600 263070 ) FS ;
- FILLER_75_537 sky130_fd_sc_ls__fill_2 + PLACED ( 263520 263070 ) FS ;
- FILLER_75_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 263070 ) FS ;
- FILLER_75_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 263070 ) FS ;
- FILLER_75_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 263070 ) FS ;
- FILLER_75_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 263070 ) FS ;
- FILLER_75_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 263070 ) FS ;
- FILLER_75_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 263070 ) FS ;
- FILLER_75_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 263070 ) FS ;
- FILLER_75_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 263070 ) FS ;
- FILLER_75_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 263070 ) FS ;
- FILLER_75_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 263070 ) FS ;
- FILLER_75_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 263070 ) FS ;
- FILLER_75_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 263070 ) FS ;
- FILLER_75_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 263070 ) FS ;
- FILLER_75_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 263070 ) FS ;
- FILLER_75_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 263070 ) FS ;
- FILLER_75_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 263070 ) FS ;
- FILLER_76_106 sky130_fd_sc_ls__decap_8 + PLACED ( 56640 266400 ) N ;
- FILLER_76_114 sky130_fd_sc_ls__decap_8 + PLACED ( 60480 266400 ) N ;
- FILLER_76_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 266400 ) N ;
- FILLER_76_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 266400 ) N ;
- FILLER_76_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 266400 ) N ;
- FILLER_76_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 266400 ) N ;
- FILLER_76_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 266400 ) N ;
- FILLER_76_15 sky130_fd_sc_ls__decap_8 + PLACED ( 12960 266400 ) N ;
- FILLER_76_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 266400 ) N ;
- FILLER_76_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 266400 ) N ;
- FILLER_76_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 266400 ) N ;
- FILLER_76_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 266400 ) N ;
- FILLER_76_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 266400 ) N ;
- FILLER_76_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 266400 ) N ;
- FILLER_76_193 sky130_fd_sc_ls__decap_8 + PLACED ( 98400 266400 ) N ;
- FILLER_76_201 sky130_fd_sc_ls__decap_8 + PLACED ( 102240 266400 ) N ;
- FILLER_76_209 sky130_fd_sc_ls__decap_8 + PLACED ( 106080 266400 ) N ;
- FILLER_76_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 266400 ) N ;
- FILLER_76_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 266400 ) N ;
- FILLER_76_23 sky130_fd_sc_ls__decap_4 + PLACED ( 16800 266400 ) N ;
- FILLER_76_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 266400 ) N ;
- FILLER_76_241 sky130_fd_sc_ls__fill_2 + PLACED ( 121440 266400 ) N ;
- FILLER_76_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 266400 ) N ;
- FILLER_76_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 266400 ) N ;
- FILLER_76_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 266400 ) N ;
- FILLER_76_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 266400 ) N ;
- FILLER_76_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 266400 ) N ;
- FILLER_76_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 266400 ) N ;
- FILLER_76_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 266400 ) N ;
- FILLER_76_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 266400 ) N ;
- FILLER_76_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 266400 ) N ;
- FILLER_76_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 266400 ) N ;
- FILLER_76_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 266400 ) N ;
- FILLER_76_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 266400 ) N ;
- FILLER_76_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 266400 ) N ;
- FILLER_76_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 266400 ) N ;
- FILLER_76_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 266400 ) N ;
- FILLER_76_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 266400 ) N ;
- FILLER_76_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 266400 ) N ;
- FILLER_76_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 266400 ) N ;
- FILLER_76_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 266400 ) N ;
- FILLER_76_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 266400 ) N ;
- FILLER_76_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 266400 ) N ;
- FILLER_76_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 266400 ) N ;
- FILLER_76_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 266400 ) N ;
- FILLER_76_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 266400 ) N ;
- FILLER_76_4 sky130_fd_sc_ls__fill_1 + PLACED ( 7680 266400 ) N ;
- FILLER_76_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 266400 ) N ;
- FILLER_76_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 266400 ) N ;
- FILLER_76_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 266400 ) N ;
- FILLER_76_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 266400 ) N ;
- FILLER_76_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 266400 ) N ;
- FILLER_76_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 266400 ) N ;
- FILLER_76_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 266400 ) N ;
- FILLER_76_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 266400 ) N ;
- FILLER_76_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 266400 ) N ;
- FILLER_76_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 266400 ) N ;
- FILLER_76_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 266400 ) N ;
- FILLER_76_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 266400 ) N ;
- FILLER_76_468 sky130_fd_sc_ls__decap_4 + PLACED ( 230400 266400 ) N ;
- FILLER_76_472 sky130_fd_sc_ls__fill_2 + PLACED ( 232320 266400 ) N ;
- FILLER_76_477 sky130_fd_sc_ls__decap_8 + PLACED ( 234720 266400 ) N ;
- FILLER_76_485 sky130_fd_sc_ls__decap_8 + PLACED ( 238560 266400 ) N ;
- FILLER_76_493 sky130_fd_sc_ls__decap_8 + PLACED ( 242400 266400 ) N ;
- FILLER_76_501 sky130_fd_sc_ls__decap_8 + PLACED ( 246240 266400 ) N ;
- FILLER_76_509 sky130_fd_sc_ls__decap_4 + PLACED ( 250080 266400 ) N ;
- FILLER_76_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 266400 ) N ;
- FILLER_76_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 266400 ) N ;
- FILLER_76_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 266400 ) N ;
- FILLER_76_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 266400 ) N ;
- FILLER_76_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 266400 ) N ;
- FILLER_76_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 266400 ) N ;
- FILLER_76_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 266400 ) N ;
- FILLER_76_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 266400 ) N ;
- FILLER_76_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 266400 ) N ;
- FILLER_76_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 266400 ) N ;
- FILLER_76_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 266400 ) N ;
- FILLER_76_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 266400 ) N ;
- FILLER_76_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 266400 ) N ;
- FILLER_76_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 266400 ) N ;
- FILLER_76_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 266400 ) N ;
- FILLER_76_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 266400 ) N ;
- FILLER_76_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 266400 ) N ;
- FILLER_76_8 sky130_fd_sc_ls__decap_4 + PLACED ( 9600 266400 ) N ;
- FILLER_76_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 266400 ) N ;
- FILLER_76_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 266400 ) N ;
- FILLER_76_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 266400 ) N ;
- FILLER_76_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 266400 ) N ;
- FILLER_77_106 sky130_fd_sc_ls__fill_2 + PLACED ( 56640 269730 ) FS ;
- FILLER_77_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 269730 ) FS ;
- FILLER_77_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 269730 ) FS ;
- FILLER_77_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 269730 ) FS ;
- FILLER_77_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 269730 ) FS ;
- FILLER_77_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 269730 ) FS ;
- FILLER_77_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 269730 ) FS ;
- FILLER_77_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 269730 ) FS ;
- FILLER_77_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 269730 ) FS ;
- FILLER_77_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 269730 ) FS ;
- FILLER_77_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 269730 ) FS ;
- FILLER_77_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 269730 ) FS ;
- FILLER_77_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 269730 ) FS ;
- FILLER_77_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 269730 ) FS ;
- FILLER_77_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 269730 ) FS ;
- FILLER_77_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 269730 ) FS ;
- FILLER_77_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 269730 ) FS ;
- FILLER_77_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 269730 ) FS ;
- FILLER_77_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 269730 ) FS ;
- FILLER_77_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 269730 ) FS ;
- FILLER_77_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 269730 ) FS ;
- FILLER_77_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 269730 ) FS ;
- FILLER_77_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 269730 ) FS ;
- FILLER_77_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 269730 ) FS ;
- FILLER_77_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 269730 ) FS ;
- FILLER_77_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 269730 ) FS ;
- FILLER_77_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 269730 ) FS ;
- FILLER_77_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 269730 ) FS ;
- FILLER_77_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 269730 ) FS ;
- FILLER_77_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 269730 ) FS ;
- FILLER_77_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 269730 ) FS ;
- FILLER_77_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 269730 ) FS ;
- FILLER_77_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 269730 ) FS ;
- FILLER_77_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 269730 ) FS ;
- FILLER_77_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 269730 ) FS ;
- FILLER_77_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 269730 ) FS ;
- FILLER_77_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 269730 ) FS ;
- FILLER_77_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 269730 ) FS ;
- FILLER_77_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 269730 ) FS ;
- FILLER_77_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 269730 ) FS ;
- FILLER_77_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 269730 ) FS ;
- FILLER_77_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 269730 ) FS ;
- FILLER_77_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 269730 ) FS ;
- FILLER_77_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 269730 ) FS ;
- FILLER_77_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 269730 ) FS ;
- FILLER_77_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 269730 ) FS ;
- FILLER_77_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 269730 ) FS ;
- FILLER_77_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 269730 ) FS ;
- FILLER_77_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 269730 ) FS ;
- FILLER_77_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 269730 ) FS ;
- FILLER_77_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 269730 ) FS ;
- FILLER_77_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 269730 ) FS ;
- FILLER_77_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 269730 ) FS ;
- FILLER_77_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 269730 ) FS ;
- FILLER_77_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 269730 ) FS ;
- FILLER_77_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 269730 ) FS ;
- FILLER_77_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 269730 ) FS ;
- FILLER_77_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 269730 ) FS ;
- FILLER_77_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 269730 ) FS ;
- FILLER_77_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 269730 ) FS ;
- FILLER_77_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 269730 ) FS ;
- FILLER_77_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 269730 ) FS ;
- FILLER_77_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 269730 ) FS ;
- FILLER_77_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 269730 ) FS ;
- FILLER_77_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 269730 ) FS ;
- FILLER_77_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 269730 ) FS ;
- FILLER_77_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 269730 ) FS ;
- FILLER_77_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 269730 ) FS ;
- FILLER_77_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 269730 ) FS ;
- FILLER_77_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 269730 ) FS ;
- FILLER_77_538 sky130_fd_sc_ls__fill_2 + PLACED ( 264000 269730 ) FS ;
- FILLER_77_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 269730 ) FS ;
- FILLER_77_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 269730 ) FS ;
- FILLER_77_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 269730 ) FS ;
- FILLER_77_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 269730 ) FS ;
- FILLER_77_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 269730 ) FS ;
- FILLER_77_58 sky130_fd_sc_ls__decap_8 + PLACED ( 33600 269730 ) FS ;
- FILLER_77_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 269730 ) FS ;
- FILLER_77_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 269730 ) FS ;
- FILLER_77_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 269730 ) FS ;
- FILLER_77_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 269730 ) FS ;
- FILLER_77_66 sky130_fd_sc_ls__decap_8 + PLACED ( 37440 269730 ) FS ;
- FILLER_77_74 sky130_fd_sc_ls__decap_8 + PLACED ( 41280 269730 ) FS ;
- FILLER_77_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 269730 ) FS ;
- FILLER_77_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 269730 ) FS ;
- FILLER_77_98 sky130_fd_sc_ls__decap_8 + PLACED ( 52800 269730 ) FS ;
- FILLER_78_100 sky130_fd_sc_ls__fill_1 + PLACED ( 53760 273060 ) N ;
- FILLER_78_104 sky130_fd_sc_ls__decap_8 + PLACED ( 55680 273060 ) N ;
- FILLER_78_112 sky130_fd_sc_ls__decap_8 + PLACED ( 59520 273060 ) N ;
- FILLER_78_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 273060 ) N ;
- FILLER_78_120 sky130_fd_sc_ls__decap_8 + PLACED ( 63360 273060 ) N ;
- FILLER_78_128 sky130_fd_sc_ls__decap_4 + PLACED ( 67200 273060 ) N ;
- FILLER_78_132 sky130_fd_sc_ls__fill_2 + PLACED ( 69120 273060 ) N ;
- FILLER_78_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 273060 ) N ;
- FILLER_78_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 273060 ) N ;
- FILLER_78_144 sky130_fd_sc_ls__decap_8 + PLACED ( 74880 273060 ) N ;
- FILLER_78_152 sky130_fd_sc_ls__decap_8 + PLACED ( 78720 273060 ) N ;
- FILLER_78_160 sky130_fd_sc_ls__decap_8 + PLACED ( 82560 273060 ) N ;
- FILLER_78_168 sky130_fd_sc_ls__decap_8 + PLACED ( 86400 273060 ) N ;
- FILLER_78_176 sky130_fd_sc_ls__decap_8 + PLACED ( 90240 273060 ) N ;
- FILLER_78_184 sky130_fd_sc_ls__decap_4 + PLACED ( 94080 273060 ) N ;
- FILLER_78_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 273060 ) N ;
- FILLER_78_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 273060 ) N ;
- FILLER_78_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 273060 ) N ;
- FILLER_78_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 273060 ) N ;
- FILLER_78_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 273060 ) N ;
- FILLER_78_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 273060 ) N ;
- FILLER_78_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 273060 ) N ;
- FILLER_78_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 273060 ) N ;
- FILLER_78_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 273060 ) N ;
- FILLER_78_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 273060 ) N ;
- FILLER_78_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 273060 ) N ;
- FILLER_78_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 273060 ) N ;
- FILLER_78_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 273060 ) N ;
- FILLER_78_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 273060 ) N ;
- FILLER_78_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 273060 ) N ;
- FILLER_78_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 273060 ) N ;
- FILLER_78_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 273060 ) N ;
- FILLER_78_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 273060 ) N ;
- FILLER_78_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 273060 ) N ;
- FILLER_78_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 273060 ) N ;
- FILLER_78_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 273060 ) N ;
- FILLER_78_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 273060 ) N ;
- FILLER_78_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 273060 ) N ;
- FILLER_78_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 273060 ) N ;
- FILLER_78_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 273060 ) N ;
- FILLER_78_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 273060 ) N ;
- FILLER_78_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 273060 ) N ;
- FILLER_78_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 273060 ) N ;
- FILLER_78_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 273060 ) N ;
- FILLER_78_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 273060 ) N ;
- FILLER_78_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 273060 ) N ;
- FILLER_78_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 273060 ) N ;
- FILLER_78_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 273060 ) N ;
- FILLER_78_376 sky130_fd_sc_ls__fill_2 + PLACED ( 186240 273060 ) N ;
- FILLER_78_378 sky130_fd_sc_ls__fill_1 + PLACED ( 187200 273060 ) N ;
- FILLER_78_382 sky130_fd_sc_ls__decap_8 + PLACED ( 189120 273060 ) N ;
- FILLER_78_390 sky130_fd_sc_ls__decap_8 + PLACED ( 192960 273060 ) N ;
- FILLER_78_398 sky130_fd_sc_ls__decap_4 + PLACED ( 196800 273060 ) N ;
- FILLER_78_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 273060 ) N ;
- FILLER_78_402 sky130_fd_sc_ls__fill_2 + PLACED ( 198720 273060 ) N ;
- FILLER_78_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 273060 ) N ;
- FILLER_78_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 273060 ) N ;
- FILLER_78_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 273060 ) N ;
- FILLER_78_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 273060 ) N ;
- FILLER_78_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 273060 ) N ;
- FILLER_78_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 273060 ) N ;
- FILLER_78_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 273060 ) N ;
- FILLER_78_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 273060 ) N ;
- FILLER_78_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 273060 ) N ;
- FILLER_78_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 273060 ) N ;
- FILLER_78_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 273060 ) N ;
- FILLER_78_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 273060 ) N ;
- FILLER_78_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 273060 ) N ;
- FILLER_78_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 273060 ) N ;
- FILLER_78_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 273060 ) N ;
- FILLER_78_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 273060 ) N ;
- FILLER_78_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 273060 ) N ;
- FILLER_78_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 273060 ) N ;
- FILLER_78_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 273060 ) N ;
- FILLER_78_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 273060 ) N ;
- FILLER_78_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 273060 ) N ;
- FILLER_78_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 273060 ) N ;
- FILLER_78_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 273060 ) N ;
- FILLER_78_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 273060 ) N ;
- FILLER_78_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 273060 ) N ;
- FILLER_78_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 273060 ) N ;
- FILLER_78_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 273060 ) N ;
- FILLER_78_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 273060 ) N ;
- FILLER_78_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 273060 ) N ;
- FILLER_78_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 273060 ) N ;
- FILLER_78_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 273060 ) N ;
- FILLER_78_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 273060 ) N ;
- FILLER_78_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 273060 ) N ;
- FILLER_78_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 273060 ) N ;
- FILLER_78_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 273060 ) N ;
- FILLER_78_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 273060 ) N ;
- FILLER_78_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 273060 ) N ;
- FILLER_78_90 sky130_fd_sc_ls__decap_8 + PLACED ( 48960 273060 ) N ;
- FILLER_78_98 sky130_fd_sc_ls__fill_2 + PLACED ( 52800 273060 ) N ;
- FILLER_79_103 sky130_fd_sc_ls__decap_4 + PLACED ( 55200 276390 ) FS ;
- FILLER_79_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 276390 ) FS ;
- FILLER_79_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 276390 ) FS ;
- FILLER_79_117 sky130_fd_sc_ls__decap_8 + PLACED ( 61920 276390 ) FS ;
- FILLER_79_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 276390 ) FS ;
- FILLER_79_125 sky130_fd_sc_ls__decap_8 + PLACED ( 65760 276390 ) FS ;
- FILLER_79_133 sky130_fd_sc_ls__decap_8 + PLACED ( 69600 276390 ) FS ;
- FILLER_79_141 sky130_fd_sc_ls__decap_8 + PLACED ( 73440 276390 ) FS ;
- FILLER_79_149 sky130_fd_sc_ls__decap_8 + PLACED ( 77280 276390 ) FS ;
- FILLER_79_157 sky130_fd_sc_ls__decap_4 + PLACED ( 81120 276390 ) FS ;
- FILLER_79_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 276390 ) FS ;
- FILLER_79_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 276390 ) FS ;
- FILLER_79_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 276390 ) FS ;
- FILLER_79_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 276390 ) FS ;
- FILLER_79_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 276390 ) FS ;
- FILLER_79_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 276390 ) FS ;
- FILLER_79_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 276390 ) FS ;
- FILLER_79_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 276390 ) FS ;
- FILLER_79_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 276390 ) FS ;
- FILLER_79_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 276390 ) FS ;
- FILLER_79_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 276390 ) FS ;
- FILLER_79_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 276390 ) FS ;
- FILLER_79_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 276390 ) FS ;
- FILLER_79_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 276390 ) FS ;
- FILLER_79_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 276390 ) FS ;
- FILLER_79_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 276390 ) FS ;
- FILLER_79_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 276390 ) FS ;
- FILLER_79_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 276390 ) FS ;
- FILLER_79_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 276390 ) FS ;
- FILLER_79_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 276390 ) FS ;
- FILLER_79_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 276390 ) FS ;
- FILLER_79_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 276390 ) FS ;
- FILLER_79_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 276390 ) FS ;
- FILLER_79_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 276390 ) FS ;
- FILLER_79_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 276390 ) FS ;
- FILLER_79_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 276390 ) FS ;
- FILLER_79_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 276390 ) FS ;
- FILLER_79_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 276390 ) FS ;
- FILLER_79_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 276390 ) FS ;
- FILLER_79_341 sky130_fd_sc_ls__decap_4 + PLACED ( 169440 276390 ) FS ;
- FILLER_79_345 sky130_fd_sc_ls__fill_1 + PLACED ( 171360 276390 ) FS ;
- FILLER_79_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 276390 ) FS ;
- FILLER_79_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 276390 ) FS ;
- FILLER_79_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 276390 ) FS ;
- FILLER_79_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 276390 ) FS ;
- FILLER_79_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 276390 ) FS ;
- FILLER_79_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 276390 ) FS ;
- FILLER_79_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 276390 ) FS ;
- FILLER_79_39 sky130_fd_sc_ls__decap_8 + PLACED ( 24480 276390 ) FS ;
- FILLER_79_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 276390 ) FS ;
- FILLER_79_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 276390 ) FS ;
- FILLER_79_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 276390 ) FS ;
- FILLER_79_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 276390 ) FS ;
- FILLER_79_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 276390 ) FS ;
- FILLER_79_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 276390 ) FS ;
- FILLER_79_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 276390 ) FS ;
- FILLER_79_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 276390 ) FS ;
- FILLER_79_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 276390 ) FS ;
- FILLER_79_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 276390 ) FS ;
- FILLER_79_457 sky130_fd_sc_ls__fill_2 + PLACED ( 225120 276390 ) FS ;
- FILLER_79_459 sky130_fd_sc_ls__fill_1 + PLACED ( 226080 276390 ) FS ;
- FILLER_79_462 sky130_fd_sc_ls__decap_8 + PLACED ( 227520 276390 ) FS ;
- FILLER_79_47 sky130_fd_sc_ls__decap_4 + PLACED ( 28320 276390 ) FS ;
- FILLER_79_470 sky130_fd_sc_ls__decap_8 + PLACED ( 231360 276390 ) FS ;
- FILLER_79_478 sky130_fd_sc_ls__decap_8 + PLACED ( 235200 276390 ) FS ;
- FILLER_79_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 276390 ) FS ;
- FILLER_79_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 276390 ) FS ;
- FILLER_79_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 276390 ) FS ;
- FILLER_79_51 sky130_fd_sc_ls__fill_2 + PLACED ( 30240 276390 ) FS ;
- FILLER_79_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 276390 ) FS ;
- FILLER_79_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 276390 ) FS ;
- FILLER_79_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 276390 ) FS ;
- FILLER_79_53 sky130_fd_sc_ls__fill_1 + PLACED ( 31200 276390 ) FS ;
- FILLER_79_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 276390 ) FS ;
- FILLER_79_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 276390 ) FS ;
- FILLER_79_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 276390 ) FS ;
- FILLER_79_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 276390 ) FS ;
- FILLER_79_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 276390 ) FS ;
- FILLER_79_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 276390 ) FS ;
- FILLER_79_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 276390 ) FS ;
- FILLER_79_576 sky130_fd_sc_ls__decap_4 + PLACED ( 282240 276390 ) FS ;
- FILLER_79_580 sky130_fd_sc_ls__fill_1 + PLACED ( 284160 276390 ) FS ;
- FILLER_79_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 276390 ) FS ;
- FILLER_79_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 276390 ) FS ;
- FILLER_79_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 276390 ) FS ;
- FILLER_79_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 276390 ) FS ;
- FILLER_79_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 276390 ) FS ;
- FILLER_79_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 276390 ) FS ;
- FILLER_79_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 276390 ) FS ;
- FILLER_79_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 276390 ) FS ;
- FILLER_79_95 sky130_fd_sc_ls__decap_8 + PLACED ( 51360 276390 ) FS ;
- FILLER_7_101 sky130_fd_sc_ls__decap_4 + PLACED ( 54240 36630 ) FS ;
- FILLER_7_105 sky130_fd_sc_ls__fill_2 + PLACED ( 56160 36630 ) FS ;
- FILLER_7_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 36630 ) FS ;
- FILLER_7_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 36630 ) FS ;
- FILLER_7_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 36630 ) FS ;
- FILLER_7_122 sky130_fd_sc_ls__decap_8 + PLACED ( 64320 36630 ) FS ;
- FILLER_7_130 sky130_fd_sc_ls__decap_8 + PLACED ( 68160 36630 ) FS ;
- FILLER_7_138 sky130_fd_sc_ls__decap_8 + PLACED ( 72000 36630 ) FS ;
- FILLER_7_146 sky130_fd_sc_ls__decap_8 + PLACED ( 75840 36630 ) FS ;
- FILLER_7_154 sky130_fd_sc_ls__decap_8 + PLACED ( 79680 36630 ) FS ;
- FILLER_7_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 36630 ) FS ;
- FILLER_7_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 36630 ) FS ;
- FILLER_7_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 36630 ) FS ;
- FILLER_7_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 36630 ) FS ;
- FILLER_7_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 36630 ) FS ;
- FILLER_7_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 36630 ) FS ;
- FILLER_7_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 36630 ) FS ;
- FILLER_7_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 36630 ) FS ;
- FILLER_7_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 36630 ) FS ;
- FILLER_7_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 36630 ) FS ;
- FILLER_7_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 36630 ) FS ;
- FILLER_7_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 36630 ) FS ;
- FILLER_7_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 36630 ) FS ;
- FILLER_7_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 36630 ) FS ;
- FILLER_7_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 36630 ) FS ;
- FILLER_7_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 36630 ) FS ;
- FILLER_7_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 36630 ) FS ;
- FILLER_7_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 36630 ) FS ;
- FILLER_7_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 36630 ) FS ;
- FILLER_7_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 36630 ) FS ;
- FILLER_7_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 36630 ) FS ;
- FILLER_7_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 36630 ) FS ;
- FILLER_7_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 36630 ) FS ;
- FILLER_7_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 36630 ) FS ;
- FILLER_7_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 36630 ) FS ;
- FILLER_7_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 36630 ) FS ;
- FILLER_7_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 36630 ) FS ;
- FILLER_7_333 sky130_fd_sc_ls__decap_4 + PLACED ( 165600 36630 ) FS ;
- FILLER_7_337 sky130_fd_sc_ls__fill_1 + PLACED ( 167520 36630 ) FS ;
- FILLER_7_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 36630 ) FS ;
- FILLER_7_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 36630 ) FS ;
- FILLER_7_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 36630 ) FS ;
- FILLER_7_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 36630 ) FS ;
- FILLER_7_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 36630 ) FS ;
- FILLER_7_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 36630 ) FS ;
- FILLER_7_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 36630 ) FS ;
- FILLER_7_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 36630 ) FS ;
- FILLER_7_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 36630 ) FS ;
- FILLER_7_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 36630 ) FS ;
- FILLER_7_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 36630 ) FS ;
- FILLER_7_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 36630 ) FS ;
- FILLER_7_411 sky130_fd_sc_ls__decap_8 + PLACED ( 203040 36630 ) FS ;
- FILLER_7_419 sky130_fd_sc_ls__decap_8 + PLACED ( 206880 36630 ) FS ;
- FILLER_7_427 sky130_fd_sc_ls__decap_4 + PLACED ( 210720 36630 ) FS ;
- FILLER_7_431 sky130_fd_sc_ls__fill_1 + PLACED ( 212640 36630 ) FS ;
- FILLER_7_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 36630 ) FS ;
- FILLER_7_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 36630 ) FS ;
- FILLER_7_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 36630 ) FS ;
- FILLER_7_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 36630 ) FS ;
- FILLER_7_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 36630 ) FS ;
- FILLER_7_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 36630 ) FS ;
- FILLER_7_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 36630 ) FS ;
- FILLER_7_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 36630 ) FS ;
- FILLER_7_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 36630 ) FS ;
- FILLER_7_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 36630 ) FS ;
- FILLER_7_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 36630 ) FS ;
- FILLER_7_503 sky130_fd_sc_ls__decap_8 + PLACED ( 247200 36630 ) FS ;
- FILLER_7_511 sky130_fd_sc_ls__decap_8 + PLACED ( 251040 36630 ) FS ;
- FILLER_7_519 sky130_fd_sc_ls__decap_8 + PLACED ( 254880 36630 ) FS ;
- FILLER_7_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 36630 ) FS ;
- FILLER_7_527 sky130_fd_sc_ls__decap_8 + PLACED ( 258720 36630 ) FS ;
- FILLER_7_535 sky130_fd_sc_ls__decap_4 + PLACED ( 262560 36630 ) FS ;
- FILLER_7_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 36630 ) FS ;
- FILLER_7_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 36630 ) FS ;
- FILLER_7_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 36630 ) FS ;
- FILLER_7_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 36630 ) FS ;
- FILLER_7_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 36630 ) FS ;
- FILLER_7_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 36630 ) FS ;
- FILLER_7_573 sky130_fd_sc_ls__decap_4 + PLACED ( 280800 36630 ) FS ;
- FILLER_7_577 sky130_fd_sc_ls__fill_1 + PLACED ( 282720 36630 ) FS ;
- FILLER_7_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 36630 ) FS ;
- FILLER_7_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 36630 ) FS ;
- FILLER_7_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 36630 ) FS ;
- FILLER_7_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 36630 ) FS ;
- FILLER_7_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 36630 ) FS ;
- FILLER_7_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 36630 ) FS ;
- FILLER_7_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 36630 ) FS ;
- FILLER_7_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 36630 ) FS ;
- FILLER_7_95 sky130_fd_sc_ls__fill_2 + PLACED ( 51360 36630 ) FS ;
- FILLER_7_97 sky130_fd_sc_ls__fill_1 + PLACED ( 52320 36630 ) FS ;
- FILLER_80_10 sky130_fd_sc_ls__fill_2 + PLACED ( 10560 279720 ) N ;
- FILLER_80_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 279720 ) N ;
- FILLER_80_104 sky130_fd_sc_ls__fill_2 + PLACED ( 55680 279720 ) N ;
- FILLER_80_106 sky130_fd_sc_ls__fill_1 + PLACED ( 56640 279720 ) N ;
- FILLER_80_109 sky130_fd_sc_ls__decap_8 + PLACED ( 58080 279720 ) N ;
- FILLER_80_117 sky130_fd_sc_ls__decap_4 + PLACED ( 61920 279720 ) N ;
- FILLER_80_124 sky130_fd_sc_ls__decap_4 + PLACED ( 65280 279720 ) N ;
- FILLER_80_130 sky130_fd_sc_ls__decap_4 + PLACED ( 68160 279720 ) N ;
- FILLER_80_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 279720 ) N ;
- FILLER_80_136 sky130_fd_sc_ls__decap_8 + PLACED ( 71040 279720 ) N ;
- FILLER_80_14 sky130_fd_sc_ls__decap_8 + PLACED ( 12480 279720 ) N ;
- FILLER_80_147 sky130_fd_sc_ls__decap_4 + PLACED ( 76320 279720 ) N ;
- FILLER_80_151 sky130_fd_sc_ls__fill_1 + PLACED ( 78240 279720 ) N ;
- FILLER_80_155 sky130_fd_sc_ls__decap_4 + PLACED ( 80160 279720 ) N ;
- FILLER_80_159 sky130_fd_sc_ls__fill_2 + PLACED ( 82080 279720 ) N ;
- FILLER_80_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 279720 ) N ;
- FILLER_80_171 sky130_fd_sc_ls__decap_4 + PLACED ( 87840 279720 ) N ;
- FILLER_80_178 sky130_fd_sc_ls__decap_8 + PLACED ( 91200 279720 ) N ;
- FILLER_80_186 sky130_fd_sc_ls__fill_2 + PLACED ( 95040 279720 ) N ;
- FILLER_80_188 sky130_fd_sc_ls__fill_1 + PLACED ( 96000 279720 ) N ;
- FILLER_80_192 sky130_fd_sc_ls__decap_8 + PLACED ( 97920 279720 ) N ;
- FILLER_80_200 sky130_fd_sc_ls__fill_2 + PLACED ( 101760 279720 ) N ;
- FILLER_80_204 sky130_fd_sc_ls__decap_8 + PLACED ( 103680 279720 ) N ;
- FILLER_80_212 sky130_fd_sc_ls__decap_8 + PLACED ( 107520 279720 ) N ;
- FILLER_80_22 sky130_fd_sc_ls__decap_4 + PLACED ( 16320 279720 ) N ;
- FILLER_80_220 sky130_fd_sc_ls__decap_8 + PLACED ( 111360 279720 ) N ;
- FILLER_80_228 sky130_fd_sc_ls__decap_8 + PLACED ( 115200 279720 ) N ;
- FILLER_80_236 sky130_fd_sc_ls__decap_4 + PLACED ( 119040 279720 ) N ;
- FILLER_80_240 sky130_fd_sc_ls__fill_2 + PLACED ( 120960 279720 ) N ;
- FILLER_80_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 279720 ) N ;
- FILLER_80_246 sky130_fd_sc_ls__decap_8 + PLACED ( 123840 279720 ) N ;
- FILLER_80_254 sky130_fd_sc_ls__decap_8 + PLACED ( 127680 279720 ) N ;
- FILLER_80_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 279720 ) N ;
- FILLER_80_262 sky130_fd_sc_ls__decap_4 + PLACED ( 131520 279720 ) N ;
- FILLER_80_266 sky130_fd_sc_ls__fill_2 + PLACED ( 133440 279720 ) N ;
- FILLER_80_268 sky130_fd_sc_ls__fill_1 + PLACED ( 134400 279720 ) N ;
- FILLER_80_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 279720 ) N ;
- FILLER_80_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 279720 ) N ;
- FILLER_80_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 279720 ) N ;
- FILLER_80_287 sky130_fd_sc_ls__decap_4 + PLACED ( 143520 279720 ) N ;
- FILLER_80_293 sky130_fd_sc_ls__decap_4 + PLACED ( 146400 279720 ) N ;
- FILLER_80_304 sky130_fd_sc_ls__decap_8 + PLACED ( 151680 279720 ) N ;
- FILLER_80_312 sky130_fd_sc_ls__decap_8 + PLACED ( 155520 279720 ) N ;
- FILLER_80_320 sky130_fd_sc_ls__decap_8 + PLACED ( 159360 279720 ) N ;
- FILLER_80_328 sky130_fd_sc_ls__decap_4 + PLACED ( 163200 279720 ) N ;
- FILLER_80_332 sky130_fd_sc_ls__fill_2 + PLACED ( 165120 279720 ) N ;
- FILLER_80_336 sky130_fd_sc_ls__decap_8 + PLACED ( 167040 279720 ) N ;
- FILLER_80_344 sky130_fd_sc_ls__decap_4 + PLACED ( 170880 279720 ) N ;
- FILLER_80_348 sky130_fd_sc_ls__fill_2 + PLACED ( 172800 279720 ) N ;
- FILLER_80_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 279720 ) N ;
- FILLER_80_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 279720 ) N ;
- FILLER_80_36 sky130_fd_sc_ls__fill_2 + PLACED ( 23040 279720 ) N ;
- FILLER_80_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 279720 ) N ;
- FILLER_80_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 279720 ) N ;
- FILLER_80_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 279720 ) N ;
- FILLER_80_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 279720 ) N ;
- FILLER_80_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 279720 ) N ;
- FILLER_80_40 sky130_fd_sc_ls__decap_4 + PLACED ( 24960 279720 ) N ;
- FILLER_80_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 279720 ) N ;
- FILLER_80_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 279720 ) N ;
- FILLER_80_408 sky130_fd_sc_ls__decap_8 + PLACED ( 201600 279720 ) N ;
- FILLER_80_416 sky130_fd_sc_ls__decap_4 + PLACED ( 205440 279720 ) N ;
- FILLER_80_420 sky130_fd_sc_ls__fill_2 + PLACED ( 207360 279720 ) N ;
- FILLER_80_422 sky130_fd_sc_ls__fill_1 + PLACED ( 208320 279720 ) N ;
- FILLER_80_425 sky130_fd_sc_ls__decap_4 + PLACED ( 209760 279720 ) N ;
- FILLER_80_435 sky130_fd_sc_ls__decap_8 + PLACED ( 214560 279720 ) N ;
- FILLER_80_443 sky130_fd_sc_ls__decap_8 + PLACED ( 218400 279720 ) N ;
- FILLER_80_451 sky130_fd_sc_ls__decap_8 + PLACED ( 222240 279720 ) N ;
- FILLER_80_46 sky130_fd_sc_ls__decap_8 + PLACED ( 27840 279720 ) N ;
- FILLER_80_460 sky130_fd_sc_ls__fill_2 + PLACED ( 226560 279720 ) N ;
- FILLER_80_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 279720 ) N ;
- FILLER_80_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 279720 ) N ;
- FILLER_80_484 sky130_fd_sc_ls__decap_4 + PLACED ( 238080 279720 ) N ;
- FILLER_80_490 sky130_fd_sc_ls__decap_8 + PLACED ( 240960 279720 ) N ;
- FILLER_80_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 279720 ) N ;
- FILLER_80_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 279720 ) N ;
- FILLER_80_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 279720 ) N ;
- FILLER_80_514 sky130_fd_sc_ls__fill_1 + PLACED ( 252480 279720 ) N ;
- FILLER_80_517 sky130_fd_sc_ls__decap_8 + PLACED ( 253920 279720 ) N ;
- FILLER_80_525 sky130_fd_sc_ls__decap_8 + PLACED ( 257760 279720 ) N ;
- FILLER_80_533 sky130_fd_sc_ls__decap_8 + PLACED ( 261600 279720 ) N ;
- FILLER_80_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 279720 ) N ;
- FILLER_80_549 sky130_fd_sc_ls__decap_4 + PLACED ( 269280 279720 ) N ;
- FILLER_80_553 sky130_fd_sc_ls__fill_2 + PLACED ( 271200 279720 ) N ;
- FILLER_80_557 sky130_fd_sc_ls__decap_4 + PLACED ( 273120 279720 ) N ;
- FILLER_80_56 sky130_fd_sc_ls__decap_8 + PLACED ( 32640 279720 ) N ;
- FILLER_80_563 sky130_fd_sc_ls__decap_4 + PLACED ( 276000 279720 ) N ;
- FILLER_80_571 sky130_fd_sc_ls__decap_8 + PLACED ( 279840 279720 ) N ;
- FILLER_80_579 sky130_fd_sc_ls__fill_1 + PLACED ( 283680 279720 ) N ;
- FILLER_80_583 sky130_fd_sc_ls__decap_4 + PLACED ( 285600 279720 ) N ;
- FILLER_80_593 sky130_fd_sc_ls__decap_4 + PLACED ( 290400 279720 ) N ;
- FILLER_80_6 sky130_fd_sc_ls__decap_4 + PLACED ( 8640 279720 ) N ;
- FILLER_80_64 sky130_fd_sc_ls__decap_8 + PLACED ( 36480 279720 ) N ;
- FILLER_80_72 sky130_fd_sc_ls__decap_8 + PLACED ( 40320 279720 ) N ;
- FILLER_80_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 279720 ) N ;
- FILLER_80_82 sky130_fd_sc_ls__decap_8 + PLACED ( 45120 279720 ) N ;
- FILLER_80_90 sky130_fd_sc_ls__fill_2 + PLACED ( 48960 279720 ) N ;
- FILLER_80_94 sky130_fd_sc_ls__decap_4 + PLACED ( 50880 279720 ) N ;
- FILLER_81_10 sky130_fd_sc_ls__decap_4 + PLACED ( 10560 283050 ) FS ;
- FILLER_81_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 283050 ) FS ;
- FILLER_81_115 sky130_fd_sc_ls__decap_4 + PLACED ( 60960 283050 ) FS ;
- FILLER_81_119 sky130_fd_sc_ls__fill_2 + PLACED ( 62880 283050 ) FS ;
- FILLER_81_121 sky130_fd_sc_ls__fill_1 + PLACED ( 63840 283050 ) FS ;
- FILLER_81_128 sky130_fd_sc_ls__decap_4 + PLACED ( 67200 283050 ) FS ;
- FILLER_81_132 sky130_fd_sc_ls__fill_2 + PLACED ( 69120 283050 ) FS ;
- FILLER_81_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 283050 ) FS ;
- FILLER_81_139 sky130_fd_sc_ls__decap_4 + PLACED ( 72480 283050 ) FS ;
- FILLER_81_145 sky130_fd_sc_ls__decap_4 + PLACED ( 75360 283050 ) FS ;
- FILLER_81_155 sky130_fd_sc_ls__decap_4 + PLACED ( 80160 283050 ) FS ;
- FILLER_81_159 sky130_fd_sc_ls__fill_2 + PLACED ( 82080 283050 ) FS ;
- FILLER_81_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 283050 ) FS ;
- FILLER_81_169 sky130_fd_sc_ls__decap_4 + PLACED ( 86880 283050 ) FS ;
- FILLER_81_179 sky130_fd_sc_ls__decap_4 + PLACED ( 91680 283050 ) FS ;
- FILLER_81_185 sky130_fd_sc_ls__decap_4 + PLACED ( 94560 283050 ) FS ;
- FILLER_81_196 sky130_fd_sc_ls__decap_8 + PLACED ( 99840 283050 ) FS ;
- FILLER_81_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 283050 ) FS ;
- FILLER_81_210 sky130_fd_sc_ls__decap_4 + PLACED ( 106560 283050 ) FS ;
- FILLER_81_214 sky130_fd_sc_ls__fill_2 + PLACED ( 108480 283050 ) FS ;
- FILLER_81_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 283050 ) FS ;
- FILLER_81_225 sky130_fd_sc_ls__fill_1 + PLACED ( 113760 283050 ) FS ;
- FILLER_81_228 sky130_fd_sc_ls__decap_4 + PLACED ( 115200 283050 ) FS ;
- FILLER_81_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 283050 ) FS ;
- FILLER_81_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 283050 ) FS ;
- FILLER_81_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 283050 ) FS ;
- FILLER_81_250 sky130_fd_sc_ls__decap_4 + PLACED ( 125760 283050 ) FS ;
- FILLER_81_256 sky130_fd_sc_ls__decap_4 + PLACED ( 128640 283050 ) FS ;
- FILLER_81_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 283050 ) FS ;
- FILLER_81_266 sky130_fd_sc_ls__decap_4 + PLACED ( 133440 283050 ) FS ;
- FILLER_81_277 sky130_fd_sc_ls__decap_8 + PLACED ( 138720 283050 ) FS ;
- FILLER_81_28 sky130_fd_sc_ls__fill_2 + PLACED ( 19200 283050 ) FS ;
- FILLER_81_285 sky130_fd_sc_ls__decap_4 + PLACED ( 142560 283050 ) FS ;
- FILLER_81_289 sky130_fd_sc_ls__fill_2 + PLACED ( 144480 283050 ) FS ;
- FILLER_81_293 sky130_fd_sc_ls__decap_4 + PLACED ( 146400 283050 ) FS ;
- FILLER_81_298 sky130_fd_sc_ls__decap_4 + PLACED ( 148800 283050 ) FS ;
- FILLER_81_302 sky130_fd_sc_ls__fill_1 + PLACED ( 150720 283050 ) FS ;
- FILLER_81_309 sky130_fd_sc_ls__decap_8 + PLACED ( 154080 283050 ) FS ;
- FILLER_81_317 sky130_fd_sc_ls__fill_1 + PLACED ( 157920 283050 ) FS ;
- FILLER_81_320 sky130_fd_sc_ls__decap_4 + PLACED ( 159360 283050 ) FS ;
- FILLER_81_325 sky130_fd_sc_ls__fill_1 + PLACED ( 161760 283050 ) FS ;
- FILLER_81_332 sky130_fd_sc_ls__decap_4 + PLACED ( 165120 283050 ) FS ;
- FILLER_81_342 sky130_fd_sc_ls__decap_8 + PLACED ( 169920 283050 ) FS ;
- FILLER_81_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 283050 ) FS ;
- FILLER_81_354 sky130_fd_sc_ls__decap_4 + PLACED ( 175680 283050 ) FS ;
- FILLER_81_36 sky130_fd_sc_ls__decap_4 + PLACED ( 23040 283050 ) FS ;
- FILLER_81_364 sky130_fd_sc_ls__decap_4 + PLACED ( 180480 283050 ) FS ;
- FILLER_81_374 sky130_fd_sc_ls__decap_4 + PLACED ( 185280 283050 ) FS ;
- FILLER_81_381 sky130_fd_sc_ls__decap_8 + PLACED ( 188640 283050 ) FS ;
- FILLER_81_391 sky130_fd_sc_ls__decap_4 + PLACED ( 193440 283050 ) FS ;
- FILLER_81_401 sky130_fd_sc_ls__decap_4 + PLACED ( 198240 283050 ) FS ;
- FILLER_81_413 sky130_fd_sc_ls__decap_8 + PLACED ( 204000 283050 ) FS ;
- FILLER_81_421 sky130_fd_sc_ls__decap_4 + PLACED ( 207840 283050 ) FS ;
- FILLER_81_425 sky130_fd_sc_ls__fill_1 + PLACED ( 209760 283050 ) FS ;
- FILLER_81_428 sky130_fd_sc_ls__decap_4 + PLACED ( 211200 283050 ) FS ;
- FILLER_81_433 sky130_fd_sc_ls__fill_2 + PLACED ( 213600 283050 ) FS ;
- FILLER_81_442 sky130_fd_sc_ls__decap_8 + PLACED ( 217920 283050 ) FS ;
- FILLER_81_450 sky130_fd_sc_ls__decap_8 + PLACED ( 221760 283050 ) FS ;
- FILLER_81_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 283050 ) FS ;
- FILLER_81_46 sky130_fd_sc_ls__decap_8 + PLACED ( 27840 283050 ) FS ;
- FILLER_81_460 sky130_fd_sc_ls__fill_1 + PLACED ( 226560 283050 ) FS ;
- FILLER_81_463 sky130_fd_sc_ls__decap_4 + PLACED ( 228000 283050 ) FS ;
- FILLER_81_474 sky130_fd_sc_ls__decap_8 + PLACED ( 233280 283050 ) FS ;
- FILLER_81_482 sky130_fd_sc_ls__decap_4 + PLACED ( 237120 283050 ) FS ;
- FILLER_81_487 sky130_fd_sc_ls__fill_2 + PLACED ( 239520 283050 ) FS ;
- FILLER_81_489 sky130_fd_sc_ls__fill_1 + PLACED ( 240480 283050 ) FS ;
- FILLER_81_496 sky130_fd_sc_ls__decap_4 + PLACED ( 243840 283050 ) FS ;
- FILLER_81_507 sky130_fd_sc_ls__decap_4 + PLACED ( 249120 283050 ) FS ;
- FILLER_81_511 sky130_fd_sc_ls__fill_2 + PLACED ( 251040 283050 ) FS ;
- FILLER_81_514 sky130_fd_sc_ls__fill_2 + PLACED ( 252480 283050 ) FS ;
- FILLER_81_516 sky130_fd_sc_ls__fill_1 + PLACED ( 253440 283050 ) FS ;
- FILLER_81_524 sky130_fd_sc_ls__decap_8 + PLACED ( 257280 283050 ) FS ;
- FILLER_81_532 sky130_fd_sc_ls__fill_2 + PLACED ( 261120 283050 ) FS ;
- FILLER_81_536 sky130_fd_sc_ls__decap_4 + PLACED ( 263040 283050 ) FS ;
- FILLER_81_548 sky130_fd_sc_ls__decap_8 + PLACED ( 268800 283050 ) FS ;
- FILLER_81_55 sky130_fd_sc_ls__fill_1 + PLACED ( 32160 283050 ) FS ;
- FILLER_81_556 sky130_fd_sc_ls__fill_1 + PLACED ( 272640 283050 ) FS ;
- FILLER_81_563 sky130_fd_sc_ls__decap_4 + PLACED ( 276000 283050 ) FS ;
- FILLER_81_575 sky130_fd_sc_ls__decap_8 + PLACED ( 281760 283050 ) FS ;
- FILLER_81_590 sky130_fd_sc_ls__decap_4 + PLACED ( 288960 283050 ) FS ;
- FILLER_81_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 283050 ) FS ;
- FILLER_81_62 sky130_fd_sc_ls__decap_8 + PLACED ( 35520 283050 ) FS ;
- FILLER_81_70 sky130_fd_sc_ls__decap_8 + PLACED ( 39360 283050 ) FS ;
- FILLER_81_78 sky130_fd_sc_ls__fill_2 + PLACED ( 43200 283050 ) FS ;
- FILLER_81_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 283050 ) FS ;
- FILLER_81_82 sky130_fd_sc_ls__fill_2 + PLACED ( 45120 283050 ) FS ;
- FILLER_81_90 sky130_fd_sc_ls__decap_4 + PLACED ( 48960 283050 ) FS ;
- FILLER_81_99 sky130_fd_sc_ls__decap_8 + PLACED ( 53280 283050 ) FS ;
- FILLER_8_100 sky130_fd_sc_ls__decap_4 + PLACED ( 53760 39960 ) N ;
- FILLER_8_106 sky130_fd_sc_ls__decap_4 + PLACED ( 56640 39960 ) N ;
- FILLER_8_112 sky130_fd_sc_ls__decap_8 + PLACED ( 59520 39960 ) N ;
- FILLER_8_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 39960 ) N ;
- FILLER_8_120 sky130_fd_sc_ls__decap_8 + PLACED ( 63360 39960 ) N ;
- FILLER_8_128 sky130_fd_sc_ls__decap_4 + PLACED ( 67200 39960 ) N ;
- FILLER_8_132 sky130_fd_sc_ls__fill_2 + PLACED ( 69120 39960 ) N ;
- FILLER_8_134 sky130_fd_sc_ls__fill_1 + PLACED ( 70080 39960 ) N ;
- FILLER_8_139 sky130_fd_sc_ls__decap_8 + PLACED ( 72480 39960 ) N ;
- FILLER_8_147 sky130_fd_sc_ls__decap_8 + PLACED ( 76320 39960 ) N ;
- FILLER_8_155 sky130_fd_sc_ls__decap_8 + PLACED ( 80160 39960 ) N ;
- FILLER_8_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 39960 ) N ;
- FILLER_8_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 39960 ) N ;
- FILLER_8_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 39960 ) N ;
- FILLER_8_187 sky130_fd_sc_ls__fill_2 + PLACED ( 95520 39960 ) N ;
- FILLER_8_190 sky130_fd_sc_ls__decap_8 + PLACED ( 96960 39960 ) N ;
- FILLER_8_198 sky130_fd_sc_ls__decap_8 + PLACED ( 100800 39960 ) N ;
- FILLER_8_20 sky130_fd_sc_ls__decap_4 + PLACED ( 15360 39960 ) N ;
- FILLER_8_206 sky130_fd_sc_ls__decap_8 + PLACED ( 104640 39960 ) N ;
- FILLER_8_214 sky130_fd_sc_ls__decap_8 + PLACED ( 108480 39960 ) N ;
- FILLER_8_222 sky130_fd_sc_ls__decap_8 + PLACED ( 112320 39960 ) N ;
- FILLER_8_230 sky130_fd_sc_ls__decap_8 + PLACED ( 116160 39960 ) N ;
- FILLER_8_238 sky130_fd_sc_ls__decap_4 + PLACED ( 120000 39960 ) N ;
- FILLER_8_24 sky130_fd_sc_ls__fill_2 + PLACED ( 17280 39960 ) N ;
- FILLER_8_242 sky130_fd_sc_ls__fill_1 + PLACED ( 121920 39960 ) N ;
- FILLER_8_244 sky130_fd_sc_ls__decap_8 + PLACED ( 122880 39960 ) N ;
- FILLER_8_252 sky130_fd_sc_ls__decap_8 + PLACED ( 126720 39960 ) N ;
- FILLER_8_26 sky130_fd_sc_ls__fill_1 + PLACED ( 18240 39960 ) N ;
- FILLER_8_260 sky130_fd_sc_ls__decap_8 + PLACED ( 130560 39960 ) N ;
- FILLER_8_268 sky130_fd_sc_ls__decap_8 + PLACED ( 134400 39960 ) N ;
- FILLER_8_276 sky130_fd_sc_ls__decap_8 + PLACED ( 138240 39960 ) N ;
- FILLER_8_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 39960 ) N ;
- FILLER_8_284 sky130_fd_sc_ls__decap_8 + PLACED ( 142080 39960 ) N ;
- FILLER_8_292 sky130_fd_sc_ls__decap_4 + PLACED ( 145920 39960 ) N ;
- FILLER_8_296 sky130_fd_sc_ls__fill_1 + PLACED ( 147840 39960 ) N ;
- FILLER_8_298 sky130_fd_sc_ls__decap_8 + PLACED ( 148800 39960 ) N ;
- FILLER_8_306 sky130_fd_sc_ls__decap_8 + PLACED ( 152640 39960 ) N ;
- FILLER_8_314 sky130_fd_sc_ls__decap_8 + PLACED ( 156480 39960 ) N ;
- FILLER_8_322 sky130_fd_sc_ls__decap_8 + PLACED ( 160320 39960 ) N ;
- FILLER_8_330 sky130_fd_sc_ls__decap_8 + PLACED ( 164160 39960 ) N ;
- FILLER_8_338 sky130_fd_sc_ls__decap_8 + PLACED ( 168000 39960 ) N ;
- FILLER_8_346 sky130_fd_sc_ls__decap_4 + PLACED ( 171840 39960 ) N ;
- FILLER_8_350 sky130_fd_sc_ls__fill_1 + PLACED ( 173760 39960 ) N ;
- FILLER_8_352 sky130_fd_sc_ls__decap_8 + PLACED ( 174720 39960 ) N ;
- FILLER_8_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 39960 ) N ;
- FILLER_8_360 sky130_fd_sc_ls__decap_8 + PLACED ( 178560 39960 ) N ;
- FILLER_8_368 sky130_fd_sc_ls__decap_8 + PLACED ( 182400 39960 ) N ;
- FILLER_8_376 sky130_fd_sc_ls__decap_8 + PLACED ( 186240 39960 ) N ;
- FILLER_8_384 sky130_fd_sc_ls__decap_8 + PLACED ( 190080 39960 ) N ;
- FILLER_8_392 sky130_fd_sc_ls__decap_8 + PLACED ( 193920 39960 ) N ;
- FILLER_8_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 39960 ) N ;
- FILLER_8_400 sky130_fd_sc_ls__decap_4 + PLACED ( 197760 39960 ) N ;
- FILLER_8_404 sky130_fd_sc_ls__fill_1 + PLACED ( 199680 39960 ) N ;
- FILLER_8_406 sky130_fd_sc_ls__decap_8 + PLACED ( 200640 39960 ) N ;
- FILLER_8_414 sky130_fd_sc_ls__decap_8 + PLACED ( 204480 39960 ) N ;
- FILLER_8_422 sky130_fd_sc_ls__decap_8 + PLACED ( 208320 39960 ) N ;
- FILLER_8_430 sky130_fd_sc_ls__decap_8 + PLACED ( 212160 39960 ) N ;
- FILLER_8_438 sky130_fd_sc_ls__decap_8 + PLACED ( 216000 39960 ) N ;
- FILLER_8_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 39960 ) N ;
- FILLER_8_446 sky130_fd_sc_ls__decap_8 + PLACED ( 219840 39960 ) N ;
- FILLER_8_454 sky130_fd_sc_ls__decap_4 + PLACED ( 223680 39960 ) N ;
- FILLER_8_458 sky130_fd_sc_ls__fill_1 + PLACED ( 225600 39960 ) N ;
- FILLER_8_460 sky130_fd_sc_ls__decap_8 + PLACED ( 226560 39960 ) N ;
- FILLER_8_468 sky130_fd_sc_ls__decap_8 + PLACED ( 230400 39960 ) N ;
- FILLER_8_476 sky130_fd_sc_ls__decap_8 + PLACED ( 234240 39960 ) N ;
- FILLER_8_484 sky130_fd_sc_ls__decap_8 + PLACED ( 238080 39960 ) N ;
- FILLER_8_492 sky130_fd_sc_ls__decap_8 + PLACED ( 241920 39960 ) N ;
- FILLER_8_500 sky130_fd_sc_ls__decap_8 + PLACED ( 245760 39960 ) N ;
- FILLER_8_508 sky130_fd_sc_ls__decap_4 + PLACED ( 249600 39960 ) N ;
- FILLER_8_512 sky130_fd_sc_ls__fill_1 + PLACED ( 251520 39960 ) N ;
- FILLER_8_514 sky130_fd_sc_ls__decap_8 + PLACED ( 252480 39960 ) N ;
- FILLER_8_52 sky130_fd_sc_ls__decap_8 + PLACED ( 30720 39960 ) N ;
- FILLER_8_522 sky130_fd_sc_ls__decap_8 + PLACED ( 256320 39960 ) N ;
- FILLER_8_530 sky130_fd_sc_ls__decap_8 + PLACED ( 260160 39960 ) N ;
- FILLER_8_538 sky130_fd_sc_ls__decap_8 + PLACED ( 264000 39960 ) N ;
- FILLER_8_546 sky130_fd_sc_ls__decap_8 + PLACED ( 267840 39960 ) N ;
- FILLER_8_554 sky130_fd_sc_ls__decap_8 + PLACED ( 271680 39960 ) N ;
- FILLER_8_562 sky130_fd_sc_ls__decap_4 + PLACED ( 275520 39960 ) N ;
- FILLER_8_566 sky130_fd_sc_ls__fill_1 + PLACED ( 277440 39960 ) N ;
- FILLER_8_568 sky130_fd_sc_ls__decap_8 + PLACED ( 278400 39960 ) N ;
- FILLER_8_576 sky130_fd_sc_ls__decap_8 + PLACED ( 282240 39960 ) N ;
- FILLER_8_584 sky130_fd_sc_ls__decap_8 + PLACED ( 286080 39960 ) N ;
- FILLER_8_592 sky130_fd_sc_ls__decap_4 + PLACED ( 289920 39960 ) N ;
- FILLER_8_596 sky130_fd_sc_ls__fill_1 + PLACED ( 291840 39960 ) N ;
- FILLER_8_60 sky130_fd_sc_ls__decap_8 + PLACED ( 34560 39960 ) N ;
- FILLER_8_68 sky130_fd_sc_ls__decap_8 + PLACED ( 38400 39960 ) N ;
- FILLER_8_76 sky130_fd_sc_ls__decap_4 + PLACED ( 42240 39960 ) N ;
- FILLER_8_80 sky130_fd_sc_ls__fill_1 + PLACED ( 44160 39960 ) N ;
- FILLER_8_94 sky130_fd_sc_ls__decap_4 + PLACED ( 50880 39960 ) N ;
- FILLER_9_101 sky130_fd_sc_ls__decap_4 + PLACED ( 54240 43290 ) FS ;
- FILLER_9_105 sky130_fd_sc_ls__fill_2 + PLACED ( 56160 43290 ) FS ;
- FILLER_9_107 sky130_fd_sc_ls__fill_1 + PLACED ( 57120 43290 ) FS ;
- FILLER_9_111 sky130_fd_sc_ls__decap_8 + PLACED ( 59040 43290 ) FS ;
- FILLER_9_119 sky130_fd_sc_ls__decap_8 + PLACED ( 62880 43290 ) FS ;
- FILLER_9_12 sky130_fd_sc_ls__decap_8 + PLACED ( 11520 43290 ) FS ;
- FILLER_9_127 sky130_fd_sc_ls__decap_8 + PLACED ( 66720 43290 ) FS ;
- FILLER_9_135 sky130_fd_sc_ls__decap_8 + PLACED ( 70560 43290 ) FS ;
- FILLER_9_143 sky130_fd_sc_ls__decap_8 + PLACED ( 74400 43290 ) FS ;
- FILLER_9_151 sky130_fd_sc_ls__decap_8 + PLACED ( 78240 43290 ) FS ;
- FILLER_9_159 sky130_fd_sc_ls__fill_2 + PLACED ( 82080 43290 ) FS ;
- FILLER_9_161 sky130_fd_sc_ls__fill_1 + PLACED ( 83040 43290 ) FS ;
- FILLER_9_163 sky130_fd_sc_ls__decap_8 + PLACED ( 84000 43290 ) FS ;
- FILLER_9_171 sky130_fd_sc_ls__decap_8 + PLACED ( 87840 43290 ) FS ;
- FILLER_9_179 sky130_fd_sc_ls__decap_8 + PLACED ( 91680 43290 ) FS ;
- FILLER_9_187 sky130_fd_sc_ls__decap_8 + PLACED ( 95520 43290 ) FS ;
- FILLER_9_195 sky130_fd_sc_ls__decap_8 + PLACED ( 99360 43290 ) FS ;
- FILLER_9_20 sky130_fd_sc_ls__decap_8 + PLACED ( 15360 43290 ) FS ;
- FILLER_9_203 sky130_fd_sc_ls__decap_8 + PLACED ( 103200 43290 ) FS ;
- FILLER_9_211 sky130_fd_sc_ls__decap_4 + PLACED ( 107040 43290 ) FS ;
- FILLER_9_215 sky130_fd_sc_ls__fill_1 + PLACED ( 108960 43290 ) FS ;
- FILLER_9_217 sky130_fd_sc_ls__decap_8 + PLACED ( 109920 43290 ) FS ;
- FILLER_9_225 sky130_fd_sc_ls__decap_8 + PLACED ( 113760 43290 ) FS ;
- FILLER_9_233 sky130_fd_sc_ls__decap_8 + PLACED ( 117600 43290 ) FS ;
- FILLER_9_241 sky130_fd_sc_ls__decap_8 + PLACED ( 121440 43290 ) FS ;
- FILLER_9_249 sky130_fd_sc_ls__decap_8 + PLACED ( 125280 43290 ) FS ;
- FILLER_9_257 sky130_fd_sc_ls__decap_8 + PLACED ( 129120 43290 ) FS ;
- FILLER_9_265 sky130_fd_sc_ls__decap_4 + PLACED ( 132960 43290 ) FS ;
- FILLER_9_269 sky130_fd_sc_ls__fill_1 + PLACED ( 134880 43290 ) FS ;
- FILLER_9_271 sky130_fd_sc_ls__decap_8 + PLACED ( 135840 43290 ) FS ;
- FILLER_9_279 sky130_fd_sc_ls__decap_8 + PLACED ( 139680 43290 ) FS ;
- FILLER_9_28 sky130_fd_sc_ls__decap_8 + PLACED ( 19200 43290 ) FS ;
- FILLER_9_287 sky130_fd_sc_ls__decap_8 + PLACED ( 143520 43290 ) FS ;
- FILLER_9_295 sky130_fd_sc_ls__decap_8 + PLACED ( 147360 43290 ) FS ;
- FILLER_9_303 sky130_fd_sc_ls__decap_8 + PLACED ( 151200 43290 ) FS ;
- FILLER_9_311 sky130_fd_sc_ls__decap_8 + PLACED ( 155040 43290 ) FS ;
- FILLER_9_319 sky130_fd_sc_ls__decap_4 + PLACED ( 158880 43290 ) FS ;
- FILLER_9_323 sky130_fd_sc_ls__fill_1 + PLACED ( 160800 43290 ) FS ;
- FILLER_9_325 sky130_fd_sc_ls__decap_8 + PLACED ( 161760 43290 ) FS ;
- FILLER_9_333 sky130_fd_sc_ls__decap_8 + PLACED ( 165600 43290 ) FS ;
- FILLER_9_341 sky130_fd_sc_ls__decap_8 + PLACED ( 169440 43290 ) FS ;
- FILLER_9_349 sky130_fd_sc_ls__decap_8 + PLACED ( 173280 43290 ) FS ;
- FILLER_9_357 sky130_fd_sc_ls__decap_8 + PLACED ( 177120 43290 ) FS ;
- FILLER_9_36 sky130_fd_sc_ls__decap_8 + PLACED ( 23040 43290 ) FS ;
- FILLER_9_365 sky130_fd_sc_ls__decap_8 + PLACED ( 180960 43290 ) FS ;
- FILLER_9_373 sky130_fd_sc_ls__decap_4 + PLACED ( 184800 43290 ) FS ;
- FILLER_9_377 sky130_fd_sc_ls__fill_1 + PLACED ( 186720 43290 ) FS ;
- FILLER_9_379 sky130_fd_sc_ls__decap_8 + PLACED ( 187680 43290 ) FS ;
- FILLER_9_387 sky130_fd_sc_ls__decap_8 + PLACED ( 191520 43290 ) FS ;
- FILLER_9_395 sky130_fd_sc_ls__decap_8 + PLACED ( 195360 43290 ) FS ;
- FILLER_9_4 sky130_fd_sc_ls__decap_8 + PLACED ( 7680 43290 ) FS ;
- FILLER_9_403 sky130_fd_sc_ls__decap_8 + PLACED ( 199200 43290 ) FS ;
- FILLER_9_411 sky130_fd_sc_ls__decap_4 + PLACED ( 203040 43290 ) FS ;
- FILLER_9_418 sky130_fd_sc_ls__decap_8 + PLACED ( 206400 43290 ) FS ;
- FILLER_9_426 sky130_fd_sc_ls__decap_4 + PLACED ( 210240 43290 ) FS ;
- FILLER_9_430 sky130_fd_sc_ls__fill_2 + PLACED ( 212160 43290 ) FS ;
- FILLER_9_433 sky130_fd_sc_ls__decap_8 + PLACED ( 213600 43290 ) FS ;
- FILLER_9_44 sky130_fd_sc_ls__decap_8 + PLACED ( 26880 43290 ) FS ;
- FILLER_9_441 sky130_fd_sc_ls__decap_8 + PLACED ( 217440 43290 ) FS ;
- FILLER_9_449 sky130_fd_sc_ls__decap_8 + PLACED ( 221280 43290 ) FS ;
- FILLER_9_457 sky130_fd_sc_ls__decap_8 + PLACED ( 225120 43290 ) FS ;
- FILLER_9_465 sky130_fd_sc_ls__decap_8 + PLACED ( 228960 43290 ) FS ;
- FILLER_9_473 sky130_fd_sc_ls__decap_8 + PLACED ( 232800 43290 ) FS ;
- FILLER_9_481 sky130_fd_sc_ls__decap_4 + PLACED ( 236640 43290 ) FS ;
- FILLER_9_485 sky130_fd_sc_ls__fill_1 + PLACED ( 238560 43290 ) FS ;
- FILLER_9_487 sky130_fd_sc_ls__decap_8 + PLACED ( 239520 43290 ) FS ;
- FILLER_9_495 sky130_fd_sc_ls__decap_8 + PLACED ( 243360 43290 ) FS ;
- FILLER_9_503 sky130_fd_sc_ls__fill_1 + PLACED ( 247200 43290 ) FS ;
- FILLER_9_507 sky130_fd_sc_ls__decap_8 + PLACED ( 249120 43290 ) FS ;
- FILLER_9_515 sky130_fd_sc_ls__decap_8 + PLACED ( 252960 43290 ) FS ;
- FILLER_9_52 sky130_fd_sc_ls__fill_2 + PLACED ( 30720 43290 ) FS ;
- FILLER_9_523 sky130_fd_sc_ls__decap_8 + PLACED ( 256800 43290 ) FS ;
- FILLER_9_531 sky130_fd_sc_ls__decap_8 + PLACED ( 260640 43290 ) FS ;
- FILLER_9_539 sky130_fd_sc_ls__fill_1 + PLACED ( 264480 43290 ) FS ;
- FILLER_9_541 sky130_fd_sc_ls__decap_8 + PLACED ( 265440 43290 ) FS ;
- FILLER_9_549 sky130_fd_sc_ls__decap_8 + PLACED ( 269280 43290 ) FS ;
- FILLER_9_55 sky130_fd_sc_ls__decap_8 + PLACED ( 32160 43290 ) FS ;
- FILLER_9_557 sky130_fd_sc_ls__decap_8 + PLACED ( 273120 43290 ) FS ;
- FILLER_9_565 sky130_fd_sc_ls__decap_8 + PLACED ( 276960 43290 ) FS ;
- FILLER_9_573 sky130_fd_sc_ls__decap_8 + PLACED ( 280800 43290 ) FS ;
- FILLER_9_581 sky130_fd_sc_ls__decap_8 + PLACED ( 284640 43290 ) FS ;
- FILLER_9_589 sky130_fd_sc_ls__decap_4 + PLACED ( 288480 43290 ) FS ;
- FILLER_9_593 sky130_fd_sc_ls__fill_1 + PLACED ( 290400 43290 ) FS ;
- FILLER_9_595 sky130_fd_sc_ls__fill_2 + PLACED ( 291360 43290 ) FS ;
- FILLER_9_63 sky130_fd_sc_ls__decap_8 + PLACED ( 36000 43290 ) FS ;
- FILLER_9_71 sky130_fd_sc_ls__decap_8 + PLACED ( 39840 43290 ) FS ;
- FILLER_9_79 sky130_fd_sc_ls__decap_8 + PLACED ( 43680 43290 ) FS ;
- FILLER_9_87 sky130_fd_sc_ls__decap_8 + PLACED ( 47520 43290 ) FS ;
- FILLER_9_95 sky130_fd_sc_ls__fill_2 + PLACED ( 51360 43290 ) FS ;
- FILLER_9_97 sky130_fd_sc_ls__fill_1 + PLACED ( 52320 43290 ) FS ;
- INV INV + FIXED ( 45120 73260 ) N ;
- INVX1 INVX1 + FIXED ( 45120 79920 ) N ;
- INVX2 INVX2 + FIXED ( 45120 86580 ) N ;
- INVX4 INVX4 + FIXED ( 45120 93240 ) N ;
- INVX8 INVX8 + FIXED ( 45120 99900 ) N ;
- MUX2X1 MUX2X1 + FIXED ( 45120 106560 ) N ;
- NAND2X1 NAND2X1 + FIXED ( 45120 113220 ) N ;
- NAND3X1 NAND3X1 + FIXED ( 45120 119880 ) N ;
- NOR2X1 NOR2X1 + FIXED ( 45120 126540 ) N ;
- OAI21X1 OAI21X1 + FIXED ( 45120 133200 ) N ;
- OAI22X1 OAI22X1 + FIXED ( 45120 139860 ) N ;
- OR2X1 OR2X1 + FIXED ( 45120 146520 ) N ;
- OR2X2 OR2X2 + FIXED ( 45120 153180 ) N ;
- PHY_0 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 13320 ) N ;
- PHY_1 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 13320 ) FN ;
- PHY_10 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 29970 ) FS ;
- PHY_100 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 179820 ) N ;
- PHY_101 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 179820 ) FN ;
- PHY_102 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 183150 ) FS ;
- PHY_103 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 183150 ) S ;
- PHY_104 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 186480 ) N ;
- PHY_105 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 186480 ) FN ;
- PHY_106 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 189810 ) FS ;
- PHY_107 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 189810 ) S ;
- PHY_108 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 193140 ) N ;
- PHY_109 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 193140 ) FN ;
- PHY_11 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 29970 ) S ;
- PHY_110 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 196470 ) FS ;
- PHY_111 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 196470 ) S ;
- PHY_112 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 199800 ) N ;
- PHY_113 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 199800 ) FN ;
- PHY_114 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 203130 ) FS ;
- PHY_115 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 203130 ) S ;
- PHY_116 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 206460 ) N ;
- PHY_117 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 206460 ) FN ;
- PHY_118 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 209790 ) FS ;
- PHY_119 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 209790 ) S ;
- PHY_12 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 33300 ) N ;
- PHY_120 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 213120 ) N ;
- PHY_121 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 213120 ) FN ;
- PHY_122 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 216450 ) FS ;
- PHY_123 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 216450 ) S ;
- PHY_124 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 219780 ) N ;
- PHY_125 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 219780 ) FN ;
- PHY_126 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 223110 ) FS ;
- PHY_127 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 223110 ) S ;
- PHY_128 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 226440 ) N ;
- PHY_129 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 226440 ) FN ;
- PHY_13 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 33300 ) FN ;
- PHY_130 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 229770 ) FS ;
- PHY_131 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 229770 ) S ;
- PHY_132 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 233100 ) N ;
- PHY_133 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 233100 ) FN ;
- PHY_134 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 236430 ) FS ;
- PHY_135 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 236430 ) S ;
- PHY_136 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 239760 ) N ;
- PHY_137 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 239760 ) FN ;
- PHY_138 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 243090 ) FS ;
- PHY_139 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 243090 ) S ;
- PHY_14 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 36630 ) FS ;
- PHY_140 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 246420 ) N ;
- PHY_141 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 246420 ) FN ;
- PHY_142 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 249750 ) FS ;
- PHY_143 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 249750 ) S ;
- PHY_144 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 253080 ) N ;
- PHY_145 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 253080 ) FN ;
- PHY_146 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 256410 ) FS ;
- PHY_147 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 256410 ) S ;
- PHY_148 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 259740 ) N ;
- PHY_149 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 259740 ) FN ;
- PHY_15 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 36630 ) S ;
- PHY_150 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 263070 ) FS ;
- PHY_151 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 263070 ) S ;
- PHY_152 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 266400 ) N ;
- PHY_153 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 266400 ) FN ;
- PHY_154 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 269730 ) FS ;
- PHY_155 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 269730 ) S ;
- PHY_156 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 273060 ) N ;
- PHY_157 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 273060 ) FN ;
- PHY_158 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 276390 ) FS ;
- PHY_159 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 276390 ) S ;
- PHY_16 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 39960 ) N ;
- PHY_160 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 279720 ) N ;
- PHY_161 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 279720 ) FN ;
- PHY_162 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 283050 ) FS ;
- PHY_163 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 283050 ) S ;
- PHY_17 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 39960 ) FN ;
- PHY_18 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 43290 ) FS ;
- PHY_19 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 43290 ) S ;
- PHY_2 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 16650 ) FS ;
- PHY_20 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 46620 ) N ;
- PHY_21 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 46620 ) FN ;
- PHY_22 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 49950 ) FS ;
- PHY_23 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 49950 ) S ;
- PHY_24 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 53280 ) N ;
- PHY_25 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 53280 ) FN ;
- PHY_26 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 56610 ) FS ;
- PHY_27 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 56610 ) S ;
- PHY_28 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 59940 ) N ;
- PHY_29 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 59940 ) FN ;
- PHY_3 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 16650 ) S ;
- PHY_30 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 63270 ) FS ;
- PHY_31 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 63270 ) S ;
- PHY_32 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 66600 ) N ;
- PHY_33 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 66600 ) FN ;
- PHY_34 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 69930 ) FS ;
- PHY_35 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 69930 ) S ;
- PHY_36 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 73260 ) N ;
- PHY_37 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 73260 ) FN ;
- PHY_38 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 76590 ) FS ;
- PHY_39 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 76590 ) S ;
- PHY_4 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 19980 ) N ;
- PHY_40 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 79920 ) N ;
- PHY_41 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 79920 ) FN ;
- PHY_42 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 83250 ) FS ;
- PHY_43 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 83250 ) S ;
- PHY_44 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 86580 ) N ;
- PHY_45 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 86580 ) FN ;
- PHY_46 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 89910 ) FS ;
- PHY_47 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 89910 ) S ;
- PHY_48 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 93240 ) N ;
- PHY_49 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 93240 ) FN ;
- PHY_5 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 19980 ) FN ;
- PHY_50 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 96570 ) FS ;
- PHY_51 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 96570 ) S ;
- PHY_52 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 99900 ) N ;
- PHY_53 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 99900 ) FN ;
- PHY_54 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 103230 ) FS ;
- PHY_55 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 103230 ) S ;
- PHY_56 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 106560 ) N ;
- PHY_57 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 106560 ) FN ;
- PHY_58 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 109890 ) FS ;
- PHY_59 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 109890 ) S ;
- PHY_6 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 23310 ) FS ;
- PHY_60 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 113220 ) N ;
- PHY_61 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 113220 ) FN ;
- PHY_62 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 116550 ) FS ;
- PHY_63 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 116550 ) S ;
- PHY_64 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 119880 ) N ;
- PHY_65 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 119880 ) FN ;
- PHY_66 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 123210 ) FS ;
- PHY_67 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 123210 ) S ;
- PHY_68 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 126540 ) N ;
- PHY_69 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 126540 ) FN ;
- PHY_7 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 23310 ) S ;
- PHY_70 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 129870 ) FS ;
- PHY_71 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 129870 ) S ;
- PHY_72 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 133200 ) N ;
- PHY_73 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 133200 ) FN ;
- PHY_74 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 136530 ) FS ;
- PHY_75 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 136530 ) S ;
- PHY_76 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 139860 ) N ;
- PHY_77 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 139860 ) FN ;
- PHY_78 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 143190 ) FS ;
- PHY_79 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 143190 ) S ;
- PHY_8 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 26640 ) N ;
- PHY_80 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 146520 ) N ;
- PHY_81 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 146520 ) FN ;
- PHY_82 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 149850 ) FS ;
- PHY_83 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 149850 ) S ;
- PHY_84 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 153180 ) N ;
- PHY_85 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 153180 ) FN ;
- PHY_86 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 156510 ) FS ;
- PHY_87 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 156510 ) S ;
- PHY_88 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 159840 ) N ;
- PHY_89 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 159840 ) FN ;
- PHY_9 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 26640 ) FN ;
- PHY_90 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 163170 ) FS ;
- PHY_91 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 163170 ) S ;
- PHY_92 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 166500 ) N ;
- PHY_93 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 166500 ) FN ;
- PHY_94 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 169830 ) FS ;
- PHY_95 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 169830 ) S ;
- PHY_96 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 173160 ) N ;
- PHY_97 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 173160 ) FN ;
- PHY_98 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 5760 176490 ) FS ;
- PHY_99 sky130_fd_sc_ls__decap_4 + SOURCE DIST + FIXED ( 292320 176490 ) S ;
- TAP_1000 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 263070 ) FS ;
- TAP_1001 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 263070 ) FS ;
- TAP_1002 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 263070 ) FS ;
- TAP_1003 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 263070 ) FS ;
- TAP_1004 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 263070 ) FS ;
- TAP_1005 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 263070 ) FS ;
- TAP_1006 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 263070 ) FS ;
- TAP_1007 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 263070 ) FS ;
- TAP_1008 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 263070 ) FS ;
- TAP_1009 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 263070 ) FS ;
- TAP_1010 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 263070 ) FS ;
- TAP_1011 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 266400 ) N ;
- TAP_1012 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 266400 ) N ;
- TAP_1013 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 266400 ) N ;
- TAP_1014 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 266400 ) N ;
- TAP_1015 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 266400 ) N ;
- TAP_1016 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 266400 ) N ;
- TAP_1017 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 266400 ) N ;
- TAP_1018 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 266400 ) N ;
- TAP_1019 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 266400 ) N ;
- TAP_1020 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 266400 ) N ;
- TAP_1021 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 266400 ) N ;
- TAP_1022 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 269730 ) FS ;
- TAP_1023 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 269730 ) FS ;
- TAP_1024 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 269730 ) FS ;
- TAP_1025 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 269730 ) FS ;
- TAP_1026 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 269730 ) FS ;
- TAP_1027 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 269730 ) FS ;
- TAP_1028 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 269730 ) FS ;
- TAP_1029 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 269730 ) FS ;
- TAP_1030 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 269730 ) FS ;
- TAP_1031 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 269730 ) FS ;
- TAP_1032 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 269730 ) FS ;
- TAP_1033 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 273060 ) N ;
- TAP_1034 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 273060 ) N ;
- TAP_1035 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 273060 ) N ;
- TAP_1036 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 273060 ) N ;
- TAP_1037 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 273060 ) N ;
- TAP_1038 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 273060 ) N ;
- TAP_1039 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 273060 ) N ;
- TAP_1040 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 273060 ) N ;
- TAP_1041 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 273060 ) N ;
- TAP_1042 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 273060 ) N ;
- TAP_1043 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 273060 ) N ;
- TAP_1044 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 276390 ) FS ;
- TAP_1045 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 276390 ) FS ;
- TAP_1046 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 276390 ) FS ;
- TAP_1047 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 276390 ) FS ;
- TAP_1048 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 276390 ) FS ;
- TAP_1049 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 276390 ) FS ;
- TAP_1050 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 276390 ) FS ;
- TAP_1051 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 276390 ) FS ;
- TAP_1052 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 276390 ) FS ;
- TAP_1053 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 276390 ) FS ;
- TAP_1054 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 276390 ) FS ;
- TAP_1055 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 279720 ) N ;
- TAP_1056 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 279720 ) N ;
- TAP_1057 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 279720 ) N ;
- TAP_1058 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 279720 ) N ;
- TAP_1059 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 279720 ) N ;
- TAP_1060 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 279720 ) N ;
- TAP_1061 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 279720 ) N ;
- TAP_1062 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 279720 ) N ;
- TAP_1063 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 279720 ) N ;
- TAP_1064 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 279720 ) N ;
- TAP_1065 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 279720 ) N ;
- TAP_1066 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 283050 ) FS ;
- TAP_1067 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 283050 ) FS ;
- TAP_1068 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 283050 ) FS ;
- TAP_1069 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 283050 ) FS ;
- TAP_1070 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 283050 ) FS ;
- TAP_1071 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 283050 ) FS ;
- TAP_1072 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 283050 ) FS ;
- TAP_1073 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 283050 ) FS ;
- TAP_1074 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 283050 ) FS ;
- TAP_1075 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 283050 ) FS ;
- TAP_1076 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 283050 ) FS ;
- TAP_1077 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 283050 ) FS ;
- TAP_1078 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 283050 ) FS ;
- TAP_1079 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 283050 ) FS ;
- TAP_1080 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 283050 ) FS ;
- TAP_1081 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 283050 ) FS ;
- TAP_1082 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 283050 ) FS ;
- TAP_1083 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 283050 ) FS ;
- TAP_1084 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 283050 ) FS ;
- TAP_1085 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 283050 ) FS ;
- TAP_1086 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 283050 ) FS ;
- TAP_1087 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 283050 ) FS ;
- TAP_164 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 13320 ) N ;
- TAP_165 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 13320 ) N ;
- TAP_166 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 13320 ) N ;
- TAP_167 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 13320 ) N ;
- TAP_168 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 13320 ) N ;
- TAP_169 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 13320 ) N ;
- TAP_170 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 13320 ) N ;
- TAP_171 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 13320 ) N ;
- TAP_172 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 13320 ) N ;
- TAP_173 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 13320 ) N ;
- TAP_174 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 13320 ) N ;
- TAP_175 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 13320 ) N ;
- TAP_176 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 13320 ) N ;
- TAP_177 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 13320 ) N ;
- TAP_178 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 13320 ) N ;
- TAP_179 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 13320 ) N ;
- TAP_180 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 13320 ) N ;
- TAP_181 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 13320 ) N ;
- TAP_182 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 13320 ) N ;
- TAP_183 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 13320 ) N ;
- TAP_184 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 13320 ) N ;
- TAP_185 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 13320 ) N ;
- TAP_186 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 16650 ) FS ;
- TAP_187 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 16650 ) FS ;
- TAP_188 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 16650 ) FS ;
- TAP_189 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 16650 ) FS ;
- TAP_190 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 16650 ) FS ;
- TAP_191 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 16650 ) FS ;
- TAP_192 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 16650 ) FS ;
- TAP_193 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 16650 ) FS ;
- TAP_194 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 16650 ) FS ;
- TAP_195 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 16650 ) FS ;
- TAP_196 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 16650 ) FS ;
- TAP_197 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 19980 ) N ;
- TAP_198 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 19980 ) N ;
- TAP_199 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 19980 ) N ;
- TAP_200 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 19980 ) N ;
- TAP_201 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 19980 ) N ;
- TAP_202 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 19980 ) N ;
- TAP_203 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 19980 ) N ;
- TAP_204 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 19980 ) N ;
- TAP_205 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 19980 ) N ;
- TAP_206 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 19980 ) N ;
- TAP_207 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 19980 ) N ;
- TAP_208 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 23310 ) FS ;
- TAP_209 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 23310 ) FS ;
- TAP_210 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 23310 ) FS ;
- TAP_211 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 23310 ) FS ;
- TAP_212 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 23310 ) FS ;
- TAP_213 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 23310 ) FS ;
- TAP_214 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 23310 ) FS ;
- TAP_215 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 23310 ) FS ;
- TAP_216 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 23310 ) FS ;
- TAP_217 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 23310 ) FS ;
- TAP_218 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 23310 ) FS ;
- TAP_219 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 26640 ) N ;
- TAP_220 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 26640 ) N ;
- TAP_221 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 26640 ) N ;
- TAP_222 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 26640 ) N ;
- TAP_223 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 26640 ) N ;
- TAP_224 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 26640 ) N ;
- TAP_225 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 26640 ) N ;
- TAP_226 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 26640 ) N ;
- TAP_227 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 26640 ) N ;
- TAP_228 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 26640 ) N ;
- TAP_229 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 26640 ) N ;
- TAP_230 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 29970 ) FS ;
- TAP_231 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 29970 ) FS ;
- TAP_232 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 29970 ) FS ;
- TAP_233 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 29970 ) FS ;
- TAP_234 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 29970 ) FS ;
- TAP_235 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 29970 ) FS ;
- TAP_236 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 29970 ) FS ;
- TAP_237 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 29970 ) FS ;
- TAP_238 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 29970 ) FS ;
- TAP_239 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 29970 ) FS ;
- TAP_240 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 29970 ) FS ;
- TAP_241 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 33300 ) N ;
- TAP_242 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 33300 ) N ;
- TAP_243 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 33300 ) N ;
- TAP_244 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 33300 ) N ;
- TAP_245 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 33300 ) N ;
- TAP_246 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 33300 ) N ;
- TAP_247 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 33300 ) N ;
- TAP_248 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 33300 ) N ;
- TAP_249 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 33300 ) N ;
- TAP_250 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 33300 ) N ;
- TAP_251 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 33300 ) N ;
- TAP_252 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 36630 ) FS ;
- TAP_253 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 36630 ) FS ;
- TAP_254 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 36630 ) FS ;
- TAP_255 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 36630 ) FS ;
- TAP_256 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 36630 ) FS ;
- TAP_257 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 36630 ) FS ;
- TAP_258 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 36630 ) FS ;
- TAP_259 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 36630 ) FS ;
- TAP_260 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 36630 ) FS ;
- TAP_261 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 36630 ) FS ;
- TAP_262 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 36630 ) FS ;
- TAP_263 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 39960 ) N ;
- TAP_264 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 39960 ) N ;
- TAP_265 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 39960 ) N ;
- TAP_266 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 39960 ) N ;
- TAP_267 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 39960 ) N ;
- TAP_268 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 39960 ) N ;
- TAP_269 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 39960 ) N ;
- TAP_270 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 39960 ) N ;
- TAP_271 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 39960 ) N ;
- TAP_272 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 39960 ) N ;
- TAP_273 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 39960 ) N ;
- TAP_274 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 43290 ) FS ;
- TAP_275 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 43290 ) FS ;
- TAP_276 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 43290 ) FS ;
- TAP_277 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 43290 ) FS ;
- TAP_278 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 43290 ) FS ;
- TAP_279 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 43290 ) FS ;
- TAP_280 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 43290 ) FS ;
- TAP_281 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 43290 ) FS ;
- TAP_282 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 43290 ) FS ;
- TAP_283 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 43290 ) FS ;
- TAP_284 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 43290 ) FS ;
- TAP_285 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 46620 ) N ;
- TAP_286 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 46620 ) N ;
- TAP_287 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 46620 ) N ;
- TAP_288 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 46620 ) N ;
- TAP_289 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 46620 ) N ;
- TAP_290 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 46620 ) N ;
- TAP_291 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 46620 ) N ;
- TAP_292 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 46620 ) N ;
- TAP_293 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 46620 ) N ;
- TAP_294 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 46620 ) N ;
- TAP_295 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 46620 ) N ;
- TAP_296 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 49950 ) FS ;
- TAP_297 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 49950 ) FS ;
- TAP_298 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 49950 ) FS ;
- TAP_299 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 49950 ) FS ;
- TAP_300 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 49950 ) FS ;
- TAP_301 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 49950 ) FS ;
- TAP_302 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 49950 ) FS ;
- TAP_303 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 49950 ) FS ;
- TAP_304 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 49950 ) FS ;
- TAP_305 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 49950 ) FS ;
- TAP_306 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 49950 ) FS ;
- TAP_307 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 53280 ) N ;
- TAP_308 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 53280 ) N ;
- TAP_309 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 53280 ) N ;
- TAP_310 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 53280 ) N ;
- TAP_311 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 53280 ) N ;
- TAP_312 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 53280 ) N ;
- TAP_313 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 53280 ) N ;
- TAP_314 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 53280 ) N ;
- TAP_315 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 53280 ) N ;
- TAP_316 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 53280 ) N ;
- TAP_317 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 53280 ) N ;
- TAP_318 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 56610 ) FS ;
- TAP_319 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 56610 ) FS ;
- TAP_320 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 56610 ) FS ;
- TAP_321 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 56610 ) FS ;
- TAP_322 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 56610 ) FS ;
- TAP_323 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 56610 ) FS ;
- TAP_324 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 56610 ) FS ;
- TAP_325 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 56610 ) FS ;
- TAP_326 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 56610 ) FS ;
- TAP_327 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 56610 ) FS ;
- TAP_328 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 56610 ) FS ;
- TAP_329 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 59940 ) N ;
- TAP_330 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 59940 ) N ;
- TAP_331 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 59940 ) N ;
- TAP_332 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 59940 ) N ;
- TAP_333 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 59940 ) N ;
- TAP_334 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 59940 ) N ;
- TAP_335 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 59940 ) N ;
- TAP_336 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 59940 ) N ;
- TAP_337 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 59940 ) N ;
- TAP_338 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 59940 ) N ;
- TAP_339 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 59940 ) N ;
- TAP_340 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 63270 ) FS ;
- TAP_341 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 63270 ) FS ;
- TAP_342 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 63270 ) FS ;
- TAP_343 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 63270 ) FS ;
- TAP_344 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 63270 ) FS ;
- TAP_345 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 63270 ) FS ;
- TAP_346 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 63270 ) FS ;
- TAP_347 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 63270 ) FS ;
- TAP_348 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 63270 ) FS ;
- TAP_349 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 63270 ) FS ;
- TAP_350 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 63270 ) FS ;
- TAP_351 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 66600 ) N ;
- TAP_352 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 66600 ) N ;
- TAP_353 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 66600 ) N ;
- TAP_354 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 66600 ) N ;
- TAP_355 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 66600 ) N ;
- TAP_356 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 66600 ) N ;
- TAP_357 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 66600 ) N ;
- TAP_358 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 66600 ) N ;
- TAP_359 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 66600 ) N ;
- TAP_360 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 66600 ) N ;
- TAP_361 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 66600 ) N ;
- TAP_362 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 69930 ) FS ;
- TAP_363 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 69930 ) FS ;
- TAP_364 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 69930 ) FS ;
- TAP_365 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 69930 ) FS ;
- TAP_366 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 69930 ) FS ;
- TAP_367 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 69930 ) FS ;
- TAP_368 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 69930 ) FS ;
- TAP_369 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 69930 ) FS ;
- TAP_370 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 69930 ) FS ;
- TAP_371 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 69930 ) FS ;
- TAP_372 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 69930 ) FS ;
- TAP_373 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 73260 ) N ;
- TAP_374 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 73260 ) N ;
- TAP_375 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 73260 ) N ;
- TAP_376 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 73260 ) N ;
- TAP_377 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 73260 ) N ;
- TAP_378 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 73260 ) N ;
- TAP_379 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 73260 ) N ;
- TAP_380 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 73260 ) N ;
- TAP_381 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 73260 ) N ;
- TAP_382 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 73260 ) N ;
- TAP_383 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 73260 ) N ;
- TAP_384 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 76590 ) FS ;
- TAP_385 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 76590 ) FS ;
- TAP_386 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 76590 ) FS ;
- TAP_387 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 76590 ) FS ;
- TAP_388 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 76590 ) FS ;
- TAP_389 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 76590 ) FS ;
- TAP_390 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 76590 ) FS ;
- TAP_391 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 76590 ) FS ;
- TAP_392 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 76590 ) FS ;
- TAP_393 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 76590 ) FS ;
- TAP_394 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 76590 ) FS ;
- TAP_395 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 79920 ) N ;
- TAP_396 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 79920 ) N ;
- TAP_397 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 79920 ) N ;
- TAP_398 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 79920 ) N ;
- TAP_399 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 79920 ) N ;
- TAP_400 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 79920 ) N ;
- TAP_401 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 79920 ) N ;
- TAP_402 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 79920 ) N ;
- TAP_403 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 79920 ) N ;
- TAP_404 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 79920 ) N ;
- TAP_405 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 79920 ) N ;
- TAP_406 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 83250 ) FS ;
- TAP_407 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 83250 ) FS ;
- TAP_408 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 83250 ) FS ;
- TAP_409 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 83250 ) FS ;
- TAP_410 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 83250 ) FS ;
- TAP_411 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 83250 ) FS ;
- TAP_412 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 83250 ) FS ;
- TAP_413 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 83250 ) FS ;
- TAP_414 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 83250 ) FS ;
- TAP_415 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 83250 ) FS ;
- TAP_416 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 83250 ) FS ;
- TAP_417 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 86580 ) N ;
- TAP_418 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 86580 ) N ;
- TAP_419 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 86580 ) N ;
- TAP_420 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 86580 ) N ;
- TAP_421 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 86580 ) N ;
- TAP_422 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 86580 ) N ;
- TAP_423 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 86580 ) N ;
- TAP_424 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 86580 ) N ;
- TAP_425 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 86580 ) N ;
- TAP_426 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 86580 ) N ;
- TAP_427 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 86580 ) N ;
- TAP_428 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 89910 ) FS ;
- TAP_429 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 89910 ) FS ;
- TAP_430 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 89910 ) FS ;
- TAP_431 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 89910 ) FS ;
- TAP_432 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 89910 ) FS ;
- TAP_433 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 89910 ) FS ;
- TAP_434 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 89910 ) FS ;
- TAP_435 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 89910 ) FS ;
- TAP_436 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 89910 ) FS ;
- TAP_437 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 89910 ) FS ;
- TAP_438 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 89910 ) FS ;
- TAP_439 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 93240 ) N ;
- TAP_440 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 93240 ) N ;
- TAP_441 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 93240 ) N ;
- TAP_442 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 93240 ) N ;
- TAP_443 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 93240 ) N ;
- TAP_444 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 93240 ) N ;
- TAP_445 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 93240 ) N ;
- TAP_446 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 93240 ) N ;
- TAP_447 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 93240 ) N ;
- TAP_448 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 93240 ) N ;
- TAP_449 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 93240 ) N ;
- TAP_450 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 96570 ) FS ;
- TAP_451 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 96570 ) FS ;
- TAP_452 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 96570 ) FS ;
- TAP_453 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 96570 ) FS ;
- TAP_454 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 96570 ) FS ;
- TAP_455 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 96570 ) FS ;
- TAP_456 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 96570 ) FS ;
- TAP_457 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 96570 ) FS ;
- TAP_458 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 96570 ) FS ;
- TAP_459 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 96570 ) FS ;
- TAP_460 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 96570 ) FS ;
- TAP_461 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 99900 ) N ;
- TAP_462 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 99900 ) N ;
- TAP_463 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 99900 ) N ;
- TAP_464 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 99900 ) N ;
- TAP_465 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 99900 ) N ;
- TAP_466 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 99900 ) N ;
- TAP_467 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 99900 ) N ;
- TAP_468 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 99900 ) N ;
- TAP_469 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 99900 ) N ;
- TAP_470 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 99900 ) N ;
- TAP_471 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 99900 ) N ;
- TAP_472 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 103230 ) FS ;
- TAP_473 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 103230 ) FS ;
- TAP_474 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 103230 ) FS ;
- TAP_475 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 103230 ) FS ;
- TAP_476 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 103230 ) FS ;
- TAP_477 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 103230 ) FS ;
- TAP_478 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 103230 ) FS ;
- TAP_479 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 103230 ) FS ;
- TAP_480 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 103230 ) FS ;
- TAP_481 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 103230 ) FS ;
- TAP_482 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 103230 ) FS ;
- TAP_483 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 106560 ) N ;
- TAP_484 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 106560 ) N ;
- TAP_485 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 106560 ) N ;
- TAP_486 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 106560 ) N ;
- TAP_487 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 106560 ) N ;
- TAP_488 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 106560 ) N ;
- TAP_489 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 106560 ) N ;
- TAP_490 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 106560 ) N ;
- TAP_491 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 106560 ) N ;
- TAP_492 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 106560 ) N ;
- TAP_493 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 106560 ) N ;
- TAP_494 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 109890 ) FS ;
- TAP_495 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 109890 ) FS ;
- TAP_496 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 109890 ) FS ;
- TAP_497 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 109890 ) FS ;
- TAP_498 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 109890 ) FS ;
- TAP_499 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 109890 ) FS ;
- TAP_500 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 109890 ) FS ;
- TAP_501 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 109890 ) FS ;
- TAP_502 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 109890 ) FS ;
- TAP_503 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 109890 ) FS ;
- TAP_504 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 109890 ) FS ;
- TAP_505 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 113220 ) N ;
- TAP_506 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 113220 ) N ;
- TAP_507 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 113220 ) N ;
- TAP_508 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 113220 ) N ;
- TAP_509 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 113220 ) N ;
- TAP_510 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 113220 ) N ;
- TAP_511 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 113220 ) N ;
- TAP_512 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 113220 ) N ;
- TAP_513 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 113220 ) N ;
- TAP_514 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 113220 ) N ;
- TAP_515 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 113220 ) N ;
- TAP_516 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 116550 ) FS ;
- TAP_517 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 116550 ) FS ;
- TAP_518 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 116550 ) FS ;
- TAP_519 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 116550 ) FS ;
- TAP_520 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 116550 ) FS ;
- TAP_521 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 116550 ) FS ;
- TAP_522 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 116550 ) FS ;
- TAP_523 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 116550 ) FS ;
- TAP_524 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 116550 ) FS ;
- TAP_525 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 116550 ) FS ;
- TAP_526 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 116550 ) FS ;
- TAP_527 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 119880 ) N ;
- TAP_528 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 119880 ) N ;
- TAP_529 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 119880 ) N ;
- TAP_530 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 119880 ) N ;
- TAP_531 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 119880 ) N ;
- TAP_532 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 119880 ) N ;
- TAP_533 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 119880 ) N ;
- TAP_534 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 119880 ) N ;
- TAP_535 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 119880 ) N ;
- TAP_536 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 119880 ) N ;
- TAP_537 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 119880 ) N ;
- TAP_538 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 123210 ) FS ;
- TAP_539 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 123210 ) FS ;
- TAP_540 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 123210 ) FS ;
- TAP_541 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 123210 ) FS ;
- TAP_542 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 123210 ) FS ;
- TAP_543 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 123210 ) FS ;
- TAP_544 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 123210 ) FS ;
- TAP_545 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 123210 ) FS ;
- TAP_546 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 123210 ) FS ;
- TAP_547 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 123210 ) FS ;
- TAP_548 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 123210 ) FS ;
- TAP_549 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 126540 ) N ;
- TAP_550 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 126540 ) N ;
- TAP_551 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 126540 ) N ;
- TAP_552 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 126540 ) N ;
- TAP_553 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 126540 ) N ;
- TAP_554 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 126540 ) N ;
- TAP_555 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 126540 ) N ;
- TAP_556 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 126540 ) N ;
- TAP_557 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 126540 ) N ;
- TAP_558 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 126540 ) N ;
- TAP_559 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 126540 ) N ;
- TAP_560 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 129870 ) FS ;
- TAP_561 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 129870 ) FS ;
- TAP_562 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 129870 ) FS ;
- TAP_563 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 129870 ) FS ;
- TAP_564 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 129870 ) FS ;
- TAP_565 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 129870 ) FS ;
- TAP_566 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 129870 ) FS ;
- TAP_567 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 129870 ) FS ;
- TAP_568 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 129870 ) FS ;
- TAP_569 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 129870 ) FS ;
- TAP_570 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 129870 ) FS ;
- TAP_571 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 133200 ) N ;
- TAP_572 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 133200 ) N ;
- TAP_573 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 133200 ) N ;
- TAP_574 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 133200 ) N ;
- TAP_575 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 133200 ) N ;
- TAP_576 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 133200 ) N ;
- TAP_577 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 133200 ) N ;
- TAP_578 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 133200 ) N ;
- TAP_579 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 133200 ) N ;
- TAP_580 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 133200 ) N ;
- TAP_581 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 133200 ) N ;
- TAP_582 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 136530 ) FS ;
- TAP_583 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 136530 ) FS ;
- TAP_584 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 136530 ) FS ;
- TAP_585 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 136530 ) FS ;
- TAP_586 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 136530 ) FS ;
- TAP_587 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 136530 ) FS ;
- TAP_588 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 136530 ) FS ;
- TAP_589 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 136530 ) FS ;
- TAP_590 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 136530 ) FS ;
- TAP_591 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 136530 ) FS ;
- TAP_592 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 136530 ) FS ;
- TAP_593 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 139860 ) N ;
- TAP_594 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 139860 ) N ;
- TAP_595 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 139860 ) N ;
- TAP_596 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 139860 ) N ;
- TAP_597 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 139860 ) N ;
- TAP_598 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 139860 ) N ;
- TAP_599 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 139860 ) N ;
- TAP_600 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 139860 ) N ;
- TAP_601 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 139860 ) N ;
- TAP_602 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 139860 ) N ;
- TAP_603 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 139860 ) N ;
- TAP_604 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 143190 ) FS ;
- TAP_605 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 143190 ) FS ;
- TAP_606 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 143190 ) FS ;
- TAP_607 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 143190 ) FS ;
- TAP_608 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 143190 ) FS ;
- TAP_609 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 143190 ) FS ;
- TAP_610 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 143190 ) FS ;
- TAP_611 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 143190 ) FS ;
- TAP_612 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 143190 ) FS ;
- TAP_613 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 143190 ) FS ;
- TAP_614 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 143190 ) FS ;
- TAP_615 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 146520 ) N ;
- TAP_616 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 146520 ) N ;
- TAP_617 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 146520 ) N ;
- TAP_618 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 146520 ) N ;
- TAP_619 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 146520 ) N ;
- TAP_620 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 146520 ) N ;
- TAP_621 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 146520 ) N ;
- TAP_622 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 146520 ) N ;
- TAP_623 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 146520 ) N ;
- TAP_624 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 146520 ) N ;
- TAP_625 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 146520 ) N ;
- TAP_626 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 149850 ) FS ;
- TAP_627 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 149850 ) FS ;
- TAP_628 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 149850 ) FS ;
- TAP_629 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 149850 ) FS ;
- TAP_630 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 149850 ) FS ;
- TAP_631 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 149850 ) FS ;
- TAP_632 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 149850 ) FS ;
- TAP_633 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 149850 ) FS ;
- TAP_634 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 149850 ) FS ;
- TAP_635 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 149850 ) FS ;
- TAP_636 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 149850 ) FS ;
- TAP_637 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 153180 ) N ;
- TAP_638 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 153180 ) N ;
- TAP_639 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 153180 ) N ;
- TAP_640 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 153180 ) N ;
- TAP_641 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 153180 ) N ;
- TAP_642 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 153180 ) N ;
- TAP_643 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 153180 ) N ;
- TAP_644 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 153180 ) N ;
- TAP_645 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 153180 ) N ;
- TAP_646 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 153180 ) N ;
- TAP_647 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 153180 ) N ;
- TAP_648 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 156510 ) FS ;
- TAP_649 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 156510 ) FS ;
- TAP_650 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 156510 ) FS ;
- TAP_651 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 156510 ) FS ;
- TAP_652 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 156510 ) FS ;
- TAP_653 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 156510 ) FS ;
- TAP_654 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 156510 ) FS ;
- TAP_655 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 156510 ) FS ;
- TAP_656 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 156510 ) FS ;
- TAP_657 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 156510 ) FS ;
- TAP_658 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 156510 ) FS ;
- TAP_659 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 159840 ) N ;
- TAP_660 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 159840 ) N ;
- TAP_661 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 159840 ) N ;
- TAP_662 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 159840 ) N ;
- TAP_663 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 159840 ) N ;
- TAP_664 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 159840 ) N ;
- TAP_665 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 159840 ) N ;
- TAP_666 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 159840 ) N ;
- TAP_667 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 159840 ) N ;
- TAP_668 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 159840 ) N ;
- TAP_669 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 159840 ) N ;
- TAP_670 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 163170 ) FS ;
- TAP_671 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 163170 ) FS ;
- TAP_672 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 163170 ) FS ;
- TAP_673 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 163170 ) FS ;
- TAP_674 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 163170 ) FS ;
- TAP_675 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 163170 ) FS ;
- TAP_676 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 163170 ) FS ;
- TAP_677 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 163170 ) FS ;
- TAP_678 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 163170 ) FS ;
- TAP_679 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 163170 ) FS ;
- TAP_680 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 163170 ) FS ;
- TAP_681 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 166500 ) N ;
- TAP_682 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 166500 ) N ;
- TAP_683 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 166500 ) N ;
- TAP_684 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 166500 ) N ;
- TAP_685 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 166500 ) N ;
- TAP_686 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 166500 ) N ;
- TAP_687 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 166500 ) N ;
- TAP_688 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 166500 ) N ;
- TAP_689 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 166500 ) N ;
- TAP_690 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 166500 ) N ;
- TAP_691 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 166500 ) N ;
- TAP_692 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 169830 ) FS ;
- TAP_693 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 169830 ) FS ;
- TAP_694 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 169830 ) FS ;
- TAP_695 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 169830 ) FS ;
- TAP_696 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 169830 ) FS ;
- TAP_697 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 169830 ) FS ;
- TAP_698 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 169830 ) FS ;
- TAP_699 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 169830 ) FS ;
- TAP_700 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 169830 ) FS ;
- TAP_701 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 169830 ) FS ;
- TAP_702 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 169830 ) FS ;
- TAP_703 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 173160 ) N ;
- TAP_704 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 173160 ) N ;
- TAP_705 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 173160 ) N ;
- TAP_706 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 173160 ) N ;
- TAP_707 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 173160 ) N ;
- TAP_708 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 173160 ) N ;
- TAP_709 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 173160 ) N ;
- TAP_710 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 173160 ) N ;
- TAP_711 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 173160 ) N ;
- TAP_712 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 173160 ) N ;
- TAP_713 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 173160 ) N ;
- TAP_714 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 176490 ) FS ;
- TAP_715 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 176490 ) FS ;
- TAP_716 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 176490 ) FS ;
- TAP_717 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 176490 ) FS ;
- TAP_718 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 176490 ) FS ;
- TAP_719 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 176490 ) FS ;
- TAP_720 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 176490 ) FS ;
- TAP_721 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 176490 ) FS ;
- TAP_722 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 176490 ) FS ;
- TAP_723 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 176490 ) FS ;
- TAP_724 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 176490 ) FS ;
- TAP_725 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 179820 ) N ;
- TAP_726 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 179820 ) N ;
- TAP_727 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 179820 ) N ;
- TAP_728 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 179820 ) N ;
- TAP_729 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 179820 ) N ;
- TAP_730 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 179820 ) N ;
- TAP_731 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 179820 ) N ;
- TAP_732 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 179820 ) N ;
- TAP_733 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 179820 ) N ;
- TAP_734 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 179820 ) N ;
- TAP_735 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 179820 ) N ;
- TAP_736 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 183150 ) FS ;
- TAP_737 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 183150 ) FS ;
- TAP_738 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 183150 ) FS ;
- TAP_739 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 183150 ) FS ;
- TAP_740 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 183150 ) FS ;
- TAP_741 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 183150 ) FS ;
- TAP_742 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 183150 ) FS ;
- TAP_743 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 183150 ) FS ;
- TAP_744 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 183150 ) FS ;
- TAP_745 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 183150 ) FS ;
- TAP_746 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 183150 ) FS ;
- TAP_747 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 186480 ) N ;
- TAP_748 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 186480 ) N ;
- TAP_749 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 186480 ) N ;
- TAP_750 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 186480 ) N ;
- TAP_751 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 186480 ) N ;
- TAP_752 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 186480 ) N ;
- TAP_753 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 186480 ) N ;
- TAP_754 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 186480 ) N ;
- TAP_755 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 186480 ) N ;
- TAP_756 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 186480 ) N ;
- TAP_757 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 186480 ) N ;
- TAP_758 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 189810 ) FS ;
- TAP_759 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 189810 ) FS ;
- TAP_760 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 189810 ) FS ;
- TAP_761 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 189810 ) FS ;
- TAP_762 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 189810 ) FS ;
- TAP_763 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 189810 ) FS ;
- TAP_764 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 189810 ) FS ;
- TAP_765 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 189810 ) FS ;
- TAP_766 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 189810 ) FS ;
- TAP_767 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 189810 ) FS ;
- TAP_768 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 189810 ) FS ;
- TAP_769 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 193140 ) N ;
- TAP_770 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 193140 ) N ;
- TAP_771 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 193140 ) N ;
- TAP_772 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 193140 ) N ;
- TAP_773 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 193140 ) N ;
- TAP_774 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 193140 ) N ;
- TAP_775 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 193140 ) N ;
- TAP_776 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 193140 ) N ;
- TAP_777 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 193140 ) N ;
- TAP_778 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 193140 ) N ;
- TAP_779 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 193140 ) N ;
- TAP_780 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 196470 ) FS ;
- TAP_781 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 196470 ) FS ;
- TAP_782 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 196470 ) FS ;
- TAP_783 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 196470 ) FS ;
- TAP_784 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 196470 ) FS ;
- TAP_785 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 196470 ) FS ;
- TAP_786 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 196470 ) FS ;
- TAP_787 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 196470 ) FS ;
- TAP_788 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 196470 ) FS ;
- TAP_789 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 196470 ) FS ;
- TAP_790 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 196470 ) FS ;
- TAP_791 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 199800 ) N ;
- TAP_792 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 199800 ) N ;
- TAP_793 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 199800 ) N ;
- TAP_794 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 199800 ) N ;
- TAP_795 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 199800 ) N ;
- TAP_796 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 199800 ) N ;
- TAP_797 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 199800 ) N ;
- TAP_798 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 199800 ) N ;
- TAP_799 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 199800 ) N ;
- TAP_800 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 199800 ) N ;
- TAP_801 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 199800 ) N ;
- TAP_802 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 203130 ) FS ;
- TAP_803 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 203130 ) FS ;
- TAP_804 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 203130 ) FS ;
- TAP_805 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 203130 ) FS ;
- TAP_806 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 203130 ) FS ;
- TAP_807 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 203130 ) FS ;
- TAP_808 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 203130 ) FS ;
- TAP_809 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 203130 ) FS ;
- TAP_810 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 203130 ) FS ;
- TAP_811 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 203130 ) FS ;
- TAP_812 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 203130 ) FS ;
- TAP_813 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 206460 ) N ;
- TAP_814 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 206460 ) N ;
- TAP_815 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 206460 ) N ;
- TAP_816 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 206460 ) N ;
- TAP_817 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 206460 ) N ;
- TAP_818 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 206460 ) N ;
- TAP_819 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 206460 ) N ;
- TAP_820 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 206460 ) N ;
- TAP_821 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 206460 ) N ;
- TAP_822 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 206460 ) N ;
- TAP_823 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 206460 ) N ;
- TAP_824 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 209790 ) FS ;
- TAP_825 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 209790 ) FS ;
- TAP_826 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 209790 ) FS ;
- TAP_827 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 209790 ) FS ;
- TAP_828 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 209790 ) FS ;
- TAP_829 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 209790 ) FS ;
- TAP_830 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 209790 ) FS ;
- TAP_831 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 209790 ) FS ;
- TAP_832 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 209790 ) FS ;
- TAP_833 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 209790 ) FS ;
- TAP_834 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 209790 ) FS ;
- TAP_835 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 213120 ) N ;
- TAP_836 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 213120 ) N ;
- TAP_837 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 213120 ) N ;
- TAP_838 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 213120 ) N ;
- TAP_839 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 213120 ) N ;
- TAP_840 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 213120 ) N ;
- TAP_841 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 213120 ) N ;
- TAP_842 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 213120 ) N ;
- TAP_843 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 213120 ) N ;
- TAP_844 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 213120 ) N ;
- TAP_845 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 213120 ) N ;
- TAP_846 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 216450 ) FS ;
- TAP_847 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 216450 ) FS ;
- TAP_848 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 216450 ) FS ;
- TAP_849 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 216450 ) FS ;
- TAP_850 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 216450 ) FS ;
- TAP_851 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 216450 ) FS ;
- TAP_852 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 216450 ) FS ;
- TAP_853 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 216450 ) FS ;
- TAP_854 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 216450 ) FS ;
- TAP_855 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 216450 ) FS ;
- TAP_856 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 216450 ) FS ;
- TAP_857 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 219780 ) N ;
- TAP_858 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 219780 ) N ;
- TAP_859 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 219780 ) N ;
- TAP_860 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 219780 ) N ;
- TAP_861 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 219780 ) N ;
- TAP_862 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 219780 ) N ;
- TAP_863 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 219780 ) N ;
- TAP_864 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 219780 ) N ;
- TAP_865 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 219780 ) N ;
- TAP_866 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 219780 ) N ;
- TAP_867 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 219780 ) N ;
- TAP_868 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 223110 ) FS ;
- TAP_869 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 223110 ) FS ;
- TAP_870 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 223110 ) FS ;
- TAP_871 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 223110 ) FS ;
- TAP_872 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 223110 ) FS ;
- TAP_873 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 223110 ) FS ;
- TAP_874 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 223110 ) FS ;
- TAP_875 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 223110 ) FS ;
- TAP_876 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 223110 ) FS ;
- TAP_877 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 223110 ) FS ;
- TAP_878 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 223110 ) FS ;
- TAP_879 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 226440 ) N ;
- TAP_880 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 226440 ) N ;
- TAP_881 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 226440 ) N ;
- TAP_882 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 226440 ) N ;
- TAP_883 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 226440 ) N ;
- TAP_884 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 226440 ) N ;
- TAP_885 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 226440 ) N ;
- TAP_886 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 226440 ) N ;
- TAP_887 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 226440 ) N ;
- TAP_888 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 226440 ) N ;
- TAP_889 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 226440 ) N ;
- TAP_890 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 229770 ) FS ;
- TAP_891 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 229770 ) FS ;
- TAP_892 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 229770 ) FS ;
- TAP_893 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 229770 ) FS ;
- TAP_894 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 229770 ) FS ;
- TAP_895 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 229770 ) FS ;
- TAP_896 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 229770 ) FS ;
- TAP_897 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 229770 ) FS ;
- TAP_898 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 229770 ) FS ;
- TAP_899 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 229770 ) FS ;
- TAP_900 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 229770 ) FS ;
- TAP_901 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 233100 ) N ;
- TAP_902 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 233100 ) N ;
- TAP_903 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 233100 ) N ;
- TAP_904 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 233100 ) N ;
- TAP_905 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 233100 ) N ;
- TAP_906 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 233100 ) N ;
- TAP_907 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 233100 ) N ;
- TAP_908 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 233100 ) N ;
- TAP_909 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 233100 ) N ;
- TAP_910 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 233100 ) N ;
- TAP_911 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 233100 ) N ;
- TAP_912 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 236430 ) FS ;
- TAP_913 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 236430 ) FS ;
- TAP_914 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 236430 ) FS ;
- TAP_915 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 236430 ) FS ;
- TAP_916 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 236430 ) FS ;
- TAP_917 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 236430 ) FS ;
- TAP_918 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 236430 ) FS ;
- TAP_919 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 236430 ) FS ;
- TAP_920 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 236430 ) FS ;
- TAP_921 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 236430 ) FS ;
- TAP_922 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 236430 ) FS ;
- TAP_923 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 239760 ) N ;
- TAP_924 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 239760 ) N ;
- TAP_925 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 239760 ) N ;
- TAP_926 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 239760 ) N ;
- TAP_927 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 239760 ) N ;
- TAP_928 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 239760 ) N ;
- TAP_929 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 239760 ) N ;
- TAP_930 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 239760 ) N ;
- TAP_931 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 239760 ) N ;
- TAP_932 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 239760 ) N ;
- TAP_933 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 239760 ) N ;
- TAP_934 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 243090 ) FS ;
- TAP_935 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 243090 ) FS ;
- TAP_936 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 243090 ) FS ;
- TAP_937 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 243090 ) FS ;
- TAP_938 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 243090 ) FS ;
- TAP_939 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 243090 ) FS ;
- TAP_940 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 243090 ) FS ;
- TAP_941 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 243090 ) FS ;
- TAP_942 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 243090 ) FS ;
- TAP_943 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 243090 ) FS ;
- TAP_944 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 243090 ) FS ;
- TAP_945 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 246420 ) N ;
- TAP_946 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 246420 ) N ;
- TAP_947 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 246420 ) N ;
- TAP_948 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 246420 ) N ;
- TAP_949 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 246420 ) N ;
- TAP_950 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 246420 ) N ;
- TAP_951 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 246420 ) N ;
- TAP_952 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 246420 ) N ;
- TAP_953 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 246420 ) N ;
- TAP_954 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 246420 ) N ;
- TAP_955 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 246420 ) N ;
- TAP_956 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 249750 ) FS ;
- TAP_957 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 249750 ) FS ;
- TAP_958 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 249750 ) FS ;
- TAP_959 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 249750 ) FS ;
- TAP_960 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 249750 ) FS ;
- TAP_961 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 249750 ) FS ;
- TAP_962 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 249750 ) FS ;
- TAP_963 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 249750 ) FS ;
- TAP_964 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 249750 ) FS ;
- TAP_965 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 249750 ) FS ;
- TAP_966 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 249750 ) FS ;
- TAP_967 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 253080 ) N ;
- TAP_968 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 253080 ) N ;
- TAP_969 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 253080 ) N ;
- TAP_970 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 253080 ) N ;
- TAP_971 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 253080 ) N ;
- TAP_972 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 253080 ) N ;
- TAP_973 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 253080 ) N ;
- TAP_974 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 253080 ) N ;
- TAP_975 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 253080 ) N ;
- TAP_976 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 253080 ) N ;
- TAP_977 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 253080 ) N ;
- TAP_978 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 31680 256410 ) FS ;
- TAP_979 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 57600 256410 ) FS ;
- TAP_980 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 83520 256410 ) FS ;
- TAP_981 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 109440 256410 ) FS ;
- TAP_982 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 135360 256410 ) FS ;
- TAP_983 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 161280 256410 ) FS ;
- TAP_984 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 187200 256410 ) FS ;
- TAP_985 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 213120 256410 ) FS ;
- TAP_986 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 239040 256410 ) FS ;
- TAP_987 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 264960 256410 ) FS ;
- TAP_988 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 290880 256410 ) FS ;
- TAP_989 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 18720 259740 ) N ;
- TAP_990 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 44640 259740 ) N ;
- TAP_991 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 70560 259740 ) N ;
- TAP_992 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 96480 259740 ) N ;
- TAP_993 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 122400 259740 ) N ;
- TAP_994 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 148320 259740 ) N ;
- TAP_995 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 174240 259740 ) N ;
- TAP_996 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 200160 259740 ) N ;
- TAP_997 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 226080 259740 ) N ;
- TAP_998 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 252000 259740 ) N ;
- TAP_999 sky130_fd_sc_ls__tapvpwrvgnd_1 + SOURCE DIST + FIXED ( 277920 259740 ) N ;
- XNOR2X1 XNOR2X1 + FIXED ( 45120 159840 ) N ;
- XOR2X1 XOR2X1 + FIXED ( 45120 166500 ) N ;
- _000_ sky130_fd_sc_ls__conb_1 + PLACED ( 159360 113220 ) N ;
- _001_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 59940 ) FN ;
- _002_ sky130_fd_sc_ls__conb_1 + PLACED ( 9600 106560 ) FN ;
- _003_ sky130_fd_sc_ls__conb_1 + PLACED ( 52800 43290 ) S ;
- _004_ sky130_fd_sc_ls__conb_1 + PLACED ( 31200 133200 ) FN ;
- _005_ sky130_fd_sc_ls__conb_1 + PLACED ( 15840 149850 ) S ;
- _006_ sky130_fd_sc_ls__conb_1 + PLACED ( 226560 206460 ) N ;
- _007_ sky130_fd_sc_ls__conb_1 + PLACED ( 109920 123210 ) S ;
- _008_ sky130_fd_sc_ls__conb_1 + PLACED ( 96960 146520 ) FN ;
- _009_ sky130_fd_sc_ls__conb_1 + PLACED ( 62880 36630 ) S ;
- _010_ sky130_fd_sc_ls__conb_1 + PLACED ( 116640 93240 ) FN ;
- _011_ sky130_fd_sc_ls__conb_1 + PLACED ( 230400 199800 ) FN ;
- _012_ sky130_fd_sc_ls__conb_1 + PLACED ( 268320 26640 ) N ;
- _013_ sky130_fd_sc_ls__conb_1 + PLACED ( 51360 243090 ) S ;
- _014_ sky130_fd_sc_ls__conb_1 + PLACED ( 213600 143190 ) S ;
- _015_ sky130_fd_sc_ls__conb_1 + PLACED ( 278400 279720 ) FN ;
- _016_ sky130_fd_sc_ls__conb_1 + PLACED ( 166560 256410 ) FS ;
- _017_ sky130_fd_sc_ls__conb_1 + PLACED ( 111840 129870 ) FS ;
- _018_ sky130_fd_sc_ls__conb_1 + PLACED ( 254400 76590 ) FS ;
- _019_ sky130_fd_sc_ls__conb_1 + PLACED ( 213600 223110 ) FS ;
- _020_ sky130_fd_sc_ls__conb_1 + PLACED ( 280800 276390 ) FS ;
- _021_ sky130_fd_sc_ls__conb_1 + PLACED ( 233280 266400 ) N ;
- _022_ sky130_fd_sc_ls__conb_1 + PLACED ( 288960 53280 ) N ;
- _023_ sky130_fd_sc_ls__conb_1 + PLACED ( 138720 76590 ) FS ;
- _024_ sky130_fd_sc_ls__conb_1 + PLACED ( 127200 123210 ) S ;
- _025_ sky130_fd_sc_ls__conb_1 + PLACED ( 8160 266400 ) N ;
- _026_ sky130_fd_sc_ls__conb_1 + PLACED ( 84000 153180 ) N ;
- _027_ sky130_fd_sc_ls__conb_1 + PLACED ( 88800 116550 ) FS ;
- _028_ sky130_fd_sc_ls__conb_1 + PLACED ( 287040 86580 ) FN ;
- _029_ sky130_fd_sc_ls__conb_1 + PLACED ( 141600 199800 ) N ;
- _030_ sky130_fd_sc_ls__conb_1 + PLACED ( 174720 233100 ) N ;
- _031_ sky130_fd_sc_ls__conb_1 + PLACED ( 180960 136530 ) FS ;
- _032_ sky130_fd_sc_ls__conb_1 + PLACED ( 54240 273060 ) N ;
- _033_ sky130_fd_sc_ls__conb_1 + PLACED ( 84960 46620 ) N ;
- _034_ sky130_fd_sc_ls__conb_1 + PLACED ( 24000 136530 ) FS ;
- _035_ sky130_fd_sc_ls__conb_1 + PLACED ( 37920 216450 ) S ;
- _036_ sky130_fd_sc_ls__conb_1 + PLACED ( 86880 76590 ) S ;
- _037_ sky130_fd_sc_ls__conb_1 + PLACED ( 12960 33300 ) N ;
- _038_ sky130_fd_sc_ls__conb_1 + PLACED ( 40320 159840 ) FN ;
- _039_ sky130_fd_sc_ls__conb_1 + PLACED ( 170400 216450 ) S ;
- _040_ sky130_fd_sc_ls__conb_1 + PLACED ( 284160 89910 ) S ;
- _041_ sky130_fd_sc_ls__conb_1 + PLACED ( 176640 73260 ) FN ;
- _042_ sky130_fd_sc_ls__conb_1 + PLACED ( 71040 283050 ) FS ;
- _043_ sky130_fd_sc_ls__conb_1 + PLACED ( 269760 259740 ) FN ;
- _044_ sky130_fd_sc_ls__conb_1 + PLACED ( 287040 76590 ) S ;
- _045_ sky130_fd_sc_ls__conb_1 + PLACED ( 157920 33300 ) FN ;
- _046_ sky130_fd_sc_ls__conb_1 + PLACED ( 23040 276390 ) FS ;
- _047_ sky130_fd_sc_ls__conb_1 + PLACED ( 89760 189810 ) FS ;
- _048_ sky130_fd_sc_ls__conb_1 + PLACED ( 72960 119880 ) N ;
- _049_ sky130_fd_sc_ls__conb_1 + PLACED ( 166080 63270 ) FS ;
- _050_ sky130_fd_sc_ls__conb_1 + PLACED ( 58080 83250 ) FS ;
- _051_ sky130_fd_sc_ls__conb_1 + PLACED ( 214080 73260 ) FN ;
- _052_ sky130_fd_sc_ls__conb_1 + PLACED ( 100800 89910 ) FS ;
- _053_ sky130_fd_sc_ls__conb_1 + PLACED ( 126720 49950 ) FS ;
- _054_ sky130_fd_sc_ls__conb_1 + PLACED ( 270240 33300 ) FN ;
- _055_ sky130_fd_sc_ls__conb_1 + PLACED ( 239520 99900 ) N ;
- _056_ sky130_fd_sc_ls__conb_1 + PLACED ( 89280 186480 ) N ;
- _057_ sky130_fd_sc_ls__conb_1 + PLACED ( 148800 219780 ) N ;
- _058_ sky130_fd_sc_ls__conb_1 + PLACED ( 71520 96570 ) FS ;
- _059_ sky130_fd_sc_ls__conb_1 + PLACED ( 32160 269730 ) FS ;
- _060_ sky130_fd_sc_ls__conb_1 + PLACED ( 45120 253080 ) N ;
- _061_ sky130_fd_sc_ls__conb_1 + PLACED ( 181920 33300 ) N ;
- _062_ sky130_fd_sc_ls__conb_1 + PLACED ( 128160 223110 ) S ;
- _063_ sky130_fd_sc_ls__conb_1 + PLACED ( 278400 206460 ) FN ;
- _064_ sky130_fd_sc_ls__conb_1 + PLACED ( 221280 163170 ) S ;
- _065_ sky130_fd_sc_ls__conb_1 + PLACED ( 119520 169830 ) S ;
- _066_ sky130_fd_sc_ls__conb_1 + PLACED ( 130080 206460 ) FN ;
- _067_ sky130_fd_sc_ls__conb_1 + PLACED ( 66240 26640 ) N ;
- _068_ sky130_fd_sc_ls__conb_1 + PLACED ( 139200 29970 ) S ;
- _069_ sky130_fd_sc_ls__conb_1 + PLACED ( 191520 179820 ) FN ;
- _070_ sky130_fd_sc_ls__conb_1 + PLACED ( 239520 83250 ) S ;
- _071_ sky130_fd_sc_ls__conb_1 + PLACED ( 200640 33300 ) FN ;
- _072_ sky130_fd_sc_ls__conb_1 + PLACED ( 187680 273060 ) FN ;
- _073_ sky130_fd_sc_ls__conb_1 + PLACED ( 96480 76590 ) S ;
- _074_ sky130_fd_sc_ls__conb_1 + PLACED ( 281280 179820 ) FN ;
- _075_ sky130_fd_sc_ls__conb_1 + PLACED ( 149280 53280 ) FN ;
- _076_ sky130_fd_sc_ls__conb_1 + PLACED ( 43680 203130 ) FS ;
- _077_ sky130_fd_sc_ls__conb_1 + PLACED ( 141120 263070 ) S ;
- _078_ sky130_fd_sc_ls__conb_1 + PLACED ( 216480 116550 ) S ;
- _079_ sky130_fd_sc_ls__conb_1 + PLACED ( 78240 249750 ) FS ;
- _080_ sky130_fd_sc_ls__conb_1 + PLACED ( 148800 206460 ) FN ;
- _081_ sky130_fd_sc_ls__conb_1 + PLACED ( 168000 36630 ) S ;
- _082_ sky130_fd_sc_ls__conb_1 + PLACED ( 100800 243090 ) FS ;
- _083_ sky130_fd_sc_ls__conb_1 + PLACED ( 141120 49950 ) S ;
- _084_ sky130_fd_sc_ls__conb_1 + PLACED ( 98400 216450 ) FS ;
- _085_ sky130_fd_sc_ls__conb_1 + PLACED ( 204000 33300 ) FN ;
- _086_ sky130_fd_sc_ls__conb_1 + PLACED ( 135840 63270 ) S ;
- _087_ sky130_fd_sc_ls__conb_1 + PLACED ( 10560 196470 ) FS ;
- _088_ sky130_fd_sc_ls__conb_1 + PLACED ( 192000 176490 ) S ;
- _089_ sky130_fd_sc_ls__conb_1 + PLACED ( 79680 213120 ) N ;
- _090_ sky130_fd_sc_ls__conb_1 + PLACED ( 284160 279720 ) FN ;
- _091_ sky130_fd_sc_ls__conb_1 + PLACED ( 38400 106560 ) N ;
- _092_ sky130_fd_sc_ls__conb_1 + PLACED ( 182880 73260 ) FN ;
- _093_ sky130_fd_sc_ls__conb_1 + PLACED ( 12960 96570 ) FS ;
- _094_ sky130_fd_sc_ls__conb_1 + PLACED ( 73440 123210 ) FS ;
- _095_ sky130_fd_sc_ls__conb_1 + PLACED ( 274080 29970 ) S ;
- _096_ sky130_fd_sc_ls__conb_1 + PLACED ( 142560 59940 ) FN ;
- _097_ sky130_fd_sc_ls__conb_1 + PLACED ( 183840 186480 ) FN ;
- _098_ sky130_fd_sc_ls__conb_1 + PLACED ( 32160 63270 ) FS ;
- _099_ sky130_fd_sc_ls__conb_1 + PLACED ( 132000 109890 ) FS ;
- _100_ sky130_fd_sc_ls__conb_1 + PLACED ( 69120 156510 ) FS ;
- _101_ sky130_fd_sc_ls__conb_1 + PLACED ( 109920 203130 ) FS ;
- _102_ sky130_fd_sc_ls__conb_1 + PLACED ( 71040 39960 ) N ;
- _103_ sky130_fd_sc_ls__conb_1 + PLACED ( 275040 69930 ) S ;
- _104_ sky130_fd_sc_ls__conb_1 + PLACED ( 41280 99900 ) N ;
- _105_ sky130_fd_sc_ls__conb_1 + PLACED ( 7680 73260 ) N ;
- _106_ sky130_fd_sc_ls__conb_1 + PLACED ( 38400 153180 ) N ;
- _107_ sky130_fd_sc_ls__conb_1 + PLACED ( 258720 269730 ) S ;
- _108_ sky130_fd_sc_ls__conb_1 + PLACED ( 249600 123210 ) S ;
- _109_ sky130_fd_sc_ls__conb_1 + PLACED ( 207840 109890 ) S ;
- _110_ sky130_fd_sc_ls__conb_1 + PLACED ( 130560 253080 ) N ;
- _111_ sky130_fd_sc_ls__conb_1 + PLACED ( 269280 89910 ) S ;
- _112_ sky130_fd_sc_ls__conb_1 + PLACED ( 55680 173160 ) N ;
- _113_ sky130_fd_sc_ls__conb_1 + PLACED ( 45600 56610 ) FS ;
- _114_ sky130_fd_sc_ls__conb_1 + PLACED ( 96960 266400 ) N ;
- _115_ sky130_fd_sc_ls__conb_1 + PLACED ( 135840 16650 ) FS ;
- _116_ sky130_fd_sc_ls__conb_1 + PLACED ( 131520 89910 ) FS ;
- _117_ sky130_fd_sc_ls__conb_1 + PLACED ( 61920 173160 ) N ;
- _118_ sky130_fd_sc_ls__conb_1 + PLACED ( 97920 223110 ) FS ;
- _119_ sky130_fd_sc_ls__conb_1 + PLACED ( 135360 93240 ) N ;
- _120_ sky130_fd_sc_ls__conb_1 + PLACED ( 19200 233100 ) N ;
- _121_ sky130_fd_sc_ls__conb_1 + PLACED ( 259200 236430 ) S ;
- _122_ sky130_fd_sc_ls__conb_1 + PLACED ( 200640 99900 ) FN ;
- _123_ sky130_fd_sc_ls__conb_1 + PLACED ( 206880 236430 ) S ;
- _124_ sky130_fd_sc_ls__conb_1 + PLACED ( 83040 93240 ) N ;
- _125_ sky130_fd_sc_ls__conb_1 + PLACED ( 192000 116550 ) FS ;
- _126_ sky130_fd_sc_ls__conb_1 + PLACED ( 164640 53280 ) N ;
- _127_ sky130_fd_sc_ls__conb_1 + PLACED ( 46080 29970 ) FS ;
- _128_ sky130_fd_sc_ls__conb_1 + PLACED ( 42720 183150 ) FS ;
- _129_ sky130_fd_sc_ls__conb_1 + PLACED ( 183840 189810 ) FS ;
- _130_ sky130_fd_sc_ls__conb_1 + PLACED ( 132000 166500 ) N ;
- _131_ sky130_fd_sc_ls__conb_1 + PLACED ( 158880 179820 ) N ;
- _132_ sky130_fd_sc_ls__conb_1 + PLACED ( 195360 33300 ) N ;
- _133_ sky130_fd_sc_ls__conb_1 + PLACED ( 89760 279720 ) N ;
- _134_ sky130_fd_sc_ls__conb_1 + PLACED ( 153600 166500 ) N ;
- _135_ sky130_fd_sc_ls__conb_1 + PLACED ( 36480 23310 ) FS ;
- _136_ sky130_fd_sc_ls__conb_1 + PLACED ( 11520 266400 ) N ;
- _137_ sky130_fd_sc_ls__conb_1 + PLACED ( 204960 43290 ) FS ;
- _138_ sky130_fd_sc_ls__conb_1 + PLACED ( 84960 159840 ) N ;
- _139_ sky130_fd_sc_ls__conb_1 + PLACED ( 78720 279720 ) N ;
- _140_ sky130_fd_sc_ls__conb_1 + PLACED ( 62880 126540 ) N ;
- _141_ sky130_fd_sc_ls__conb_1 + PLACED ( 167520 259740 ) N ;
- _142_ sky130_fd_sc_ls__conb_1 + PLACED ( 12960 106560 ) N ;
- _143_ sky130_fd_sc_ls__conb_1 + PLACED ( 155040 106560 ) N ;
- _144_ sky130_fd_sc_ls__conb_1 + PLACED ( 278400 66600 ) FN ;
- _145_ sky130_fd_sc_ls__conb_1 + PLACED ( 161280 106560 ) N ;
- _146_ sky130_fd_sc_ls__conb_1 + PLACED ( 207360 229770 ) FS ;
- _147_ sky130_fd_sc_ls__conb_1 + PLACED ( 190080 79920 ) N ;
- _148_ sky130_fd_sc_ls__conb_1 + PLACED ( 265440 49950 ) S ;
- _149_ sky130_fd_sc_ls__conb_1 + PLACED ( 211680 199800 ) N ;
- _150_ sky130_fd_sc_ls__conb_1 + PLACED ( 218400 143190 ) FS ;
- _151_ sky130_fd_sc_ls__conb_1 + PLACED ( 32640 26640 ) N ;
- _152_ sky130_fd_sc_ls__conb_1 + PLACED ( 283200 36630 ) S ;
- _153_ sky130_fd_sc_ls__conb_1 + PLACED ( 247680 193140 ) FN ;
- _154_ sky130_fd_sc_ls__conb_1 + PLACED ( 210720 119880 ) N ;
- _155_ sky130_fd_sc_ls__conb_1 + PLACED ( 73440 253080 ) N ;
- _156_ sky130_fd_sc_ls__conb_1 + PLACED ( 265440 256410 ) S ;
- _157_ sky130_fd_sc_ls__conb_1 + PLACED ( 37920 196470 ) FS ;
- _158_ sky130_fd_sc_ls__conb_1 + PLACED ( 140640 183150 ) FS ;
- _159_ sky130_fd_sc_ls__conb_1 + PLACED ( 114240 239760 ) N ;
- _160_ sky130_fd_sc_ls__conb_1 + PLACED ( 23040 173160 ) N ;
- _161_ sky130_fd_sc_ls__conb_1 + PLACED ( 139680 83250 ) FS ;
- _162_ sky130_fd_sc_ls__conb_1 + PLACED ( 122880 229770 ) FS ;
- _163_ sky130_fd_sc_ls__conb_1 + PLACED ( 154080 16650 ) FS ;
- _164_ sky130_fd_sc_ls__conb_1 + PLACED ( 59520 76590 ) FS ;
- _165_ sky130_fd_sc_ls__conb_1 + PLACED ( 80160 126540 ) N ;
- _166_ sky130_fd_sc_ls__conb_1 + PLACED ( 73920 153180 ) N ;
- _167_ sky130_fd_sc_ls__conb_1 + PLACED ( 78240 89910 ) FS ;
- _168_ sky130_fd_sc_ls__conb_1 + PLACED ( 187680 216450 ) FS ;
- _169_ sky130_fd_sc_ls__conb_1 + PLACED ( 280800 89910 ) S ;
- _170_ sky130_fd_sc_ls__conb_1 + PLACED ( 171840 276390 ) FS ;
- _171_ sky130_fd_sc_ls__conb_1 + PLACED ( 260160 263070 ) FS ;
- _172_ sky130_fd_sc_ls__conb_1 + PLACED ( 203520 236430 ) FS ;
- _173_ sky130_fd_sc_ls__conb_1 + PLACED ( 88800 13320 ) N ;
- _174_ sky130_fd_sc_ls__conb_1 + PLACED ( 179040 83250 ) FS ;
- _175_ sky130_fd_sc_ls__conb_1 + PLACED ( 135840 136530 ) FS ;
- _176_ sky130_fd_sc_ls__conb_1 + PLACED ( 247680 43290 ) FS ;
- _177_ sky130_fd_sc_ls__conb_1 + PLACED ( 56160 126540 ) N ;
- _178_ sky130_fd_sc_ls__conb_1 + PLACED ( 288000 206460 ) N ;
- _179_ sky130_fd_sc_ls__conb_1 + PLACED ( 180480 206460 ) N ;
- _180_ sky130_fd_sc_ls__conb_1 + PLACED ( 113760 146520 ) N ;
- _181_ sky130_fd_sc_ls__conb_1 + PLACED ( 234240 13320 ) N ;
- _182_ sky130_fd_sc_ls__conb_1 + PLACED ( 183360 176490 ) S ;
- _183_ sky130_fd_sc_ls__conb_1 + PLACED ( 71040 116550 ) S ;
- _184_ sky130_fd_sc_ls__conb_1 + PLACED ( 221760 99900 ) FN ;
- _185_ sky130_fd_sc_ls__conb_1 + PLACED ( 274080 53280 ) FN ;
- _186_ sky130_fd_sc_ls__conb_1 + PLACED ( 148320 29970 ) S ;
- _187_ sky130_fd_sc_ls__conb_1 + PLACED ( 129600 33300 ) FN ;
- _188_ sky130_fd_sc_ls__conb_1 + PLACED ( 276000 183150 ) S ;
- _189_ sky130_fd_sc_ls__conb_1 + PLACED ( 226560 73260 ) FN ;
- _190_ sky130_fd_sc_ls__conb_1 + PLACED ( 122880 219780 ) FN ;
- _191_ sky130_fd_sc_ls__conb_1 + PLACED ( 52800 36630 ) S ;
- _192_ sky130_fd_sc_ls__conb_1 + PLACED ( 7680 179820 ) N ;
- _193_ sky130_fd_sc_ls__conb_1 + PLACED ( 91680 29970 ) S ;
- _194_ sky130_fd_sc_ls__conb_1 + PLACED ( 74880 279720 ) FN ;
- _195_ sky130_fd_sc_ls__conb_1 + PLACED ( 234720 103230 ) S ;
- _196_ sky130_fd_sc_ls__conb_1 + PLACED ( 103680 126540 ) FN ;
- _197_ sky130_fd_sc_ls__conb_1 + PLACED ( 134400 26640 ) FN ;
- _198_ sky130_fd_sc_ls__conb_1 + PLACED ( 194880 226440 ) FN ;
- _199_ sky130_fd_sc_ls__conb_1 + PLACED ( 21600 203130 ) FS ;
- _200_ sky130_fd_sc_ls__conb_1 + PLACED ( 187680 243090 ) S ;
- _201_ sky130_fd_sc_ls__conb_1 + PLACED ( 120960 116550 ) S ;
- _202_ sky130_fd_sc_ls__conb_1 + PLACED ( 220800 89910 ) S ;
- _203_ sky130_fd_sc_ls__conb_1 + PLACED ( 268320 69930 ) S ;
- _204_ sky130_fd_sc_ls__conb_1 + PLACED ( 74400 23310 ) S ;
- _205_ sky130_fd_sc_ls__conb_1 + PLACED ( 181920 213120 ) FN ;
- _206_ sky130_fd_sc_ls__conb_1 + PLACED ( 66240 56610 ) S ;
- _207_ sky130_fd_sc_ls__conb_1 + PLACED ( 200640 19980 ) FN ;
- _208_ sky130_fd_sc_ls__conb_1 + PLACED ( 203040 133200 ) FN ;
- _209_ sky130_fd_sc_ls__conb_1 + PLACED ( 182880 123210 ) S ;
- _210_ sky130_fd_sc_ls__conb_1 + PLACED ( 161760 229770 ) S ;
- _211_ sky130_fd_sc_ls__conb_1 + PLACED ( 270240 193140 ) FN ;
- _212_ sky130_fd_sc_ls__conb_1 + PLACED ( 201600 263070 ) S ;
- _213_ sky130_fd_sc_ls__conb_1 + PLACED ( 19200 149850 ) FS ;
- _214_ sky130_fd_sc_ls__conb_1 + PLACED ( 140160 226440 ) FN ;
- _215_ sky130_fd_sc_ls__conb_1 + PLACED ( 34560 229770 ) S ;
- _216_ sky130_fd_sc_ls__conb_1 + PLACED ( 63840 279720 ) FN ;
- _217_ sky130_fd_sc_ls__conb_1 + PLACED ( 73440 216450 ) FS ;
- input1 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 7680 283050 ) S ;
- input10 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 167040 283050 ) S ;
- input11 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 182400 283050 ) FS ;
- input12 sky130_fd_sc_ls__buf_4 + PLACED ( 200640 283050 ) S ;
- input13 sky130_fd_sc_ls__buf_4 + PLACED ( 214560 283050 ) S ;
- input14 sky130_fd_sc_ls__buf_4 + PLACED ( 229920 283050 ) S ;
- input15 sky130_fd_sc_ls__buf_4 + PLACED ( 245760 283050 ) S ;
- input16 sky130_fd_sc_ls__buf_4 + PLACED ( 253920 283050 ) S ;
- input17 sky130_fd_sc_ls__buf_4 + PLACED ( 265440 283050 ) S ;
- input18 sky130_fd_sc_ls__buf_4 + PLACED ( 278400 283050 ) S ;
- input19 sky130_fd_sc_ls__buf_4 + PLACED ( 285600 283050 ) S ;
- input2 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 84000 283050 ) S ;
- input20 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 24960 283050 ) S ;
- input21 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 32640 283050 ) S ;
- input22 sky130_fd_sc_ls__buf_2 + PLACED ( 50880 283050 ) S ;
- input23 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 58080 283050 ) S ;
- input24 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 64320 283050 ) FS ;
- input25 sky130_fd_sc_ls__buf_1 + PLACED ( 64800 13320 ) FN ;
- input26 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 84960 16650 ) FS ;
- input27 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 88800 16650 ) FS ;
- input28 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 88320 19980 ) FN ;
- input29 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 92160 19980 ) FN ;
- input3 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 88800 283050 ) FS ;
- input30 sky130_fd_sc_ls__buf_2 + PLACED ( 92160 13320 ) N ;
- input31 sky130_fd_sc_ls__buf_2 + PLACED ( 96000 16650 ) S ;
- input32 sky130_fd_sc_ls__buf_2 + PLACED ( 99360 19980 ) FN ;
- input33 sky130_fd_sc_ls__buf_1 + PLACED ( 66720 16650 ) S ;
- input34 sky130_fd_sc_ls__buf_2 + PLACED ( 103680 19980 ) FN ;
- input35 sky130_fd_sc_ls__buf_2 + PLACED ( 109920 16650 ) S ;
- input36 sky130_fd_sc_ls__buf_2 + PLACED ( 108000 19980 ) N ;
- input37 sky130_fd_sc_ls__buf_2 + PLACED ( 114240 16650 ) FS ;
- input38 sky130_fd_sc_ls__buf_2 + PLACED ( 112320 19980 ) FN ;
- input39 sky130_fd_sc_ls__buf_1 + PLACED ( 70560 16650 ) S ;
- input4 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 96960 283050 ) S ;
- input40 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 74400 16650 ) S ;
- input41 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 78240 16650 ) S ;
- input42 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 77760 19980 ) N ;
- input43 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 81600 19980 ) FN ;
- input44 sky130_fd_sc_ls__clkbuf_2 + PLACED ( 84000 23310 ) S ;
- input5 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 103680 283050 ) S ;
- input6 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 122880 283050 ) S ;
- input7 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 135840 283050 ) S ;
- input8 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 151200 283050 ) S ;
- input9 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 12480 283050 ) S ;
- output45 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 117120 283050 ) S ;
- output46 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 130560 283050 ) S ;
- output47 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 148800 279720 ) FN ;
- output48 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 162240 283050 ) S ;
- output49 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 177600 283050 ) S ;
- output50 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 195360 283050 ) S ;
- output51 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 211680 279720 ) FN ;
- output52 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 227520 279720 ) FN ;
- output53 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 20160 283050 ) FS ;
- output54 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 240960 283050 ) S ;
- output55 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 273120 283050 ) S ;
- output56 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 287520 279720 ) FN ;
- output57 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 46080 283050 ) FS ;
- output58 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 77280 283050 ) S ;
- output59 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 84000 13320 ) N ;
- output60 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 96960 13320 ) FN ;
- output61 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 101760 13320 ) N ;
- output62 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 103680 16650 ) FS ;
- output63 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 109920 13320 ) N ;
- output64 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 114720 13320 ) N ;
- output65 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 71040 13320 ) FN ;
- output66 sky130_fd_sc_ls__clkbuf_4 + PLACED ( 76320 13320 ) FN ;
END COMPONENTS
PINS 609 ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1200 298000 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79920 298000 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87600 298000 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95760 298000 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103440 298000 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111600 298000 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119280 298000 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127440 298000 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135120 298000 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143280 298000 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150960 298000 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8880 298000 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158640 298000 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166800 298000 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174480 298000 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182640 298000 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190320 298000 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198480 298000 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 206160 298000 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214320 298000 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222000 298000 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 229680 298000 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16560 298000 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237840 298000 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 245520 298000 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 253680 298000 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 261360 298000 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 269520 298000 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 277200 298000 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 285360 298000 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 293040 298000 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24720 298000 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32400 298000 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40560 298000 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48240 298000 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56400 298000 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64080 298000 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72240 298000 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3600 298000 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82320 298000 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90480 298000 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98160 298000 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106320 298000 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114000 298000 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122160 298000 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129840 298000 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138000 298000 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145680 298000 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153360 298000 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11280 298000 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161520 298000 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169200 298000 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177360 298000 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185040 298000 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193200 298000 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200880 298000 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209040 298000 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216720 298000 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 224880 298000 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232560 298000 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19440 298000 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240240 298000 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248400 298000 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 256080 298000 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 264240 298000 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 271920 298000 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 280080 298000 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 287760 298000 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 295920 298000 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27120 298000 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35280 298000 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42960 298000 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51120 298000 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58800 298000 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66960 298000 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74640 298000 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6000 298000 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85200 298000 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92880 298000 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101040 298000 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108720 298000 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116880 298000 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124560 298000 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132720 298000 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140400 298000 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148560 298000 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156240 298000 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14160 298000 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163920 298000 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172080 298000 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179760 298000 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187920 298000 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195600 298000 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203760 298000 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211440 298000 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219600 298000 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227280 298000 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234960 298000 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21840 298000 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243120 298000 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 250800 298000 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 258960 298000 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 266640 298000 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 274800 298000 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 282480 298000 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 290640 298000 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 298320 298000 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30000 298000 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37680 298000 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45840 298000 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53520 298000 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61680 298000 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69360 298000 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77040 298000 ) N ;
- irq[0] + NET irq[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 298320 2000 ) N ;
- irq[1] + NET irq[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 298800 2000 ) N ;
- irq[2] + NET irq[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 299280 2000 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64560 2000 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246960 2000 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248880 2000 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 250800 2000 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 252720 2000 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 254160 2000 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 256080 2000 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 258000 2000 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 259920 2000 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 261840 2000 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 263280 2000 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82800 2000 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 265200 2000 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 267120 2000 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 269040 2000 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 270960 2000 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 272400 2000 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 274320 2000 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 276240 2000 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 278160 2000 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 280080 2000 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 281520 2000 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 84720 2000 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 283440 2000 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 285360 2000 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 287280 2000 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 289200 2000 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 290640 2000 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 292560 2000 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 294480 2000 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 296400 2000 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 86640 2000 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 88080 2000 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90000 2000 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91920 2000 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 93840 2000 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 95760 2000 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 97200 2000 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99120 2000 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66480 2000 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101040 2000 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102960 2000 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 104880 2000 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 106320 2000 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108240 2000 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110160 2000 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112080 2000 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114000 2000 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 115440 2000 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117360 2000 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67920 2000 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119280 2000 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121200 2000 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123120 2000 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124560 2000 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126480 2000 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128400 2000 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130320 2000 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132240 2000 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133680 2000 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135600 2000 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69840 2000 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137520 2000 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 139440 2000 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141360 2000 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142800 2000 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144720 2000 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146640 2000 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148560 2000 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150480 2000 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151920 2000 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153840 2000 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71760 2000 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155760 2000 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157680 2000 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 159600 2000 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 161040 2000 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162960 2000 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164880 2000 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166800 2000 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 168720 2000 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 170160 2000 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 172080 2000 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73680 2000 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174000 2000 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175920 2000 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 177840 2000 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 179280 2000 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 181200 2000 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183120 2000 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185040 2000 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186960 2000 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 188880 2000 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 190320 2000 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 75600 2000 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192240 2000 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194160 2000 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196080 2000 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198000 2000 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 199440 2000 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 201360 2000 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203280 2000 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205200 2000 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 207120 2000 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 208560 2000 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 77520 2000 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 210480 2000 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 212400 2000 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214320 2000 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216240 2000 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 217680 2000 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219600 2000 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221520 2000 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 223440 2000 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225360 2000 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 226800 2000 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78960 2000 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 228720 2000 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230640 2000 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232560 2000 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234480 2000 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 235920 2000 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237840 2000 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 239760 2000 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 241680 2000 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 243600 2000 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 245040 2000 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80880 2000 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65040 2000 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 247440 2000 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 249360 2000 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 251280 2000 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 253200 2000 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 255120 2000 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 256560 2000 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 258480 2000 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 260400 2000 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 262320 2000 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 264240 2000 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83280 2000 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 266160 2000 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 267600 2000 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 269520 2000 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 271440 2000 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 273360 2000 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 275280 2000 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 276720 2000 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 278640 2000 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 280560 2000 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 282480 2000 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85200 2000 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 284400 2000 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 285840 2000 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 287760 2000 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 289680 2000 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 291600 2000 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 293520 2000 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 294960 2000 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 296880 2000 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87120 2000 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89040 2000 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 90480 2000 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92400 2000 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94320 2000 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96240 2000 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98160 2000 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 99600 2000 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 66960 2000 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 101520 2000 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103440 2000 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105360 2000 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107280 2000 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 108720 2000 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 110640 2000 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 112560 2000 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114480 2000 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116400 2000 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 117840 2000 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 68880 2000 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 119760 2000 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 121680 2000 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 123600 2000 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 125520 2000 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126960 2000 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 128880 2000 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 130800 2000 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 132720 2000 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 134640 2000 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 136080 2000 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 70800 2000 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138000 2000 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 139920 2000 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 141840 2000 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 143760 2000 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 145200 2000 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 147120 2000 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149040 2000 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 150960 2000 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 152880 2000 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 154800 2000 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 72240 2000 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 156240 2000 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158160 2000 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160080 2000 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162000 2000 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 163920 2000 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 165360 2000 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167280 2000 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169200 2000 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171120 2000 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173040 2000 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74160 2000 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 174480 2000 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176400 2000 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178320 2000 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180240 2000 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182160 2000 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 183600 2000 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 185520 2000 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187440 2000 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189360 2000 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191280 2000 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76080 2000 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 192720 2000 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 194640 2000 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 196560 2000 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198480 2000 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200400 2000 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 201840 2000 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 203760 2000 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 205680 2000 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 207600 2000 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 209520 2000 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78000 2000 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 210960 2000 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 212880 2000 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 214800 2000 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 216720 2000 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 218640 2000 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 220080 2000 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222000 2000 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 223920 2000 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 225840 2000 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 227760 2000 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 79920 2000 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 229200 2000 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 231120 2000 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 233040 2000 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 234960 2000 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 236880 2000 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 238320 2000 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240240 2000 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 242160 2000 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244080 2000 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246000 2000 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 81360 2000 ) N ;
- la_oenb[0] + NET la_oenb[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 65520 2000 ) N ;
- la_oenb[100] + NET la_oenb[100] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 248400 2000 ) N ;
- la_oenb[101] + NET la_oenb[101] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 250320 2000 ) N ;
- la_oenb[102] + NET la_oenb[102] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 251760 2000 ) N ;
- la_oenb[103] + NET la_oenb[103] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 253680 2000 ) N ;
- la_oenb[104] + NET la_oenb[104] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 255600 2000 ) N ;
- la_oenb[105] + NET la_oenb[105] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 257520 2000 ) N ;
- la_oenb[106] + NET la_oenb[106] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 259440 2000 ) N ;
- la_oenb[107] + NET la_oenb[107] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 260880 2000 ) N ;
- la_oenb[108] + NET la_oenb[108] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 262800 2000 ) N ;
- la_oenb[109] + NET la_oenb[109] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 264720 2000 ) N ;
- la_oenb[10] + NET la_oenb[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 83760 2000 ) N ;
- la_oenb[110] + NET la_oenb[110] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 266640 2000 ) N ;
- la_oenb[111] + NET la_oenb[111] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 268560 2000 ) N ;
- la_oenb[112] + NET la_oenb[112] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 270000 2000 ) N ;
- la_oenb[113] + NET la_oenb[113] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 271920 2000 ) N ;
- la_oenb[114] + NET la_oenb[114] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 273840 2000 ) N ;
- la_oenb[115] + NET la_oenb[115] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 275760 2000 ) N ;
- la_oenb[116] + NET la_oenb[116] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 277680 2000 ) N ;
- la_oenb[117] + NET la_oenb[117] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 279120 2000 ) N ;
- la_oenb[118] + NET la_oenb[118] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 281040 2000 ) N ;
- la_oenb[119] + NET la_oenb[119] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 282960 2000 ) N ;
- la_oenb[11] + NET la_oenb[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 85680 2000 ) N ;
- la_oenb[120] + NET la_oenb[120] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 284880 2000 ) N ;
- la_oenb[121] + NET la_oenb[121] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 286800 2000 ) N ;
- la_oenb[122] + NET la_oenb[122] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 288240 2000 ) N ;
- la_oenb[123] + NET la_oenb[123] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 290160 2000 ) N ;
- la_oenb[124] + NET la_oenb[124] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 292080 2000 ) N ;
- la_oenb[125] + NET la_oenb[125] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 294000 2000 ) N ;
- la_oenb[126] + NET la_oenb[126] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 295920 2000 ) N ;
- la_oenb[127] + NET la_oenb[127] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 297360 2000 ) N ;
- la_oenb[12] + NET la_oenb[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 87600 2000 ) N ;
- la_oenb[13] + NET la_oenb[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 89520 2000 ) N ;
- la_oenb[14] + NET la_oenb[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 91440 2000 ) N ;
- la_oenb[15] + NET la_oenb[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 92880 2000 ) N ;
- la_oenb[16] + NET la_oenb[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 94800 2000 ) N ;
- la_oenb[17] + NET la_oenb[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 96720 2000 ) N ;
- la_oenb[18] + NET la_oenb[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 98640 2000 ) N ;
- la_oenb[19] + NET la_oenb[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 100560 2000 ) N ;
- la_oenb[1] + NET la_oenb[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 67440 2000 ) N ;
- la_oenb[20] + NET la_oenb[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 102000 2000 ) N ;
- la_oenb[21] + NET la_oenb[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 103920 2000 ) N ;
- la_oenb[22] + NET la_oenb[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 105840 2000 ) N ;
- la_oenb[23] + NET la_oenb[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 107760 2000 ) N ;
- la_oenb[24] + NET la_oenb[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 109680 2000 ) N ;
- la_oenb[25] + NET la_oenb[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 111120 2000 ) N ;
- la_oenb[26] + NET la_oenb[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 113040 2000 ) N ;
- la_oenb[27] + NET la_oenb[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 114960 2000 ) N ;
- la_oenb[28] + NET la_oenb[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 116880 2000 ) N ;
- la_oenb[29] + NET la_oenb[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 118800 2000 ) N ;
- la_oenb[2] + NET la_oenb[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 69360 2000 ) N ;
- la_oenb[30] + NET la_oenb[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 120720 2000 ) N ;
- la_oenb[31] + NET la_oenb[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 122160 2000 ) N ;
- la_oenb[32] + NET la_oenb[32] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 124080 2000 ) N ;
- la_oenb[33] + NET la_oenb[33] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 126000 2000 ) N ;
- la_oenb[34] + NET la_oenb[34] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 127920 2000 ) N ;
- la_oenb[35] + NET la_oenb[35] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 129840 2000 ) N ;
- la_oenb[36] + NET la_oenb[36] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 131280 2000 ) N ;
- la_oenb[37] + NET la_oenb[37] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 133200 2000 ) N ;
- la_oenb[38] + NET la_oenb[38] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 135120 2000 ) N ;
- la_oenb[39] + NET la_oenb[39] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 137040 2000 ) N ;
- la_oenb[3] + NET la_oenb[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 71280 2000 ) N ;
- la_oenb[40] + NET la_oenb[40] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 138960 2000 ) N ;
- la_oenb[41] + NET la_oenb[41] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 140400 2000 ) N ;
- la_oenb[42] + NET la_oenb[42] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 142320 2000 ) N ;
- la_oenb[43] + NET la_oenb[43] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 144240 2000 ) N ;
- la_oenb[44] + NET la_oenb[44] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 146160 2000 ) N ;
- la_oenb[45] + NET la_oenb[45] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 148080 2000 ) N ;
- la_oenb[46] + NET la_oenb[46] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 149520 2000 ) N ;
- la_oenb[47] + NET la_oenb[47] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 151440 2000 ) N ;
- la_oenb[48] + NET la_oenb[48] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 153360 2000 ) N ;
- la_oenb[49] + NET la_oenb[49] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 155280 2000 ) N ;
- la_oenb[4] + NET la_oenb[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 73200 2000 ) N ;
- la_oenb[50] + NET la_oenb[50] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 157200 2000 ) N ;
- la_oenb[51] + NET la_oenb[51] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 158640 2000 ) N ;
- la_oenb[52] + NET la_oenb[52] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 160560 2000 ) N ;
- la_oenb[53] + NET la_oenb[53] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 162480 2000 ) N ;
- la_oenb[54] + NET la_oenb[54] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 164400 2000 ) N ;
- la_oenb[55] + NET la_oenb[55] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 166320 2000 ) N ;
- la_oenb[56] + NET la_oenb[56] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 167760 2000 ) N ;
- la_oenb[57] + NET la_oenb[57] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 169680 2000 ) N ;
- la_oenb[58] + NET la_oenb[58] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 171600 2000 ) N ;
- la_oenb[59] + NET la_oenb[59] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 173520 2000 ) N ;
- la_oenb[5] + NET la_oenb[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 74640 2000 ) N ;
- la_oenb[60] + NET la_oenb[60] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 175440 2000 ) N ;
- la_oenb[61] + NET la_oenb[61] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 176880 2000 ) N ;
- la_oenb[62] + NET la_oenb[62] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 178800 2000 ) N ;
- la_oenb[63] + NET la_oenb[63] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 180720 2000 ) N ;
- la_oenb[64] + NET la_oenb[64] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 182640 2000 ) N ;
- la_oenb[65] + NET la_oenb[65] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 184560 2000 ) N ;
- la_oenb[66] + NET la_oenb[66] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 186000 2000 ) N ;
- la_oenb[67] + NET la_oenb[67] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 187920 2000 ) N ;
- la_oenb[68] + NET la_oenb[68] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 189840 2000 ) N ;
- la_oenb[69] + NET la_oenb[69] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 191760 2000 ) N ;
- la_oenb[6] + NET la_oenb[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 76560 2000 ) N ;
- la_oenb[70] + NET la_oenb[70] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 193680 2000 ) N ;
- la_oenb[71] + NET la_oenb[71] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 195120 2000 ) N ;
- la_oenb[72] + NET la_oenb[72] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 197040 2000 ) N ;
- la_oenb[73] + NET la_oenb[73] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 198960 2000 ) N ;
- la_oenb[74] + NET la_oenb[74] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 200880 2000 ) N ;
- la_oenb[75] + NET la_oenb[75] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 202800 2000 ) N ;
- la_oenb[76] + NET la_oenb[76] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 204240 2000 ) N ;
- la_oenb[77] + NET la_oenb[77] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 206160 2000 ) N ;
- la_oenb[78] + NET la_oenb[78] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 208080 2000 ) N ;
- la_oenb[79] + NET la_oenb[79] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 210000 2000 ) N ;
- la_oenb[7] + NET la_oenb[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 78480 2000 ) N ;
- la_oenb[80] + NET la_oenb[80] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 211920 2000 ) N ;
- la_oenb[81] + NET la_oenb[81] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 213360 2000 ) N ;
- la_oenb[82] + NET la_oenb[82] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 215280 2000 ) N ;
- la_oenb[83] + NET la_oenb[83] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 217200 2000 ) N ;
- la_oenb[84] + NET la_oenb[84] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 219120 2000 ) N ;
- la_oenb[85] + NET la_oenb[85] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 221040 2000 ) N ;
- la_oenb[86] + NET la_oenb[86] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 222480 2000 ) N ;
- la_oenb[87] + NET la_oenb[87] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 224400 2000 ) N ;
- la_oenb[88] + NET la_oenb[88] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 226320 2000 ) N ;
- la_oenb[89] + NET la_oenb[89] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 228240 2000 ) N ;
- la_oenb[8] + NET la_oenb[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 80400 2000 ) N ;
- la_oenb[90] + NET la_oenb[90] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 230160 2000 ) N ;
- la_oenb[91] + NET la_oenb[91] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 232080 2000 ) N ;
- la_oenb[92] + NET la_oenb[92] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 233520 2000 ) N ;
- la_oenb[93] + NET la_oenb[93] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 235440 2000 ) N ;
- la_oenb[94] + NET la_oenb[94] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 237360 2000 ) N ;
- la_oenb[95] + NET la_oenb[95] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 239280 2000 ) N ;
- la_oenb[96] + NET la_oenb[96] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 241200 2000 ) N ;
- la_oenb[97] + NET la_oenb[97] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 242640 2000 ) N ;
- la_oenb[98] + NET la_oenb[98] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 244560 2000 ) N ;
- la_oenb[99] + NET la_oenb[99] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 246480 2000 ) N ;
- la_oenb[9] + NET la_oenb[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 82320 2000 ) N ;
- vccd1 + NET vccd1 + SPECIAL + DIRECTION INPUT + USE POWER
+ PORT
+ LAYER met4 ( -800 -136770 ) ( 800 136770 )
+ LAYER met4 ( -154400 -136770 ) ( -152800 136770 )
+ FIXED ( 175680 149850 ) N ;
- vssd1 + NET vssd1 + SPECIAL + DIRECTION INPUT + USE GROUND
+ PORT
+ LAYER met4 ( -800 -136770 ) ( 800 136770 )
+ LAYER met4 ( -154400 -136770 ) ( -152800 136770 )
+ FIXED ( 252480 149850 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 240 2000 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 720 2000 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1200 2000 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3600 2000 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24240 2000 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26160 2000 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 28080 2000 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30000 2000 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 31440 2000 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33360 2000 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35280 2000 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37200 2000 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39120 2000 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40560 2000 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6000 2000 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42480 2000 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44400 2000 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46320 2000 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48240 2000 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49680 2000 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51600 2000 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53520 2000 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55440 2000 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57360 2000 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58800 2000 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 8400 2000 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60720 2000 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62640 2000 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10800 2000 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 13200 2000 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15120 2000 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 17040 2000 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18960 2000 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 20880 2000 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 22320 2000 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 1680 2000 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 4080 2000 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 24720 2000 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 26640 2000 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 28560 2000 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30480 2000 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32400 2000 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 33840 2000 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 35760 2000 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 37680 2000 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 39600 2000 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 41520 2000 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 6480 2000 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43440 2000 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 44880 2000 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 46800 2000 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 48720 2000 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 50640 2000 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 52560 2000 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54000 2000 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 55920 2000 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 57840 2000 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 59760 2000 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9360 2000 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 61680 2000 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 63120 2000 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 11760 2000 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14160 2000 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 15600 2000 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 17520 2000 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19440 2000 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21360 2000 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23280 2000 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5040 2000 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 25680 2000 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 27600 2000 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 29040 2000 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 30960 2000 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 32880 2000 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 34800 2000 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 36720 2000 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 38160 2000 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 40080 2000 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 42000 2000 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7440 2000 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 43920 2000 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 45840 2000 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 47280 2000 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 49200 2000 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 51120 2000 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 53040 2000 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 54960 2000 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 56400 2000 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 58320 2000 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 60240 2000 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 9840 2000 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 62160 2000 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 64080 2000 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12240 2000 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 14640 2000 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 16560 2000 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 18480 2000 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 19920 2000 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 21840 2000 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 23760 2000 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 5520 2000 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 7920 2000 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 10320 2000 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 12720 2000 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 2640 2000 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ PORT
+ LAYER met2 ( -140 -2000 ) ( 140 2000 )
+ PLACED ( 3120 2000 ) N ;
END PINS
BLOCKAGES 1 ;
- LAYER met5 RECT ( 0 0 ) ( 300000 300000 ) ;
END BLOCKAGES
SPECIALNETS 2 ;
- vccd1 ( PIN vccd1 ) ( * VPB ) ( * VPWR ) + USE POWER
+ ROUTED met3 0 + SHAPE STRIPE ( 175680 283050 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 283050 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 283050 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 283050 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 283050 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 283050 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 276390 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 276390 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 276390 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 276390 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 276390 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 276390 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 269730 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 269730 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 269730 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 269730 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 269730 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 269730 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 263070 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 263070 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 263070 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 263070 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 263070 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 263070 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 256410 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 256410 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 256410 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 256410 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 256410 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 256410 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 249750 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 249750 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 249750 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 249750 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 249750 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 249750 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 243090 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 243090 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 243090 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 243090 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 243090 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 243090 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 236430 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 236430 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 236430 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 236430 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 236430 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 236430 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 229770 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 229770 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 229770 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 229770 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 229770 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 229770 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 223110 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 223110 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 223110 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 223110 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 223110 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 223110 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 216450 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 216450 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 216450 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 216450 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 216450 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 216450 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 209790 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 209790 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 209790 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 209790 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 209790 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 209790 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 203130 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 203130 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 203130 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 203130 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 203130 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 203130 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 196470 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 196470 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 196470 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 196470 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 196470 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 196470 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 189810 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 189810 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 189810 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 189810 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 189810 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 189810 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 183150 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 183150 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 183150 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 183150 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 183150 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 183150 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 176490 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 176490 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 176490 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 176490 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 176490 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 176490 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 169830 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 169830 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 169830 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 169830 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 169830 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 169830 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 163170 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 163170 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 163170 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 163170 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 163170 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 163170 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 156510 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 156510 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 156510 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 156510 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 156510 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 156510 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 149850 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 149850 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 149850 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 149850 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 149850 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 149850 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 143190 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 143190 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 143190 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 143190 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 143190 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 143190 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 136530 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 136530 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 136530 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 136530 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 136530 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 136530 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 129870 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 129870 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 129870 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 129870 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 129870 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 129870 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 123210 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 123210 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 123210 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 123210 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 123210 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 123210 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 116550 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 116550 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 116550 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 116550 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 116550 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 116550 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 109890 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 109890 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 109890 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 109890 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 109890 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 109890 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 103230 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 103230 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 103230 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 103230 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 103230 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 103230 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 96570 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 96570 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 96570 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 96570 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 96570 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 96570 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 89910 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 89910 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 89910 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 89910 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 89910 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 89910 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 83250 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 83250 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 83250 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 83250 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 83250 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 83250 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 76590 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 76590 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 76590 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 76590 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 76590 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 76590 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 69930 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 69930 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 69930 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 69930 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 69930 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 69930 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 63270 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 63270 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 63270 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 63270 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 63270 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 63270 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 56610 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 56610 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 56610 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 56610 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 56610 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 56610 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 49950 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 49950 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 49950 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 49950 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 49950 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 49950 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 43290 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 43290 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 43290 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 43290 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 43290 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 43290 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 36630 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 36630 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 36630 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 36630 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 36630 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 36630 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 29970 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 29970 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 29970 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 29970 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 29970 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 29970 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 23310 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 23310 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 23310 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 23310 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 23310 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 23310 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 175680 16650 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 175680 16650 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 175680 16650 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 22080 16650 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 22080 16650 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 22080 16650 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 175680 13080 ) ( 175680 286620 )
NEW met4 1600 + SHAPE STRIPE ( 22080 13080 ) ( 22080 286620 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 283050 ) ( 294240 283050 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 276390 ) ( 294240 276390 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 269730 ) ( 294240 269730 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 263070 ) ( 294240 263070 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 256410 ) ( 294240 256410 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 249750 ) ( 294240 249750 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 243090 ) ( 294240 243090 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 236430 ) ( 294240 236430 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 229770 ) ( 294240 229770 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 223110 ) ( 294240 223110 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 216450 ) ( 294240 216450 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 209790 ) ( 294240 209790 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 203130 ) ( 294240 203130 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 196470 ) ( 294240 196470 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 189810 ) ( 294240 189810 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 183150 ) ( 294240 183150 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 176490 ) ( 294240 176490 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 169830 ) ( 294240 169830 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 163170 ) ( 294240 163170 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 156510 ) ( 294240 156510 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 149850 ) ( 294240 149850 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 143190 ) ( 294240 143190 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 136530 ) ( 294240 136530 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 129870 ) ( 294240 129870 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 123210 ) ( 294240 123210 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 116550 ) ( 294240 116550 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 109890 ) ( 294240 109890 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 103230 ) ( 294240 103230 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 96570 ) ( 294240 96570 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 89910 ) ( 294240 89910 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 83250 ) ( 294240 83250 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 76590 ) ( 294240 76590 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 69930 ) ( 294240 69930 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 63270 ) ( 294240 63270 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 56610 ) ( 294240 56610 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 49950 ) ( 294240 49950 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 43290 ) ( 294240 43290 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 36630 ) ( 294240 36630 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 29970 ) ( 294240 29970 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 23310 ) ( 294240 23310 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 16650 ) ( 294240 16650 ) ;
- vssd1 ( PIN vssd1 ) ( * VNB ) ( * VGND ) + USE GROUND
+ ROUTED met3 0 + SHAPE STRIPE ( 252480 286380 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 286380 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 286380 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 286380 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 286380 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 286380 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 279720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 279720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 279720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 279720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 279720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 279720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 273060 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 273060 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 273060 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 273060 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 273060 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 273060 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 266400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 266400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 266400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 266400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 266400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 266400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 259740 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 259740 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 259740 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 259740 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 259740 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 259740 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 253080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 253080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 253080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 253080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 253080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 253080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 246420 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 246420 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 246420 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 246420 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 246420 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 246420 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 239760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 239760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 239760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 239760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 239760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 239760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 233100 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 233100 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 233100 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 233100 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 233100 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 233100 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 226440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 226440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 226440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 226440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 226440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 226440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 219780 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 219780 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 219780 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 219780 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 219780 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 219780 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 213120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 213120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 213120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 213120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 213120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 213120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 206460 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 206460 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 206460 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 206460 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 206460 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 206460 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 199800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 199800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 199800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 199800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 199800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 199800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 193140 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 193140 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 193140 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 193140 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 193140 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 193140 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 186480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 186480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 186480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 186480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 186480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 186480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 179820 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 179820 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 179820 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 179820 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 179820 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 179820 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 173160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 173160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 173160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 173160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 173160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 173160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 166500 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 166500 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 166500 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 166500 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 166500 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 166500 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 159840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 159840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 159840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 159840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 159840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 159840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 153180 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 153180 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 153180 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 153180 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 153180 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 153180 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 146520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 146520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 146520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 146520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 146520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 146520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 139860 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 139860 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 139860 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 139860 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 139860 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 139860 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 133200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 133200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 133200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 133200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 133200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 133200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 126540 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 126540 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 126540 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 126540 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 126540 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 126540 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 119880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 119880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 119880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 119880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 119880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 119880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 113220 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 113220 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 113220 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 113220 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 113220 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 113220 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 106560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 106560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 106560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 106560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 106560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 106560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 99900 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 99900 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 99900 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 99900 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 99900 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 99900 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 93240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 93240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 93240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 93240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 93240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 93240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 86580 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 86580 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 86580 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 86580 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 86580 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 86580 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 79920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 79920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 79920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 79920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 79920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 79920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 73260 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 73260 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 73260 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 73260 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 73260 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 73260 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 66600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 66600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 66600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 66600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 66600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 66600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 59940 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 59940 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 59940 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 59940 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 59940 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 59940 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 53280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 53280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 53280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 53280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 53280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 53280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 46620 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 46620 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 46620 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 46620 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 46620 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 46620 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 39960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 39960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 39960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 39960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 39960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 39960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 33300 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 33300 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 33300 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 33300 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 33300 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 33300 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 26640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 26640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 26640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 26640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 26640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 26640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 19980 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 19980 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 19980 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 19980 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 19980 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 19980 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 252480 13320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 252480 13320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 252480 13320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 98880 13320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 98880 13320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 98880 13320 ) via_1600x480
NEW met4 1600 + SHAPE STRIPE ( 252480 13080 ) ( 252480 286620 )
NEW met4 1600 + SHAPE STRIPE ( 98880 13080 ) ( 98880 286620 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 286380 ) ( 294240 286380 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 279720 ) ( 294240 279720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 273060 ) ( 294240 273060 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 266400 ) ( 294240 266400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 259740 ) ( 294240 259740 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 253080 ) ( 294240 253080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 246420 ) ( 294240 246420 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 239760 ) ( 294240 239760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 233100 ) ( 294240 233100 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 226440 ) ( 294240 226440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 219780 ) ( 294240 219780 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 213120 ) ( 294240 213120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 206460 ) ( 294240 206460 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 199800 ) ( 294240 199800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 193140 ) ( 294240 193140 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 186480 ) ( 294240 186480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 179820 ) ( 294240 179820 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 173160 ) ( 294240 173160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 166500 ) ( 294240 166500 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 159840 ) ( 294240 159840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 153180 ) ( 294240 153180 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 146520 ) ( 294240 146520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 139860 ) ( 294240 139860 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 133200 ) ( 294240 133200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 126540 ) ( 294240 126540 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 119880 ) ( 294240 119880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 113220 ) ( 294240 113220 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 106560 ) ( 294240 106560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 99900 ) ( 294240 99900 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 93240 ) ( 294240 93240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 86580 ) ( 294240 86580 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 79920 ) ( 294240 79920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 73260 ) ( 294240 73260 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 66600 ) ( 294240 66600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 59940 ) ( 294240 59940 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 53280 ) ( 294240 53280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 46620 ) ( 294240 46620 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 39960 ) ( 294240 39960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 33300 ) ( 294240 33300 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 26640 ) ( 294240 26640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 19980 ) ( 294240 19980 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5760 13320 ) ( 294240 13320 ) ;
END SPECIALNETS
NETS 673 ;
- io_in[0] ( PIN io_in[0] ) ( ANTENNA_input1_A DIODE ) ( input1 A ) + USE SIGNAL
+ ROUTED met1 ( 1200 285085 ) ( 8400 * )
NEW met1 ( 6960 282495 ) ( 7920 * )
NEW met2 ( 6960 282495 ) ( * 285085 )
NEW met2 ( 1200 285085 ) ( * 296370 0 )
NEW li1 ( 8400 285085 ) L1M1_PR_MR
NEW met1 ( 1200 285085 ) M1M2_PR
NEW li1 ( 7920 282495 ) L1M1_PR_MR
NEW met1 ( 6960 282495 ) M1M2_PR
NEW met1 ( 6960 285085 ) M1M2_PR
NEW met1 ( 6960 285085 ) RECT ( -595 -70 0 70 ) ;
- io_in[10] ( PIN io_in[10] ) ( ANTENNA_input2_A DIODE ) ( input2 A ) + USE SIGNAL
+ ROUTED met1 ( 79920 285085 ) ( 84720 * )
NEW met2 ( 83280 282495 ) ( * 285085 )
NEW met2 ( 79920 285085 ) ( * 296370 0 )
NEW li1 ( 84720 285085 ) L1M1_PR_MR
NEW met1 ( 79920 285085 ) M1M2_PR
NEW li1 ( 83280 282495 ) L1M1_PR_MR
NEW met1 ( 83280 282495 ) M1M2_PR
NEW met1 ( 83280 285085 ) M1M2_PR
NEW met1 ( 83280 282495 ) RECT ( -355 -70 0 70 )
NEW met1 ( 83280 285085 ) RECT ( -595 -70 0 70 ) ;
- io_in[11] ( PIN io_in[11] ) ( ANTENNA_input3_A DIODE ) ( input3 A ) + USE SIGNAL
+ ROUTED met1 ( 87600 285085 ) ( 90960 * )
NEW met1 ( 90960 285085 ) ( 93840 * )
NEW met2 ( 87600 285085 ) ( * 296370 0 )
NEW li1 ( 90960 285085 ) L1M1_PR_MR
NEW met1 ( 87600 285085 ) M1M2_PR
NEW li1 ( 93840 285085 ) L1M1_PR_MR ;
- io_in[12] ( PIN io_in[12] ) ( ANTENNA_input4_A DIODE ) ( input4 A ) + USE SIGNAL
+ ROUTED met1 ( 95760 285085 ) ( 97680 * )
NEW met1 ( 95760 282495 ) ( 97200 * )
NEW met2 ( 95760 282495 ) ( * 285085 )
NEW met2 ( 95760 285085 ) ( * 296370 0 )
NEW li1 ( 97680 285085 ) L1M1_PR_MR
NEW met1 ( 95760 285085 ) M1M2_PR
NEW li1 ( 97200 282495 ) L1M1_PR_MR
NEW met1 ( 95760 282495 ) M1M2_PR ;
- io_in[13] ( PIN io_in[13] ) ( ANTENNA_input5_A DIODE ) ( input5 A ) + USE SIGNAL
+ ROUTED met1 ( 103440 285085 ) ( 104400 * )
NEW met2 ( 103440 282495 ) ( * 285085 )
NEW met2 ( 103440 285085 ) ( * 296370 0 )
NEW li1 ( 104400 285085 ) L1M1_PR_MR
NEW met1 ( 103440 285085 ) M1M2_PR
NEW li1 ( 103440 282495 ) L1M1_PR_MR
NEW met1 ( 103440 282495 ) M1M2_PR
NEW met1 ( 103440 282495 ) RECT ( -355 -70 0 70 ) ;
- io_in[14] ( PIN io_in[14] ) + USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) ( ANTENNA_input6_A DIODE ) ( input6 A ) + USE SIGNAL
+ ROUTED met1 ( 119280 285085 ) ( 123600 * )
NEW met2 ( 123120 282495 ) ( * 285085 )
NEW met2 ( 119280 285085 ) ( * 296370 0 )
NEW li1 ( 123600 285085 ) L1M1_PR_MR
NEW met1 ( 119280 285085 ) M1M2_PR
NEW li1 ( 123120 282495 ) L1M1_PR_MR
NEW met1 ( 123120 282495 ) M1M2_PR
NEW met1 ( 123120 285085 ) M1M2_PR
NEW met1 ( 123120 282495 ) RECT ( -355 -70 0 70 )
NEW met1 ( 123120 285085 ) RECT ( -595 -70 0 70 ) ;
- io_in[16] ( PIN io_in[16] ) + USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) ( ANTENNA_input7_A DIODE ) ( input7 A ) + USE SIGNAL
+ ROUTED met1 ( 135120 285085 ) ( 136560 * )
NEW met2 ( 135120 282495 ) ( * 285085 )
NEW met2 ( 135120 285085 ) ( * 296370 0 )
NEW li1 ( 136560 285085 ) L1M1_PR_MR
NEW met1 ( 135120 285085 ) M1M2_PR
NEW li1 ( 135120 282495 ) L1M1_PR_MR
NEW met1 ( 135120 282495 ) M1M2_PR
NEW met1 ( 135120 282495 ) RECT ( -355 -70 0 70 ) ;
- io_in[18] ( PIN io_in[18] ) + USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) ( ANTENNA_input8_A DIODE ) ( input8 A ) + USE SIGNAL
+ ROUTED met1 ( 146160 285085 ) ( 151920 * )
NEW met2 ( 150960 285085 ) ( * 296370 0 )
NEW li1 ( 151920 285085 ) L1M1_PR_MR
NEW li1 ( 146160 285085 ) L1M1_PR_MR
NEW met1 ( 150960 285085 ) M1M2_PR
NEW met1 ( 150960 285085 ) RECT ( -595 -70 0 70 ) ;
- io_in[1] ( PIN io_in[1] ) ( ANTENNA_input9_A DIODE ) ( input9 A ) + USE SIGNAL
+ ROUTED met1 ( 8880 285085 ) ( 13200 * )
NEW met2 ( 11760 282495 ) ( * 285085 )
NEW met2 ( 8880 285085 ) ( * 296370 0 )
NEW li1 ( 13200 285085 ) L1M1_PR_MR
NEW met1 ( 8880 285085 ) M1M2_PR
NEW li1 ( 11760 282495 ) L1M1_PR_MR
NEW met1 ( 11760 282495 ) M1M2_PR
NEW met1 ( 11760 285085 ) M1M2_PR
NEW met1 ( 11760 282495 ) RECT ( -355 -70 0 70 )
NEW met1 ( 11760 285085 ) RECT ( -595 -70 0 70 ) ;
- io_in[20] ( PIN io_in[20] ) + USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) ( ANTENNA_input10_A DIODE ) ( input10 A ) + USE SIGNAL
+ ROUTED met1 ( 166800 285085 ) ( 167760 * )
NEW met2 ( 166800 282495 ) ( * 285085 )
NEW met2 ( 166800 285085 ) ( * 296370 0 )
NEW li1 ( 167760 285085 ) L1M1_PR_MR
NEW met1 ( 166800 285085 ) M1M2_PR
NEW li1 ( 166800 282495 ) L1M1_PR_MR
NEW met1 ( 166800 282495 ) M1M2_PR
NEW met1 ( 166800 282495 ) RECT ( -355 -70 0 70 ) ;
- io_in[22] ( PIN io_in[22] ) + USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) ( ANTENNA_input11_A DIODE ) ( input11 A ) + USE SIGNAL
+ ROUTED met1 ( 182640 285085 ) ( 184560 * )
NEW met2 ( 182640 285085 ) ( * 296370 0 )
NEW met1 ( 184560 285085 ) ( 187920 * )
NEW li1 ( 187920 285085 ) L1M1_PR_MR
NEW li1 ( 184560 285085 ) L1M1_PR_MR
NEW met1 ( 182640 285085 ) M1M2_PR ;
- io_in[24] ( PIN io_in[24] ) + USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) ( ANTENNA_input12_A DIODE ) ( input12 A ) + USE SIGNAL
+ ROUTED met1 ( 198480 284715 ) ( 201360 * )
NEW met2 ( 200880 282495 ) ( * 284715 )
NEW met2 ( 198480 284715 ) ( * 296370 0 )
NEW li1 ( 201360 284715 ) L1M1_PR_MR
NEW met1 ( 198480 284715 ) M1M2_PR
NEW li1 ( 200880 282495 ) L1M1_PR_MR
NEW met1 ( 200880 282495 ) M1M2_PR
NEW met1 ( 200880 284715 ) M1M2_PR
NEW met1 ( 200880 282495 ) RECT ( -355 -70 0 70 )
NEW met1 ( 200880 284715 ) RECT ( -595 -70 0 70 ) ;
- io_in[26] ( PIN io_in[26] ) + USE SIGNAL ;
- io_in[27] ( PIN io_in[27] ) ( ANTENNA_input13_A DIODE ) ( input13 A ) + USE SIGNAL
+ ROUTED met1 ( 210960 284715 ) ( 215280 * )
NEW met2 ( 214320 284715 ) ( * 296370 0 )
NEW li1 ( 215280 284715 ) L1M1_PR_MR
NEW li1 ( 210960 284715 ) L1M1_PR_MR
NEW met1 ( 214320 284715 ) M1M2_PR
NEW met1 ( 214320 284715 ) RECT ( -595 -70 0 70 ) ;
- io_in[28] ( PIN io_in[28] ) + USE SIGNAL ;
- io_in[29] ( PIN io_in[29] ) ( ANTENNA_input14_A DIODE ) ( input14 A ) + USE SIGNAL
+ ROUTED met1 ( 229680 284715 ) ( 230640 * )
NEW met1 ( 227760 284715 ) ( 229680 * )
NEW met2 ( 229680 284715 ) ( * 296370 0 )
NEW li1 ( 230640 284715 ) L1M1_PR_MR
NEW met1 ( 229680 284715 ) M1M2_PR
NEW li1 ( 227760 284715 ) L1M1_PR_MR ;
- io_in[2] ( PIN io_in[2] ) + USE SIGNAL ;
- io_in[30] ( PIN io_in[30] ) + USE SIGNAL ;
- io_in[31] ( PIN io_in[31] ) ( ANTENNA_input15_A DIODE ) ( input15 A ) + USE SIGNAL
+ ROUTED met1 ( 245520 284715 ) ( 246480 * )
NEW met2 ( 245520 282495 ) ( * 284715 )
NEW met2 ( 245520 284715 ) ( * 296370 0 )
NEW li1 ( 246480 284715 ) L1M1_PR_MR
NEW met1 ( 245520 284715 ) M1M2_PR
NEW li1 ( 245520 282495 ) L1M1_PR_MR
NEW met1 ( 245520 282495 ) M1M2_PR
NEW met1 ( 245520 282495 ) RECT ( -355 -70 0 70 ) ;
- io_in[32] ( PIN io_in[32] ) ( ANTENNA_input16_A DIODE ) ( input16 A ) + USE SIGNAL
+ ROUTED met1 ( 253680 284715 ) ( 254640 * )
NEW met2 ( 253680 282495 ) ( * 284715 )
NEW met2 ( 253680 284715 ) ( * 296370 0 )
NEW li1 ( 254640 284715 ) L1M1_PR_MR
NEW met1 ( 253680 284715 ) M1M2_PR
NEW li1 ( 253680 282495 ) L1M1_PR_MR
NEW met1 ( 253680 282495 ) M1M2_PR
NEW met1 ( 253680 282495 ) RECT ( -355 -70 0 70 ) ;
- io_in[33] ( PIN io_in[33] ) ( ANTENNA_input17_A DIODE ) ( input17 A ) + USE SIGNAL
+ ROUTED met1 ( 261360 285825 ) ( 262320 * )
NEW met1 ( 262320 284715 ) ( 266160 * )
NEW met1 ( 262320 284715 ) ( * 285825 )
NEW met2 ( 261360 285825 ) ( * 296370 0 )
NEW li1 ( 262320 285825 ) L1M1_PR_MR
NEW met1 ( 261360 285825 ) M1M2_PR
NEW li1 ( 266160 284715 ) L1M1_PR_MR ;
- io_in[34] ( PIN io_in[34] ) + USE SIGNAL ;
- io_in[35] ( PIN io_in[35] ) ( ANTENNA_input18_A DIODE ) ( input18 A ) + USE SIGNAL
+ ROUTED met1 ( 275760 284715 ) ( 279120 * )
NEW met2 ( 275760 282495 ) ( * 284715 )
NEW met2 ( 277200 284715 ) ( * 296370 0 )
NEW li1 ( 279120 284715 ) L1M1_PR_MR
NEW met1 ( 275760 284715 ) M1M2_PR
NEW li1 ( 275760 282495 ) L1M1_PR_MR
NEW met1 ( 275760 282495 ) M1M2_PR
NEW met1 ( 277200 284715 ) M1M2_PR
NEW met1 ( 275760 282495 ) RECT ( -355 -70 0 70 )
NEW met1 ( 277200 284715 ) RECT ( -595 -70 0 70 ) ;
- io_in[36] ( PIN io_in[36] ) ( ANTENNA_input19_A DIODE ) ( input19 A ) + USE SIGNAL
+ ROUTED met1 ( 285360 284715 ) ( 286320 * )
NEW met2 ( 285360 279165 ) ( * 284715 )
NEW met2 ( 285360 284715 ) ( * 296370 0 )
NEW li1 ( 286320 284715 ) L1M1_PR_MR
NEW met1 ( 285360 284715 ) M1M2_PR
NEW li1 ( 285360 279165 ) L1M1_PR_MR
NEW met1 ( 285360 279165 ) M1M2_PR
NEW met1 ( 285360 279165 ) RECT ( -355 -70 0 70 ) ;
- io_in[37] ( PIN io_in[37] ) + USE SIGNAL ;
- io_in[3] ( PIN io_in[3] ) ( ANTENNA_input20_A DIODE ) ( input20 A ) + USE SIGNAL
+ ROUTED met1 ( 24720 285085 ) ( 25680 * )
NEW met2 ( 24720 282495 ) ( * 285085 )
NEW met2 ( 24720 285085 ) ( * 296370 0 )
NEW li1 ( 25680 285085 ) L1M1_PR_MR
NEW met1 ( 24720 285085 ) M1M2_PR
NEW li1 ( 24720 282495 ) L1M1_PR_MR
NEW met1 ( 24720 282495 ) M1M2_PR
NEW met1 ( 24720 282495 ) RECT ( -355 -70 0 70 ) ;
- io_in[4] ( PIN io_in[4] ) ( ANTENNA_input21_A DIODE ) ( input21 A ) + USE SIGNAL
+ ROUTED met1 ( 32400 285085 ) ( 33360 * )
NEW met2 ( 32400 282495 ) ( * 285085 )
NEW met2 ( 32400 285085 ) ( * 296370 0 )
NEW li1 ( 33360 285085 ) L1M1_PR_MR
NEW met1 ( 32400 285085 ) M1M2_PR
NEW li1 ( 32400 282495 ) L1M1_PR_MR
NEW met1 ( 32400 282495 ) M1M2_PR
NEW met1 ( 32400 282495 ) RECT ( -355 -70 0 70 ) ;
- io_in[5] ( PIN io_in[5] ) + USE SIGNAL ;
- io_in[6] ( PIN io_in[6] ) ( ANTENNA_input22_A DIODE ) ( input22 A ) + USE SIGNAL
+ ROUTED met2 ( 48240 288000 ) ( * 296370 0 )
NEW met1 ( 48720 284715 ) ( 51120 * )
NEW met2 ( 48720 284715 ) ( * 288000 )
NEW met2 ( 48240 288000 ) ( 48720 * )
NEW met2 ( 50640 282495 ) ( * 284715 )
NEW li1 ( 51120 284715 ) L1M1_PR_MR
NEW met1 ( 48720 284715 ) M1M2_PR
NEW li1 ( 50640 282495 ) L1M1_PR_MR
NEW met1 ( 50640 282495 ) M1M2_PR
NEW met1 ( 50640 284715 ) M1M2_PR
NEW met1 ( 50640 282495 ) RECT ( -355 -70 0 70 )
NEW met1 ( 50640 284715 ) RECT ( -595 -70 0 70 ) ;
- io_in[7] ( PIN io_in[7] ) ( ANTENNA_input23_A DIODE ) ( input23 A ) + USE SIGNAL
+ ROUTED met1 ( 56400 285085 ) ( 58800 * )
NEW met2 ( 57840 282495 ) ( * 285085 )
NEW met2 ( 56400 285085 ) ( * 296370 0 )
NEW li1 ( 58800 285085 ) L1M1_PR_MR
NEW met1 ( 56400 285085 ) M1M2_PR
NEW li1 ( 57840 282495 ) L1M1_PR_MR
NEW met1 ( 57840 282495 ) M1M2_PR
NEW met1 ( 57840 285085 ) M1M2_PR
NEW met1 ( 57840 282495 ) RECT ( -355 -70 0 70 )
NEW met1 ( 57840 285085 ) RECT ( -595 -70 0 70 ) ;
- io_in[8] ( PIN io_in[8] ) ( ANTENNA_input24_A DIODE ) ( input24 A ) + USE SIGNAL
+ ROUTED met1 ( 65040 285085 ) ( 66480 * )
NEW met2 ( 64080 285085 ) ( 65040 * )
NEW met1 ( 65040 282495 ) ( 67440 * )
NEW met2 ( 65040 282495 ) ( * 285085 )
NEW met2 ( 64080 285085 ) ( * 296370 0 )
NEW li1 ( 66480 285085 ) L1M1_PR_MR
NEW met1 ( 65040 285085 ) M1M2_PR
NEW li1 ( 67440 282495 ) L1M1_PR_MR
NEW met1 ( 65040 282495 ) M1M2_PR ;
- io_in[9] ( PIN io_in[9] ) + USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) ( _016_ HI ) + USE SIGNAL
+ ROUTED met2 ( 3600 257705 ) ( * 296370 0 )
NEW met1 ( 3600 257705 ) ( 166800 * )
NEW met1 ( 3600 257705 ) M1M2_PR
NEW li1 ( 166800 257705 ) L1M1_PR_MR ;
- io_oeb[10] ( PIN io_oeb[10] ) ( _023_ HI ) + USE SIGNAL
+ ROUTED met1 ( 82320 273615 ) ( 85680 * )
NEW met2 ( 82320 273615 ) ( * 296370 0 )
NEW met2 ( 138960 78995 ) ( * 81215 )
NEW met2 ( 85680 81215 ) ( * 273615 )
NEW met1 ( 85680 81215 ) ( 138960 * )
NEW met1 ( 82320 273615 ) M1M2_PR
NEW met1 ( 85680 273615 ) M1M2_PR
NEW li1 ( 138960 78995 ) L1M1_PR_MR
NEW met1 ( 138960 78995 ) M1M2_PR
NEW met1 ( 138960 81215 ) M1M2_PR
NEW met1 ( 85680 81215 ) M1M2_PR
NEW met1 ( 138960 78995 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[11] ( PIN io_oeb[11] ) ( _000_ HI ) + USE SIGNAL
+ ROUTED met2 ( 90960 296370 ) ( 92400 * )
NEW met2 ( 90960 295630 ) ( * 296370 )
NEW met2 ( 90480 295630 ) ( 90960 * )
NEW met2 ( 90480 295630 ) ( * 296370 0 )
NEW met2 ( 92400 114515 ) ( * 296370 )
NEW met1 ( 92400 114515 ) ( 159600 * )
NEW li1 ( 159600 114515 ) L1M1_PR_MR
NEW met1 ( 92400 114515 ) M1M2_PR ;
- io_oeb[12] ( PIN io_oeb[12] ) ( _001_ HI ) + USE SIGNAL
+ ROUTED met2 ( 96240 296370 ) ( 97680 * )
NEW met2 ( 97680 295630 ) ( * 296370 )
NEW met2 ( 97680 295630 ) ( 98160 * )
NEW met2 ( 98160 295630 ) ( * 296370 0 )
NEW met2 ( 93840 237600 ) ( 96240 * )
NEW met2 ( 96240 237600 ) ( * 296370 )
NEW met1 ( 75600 61975 ) ( 93840 * )
NEW met2 ( 93840 61975 ) ( * 237600 )
NEW met1 ( 93840 61975 ) M1M2_PR
NEW li1 ( 75600 61975 ) L1M1_PR_MR ;
- io_oeb[13] ( PIN io_oeb[13] ) ( _002_ HI ) + USE SIGNAL
+ ROUTED met2 ( 10800 106005 ) ( * 107115 )
NEW met2 ( 103920 296370 ) ( 105840 * )
NEW met2 ( 105840 295630 ) ( * 296370 )
NEW met2 ( 105840 295630 ) ( 106320 * )
NEW met2 ( 106320 295630 ) ( * 296370 0 )
NEW met2 ( 101040 263070 ) ( 103920 * )
NEW met2 ( 103920 263070 ) ( * 296370 )
NEW met2 ( 101040 106005 ) ( * 263070 )
NEW met1 ( 10800 106005 ) ( 101040 * )
NEW met1 ( 10800 106005 ) M1M2_PR
NEW li1 ( 10800 107115 ) L1M1_PR_MR
NEW met1 ( 10800 107115 ) M1M2_PR
NEW met1 ( 101040 106005 ) M1M2_PR
NEW met1 ( 10800 107115 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[14] ( PIN io_oeb[14] ) ( _024_ LO ) + USE SIGNAL
+ ROUTED met1 ( 113040 125245 ) ( 127440 * )
NEW met2 ( 113040 125245 ) ( * 237600 )
NEW met2 ( 113040 237600 ) ( 114000 * )
NEW met2 ( 114000 237600 ) ( * 296370 0 )
NEW li1 ( 127440 125245 ) L1M1_PR_MR
NEW met1 ( 113040 125245 ) M1M2_PR ;
- io_oeb[15] ( PIN io_oeb[15] ) ( _003_ HI ) + USE SIGNAL
+ ROUTED met1 ( 115440 262515 ) ( 122160 * )
NEW met2 ( 115440 44585 ) ( * 262515 )
NEW met2 ( 122160 262515 ) ( * 296370 0 )
NEW met1 ( 54000 44585 ) ( 115440 * )
NEW met1 ( 115440 44585 ) M1M2_PR
NEW met1 ( 115440 262515 ) M1M2_PR
NEW met1 ( 122160 262515 ) M1M2_PR
NEW li1 ( 54000 44585 ) L1M1_PR_MR ;
- io_oeb[16] ( PIN io_oeb[16] ) ( _025_ LO ) + USE SIGNAL
+ ROUTED met2 ( 129840 268065 ) ( * 296370 0 )
NEW met1 ( 9360 268065 ) ( 129840 * )
NEW li1 ( 9360 268065 ) L1M1_PR_MR
NEW met1 ( 129840 268065 ) M1M2_PR ;
- io_oeb[17] ( PIN io_oeb[17] ) ( _004_ HI ) + USE SIGNAL
+ ROUTED met2 ( 138000 288000 ) ( * 296370 0 )
NEW met2 ( 137520 288000 ) ( 138000 * )
NEW met2 ( 137520 132645 ) ( * 288000 )
NEW met2 ( 45360 132645 ) ( * 133755 )
NEW met1 ( 32400 133755 ) ( 45360 * )
NEW met1 ( 45360 132645 ) ( 137520 * )
NEW met1 ( 137520 132645 ) M1M2_PR
NEW met1 ( 45360 132645 ) M1M2_PR
NEW met1 ( 45360 133755 ) M1M2_PR
NEW li1 ( 32400 133755 ) L1M1_PR_MR ;
- io_oeb[18] ( PIN io_oeb[18] ) ( _026_ LO ) + USE SIGNAL
+ ROUTED met1 ( 85200 155215 ) ( 144720 * )
NEW met2 ( 144720 155215 ) ( * 237600 )
NEW met2 ( 144720 237600 ) ( 145680 * )
NEW met2 ( 145680 237600 ) ( * 296370 0 )
NEW li1 ( 85200 155215 ) L1M1_PR_MR
NEW met1 ( 144720 155215 ) M1M2_PR ;
- io_oeb[19] ( PIN io_oeb[19] ) ( _005_ HI ) + USE SIGNAL
+ ROUTED met2 ( 151920 296370 ) ( 152880 * )
NEW met2 ( 152880 295630 ) ( * 296370 )
NEW met2 ( 152880 295630 ) ( 153360 * )
NEW met2 ( 153360 295630 ) ( * 296370 0 )
NEW met2 ( 151920 151515 ) ( * 296370 )
NEW met1 ( 17040 151515 ) ( 151920 * )
NEW met1 ( 151920 151515 ) M1M2_PR
NEW li1 ( 17040 151515 ) L1M1_PR_MR ;
- io_oeb[1] ( PIN io_oeb[1] ) ( _017_ HI ) + USE SIGNAL
+ ROUTED met1 ( 11280 273615 ) ( 14160 * )
NEW met2 ( 11280 273615 ) ( * 296370 0 )
NEW met2 ( 14160 131165 ) ( * 273615 )
NEW met1 ( 14160 131165 ) ( 112080 * )
NEW met1 ( 14160 131165 ) M1M2_PR
NEW li1 ( 112080 131165 ) L1M1_PR_MR
NEW met1 ( 11280 273615 ) M1M2_PR
NEW met1 ( 14160 273615 ) M1M2_PR ;
- io_oeb[20] ( PIN io_oeb[20] ) ( _027_ LO ) + USE SIGNAL
+ ROUTED met1 ( 90000 117475 ) ( 159120 * )
NEW met2 ( 159120 296370 ) ( 161040 * )
NEW met2 ( 161040 295630 ) ( * 296370 )
NEW met2 ( 161040 295630 ) ( 161520 * )
NEW met2 ( 161520 295630 ) ( * 296370 0 )
NEW met2 ( 159120 117475 ) ( * 296370 )
NEW li1 ( 90000 117475 ) L1M1_PR_MR
NEW met1 ( 159120 117475 ) M1M2_PR ;
- io_oeb[21] ( PIN io_oeb[21] ) ( _006_ HI ) + USE SIGNAL
+ ROUTED met1 ( 172560 207015 ) ( 226800 * )
NEW met1 ( 169200 273615 ) ( 172560 * )
NEW met2 ( 169200 273615 ) ( * 296370 0 )
NEW met2 ( 172560 207015 ) ( * 273615 )
NEW li1 ( 226800 207015 ) L1M1_PR_MR
NEW met1 ( 172560 207015 ) M1M2_PR
NEW met1 ( 169200 273615 ) M1M2_PR
NEW met1 ( 172560 273615 ) M1M2_PR ;
- io_oeb[22] ( PIN io_oeb[22] ) ( _028_ LO ) + USE SIGNAL
+ ROUTED met1 ( 179760 87875 ) ( 287280 * )
NEW met1 ( 177360 273615 ) ( 179760 * )
NEW met2 ( 177360 273615 ) ( * 296370 0 )
NEW met2 ( 179760 87875 ) ( * 273615 )
NEW met1 ( 179760 87875 ) M1M2_PR
NEW li1 ( 287280 87875 ) L1M1_PR_MR
NEW met1 ( 177360 273615 ) M1M2_PR
NEW met1 ( 179760 273615 ) M1M2_PR ;
- io_oeb[23] ( PIN io_oeb[23] ) ( _007_ HI ) + USE SIGNAL
+ ROUTED met1 ( 111120 124505 ) ( 180240 * )
NEW met2 ( 183120 296370 ) ( 184560 * )
NEW met2 ( 184560 295630 ) ( * 296370 )
NEW met2 ( 184560 295630 ) ( 185040 * )
NEW met2 ( 185040 295630 ) ( * 296370 0 )
NEW met2 ( 180240 284530 ) ( 183120 * )
NEW met2 ( 180240 124505 ) ( * 284530 )
NEW met2 ( 183120 284530 ) ( * 296370 )
NEW li1 ( 111120 124505 ) L1M1_PR_MR
NEW met1 ( 180240 124505 ) M1M2_PR ;
- io_oeb[24] ( PIN io_oeb[24] ) ( _029_ LO ) + USE SIGNAL
+ ROUTED met2 ( 191280 296370 ) ( 192720 * )
NEW met2 ( 192720 295630 ) ( * 296370 )
NEW met2 ( 192720 295630 ) ( 193200 * )
NEW met2 ( 193200 295630 ) ( * 296370 0 )
NEW met2 ( 187440 201835 ) ( * 237600 )
NEW met2 ( 187440 237600 ) ( 191280 * )
NEW met2 ( 191280 237600 ) ( * 296370 )
NEW met1 ( 142800 201835 ) ( 187440 * )
NEW met1 ( 187440 201835 ) M1M2_PR
NEW li1 ( 142800 201835 ) L1M1_PR_MR ;
- io_oeb[25] ( PIN io_oeb[25] ) ( _008_ HI ) + USE SIGNAL
+ ROUTED met2 ( 198960 296370 ) ( 200400 * )
NEW met2 ( 200400 295630 ) ( * 296370 )
NEW met2 ( 200400 295630 ) ( 200880 * )
NEW met2 ( 200880 295630 ) ( * 296370 0 )
NEW met2 ( 195120 263070 ) ( 198960 * )
NEW met2 ( 195120 147075 ) ( * 263070 )
NEW met2 ( 198960 263070 ) ( * 296370 )
NEW met1 ( 98160 147075 ) ( 195120 * )
NEW li1 ( 98160 147075 ) L1M1_PR_MR
NEW met1 ( 195120 147075 ) M1M2_PR ;
- io_oeb[26] ( PIN io_oeb[26] ) ( _030_ LO ) + USE SIGNAL
+ ROUTED met2 ( 209040 234395 ) ( * 296370 0 )
NEW met1 ( 175920 234395 ) ( 209040 * )
NEW met1 ( 209040 234395 ) M1M2_PR
NEW li1 ( 175920 234395 ) L1M1_PR_MR ;
- io_oeb[27] ( PIN io_oeb[27] ) ( _009_ HI ) + USE SIGNAL
+ ROUTED met2 ( 216720 37925 ) ( * 296370 0 )
NEW met1 ( 64080 37925 ) ( 216720 * )
NEW met1 ( 216720 37925 ) M1M2_PR
NEW li1 ( 64080 37925 ) L1M1_PR_MR ;
- io_oeb[28] ( PIN io_oeb[28] ) ( _031_ LO ) + USE SIGNAL
+ ROUTED met2 ( 223440 296370 ) ( 224400 * )
NEW met2 ( 224400 295630 ) ( * 296370 )
NEW met2 ( 224400 295630 ) ( 224880 * )
NEW met2 ( 224880 295630 ) ( * 296370 0 )
NEW met2 ( 223440 137825 ) ( * 296370 )
NEW met1 ( 187200 137825 ) ( 223440 * )
NEW met1 ( 187200 137825 ) ( * 138195 )
NEW met1 ( 182160 138195 ) ( 187200 * )
NEW met1 ( 223440 137825 ) M1M2_PR
NEW li1 ( 182160 138195 ) L1M1_PR_MR ;
- io_oeb[29] ( PIN io_oeb[29] ) ( _010_ HI ) + USE SIGNAL
+ ROUTED met2 ( 231120 296370 ) ( 232080 * )
NEW met2 ( 232080 295630 ) ( * 296370 )
NEW met2 ( 232080 295630 ) ( 232560 * )
NEW met2 ( 232560 295630 ) ( * 296370 0 )
NEW met2 ( 231120 94535 ) ( * 296370 )
NEW met1 ( 187200 94535 ) ( 231120 * )
NEW met1 ( 187200 94535 ) ( * 95275 )
NEW met1 ( 117840 95275 ) ( 187200 * )
NEW li1 ( 117840 95275 ) L1M1_PR_MR
NEW met1 ( 231120 94535 ) M1M2_PR ;
- io_oeb[2] ( PIN io_oeb[2] ) ( _215_ LO ) + USE SIGNAL
+ ROUTED met1 ( 19440 277315 ) ( 34800 * )
NEW met2 ( 19440 277315 ) ( * 296370 0 )
NEW met2 ( 34800 230325 ) ( * 277315 )
NEW li1 ( 34800 230325 ) L1M1_PR_MR
NEW met1 ( 34800 230325 ) M1M2_PR
NEW met1 ( 19440 277315 ) M1M2_PR
NEW met1 ( 34800 277315 ) M1M2_PR
NEW met1 ( 34800 230325 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[30] ( PIN io_oeb[30] ) ( _032_ LO ) + USE SIGNAL
+ ROUTED met2 ( 240240 288000 ) ( * 296370 0 )
NEW met2 ( 239760 274725 ) ( * 288000 )
NEW met2 ( 239760 288000 ) ( 240240 * )
NEW met1 ( 55440 274725 ) ( 239760 * )
NEW li1 ( 55440 274725 ) L1M1_PR_MR
NEW met1 ( 239760 274725 ) M1M2_PR ;
- io_oeb[31] ( PIN io_oeb[31] ) ( _011_ HI ) + USE SIGNAL
+ ROUTED met1 ( 231600 201465 ) ( 246000 * )
NEW met2 ( 246000 296370 ) ( 247920 * )
NEW met2 ( 247920 295630 ) ( * 296370 )
NEW met2 ( 247920 295630 ) ( 248400 * )
NEW met2 ( 248400 295630 ) ( * 296370 0 )
NEW met2 ( 246000 201465 ) ( * 296370 )
NEW li1 ( 231600 201465 ) L1M1_PR_MR
NEW met1 ( 246000 201465 ) M1M2_PR ;
- io_oeb[32] ( PIN io_oeb[32] ) ( _012_ HI ) + USE SIGNAL
+ ROUTED met1 ( 262800 28675 ) ( 268560 * )
NEW met1 ( 256080 273615 ) ( 262800 * )
NEW met2 ( 256080 273615 ) ( * 296370 0 )
NEW met2 ( 262800 28675 ) ( * 273615 )
NEW li1 ( 268560 28675 ) L1M1_PR_MR
NEW met1 ( 262800 28675 ) M1M2_PR
NEW met1 ( 256080 273615 ) M1M2_PR
NEW met1 ( 262800 273615 ) M1M2_PR ;
- io_oeb[33] ( PIN io_oeb[33] ) ( _013_ HI ) + USE SIGNAL
+ ROUTED met2 ( 122640 245125 ) ( * 278055 )
NEW met1 ( 52560 245125 ) ( 122640 * )
NEW met1 ( 122640 278055 ) ( 264240 * )
NEW met2 ( 264240 278055 ) ( * 296370 0 )
NEW met1 ( 122640 245125 ) M1M2_PR
NEW met1 ( 122640 278055 ) M1M2_PR
NEW li1 ( 52560 245125 ) L1M1_PR_MR
NEW met1 ( 264240 278055 ) M1M2_PR ;
- io_oeb[34] ( PIN io_oeb[34] ) ( _033_ LO ) + USE SIGNAL
+ ROUTED met1 ( 86160 47915 ) ( 147600 * )
NEW met2 ( 147600 47915 ) ( * 280275 )
NEW met1 ( 147600 280275 ) ( 271920 * )
NEW met2 ( 271920 280275 ) ( * 296370 0 )
NEW li1 ( 86160 47915 ) L1M1_PR_MR
NEW met1 ( 147600 47915 ) M1M2_PR
NEW met1 ( 147600 280275 ) M1M2_PR
NEW met1 ( 271920 280275 ) M1M2_PR ;
- io_oeb[35] ( PIN io_oeb[35] ) ( _014_ HI ) + USE SIGNAL
+ ROUTED met2 ( 214800 145965 ) ( * 279165 )
NEW met1 ( 214800 279165 ) ( 280080 * )
NEW met2 ( 280080 279165 ) ( * 296370 0 )
NEW li1 ( 214800 145965 ) L1M1_PR_MR
NEW met1 ( 214800 145965 ) M1M2_PR
NEW met1 ( 214800 279165 ) M1M2_PR
NEW met1 ( 280080 279165 ) M1M2_PR
NEW met1 ( 214800 145965 ) RECT ( -355 -70 0 70 ) ;
- io_oeb[36] ( PIN io_oeb[36] ) ( _015_ HI ) + USE SIGNAL
+ ROUTED met1 ( 279600 280645 ) ( 287760 * )
NEW met2 ( 287760 280645 ) ( * 296370 0 )
NEW li1 ( 279600 280645 ) L1M1_PR_MR
NEW met1 ( 287760 280645 ) M1M2_PR ;
- io_oeb[37] ( PIN io_oeb[37] ) ( _034_ LO ) + USE SIGNAL
+ ROUTED met2 ( 295920 278425 ) ( * 296370 0 )
NEW met1 ( 155280 278795 ) ( 156720 * )
NEW met1 ( 156720 278425 ) ( * 278795 )
NEW met2 ( 155280 137455 ) ( * 278795 )
NEW met1 ( 156720 278425 ) ( 295920 * )
NEW met1 ( 25200 137455 ) ( 155280 * )
NEW met1 ( 295920 278425 ) M1M2_PR
NEW met1 ( 155280 137455 ) M1M2_PR
NEW met1 ( 155280 278795 ) M1M2_PR
NEW li1 ( 25200 137455 ) L1M1_PR_MR ;
- io_oeb[3] ( PIN io_oeb[3] ) ( _018_ HI ) + USE SIGNAL
+ ROUTED met2 ( 27120 288000 ) ( * 296370 0 )
NEW met2 ( 27120 288000 ) ( 28080 * )
NEW met1 ( 28080 79365 ) ( 254640 * )
NEW met2 ( 28080 79365 ) ( * 288000 )
NEW li1 ( 254640 79365 ) L1M1_PR_MR
NEW met1 ( 28080 79365 ) M1M2_PR ;
- io_oeb[4] ( PIN io_oeb[4] ) ( _019_ HI ) + USE SIGNAL
+ ROUTED met2 ( 35280 288000 ) ( * 296370 0 )
NEW met2 ( 35280 288000 ) ( 35760 * )
NEW met2 ( 35760 224405 ) ( * 288000 )
NEW met1 ( 35760 224405 ) ( 213840 * )
NEW met1 ( 35760 224405 ) M1M2_PR
NEW li1 ( 213840 224405 ) L1M1_PR_MR ;
- io_oeb[5] ( PIN io_oeb[5] ) ( _216_ LO ) + USE SIGNAL
+ ROUTED met2 ( 42960 288000 ) ( * 296370 0 )
NEW met1 ( 43440 281755 ) ( 64080 * )
NEW met2 ( 43440 281755 ) ( * 288000 )
NEW met2 ( 42960 288000 ) ( 43440 * )
NEW li1 ( 64080 281755 ) L1M1_PR_MR
NEW met1 ( 43440 281755 ) M1M2_PR ;
- io_oeb[6] ( PIN io_oeb[6] ) ( _020_ HI ) + USE SIGNAL
+ ROUTED met1 ( 86400 277685 ) ( * 278055 )
NEW met1 ( 51120 278055 ) ( 86400 * )
NEW met2 ( 51120 278055 ) ( * 296370 0 )
NEW met1 ( 86400 277685 ) ( 281040 * )
NEW met1 ( 51120 278055 ) M1M2_PR
NEW li1 ( 281040 277685 ) L1M1_PR_MR ;
- io_oeb[7] ( PIN io_oeb[7] ) ( _021_ HI ) + USE SIGNAL
+ ROUTED met2 ( 58800 266955 ) ( * 296370 0 )
NEW met1 ( 58800 266955 ) ( 233520 * )
NEW li1 ( 233520 266955 ) L1M1_PR_MR
NEW met1 ( 58800 266955 ) M1M2_PR ;
- io_oeb[8] ( PIN io_oeb[8] ) ( _022_ HI ) + USE SIGNAL
+ ROUTED met1 ( 66960 277685 ) ( 71760 * )
NEW met2 ( 66960 277685 ) ( * 296370 0 )
NEW met1 ( 71760 53835 ) ( 289200 * )
NEW met2 ( 71760 53835 ) ( * 277685 )
NEW li1 ( 289200 53835 ) L1M1_PR_MR
NEW met1 ( 71760 53835 ) M1M2_PR
NEW met1 ( 66960 277685 ) M1M2_PR
NEW met1 ( 71760 277685 ) M1M2_PR ;
- io_oeb[9] ( PIN io_oeb[9] ) ( _217_ LO ) + USE SIGNAL
+ ROUTED met2 ( 74640 218485 ) ( * 296370 0 )
NEW li1 ( 74640 218485 ) L1M1_PR_MR
NEW met1 ( 74640 218485 ) M1M2_PR
NEW met1 ( 74640 218485 ) RECT ( -355 -70 0 70 ) ;
- io_out[0] ( PIN io_out[0] ) ( _035_ LO ) + USE SIGNAL
+ ROUTED met1 ( 6000 273985 ) ( 32400 * )
NEW met2 ( 6000 273985 ) ( * 296370 0 )
NEW met2 ( 32400 218485 ) ( * 273985 )
NEW met1 ( 32400 218485 ) ( 38160 * )
NEW met1 ( 32400 218485 ) M1M2_PR
NEW met1 ( 6000 273985 ) M1M2_PR
NEW met1 ( 32400 273985 ) M1M2_PR
NEW li1 ( 38160 218485 ) L1M1_PR_MR ;
- io_out[10] ( PIN io_out[10] ) ( _042_ LO ) + USE SIGNAL
+ ROUTED met1 ( 72240 284715 ) ( 85200 * )
NEW met2 ( 85200 284715 ) ( * 296370 0 )
NEW li1 ( 72240 284715 ) L1M1_PR_MR
NEW met1 ( 85200 284715 ) M1M2_PR ;
- io_out[11] ( PIN io_out[11] ) ( _043_ LO ) + USE SIGNAL
+ ROUTED met2 ( 92880 261035 ) ( * 296370 0 )
NEW met1 ( 92880 261035 ) ( 136800 * )
NEW met1 ( 136800 261035 ) ( * 261405 )
NEW met1 ( 136800 261405 ) ( 187200 * )
NEW met1 ( 187200 261035 ) ( * 261405 )
NEW met1 ( 187200 261035 ) ( 270000 * )
NEW met1 ( 92880 261035 ) M1M2_PR
NEW li1 ( 270000 261035 ) L1M1_PR_MR ;
- io_out[12] ( PIN io_out[12] ) ( _044_ LO ) + USE SIGNAL
+ ROUTED met1 ( 101040 273615 ) ( 107760 * )
NEW met2 ( 101040 273615 ) ( * 296370 0 )
NEW met2 ( 107760 77145 ) ( * 273615 )
NEW met1 ( 107760 77145 ) ( 287280 * )
NEW met1 ( 101040 273615 ) M1M2_PR
NEW met1 ( 107760 273615 ) M1M2_PR
NEW li1 ( 287280 77145 ) L1M1_PR_MR
NEW met1 ( 107760 77145 ) M1M2_PR ;
- io_out[13] ( PIN io_out[13] ) ( _045_ LO ) + USE SIGNAL
+ ROUTED met1 ( 108720 273615 ) ( 114960 * )
NEW met2 ( 108720 273615 ) ( * 296370 0 )
NEW met2 ( 158160 36075 ) ( * 37555 )
NEW met1 ( 114960 37555 ) ( 158160 * )
NEW met2 ( 114960 37555 ) ( * 273615 )
NEW met1 ( 114960 37555 ) M1M2_PR
NEW met1 ( 108720 273615 ) M1M2_PR
NEW met1 ( 114960 273615 ) M1M2_PR
NEW li1 ( 158160 36075 ) L1M1_PR_MR
NEW met1 ( 158160 36075 ) M1M2_PR
NEW met1 ( 158160 37555 ) M1M2_PR
NEW met1 ( 158160 36075 ) RECT ( -355 -70 0 70 ) ;
- io_out[14] ( PIN io_out[14] ) ( output45 X ) + USE SIGNAL
+ ROUTED met1 ( 116880 285825 ) ( 119280 * )
NEW met2 ( 116880 285825 ) ( * 296370 0 )
NEW met1 ( 116880 285825 ) M1M2_PR
NEW li1 ( 119280 285825 ) L1M1_PR_MR ;
- io_out[15] ( PIN io_out[15] ) ( _046_ LO ) + USE SIGNAL
+ ROUTED met2 ( 124560 276945 ) ( * 296370 0 )
NEW met1 ( 24240 276945 ) ( 124560 * )
NEW li1 ( 24240 276945 ) L1M1_PR_MR
NEW met1 ( 124560 276945 ) M1M2_PR ;
- io_out[16] ( PIN io_out[16] ) ( output46 X ) + USE SIGNAL
+ ROUTED met2 ( 132720 285825 ) ( * 296370 0 )
NEW li1 ( 132720 285825 ) L1M1_PR_MR
NEW met1 ( 132720 285825 ) M1M2_PR
NEW met1 ( 132720 285825 ) RECT ( -355 -70 0 70 ) ;
- io_out[17] ( PIN io_out[17] ) ( _047_ LO ) + USE SIGNAL
+ ROUTED met1 ( 90960 191845 ) ( 91440 * )
NEW met2 ( 91440 191845 ) ( * 278795 )
NEW met1 ( 91440 278795 ) ( 140400 * )
NEW met2 ( 140400 278795 ) ( * 296370 0 )
NEW li1 ( 90960 191845 ) L1M1_PR_MR
NEW met1 ( 91440 191845 ) M1M2_PR
NEW met1 ( 91440 278795 ) M1M2_PR
NEW met1 ( 140400 278795 ) M1M2_PR ;
- io_out[18] ( PIN io_out[18] ) ( output47 X ) + USE SIGNAL
+ ROUTED met1 ( 148560 282495 ) ( 150000 * )
NEW met2 ( 148560 282495 ) ( * 296370 0 )
NEW li1 ( 150000 282495 ) L1M1_PR_MR
NEW met1 ( 148560 282495 ) M1M2_PR ;
- io_out[19] ( PIN io_out[19] ) ( _048_ LO ) + USE SIGNAL
+ ROUTED met2 ( 74160 122655 ) ( * 278425 )
NEW met1 ( 74160 278425 ) ( 156240 * )
NEW met2 ( 156240 278425 ) ( * 296370 0 )
NEW li1 ( 74160 122655 ) L1M1_PR_MR
NEW met1 ( 74160 122655 ) M1M2_PR
NEW met1 ( 74160 278425 ) M1M2_PR
NEW met1 ( 156240 278425 ) M1M2_PR
NEW met1 ( 74160 122655 ) RECT ( -355 -70 0 70 ) ;
- io_out[1] ( PIN io_out[1] ) ( _036_ LO ) + USE SIGNAL
+ ROUTED met2 ( 14160 288000 ) ( * 296370 0 )
NEW met2 ( 13200 288000 ) ( 14160 * )
NEW met2 ( 13200 77145 ) ( * 288000 )
NEW met1 ( 13200 77145 ) ( 14400 * )
NEW met1 ( 14400 77145 ) ( * 77515 )
NEW met1 ( 14400 77515 ) ( 61200 * )
NEW met1 ( 61200 77145 ) ( * 77515 )
NEW met1 ( 61200 77145 ) ( 87120 * )
NEW met1 ( 13200 77145 ) M1M2_PR
NEW li1 ( 87120 77145 ) L1M1_PR_MR ;
- io_out[20] ( PIN io_out[20] ) ( output48 X ) + USE SIGNAL
+ ROUTED met1 ( 163920 285825 ) ( 164400 * )
NEW met2 ( 163920 285825 ) ( * 296370 0 )
NEW met1 ( 163920 285825 ) M1M2_PR
NEW li1 ( 164400 285825 ) L1M1_PR_MR ;
- io_out[21] ( PIN io_out[21] ) ( _049_ LO ) + USE SIGNAL
+ ROUTED met1 ( 167280 262515 ) ( 172080 * )
NEW met2 ( 167280 64565 ) ( * 262515 )
NEW met2 ( 172080 262515 ) ( * 296370 0 )
NEW li1 ( 167280 64565 ) L1M1_PR_MR
NEW met1 ( 167280 64565 ) M1M2_PR
NEW met1 ( 167280 262515 ) M1M2_PR
NEW met1 ( 172080 262515 ) M1M2_PR
NEW met1 ( 167280 64565 ) RECT ( -355 -70 0 70 ) ;
- io_out[22] ( PIN io_out[22] ) ( output49 X ) + USE SIGNAL
+ ROUTED met2 ( 179760 285825 ) ( * 296370 0 )
NEW li1 ( 179760 285825 ) L1M1_PR_MR
NEW met1 ( 179760 285825 ) M1M2_PR
NEW met1 ( 179760 285825 ) RECT ( -355 -70 0 70 ) ;
- io_out[23] ( PIN io_out[23] ) ( _050_ LO ) + USE SIGNAL
+ ROUTED met2 ( 187920 279165 ) ( * 296370 0 )
NEW met1 ( 59760 279165 ) ( 187920 * )
NEW met1 ( 59280 85285 ) ( 59760 * )
NEW met2 ( 59760 85285 ) ( * 279165 )
NEW met1 ( 187920 279165 ) M1M2_PR
NEW met1 ( 59760 279165 ) M1M2_PR
NEW li1 ( 59280 85285 ) L1M1_PR_MR
NEW met1 ( 59760 85285 ) M1M2_PR ;
- io_out[24] ( PIN io_out[24] ) ( output50 X ) + USE SIGNAL
+ ROUTED met1 ( 195600 285825 ) ( 197520 * )
NEW met2 ( 195600 285825 ) ( * 296370 0 )
NEW met1 ( 195600 285825 ) M1M2_PR
NEW li1 ( 197520 285825 ) L1M1_PR_MR ;
- io_out[25] ( PIN io_out[25] ) ( _051_ LO ) + USE SIGNAL
+ ROUTED met1 ( 208080 76035 ) ( 214320 * )
NEW met1 ( 203760 273615 ) ( 208080 * )
NEW met2 ( 203760 273615 ) ( * 296370 0 )
NEW met2 ( 208080 76035 ) ( * 273615 )
NEW li1 ( 214320 76035 ) L1M1_PR_MR
NEW met1 ( 208080 76035 ) M1M2_PR
NEW met1 ( 203760 273615 ) M1M2_PR
NEW met1 ( 208080 273615 ) M1M2_PR ;
- io_out[26] ( PIN io_out[26] ) ( output51 X ) + USE SIGNAL
+ ROUTED met1 ( 211440 282495 ) ( 212880 * )
NEW met2 ( 211440 282495 ) ( * 296370 0 )
NEW li1 ( 212880 282495 ) L1M1_PR_MR
NEW met1 ( 211440 282495 ) M1M2_PR ;
- io_out[27] ( PIN io_out[27] ) ( _052_ LO ) + USE SIGNAL
+ ROUTED met2 ( 102000 237600 ) ( 104400 * )
NEW met2 ( 104400 237600 ) ( * 275465 )
NEW met2 ( 219600 275465 ) ( * 296370 0 )
NEW met1 ( 104400 275465 ) ( 219600 * )
NEW met2 ( 102000 91945 ) ( * 237600 )
NEW met1 ( 104400 275465 ) M1M2_PR
NEW met1 ( 219600 275465 ) M1M2_PR
NEW li1 ( 102000 91945 ) L1M1_PR_MR
NEW met1 ( 102000 91945 ) M1M2_PR
NEW met1 ( 102000 91945 ) RECT ( -355 -70 0 70 ) ;
- io_out[28] ( PIN io_out[28] ) ( output52 X ) + USE SIGNAL
+ ROUTED met1 ( 227280 282495 ) ( 228720 * )
NEW met2 ( 227280 282495 ) ( * 296370 0 )
NEW li1 ( 228720 282495 ) L1M1_PR_MR
NEW met1 ( 227280 282495 ) M1M2_PR ;
- io_out[29] ( PIN io_out[29] ) ( _053_ LO ) + USE SIGNAL
+ ROUTED met1 ( 127920 51615 ) ( 133680 * )
NEW met2 ( 133680 51615 ) ( * 275835 )
NEW met2 ( 234960 275835 ) ( * 296370 0 )
NEW met1 ( 133680 275835 ) ( 234960 * )
NEW li1 ( 127920 51615 ) L1M1_PR_MR
NEW met1 ( 133680 51615 ) M1M2_PR
NEW met1 ( 133680 275835 ) M1M2_PR
NEW met1 ( 234960 275835 ) M1M2_PR ;
- io_out[2] ( PIN io_out[2] ) ( output53 X ) + USE SIGNAL
+ ROUTED met1 ( 20880 285825 ) ( 21840 * )
NEW met2 ( 21840 285825 ) ( * 296370 0 )
NEW li1 ( 20880 285825 ) L1M1_PR_MR
NEW met1 ( 21840 285825 ) M1M2_PR ;
- io_out[30] ( PIN io_out[30] ) ( output54 X ) + USE SIGNAL
+ ROUTED met2 ( 243120 285825 ) ( * 296370 0 )
NEW li1 ( 243120 285825 ) L1M1_PR_MR
NEW met1 ( 243120 285825 ) M1M2_PR
NEW met1 ( 243120 285825 ) RECT ( -355 -70 0 70 ) ;
- io_out[31] ( PIN io_out[31] ) ( _054_ LO ) + USE SIGNAL
+ ROUTED met1 ( 250800 36075 ) ( 270480 * )
NEW met2 ( 250800 36075 ) ( * 296370 0 )
NEW li1 ( 270480 36075 ) L1M1_PR_MR
NEW met1 ( 250800 36075 ) M1M2_PR ;
- io_out[32] ( PIN io_out[32] ) ( _055_ LO ) + USE SIGNAL
+ ROUTED met1 ( 240720 273985 ) ( 258960 * )
NEW met2 ( 240720 102675 ) ( * 273985 )
NEW met2 ( 258960 273985 ) ( * 296370 0 )
NEW li1 ( 240720 102675 ) L1M1_PR_MR
NEW met1 ( 240720 102675 ) M1M2_PR
NEW met1 ( 240720 273985 ) M1M2_PR
NEW met1 ( 258960 273985 ) M1M2_PR
NEW met1 ( 240720 102675 ) RECT ( -355 -70 0 70 ) ;
- io_out[33] ( PIN io_out[33] ) ( _056_ LO ) + USE SIGNAL
+ ROUTED met2 ( 90480 189255 ) ( * 277315 )
NEW met1 ( 90480 277315 ) ( 266640 * )
NEW met2 ( 266640 277315 ) ( * 296370 0 )
NEW li1 ( 90480 189255 ) L1M1_PR_MR
NEW met1 ( 90480 189255 ) M1M2_PR
NEW met1 ( 90480 277315 ) M1M2_PR
NEW met1 ( 266640 277315 ) M1M2_PR
NEW met1 ( 90480 189255 ) RECT ( -355 -70 0 70 ) ;
- io_out[34] ( PIN io_out[34] ) ( output55 X ) + USE SIGNAL
+ ROUTED met1 ( 274800 285825 ) ( 275280 * )
NEW met2 ( 274800 285825 ) ( * 296370 0 )
NEW met1 ( 274800 285825 ) M1M2_PR
NEW li1 ( 275280 285825 ) L1M1_PR_MR ;
- io_out[35] ( PIN io_out[35] ) ( _057_ LO ) + USE SIGNAL
+ ROUTED met1 ( 150000 276945 ) ( 158640 * )
NEW li1 ( 158640 276945 ) ( * 278795 )
NEW met2 ( 150000 222555 ) ( * 276945 )
NEW met1 ( 158640 278795 ) ( 282480 * )
NEW met2 ( 282480 278795 ) ( * 296370 0 )
NEW li1 ( 150000 222555 ) L1M1_PR_MR
NEW met1 ( 150000 222555 ) M1M2_PR
NEW met1 ( 150000 276945 ) M1M2_PR
NEW li1 ( 158640 276945 ) L1M1_PR_MR
NEW li1 ( 158640 278795 ) L1M1_PR_MR
NEW met1 ( 282480 278795 ) M1M2_PR
NEW met1 ( 150000 222555 ) RECT ( -355 -70 0 70 ) ;
- io_out[36] ( PIN io_out[36] ) ( _058_ LO ) + USE SIGNAL
+ ROUTED met2 ( 290160 97125 ) ( * 237600 )
NEW met2 ( 290160 237600 ) ( 290640 * )
NEW met2 ( 290640 237600 ) ( * 296370 0 )
NEW met1 ( 72720 97125 ) ( 290160 * )
NEW met1 ( 290160 97125 ) M1M2_PR
NEW li1 ( 72720 97125 ) L1M1_PR_MR ;
- io_out[37] ( PIN io_out[37] ) ( output56 X ) + USE SIGNAL
+ ROUTED met1 ( 289680 280645 ) ( 298320 * )
NEW met2 ( 298320 280645 ) ( * 296370 0 )
NEW li1 ( 289680 280645 ) L1M1_PR_MR
NEW met1 ( 298320 280645 ) M1M2_PR ;
- io_out[3] ( PIN io_out[3] ) ( _037_ LO ) + USE SIGNAL
+ ROUTED met1 ( 18000 273615 ) ( 30000 * )
NEW met2 ( 30000 273615 ) ( * 296370 0 )
NEW met1 ( 14160 36075 ) ( 18000 * )
NEW met2 ( 18000 36075 ) ( * 273615 )
NEW li1 ( 14160 36075 ) L1M1_PR_MR
NEW met1 ( 18000 273615 ) M1M2_PR
NEW met1 ( 30000 273615 ) M1M2_PR
NEW met1 ( 18000 36075 ) M1M2_PR ;
- io_out[4] ( PIN io_out[4] ) ( _038_ LO ) + USE SIGNAL
+ ROUTED met2 ( 38160 296370 ) ( 39120 * )
NEW met2 ( 38160 295630 ) ( * 296370 )
NEW met2 ( 37680 295630 ) ( 38160 * )
NEW met2 ( 37680 295630 ) ( * 296370 0 )
NEW met2 ( 39120 288000 ) ( * 296370 )
NEW met2 ( 39120 288000 ) ( 40560 * )
NEW met2 ( 40560 162615 ) ( * 288000 )
NEW li1 ( 40560 162615 ) L1M1_PR_MR
NEW met1 ( 40560 162615 ) M1M2_PR
NEW met1 ( 40560 162615 ) RECT ( -355 -70 0 70 ) ;
- io_out[5] ( PIN io_out[5] ) ( output57 X ) + USE SIGNAL
+ ROUTED met1 ( 45840 285825 ) ( 46800 * )
NEW met2 ( 45840 285825 ) ( * 296370 0 )
NEW met1 ( 45840 285825 ) M1M2_PR
NEW li1 ( 46800 285825 ) L1M1_PR_MR ;
- io_out[6] ( PIN io_out[6] ) ( _039_ LO ) + USE SIGNAL
+ ROUTED met1 ( 57360 217375 ) ( 170640 * )
NEW met1 ( 53520 273615 ) ( 57360 * )
NEW met2 ( 53520 273615 ) ( * 296370 0 )
NEW met2 ( 57360 217375 ) ( * 273615 )
NEW met1 ( 57360 217375 ) M1M2_PR
NEW li1 ( 170640 217375 ) L1M1_PR_MR
NEW met1 ( 53520 273615 ) M1M2_PR
NEW met1 ( 57360 273615 ) M1M2_PR ;
- io_out[7] ( PIN io_out[7] ) ( _040_ LO ) + USE SIGNAL
+ ROUTED met2 ( 62160 296370 ) ( 63120 * )
NEW met2 ( 62160 295630 ) ( * 296370 )
NEW met2 ( 61680 295630 ) ( 62160 * )
NEW met2 ( 61680 295630 ) ( * 296370 0 )
NEW met1 ( 63120 90835 ) ( 284400 * )
NEW met2 ( 63120 90835 ) ( * 296370 )
NEW li1 ( 284400 90835 ) L1M1_PR_MR
NEW met1 ( 63120 90835 ) M1M2_PR ;
- io_out[8] ( PIN io_out[8] ) ( _041_ LO ) + USE SIGNAL
+ ROUTED met2 ( 69360 288000 ) ( * 296370 0 )
NEW met2 ( 69360 288000 ) ( 70320 * )
NEW met2 ( 70320 74925 ) ( * 288000 )
NEW met1 ( 70320 74925 ) ( 176880 * )
NEW li1 ( 176880 74925 ) L1M1_PR_MR
NEW met1 ( 70320 74925 ) M1M2_PR ;
- io_out[9] ( PIN io_out[9] ) ( output58 X ) + USE SIGNAL
+ ROUTED met1 ( 77040 284345 ) ( 78480 * )
NEW met2 ( 77040 284345 ) ( * 296370 0 )
NEW met1 ( 77040 284345 ) M1M2_PR
NEW li1 ( 78480 284345 ) L1M1_PR_MR ;
- irq[0] ( PIN irq[0] ) ( _059_ LO ) + USE SIGNAL
+ ROUTED met2 ( 298320 3330 0 ) ( * 17205 )
NEW met1 ( 295440 17205 ) ( 298320 * )
NEW li1 ( 295440 17205 ) ( * 260665 )
NEW met1 ( 258000 270285 ) ( * 270655 )
NEW met1 ( 258000 270655 ) ( 273840 * )
NEW met2 ( 273840 260665 ) ( * 270655 )
NEW met1 ( 33360 270285 ) ( 258000 * )
NEW met1 ( 273840 260665 ) ( 295440 * )
NEW met1 ( 298320 17205 ) M1M2_PR
NEW li1 ( 295440 17205 ) L1M1_PR_MR
NEW li1 ( 33360 270285 ) L1M1_PR_MR
NEW li1 ( 295440 260665 ) L1M1_PR_MR
NEW met1 ( 273840 270655 ) M1M2_PR
NEW met1 ( 273840 260665 ) M1M2_PR ;
- irq[1] ( PIN irq[1] ) ( _060_ LO ) + USE SIGNAL
+ ROUTED met2 ( 298800 3330 0 ) ( * 16835 )
NEW met1 ( 295920 16835 ) ( 298800 * )
NEW li1 ( 295920 16835 ) ( * 254375 )
NEW met1 ( 46320 254745 ) ( 136800 * )
NEW met1 ( 136800 254375 ) ( * 254745 )
NEW met1 ( 136800 254375 ) ( 295920 * )
NEW met1 ( 298800 16835 ) M1M2_PR
NEW li1 ( 295920 16835 ) L1M1_PR_MR
NEW li1 ( 295920 254375 ) L1M1_PR_MR
NEW li1 ( 46320 254745 ) L1M1_PR_MR ;
- irq[2] ( PIN irq[2] ) ( _061_ LO ) + USE SIGNAL
+ ROUTED met2 ( 299280 3330 0 ) ( * 18315 )
NEW li1 ( 299280 18315 ) ( * 37555 )
NEW met2 ( 183120 36075 ) ( * 37555 )
NEW met1 ( 183120 37555 ) ( 299280 * )
NEW li1 ( 299280 18315 ) L1M1_PR_MR
NEW met1 ( 299280 18315 ) M1M2_PR
NEW li1 ( 299280 37555 ) L1M1_PR_MR
NEW li1 ( 183120 36075 ) L1M1_PR_MR
NEW met1 ( 183120 36075 ) M1M2_PR
NEW met1 ( 183120 37555 ) M1M2_PR
NEW met1 ( 299280 18315 ) RECT ( -355 -70 0 70 )
NEW met1 ( 183120 36075 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[0] ( PIN la_data_in[0] ) ( ANTENNA_input25_A DIODE ) ( input25 A ) + USE SIGNAL
+ ROUTED met1 ( 66000 14615 ) ( * 14985 )
NEW met2 ( 64560 3330 0 ) ( * 14615 )
NEW met1 ( 62640 14615 ) ( 66000 * )
NEW li1 ( 66000 14985 ) L1M1_PR_MR
NEW li1 ( 62640 14615 ) L1M1_PR_MR
NEW met1 ( 64560 14615 ) M1M2_PR
NEW met1 ( 64560 14615 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[100] ( PIN la_data_in[100] ) + USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] ) + USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] ) + USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] ) + USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] ) + USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] ) + USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] ) + USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] ) + USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] ) + USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] ) + USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) + USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] ) + USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] ) + USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] ) + USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] ) + USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] ) + USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] ) + USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] ) + USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] ) + USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] ) + USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] ) + USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) ( ANTENNA_input26_A DIODE ) ( input26 A ) + USE SIGNAL
+ ROUTED met1 ( 84720 18315 ) ( 86160 * )
NEW met2 ( 84720 3330 0 ) ( * 18315 )
NEW met1 ( 84720 20535 ) ( 85680 * )
NEW met2 ( 84720 18315 ) ( * 20535 )
NEW li1 ( 86160 18315 ) L1M1_PR_MR
NEW met1 ( 84720 18315 ) M1M2_PR
NEW li1 ( 85680 20535 ) L1M1_PR_MR
NEW met1 ( 84720 20535 ) M1M2_PR ;
- la_data_in[120] ( PIN la_data_in[120] ) + USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] ) + USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] ) + USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] ) + USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] ) + USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] ) + USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] ) + USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] ) + USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) ( ANTENNA_input27_A DIODE ) ( input27 A ) + USE SIGNAL
+ ROUTED met1 ( 86640 18315 ) ( 90000 * )
NEW met2 ( 86640 3330 0 ) ( * 18315 )
NEW met1 ( 90000 18315 ) ( 92880 * )
NEW li1 ( 90000 18315 ) L1M1_PR_MR
NEW met1 ( 86640 18315 ) M1M2_PR
NEW li1 ( 92880 18315 ) L1M1_PR_MR ;
- la_data_in[13] ( PIN la_data_in[13] ) ( ANTENNA_input28_A DIODE ) ( input28 A ) + USE SIGNAL
+ ROUTED met1 ( 88080 21275 ) ( 89040 * )
NEW met2 ( 88080 3330 0 ) ( * 21275 )
NEW met2 ( 88080 21275 ) ( * 23865 )
NEW li1 ( 89040 21275 ) L1M1_PR_MR
NEW met1 ( 88080 21275 ) M1M2_PR
NEW li1 ( 88080 23865 ) L1M1_PR_MR
NEW met1 ( 88080 23865 ) M1M2_PR
NEW met1 ( 88080 23865 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[14] ( PIN la_data_in[14] ) ( ANTENNA_input29_A DIODE ) ( input29 A ) + USE SIGNAL
+ ROUTED met1 ( 90000 21275 ) ( 92880 * )
NEW met2 ( 90000 3330 0 ) ( * 21275 )
NEW met1 ( 90000 23865 ) ( 91440 * )
NEW met2 ( 90000 21275 ) ( * 23865 )
NEW li1 ( 92880 21275 ) L1M1_PR_MR
NEW met1 ( 90000 21275 ) M1M2_PR
NEW li1 ( 91440 23865 ) L1M1_PR_MR
NEW met1 ( 90000 23865 ) M1M2_PR ;
- la_data_in[15] ( PIN la_data_in[15] ) + USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) ( ANTENNA_input30_A DIODE ) ( input30 A ) + USE SIGNAL
+ ROUTED met1 ( 93840 14985 ) ( 94320 * )
NEW met2 ( 93840 3330 0 ) ( * 14985 )
NEW met1 ( 93840 17205 ) ( 100560 * )
NEW met2 ( 93840 14985 ) ( * 17205 )
NEW li1 ( 94320 14985 ) L1M1_PR_MR
NEW met1 ( 93840 14985 ) M1M2_PR
NEW li1 ( 100560 17205 ) L1M1_PR_MR
NEW met1 ( 93840 17205 ) M1M2_PR ;
- la_data_in[17] ( PIN la_data_in[17] ) ( ANTENNA_input31_A DIODE ) ( input31 A ) + USE SIGNAL
+ ROUTED met1 ( 95760 18315 ) ( 96240 * )
NEW met2 ( 95760 3330 0 ) ( * 18315 )
NEW met2 ( 95760 18315 ) ( * 23865 )
NEW li1 ( 96240 18315 ) L1M1_PR_MR
NEW met1 ( 95760 18315 ) M1M2_PR
NEW li1 ( 95760 23865 ) L1M1_PR_MR
NEW met1 ( 95760 23865 ) M1M2_PR
NEW met1 ( 95760 23865 ) RECT ( -355 -70 0 70 ) ;
- la_data_in[18] ( PIN la_data_in[18] ) + USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) ( ANTENNA_input32_A DIODE ) ( input32 A ) + USE SIGNAL
+ ROUTED met1 ( 99600 21645 ) ( 100080 * )
NEW met2 ( 100080 12210 ) ( * 21645 )
NEW met2 ( 99120 12210 ) ( 100080 * )
NEW met2 ( 99120 3330 0 ) ( * 12210 )
NEW met1 ( 99120 23865 ) ( 100080 * )
NEW met2 ( 100080 21645 ) ( * 23865 )
NEW li1 ( 99600 21645 ) L1M1_PR_MR
NEW met1 ( 100080 21645 ) M1M2_PR
NEW li1 ( 99120 23865 ) L1M1_PR_MR
NEW met1 ( 100080 23865 ) M1M2_PR ;
- la_data_in[1] ( PIN la_data_in[1] ) ( ANTENNA_input33_A DIODE ) ( input33 A ) + USE SIGNAL
+ ROUTED met2 ( 66480 3330 0 ) ( * 17205 )
NEW met1 ( 66480 18315 ) ( 67920 * )
NEW met2 ( 66480 17205 ) ( * 18315 )
NEW met1 ( 64560 17205 ) ( 66480 * )
NEW met1 ( 66480 17205 ) M1M2_PR
NEW li1 ( 67920 18315 ) L1M1_PR_MR
NEW met1 ( 66480 18315 ) M1M2_PR
NEW li1 ( 64560 17205 ) L1M1_PR_MR ;
- la_data_in[20] ( PIN la_data_in[20] ) ( ANTENNA_input34_A DIODE ) ( input34 A ) + USE SIGNAL
+ ROUTED met1 ( 101040 21645 ) ( 103920 * )
NEW met2 ( 101040 3330 0 ) ( * 21645 )
NEW met2 ( 102960 21645 ) ( * 23865 )
NEW li1 ( 103920 21645 ) L1M1_PR_MR
NEW met1 ( 101040 21645 ) M1M2_PR
NEW li1 ( 102960 23865 ) L1M1_PR_MR
NEW met1 ( 102960 23865 ) M1M2_PR
NEW met1 ( 102960 21645 ) M1M2_PR
NEW met1 ( 102960 23865 ) RECT ( -355 -70 0 70 )
NEW met1 ( 102960 21645 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[21] ( PIN la_data_in[21] ) + USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) ( ANTENNA_input35_A DIODE ) ( input35 A ) + USE SIGNAL
+ ROUTED met1 ( 104880 14615 ) ( 106800 * )
NEW met2 ( 104880 3330 0 ) ( * 14615 )
NEW met1 ( 106800 18315 ) ( 110160 * )
NEW met2 ( 106800 14615 ) ( * 18315 )
NEW li1 ( 106800 14615 ) L1M1_PR_MR
NEW met1 ( 104880 14615 ) M1M2_PR
NEW li1 ( 110160 18315 ) L1M1_PR_MR
NEW met1 ( 106800 18315 ) M1M2_PR
NEW met1 ( 106800 14615 ) M1M2_PR
NEW met1 ( 106800 14615 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[23] ( PIN la_data_in[23] ) ( ANTENNA_input36_A DIODE ) ( input36 A ) + USE SIGNAL
+ ROUTED met1 ( 108240 21645 ) ( 110160 * )
NEW met1 ( 108240 21275 ) ( * 21645 )
NEW met1 ( 107280 21275 ) ( 108240 * )
NEW met2 ( 107280 13690 ) ( * 21275 )
NEW met2 ( 106320 13690 ) ( 107280 * )
NEW met2 ( 106320 3330 0 ) ( * 13690 )
NEW met2 ( 110160 21645 ) ( * 23865 )
NEW li1 ( 110160 21645 ) L1M1_PR_MR
NEW met1 ( 107280 21275 ) M1M2_PR
NEW li1 ( 110160 23865 ) L1M1_PR_MR
NEW met1 ( 110160 23865 ) M1M2_PR
NEW met1 ( 110160 21645 ) M1M2_PR
NEW met1 ( 110160 23865 ) RECT ( -355 -70 0 70 )
NEW met1 ( 110160 21645 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[24] ( PIN la_data_in[24] ) + USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) ( ANTENNA_input37_A DIODE ) ( input37 A ) + USE SIGNAL
+ ROUTED met1 ( 116400 17945 ) ( * 18315 )
NEW met1 ( 113520 17945 ) ( 116400 * )
NEW met2 ( 113520 15355 ) ( * 17945 )
NEW met1 ( 111120 15355 ) ( 113520 * )
NEW met2 ( 111120 14615 ) ( * 15355 )
NEW met1 ( 110160 14615 ) ( 111120 * )
NEW met2 ( 110160 3330 0 ) ( * 14615 )
NEW met1 ( 116400 17945 ) ( 118800 * )
NEW li1 ( 116400 18315 ) L1M1_PR_MR
NEW met1 ( 113520 17945 ) M1M2_PR
NEW met1 ( 113520 15355 ) M1M2_PR
NEW met1 ( 111120 15355 ) M1M2_PR
NEW met1 ( 111120 14615 ) M1M2_PR
NEW met1 ( 110160 14615 ) M1M2_PR
NEW li1 ( 118800 17945 ) L1M1_PR_MR ;
- la_data_in[26] ( PIN la_data_in[26] ) ( ANTENNA_input38_A DIODE ) ( input38 A ) + USE SIGNAL
+ ROUTED met1 ( 112080 21645 ) ( 112560 * )
NEW met2 ( 112080 3330 0 ) ( * 21645 )
NEW met1 ( 112080 23865 ) ( 113040 * )
NEW met2 ( 112080 21645 ) ( * 23865 )
NEW li1 ( 112560 21645 ) L1M1_PR_MR
NEW met1 ( 112080 21645 ) M1M2_PR
NEW li1 ( 113040 23865 ) L1M1_PR_MR
NEW met1 ( 112080 23865 ) M1M2_PR ;
- la_data_in[27] ( PIN la_data_in[27] ) + USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) + USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) + USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) ( ANTENNA_input39_A DIODE ) ( input39 A ) + USE SIGNAL
+ ROUTED met1 ( 68400 18315 ) ( 71760 * )
NEW met2 ( 67920 18315 ) ( 68400 * )
NEW met2 ( 67920 3330 0 ) ( * 18315 )
NEW met2 ( 71760 18315 ) ( * 21275 )
NEW li1 ( 71760 18315 ) L1M1_PR_MR
NEW met1 ( 68400 18315 ) M1M2_PR
NEW li1 ( 71760 21275 ) L1M1_PR_MR
NEW met1 ( 71760 21275 ) M1M2_PR
NEW met1 ( 71760 18315 ) M1M2_PR
NEW met1 ( 71760 21275 ) RECT ( 0 -70 355 70 )
NEW met1 ( 71760 18315 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[30] ( PIN la_data_in[30] ) + USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) + USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) + USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) + USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) + USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) + USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) + USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) + USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) + USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) + USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) + USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) + USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) + USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) + USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) + USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) + USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) + USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) + USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) + USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) + USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) + USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) ( ANTENNA_input40_A DIODE ) ( input40 A ) + USE SIGNAL
+ ROUTED met1 ( 75120 17575 ) ( * 17945 )
NEW met1 ( 71760 17575 ) ( 75120 * )
NEW met2 ( 71760 3330 0 ) ( * 17575 )
NEW met2 ( 74160 17575 ) ( * 21275 )
NEW li1 ( 75120 17945 ) L1M1_PR_MR
NEW met1 ( 71760 17575 ) M1M2_PR
NEW li1 ( 74160 21275 ) L1M1_PR_MR
NEW met1 ( 74160 21275 ) M1M2_PR
NEW met1 ( 74160 17575 ) M1M2_PR
NEW met1 ( 74160 21275 ) RECT ( -355 -70 0 70 )
NEW met1 ( 74160 17575 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[50] ( PIN la_data_in[50] ) + USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) + USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) + USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) + USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) + USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) + USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) + USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) + USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) + USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) + USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) ( ANTENNA_input41_A DIODE ) ( input41 A ) + USE SIGNAL
+ ROUTED met1 ( 73680 18315 ) ( 78960 * )
NEW met2 ( 73680 3330 0 ) ( * 18315 )
NEW met2 ( 78000 18315 ) ( * 23865 )
NEW li1 ( 78960 18315 ) L1M1_PR_MR
NEW met1 ( 73680 18315 ) M1M2_PR
NEW li1 ( 78000 23865 ) L1M1_PR_MR
NEW met1 ( 78000 23865 ) M1M2_PR
NEW met1 ( 78000 18315 ) M1M2_PR
NEW met1 ( 78000 23865 ) RECT ( -355 -70 0 70 )
NEW met1 ( 78000 18315 ) RECT ( -595 -70 0 70 ) ;
- la_data_in[60] ( PIN la_data_in[60] ) + USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) + USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) + USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) + USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) + USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) + USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] ) + USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] ) + USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] ) + USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] ) + USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) + USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] ) + USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] ) + USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] ) + USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] ) + USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] ) + USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] ) + USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] ) + USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] ) + USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] ) + USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] ) + USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) ( ANTENNA_input42_A DIODE ) ( input42 A ) + USE SIGNAL
+ ROUTED met1 ( 77520 21275 ) ( 78960 * )
NEW met2 ( 77520 3330 0 ) ( * 21275 )
NEW met1 ( 80400 23865 ) ( 80880 * )
NEW met2 ( 80400 21645 ) ( * 23865 )
NEW met1 ( 78960 21645 ) ( 80400 * )
NEW met1 ( 78960 21275 ) ( * 21645 )
NEW li1 ( 78960 21275 ) L1M1_PR_MR
NEW met1 ( 77520 21275 ) M1M2_PR
NEW li1 ( 80880 23865 ) L1M1_PR_MR
NEW met1 ( 80400 23865 ) M1M2_PR
NEW met1 ( 80400 21645 ) M1M2_PR ;
- la_data_in[80] ( PIN la_data_in[80] ) + USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] ) + USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] ) + USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] ) + USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] ) + USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] ) + USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] ) + USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] ) + USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] ) + USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] ) + USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) ( ANTENNA_input43_A DIODE ) ( input43 A ) + USE SIGNAL
+ ROUTED met1 ( 79440 21275 ) ( 82320 * )
NEW met2 ( 79440 20350 ) ( * 21275 )
NEW met2 ( 78960 20350 ) ( 79440 * )
NEW met2 ( 78960 3330 0 ) ( * 20350 )
NEW met1 ( 79440 27195 ) ( 80880 * )
NEW met2 ( 79440 21275 ) ( * 27195 )
NEW li1 ( 82320 21275 ) L1M1_PR_MR
NEW met1 ( 79440 21275 ) M1M2_PR
NEW li1 ( 80880 27195 ) L1M1_PR_MR
NEW met1 ( 79440 27195 ) M1M2_PR ;
- la_data_in[90] ( PIN la_data_in[90] ) + USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] ) + USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] ) + USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] ) + USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] ) + USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] ) + USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] ) + USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] ) + USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] ) + USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] ) + USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) ( ANTENNA_input44_A DIODE ) ( input44 A ) + USE SIGNAL
+ ROUTED met1 ( 80880 24605 ) ( 84720 * )
NEW met2 ( 80880 3330 0 ) ( * 24605 )
NEW met2 ( 83760 24605 ) ( * 27195 )
NEW li1 ( 84720 24605 ) L1M1_PR_MR
NEW met1 ( 80880 24605 ) M1M2_PR
NEW li1 ( 83760 27195 ) L1M1_PR_MR
NEW met1 ( 83760 27195 ) M1M2_PR
NEW met1 ( 83760 24605 ) M1M2_PR
NEW met1 ( 83760 27195 ) RECT ( -355 -70 0 70 )
NEW met1 ( 83760 24605 ) RECT ( -595 -70 0 70 ) ;
- la_data_out[0] ( PIN la_data_out[0] ) ( _062_ LO ) + USE SIGNAL
+ ROUTED met2 ( 65040 3330 0 ) ( * 21275 )
NEW met1 ( 65040 21275 ) ( 71280 * )
NEW met1 ( 71280 223665 ) ( 128400 * )
NEW met2 ( 71280 21275 ) ( * 223665 )
NEW li1 ( 128400 223665 ) L1M1_PR_MR
NEW met1 ( 65040 21275 ) M1M2_PR
NEW met1 ( 71280 21275 ) M1M2_PR
NEW met1 ( 71280 223665 ) M1M2_PR ;
- la_data_out[100] ( PIN la_data_out[100] ) ( _154_ LO ) + USE SIGNAL
+ ROUTED met1 ( 211920 122655 ) ( 234480 * )
NEW met2 ( 234480 25345 ) ( * 122655 )
NEW met2 ( 247440 3330 0 ) ( * 25345 )
NEW met1 ( 234480 25345 ) ( 247440 * )
NEW met1 ( 234480 25345 ) M1M2_PR
NEW met1 ( 234480 122655 ) M1M2_PR
NEW li1 ( 211920 122655 ) L1M1_PR_MR
NEW met1 ( 247440 25345 ) M1M2_PR ;
- la_data_out[101] ( PIN la_data_out[101] ) ( _155_ LO ) + USE SIGNAL
+ ROUTED met2 ( 198000 24605 ) ( * 254005 )
NEW met2 ( 249360 3330 0 ) ( * 24605 )
NEW met1 ( 198000 24605 ) ( 249360 * )
NEW met1 ( 86400 254005 ) ( * 254375 )
NEW met1 ( 74640 254375 ) ( 86400 * )
NEW met1 ( 86400 254005 ) ( 198000 * )
NEW met1 ( 198000 24605 ) M1M2_PR
NEW met1 ( 198000 254005 ) M1M2_PR
NEW met1 ( 249360 24605 ) M1M2_PR
NEW li1 ( 74640 254375 ) L1M1_PR_MR ;
- la_data_out[102] ( PIN la_data_out[102] ) ( _156_ LO ) + USE SIGNAL
+ ROUTED met1 ( 251280 256965 ) ( 265680 * )
NEW met2 ( 251280 3330 0 ) ( * 256965 )
NEW met1 ( 251280 256965 ) M1M2_PR
NEW li1 ( 265680 256965 ) L1M1_PR_MR ;
- la_data_out[103] ( PIN la_data_out[103] ) ( _157_ LO ) + USE SIGNAL
+ ROUTED met2 ( 227280 27565 ) ( * 197025 )
NEW met1 ( 39120 197025 ) ( 227280 * )
NEW met2 ( 253200 3330 0 ) ( * 12210 )
NEW met2 ( 253200 12210 ) ( 253680 * )
NEW met2 ( 253680 12210 ) ( * 27565 )
NEW met1 ( 227280 27565 ) ( 253680 * )
NEW met1 ( 227280 27565 ) M1M2_PR
NEW met1 ( 227280 197025 ) M1M2_PR
NEW li1 ( 39120 197025 ) L1M1_PR_MR
NEW met1 ( 253680 27565 ) M1M2_PR ;
- la_data_out[104] ( PIN la_data_out[104] ) ( _158_ LO ) + USE SIGNAL
+ ROUTED met2 ( 255120 3330 0 ) ( * 21645 )
NEW met1 ( 241200 21645 ) ( 255120 * )
NEW met2 ( 141840 182225 ) ( * 183705 )
NEW met1 ( 141840 182225 ) ( 241200 * )
NEW met2 ( 241200 21645 ) ( * 182225 )
NEW met1 ( 255120 21645 ) M1M2_PR
NEW met1 ( 241200 21645 ) M1M2_PR
NEW met1 ( 141840 182225 ) M1M2_PR
NEW li1 ( 141840 183705 ) L1M1_PR_MR
NEW met1 ( 141840 183705 ) M1M2_PR
NEW met1 ( 241200 182225 ) M1M2_PR
NEW met1 ( 141840 183705 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[105] ( PIN la_data_out[105] ) ( _159_ LO ) + USE SIGNAL
+ ROUTED met2 ( 198480 61235 ) ( * 241055 )
NEW met1 ( 115440 241055 ) ( 198480 * )
NEW met1 ( 198480 61235 ) ( 256560 * )
NEW met2 ( 256560 3330 0 ) ( * 61235 )
NEW li1 ( 115440 241055 ) L1M1_PR_MR
NEW met1 ( 198480 61235 ) M1M2_PR
NEW met1 ( 198480 241055 ) M1M2_PR
NEW met1 ( 256560 61235 ) M1M2_PR ;
- la_data_out[106] ( PIN la_data_out[106] ) ( _160_ LO ) + USE SIGNAL
+ ROUTED met2 ( 258480 3330 0 ) ( * 18315 )
NEW met1 ( 254640 18315 ) ( 258480 * )
NEW met1 ( 24240 174455 ) ( 36000 * )
NEW met1 ( 36000 173715 ) ( * 174455 )
NEW met1 ( 36000 173715 ) ( 254640 * )
NEW met2 ( 254640 18315 ) ( * 173715 )
NEW li1 ( 24240 174455 ) L1M1_PR_MR
NEW met1 ( 258480 18315 ) M1M2_PR
NEW met1 ( 254640 18315 ) M1M2_PR
NEW met1 ( 254640 173715 ) M1M2_PR ;
- la_data_out[107] ( PIN la_data_out[107] ) ( _161_ LO ) + USE SIGNAL
+ ROUTED met2 ( 234960 27935 ) ( * 82325 )
NEW met2 ( 260400 3330 0 ) ( * 27935 )
NEW met1 ( 234960 27935 ) ( 260400 * )
NEW met2 ( 163440 82325 ) ( * 83805 )
NEW met1 ( 140880 83805 ) ( 163440 * )
NEW met1 ( 163440 82325 ) ( 234960 * )
NEW met1 ( 234960 27935 ) M1M2_PR
NEW met1 ( 234960 82325 ) M1M2_PR
NEW met1 ( 260400 27935 ) M1M2_PR
NEW met1 ( 163440 82325 ) M1M2_PR
NEW met1 ( 163440 83805 ) M1M2_PR
NEW li1 ( 140880 83805 ) L1M1_PR_MR ;
- la_data_out[108] ( PIN la_data_out[108] ) ( _162_ LO ) + USE SIGNAL
+ ROUTED met2 ( 124560 229215 ) ( * 231065 )
NEW met1 ( 124080 231065 ) ( 124560 * )
NEW met2 ( 213360 25715 ) ( * 229215 )
NEW met1 ( 124560 229215 ) ( 213360 * )
NEW met2 ( 262320 3330 0 ) ( * 25715 )
NEW met1 ( 213360 25715 ) ( 262320 * )
NEW met1 ( 124560 229215 ) M1M2_PR
NEW met1 ( 124560 231065 ) M1M2_PR
NEW li1 ( 124080 231065 ) L1M1_PR_MR
NEW met1 ( 213360 25715 ) M1M2_PR
NEW met1 ( 213360 229215 ) M1M2_PR
NEW met1 ( 262320 25715 ) M1M2_PR ;
- la_data_out[109] ( PIN la_data_out[109] ) ( _163_ LO ) + USE SIGNAL
+ ROUTED met2 ( 155280 10915 ) ( * 17205 )
NEW met2 ( 264240 3330 0 ) ( * 10915 )
NEW met1 ( 155280 10915 ) ( 264240 * )
NEW met1 ( 155280 10915 ) M1M2_PR
NEW li1 ( 155280 17205 ) L1M1_PR_MR
NEW met1 ( 155280 17205 ) M1M2_PR
NEW met1 ( 264240 10915 ) M1M2_PR
NEW met1 ( 155280 17205 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[10] ( PIN la_data_out[10] ) ( output59 X ) + USE SIGNAL
+ ROUTED met2 ( 83280 3330 0 ) ( * 15355 )
NEW met1 ( 83280 15355 ) ( 84720 * )
NEW met1 ( 83280 15355 ) M1M2_PR
NEW li1 ( 84720 15355 ) L1M1_PR_MR ;
- la_data_out[110] ( PIN la_data_out[110] ) ( _164_ LO ) + USE SIGNAL
+ ROUTED met2 ( 226800 28675 ) ( * 75295 )
NEW met1 ( 226800 28675 ) ( 237600 * )
NEW met2 ( 266160 3330 0 ) ( * 28305 )
NEW met1 ( 237600 28305 ) ( 266160 * )
NEW met1 ( 237600 28305 ) ( * 28675 )
NEW met1 ( 136800 75295 ) ( * 76035 )
NEW met1 ( 136800 75295 ) ( 226800 * )
NEW met2 ( 60720 76035 ) ( * 77145 )
NEW met1 ( 60720 76035 ) ( 136800 * )
NEW met1 ( 226800 28675 ) M1M2_PR
NEW met1 ( 226800 75295 ) M1M2_PR
NEW met1 ( 266160 28305 ) M1M2_PR
NEW met1 ( 60720 76035 ) M1M2_PR
NEW li1 ( 60720 77145 ) L1M1_PR_MR
NEW met1 ( 60720 77145 ) M1M2_PR
NEW met1 ( 60720 77145 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[111] ( PIN la_data_out[111] ) ( _165_ LO ) + USE SIGNAL
+ ROUTED met1 ( 86400 127465 ) ( * 127835 )
NEW met1 ( 81360 127835 ) ( 86400 * )
NEW met2 ( 158160 118585 ) ( * 127465 )
NEW met1 ( 86400 127465 ) ( 158160 * )
NEW met1 ( 158160 118585 ) ( 270480 * )
NEW met1 ( 267600 61235 ) ( 270480 * )
NEW met2 ( 267600 3330 0 ) ( * 61235 )
NEW met2 ( 270480 61235 ) ( * 118585 )
NEW li1 ( 81360 127835 ) L1M1_PR_MR
NEW met1 ( 158160 127465 ) M1M2_PR
NEW met1 ( 158160 118585 ) M1M2_PR
NEW met1 ( 270480 118585 ) M1M2_PR
NEW met1 ( 267600 61235 ) M1M2_PR
NEW met1 ( 270480 61235 ) M1M2_PR ;
- la_data_out[112] ( PIN la_data_out[112] ) ( _166_ LO ) + USE SIGNAL
+ ROUTED met1 ( 263280 107855 ) ( 270000 * )
NEW met1 ( 86400 154475 ) ( * 154845 )
NEW met1 ( 75120 154845 ) ( 86400 * )
NEW met2 ( 269520 3330 0 ) ( * 36000 )
NEW met2 ( 269520 36000 ) ( 270000 * )
NEW met2 ( 270000 36000 ) ( * 107855 )
NEW met1 ( 86400 154475 ) ( 263280 * )
NEW met2 ( 263280 107855 ) ( * 154475 )
NEW met1 ( 263280 107855 ) M1M2_PR
NEW met1 ( 270000 107855 ) M1M2_PR
NEW li1 ( 75120 154845 ) L1M1_PR_MR
NEW met1 ( 263280 154475 ) M1M2_PR ;
- la_data_out[113] ( PIN la_data_out[113] ) ( _167_ LO ) + USE SIGNAL
+ ROUTED met2 ( 157200 82695 ) ( * 89355 )
NEW met1 ( 157200 82695 ) ( 271440 * )
NEW met2 ( 271440 3330 0 ) ( * 82695 )
NEW met2 ( 79440 89355 ) ( * 90465 )
NEW met1 ( 79440 89355 ) ( 157200 * )
NEW met1 ( 157200 89355 ) M1M2_PR
NEW met1 ( 157200 82695 ) M1M2_PR
NEW met1 ( 271440 82695 ) M1M2_PR
NEW met1 ( 79440 89355 ) M1M2_PR
NEW li1 ( 79440 90465 ) L1M1_PR_MR
NEW met1 ( 79440 90465 ) M1M2_PR
NEW met1 ( 79440 90465 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[114] ( PIN la_data_out[114] ) ( _168_ LO ) + USE SIGNAL
+ ROUTED met1 ( 188880 217005 ) ( 234000 * )
NEW met2 ( 234000 26085 ) ( * 217005 )
NEW met2 ( 273360 3330 0 ) ( * 26085 )
NEW met1 ( 234000 26085 ) ( 273360 * )
NEW met1 ( 234000 26085 ) M1M2_PR
NEW met1 ( 234000 217005 ) M1M2_PR
NEW li1 ( 188880 217005 ) L1M1_PR_MR
NEW met1 ( 273360 26085 ) M1M2_PR ;
- la_data_out[115] ( PIN la_data_out[115] ) ( _169_ LO ) + USE SIGNAL
+ ROUTED met2 ( 275280 3330 0 ) ( * 18315 )
NEW met1 ( 275280 18315 ) ( 280560 * )
NEW met1 ( 280560 90465 ) ( 281040 * )
NEW met2 ( 280560 18315 ) ( * 90465 )
NEW met1 ( 275280 18315 ) M1M2_PR
NEW met1 ( 280560 18315 ) M1M2_PR
NEW met1 ( 280560 90465 ) M1M2_PR
NEW li1 ( 281040 90465 ) L1M1_PR_MR ;
- la_data_out[116] ( PIN la_data_out[116] ) ( _170_ LO ) + USE SIGNAL
+ ROUTED met2 ( 180720 270655 ) ( * 276945 )
NEW met1 ( 173040 276945 ) ( 180720 * )
NEW met1 ( 180720 270655 ) ( 237600 * )
NEW met1 ( 237600 271025 ) ( 276720 * )
NEW met1 ( 237600 270655 ) ( * 271025 )
NEW met2 ( 276720 3330 0 ) ( * 271025 )
NEW met1 ( 180720 270655 ) M1M2_PR
NEW met1 ( 180720 276945 ) M1M2_PR
NEW li1 ( 173040 276945 ) L1M1_PR_MR
NEW met1 ( 276720 271025 ) M1M2_PR ;
- la_data_out[117] ( PIN la_data_out[117] ) ( _171_ LO ) + USE SIGNAL
+ ROUTED met2 ( 278640 3330 0 ) ( * 19055 )
NEW met1 ( 261360 19055 ) ( 278640 * )
NEW met2 ( 261360 19055 ) ( * 263625 )
NEW met1 ( 278640 19055 ) M1M2_PR
NEW met1 ( 261360 19055 ) M1M2_PR
NEW li1 ( 261360 263625 ) L1M1_PR_MR
NEW met1 ( 261360 263625 ) M1M2_PR
NEW met1 ( 261360 263625 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[118] ( PIN la_data_out[118] ) ( _172_ LO ) + USE SIGNAL
+ ROUTED met2 ( 280560 3330 0 ) ( * 17575 )
NEW met1 ( 273840 17575 ) ( 280560 * )
NEW met1 ( 204720 237355 ) ( 273840 * )
NEW met2 ( 273840 17575 ) ( * 237355 )
NEW li1 ( 204720 237355 ) L1M1_PR_MR
NEW met1 ( 280560 17575 ) M1M2_PR
NEW met1 ( 273840 17575 ) M1M2_PR
NEW met1 ( 273840 237355 ) M1M2_PR ;
- la_data_out[119] ( PIN la_data_out[119] ) ( _173_ LO ) + USE SIGNAL
+ ROUTED met2 ( 92880 10545 ) ( * 14615 )
NEW met1 ( 90000 14615 ) ( 92880 * )
NEW met2 ( 282480 3330 0 ) ( * 10545 )
NEW met1 ( 92880 10545 ) ( 282480 * )
NEW met1 ( 92880 10545 ) M1M2_PR
NEW met1 ( 92880 14615 ) M1M2_PR
NEW li1 ( 90000 14615 ) L1M1_PR_MR
NEW met1 ( 282480 10545 ) M1M2_PR ;
- la_data_out[11] ( PIN la_data_out[11] ) ( _070_ LO ) + USE SIGNAL
+ ROUTED met2 ( 85200 3330 0 ) ( * 24975 )
NEW met1 ( 85200 24975 ) ( 239760 * )
NEW met2 ( 239760 24975 ) ( * 83805 )
NEW met1 ( 85200 24975 ) M1M2_PR
NEW met1 ( 239760 24975 ) M1M2_PR
NEW li1 ( 239760 83805 ) L1M1_PR_MR
NEW met1 ( 239760 83805 ) M1M2_PR
NEW met1 ( 239760 83805 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[120] ( PIN la_data_out[120] ) ( _174_ LO ) + USE SIGNAL
+ ROUTED met2 ( 205200 32375 ) ( * 83805 )
NEW met2 ( 284400 3330 0 ) ( * 32375 )
NEW met1 ( 205200 32375 ) ( 284400 * )
NEW met1 ( 180240 83805 ) ( 205200 * )
NEW met1 ( 205200 32375 ) M1M2_PR
NEW met1 ( 205200 83805 ) M1M2_PR
NEW met1 ( 284400 32375 ) M1M2_PR
NEW li1 ( 180240 83805 ) L1M1_PR_MR ;
- la_data_out[121] ( PIN la_data_out[121] ) ( _175_ LO ) + USE SIGNAL
+ ROUTED met1 ( 182640 137085 ) ( * 137825 )
NEW met1 ( 137040 137825 ) ( 182640 * )
NEW met1 ( 182640 137085 ) ( 285840 * )
NEW met2 ( 285840 3330 0 ) ( * 137085 )
NEW li1 ( 137040 137825 ) L1M1_PR_MR
NEW met1 ( 285840 137085 ) M1M2_PR ;
- la_data_out[122] ( PIN la_data_out[122] ) ( _176_ LO ) + USE SIGNAL
+ ROUTED met2 ( 287760 3330 0 ) ( * 17945 )
NEW met1 ( 276240 17945 ) ( 287760 * )
NEW met1 ( 248880 43845 ) ( 276240 * )
NEW met2 ( 276240 17945 ) ( * 43845 )
NEW met1 ( 287760 17945 ) M1M2_PR
NEW met1 ( 276240 17945 ) M1M2_PR
NEW met1 ( 276240 43845 ) M1M2_PR
NEW li1 ( 248880 43845 ) L1M1_PR_MR ;
- la_data_out[123] ( PIN la_data_out[123] ) ( _177_ LO ) + USE SIGNAL
+ ROUTED met2 ( 289680 3330 0 ) ( * 15355 )
NEW met1 ( 289680 15355 ) ( 297360 * )
NEW li1 ( 297360 15355 ) ( * 127835 )
NEW met1 ( 136800 127835 ) ( * 128205 )
NEW met1 ( 136800 127835 ) ( 297360 * )
NEW met1 ( 57360 128205 ) ( 136800 * )
NEW met1 ( 289680 15355 ) M1M2_PR
NEW li1 ( 297360 15355 ) L1M1_PR_MR
NEW li1 ( 297360 127835 ) L1M1_PR_MR
NEW li1 ( 57360 128205 ) L1M1_PR_MR ;
- la_data_out[124] ( PIN la_data_out[124] ) ( _178_ LO ) + USE SIGNAL
+ ROUTED met2 ( 291600 3330 0 ) ( * 17575 )
NEW met1 ( 291600 17575 ) ( 294960 * )
NEW met1 ( 289200 207755 ) ( 294960 * )
NEW li1 ( 294960 17575 ) ( * 207755 )
NEW met1 ( 291600 17575 ) M1M2_PR
NEW li1 ( 294960 17575 ) L1M1_PR_MR
NEW li1 ( 294960 207755 ) L1M1_PR_MR
NEW li1 ( 289200 207755 ) L1M1_PR_MR ;
- la_data_out[125] ( PIN la_data_out[125] ) ( _179_ LO ) + USE SIGNAL
+ ROUTED met2 ( 293520 3330 0 ) ( * 15725 )
NEW met1 ( 293520 15725 ) ( 296400 * )
NEW li1 ( 296400 15725 ) ( * 209235 )
NEW met1 ( 181680 209235 ) ( 296400 * )
NEW met1 ( 293520 15725 ) M1M2_PR
NEW li1 ( 296400 15725 ) L1M1_PR_MR
NEW li1 ( 296400 209235 ) L1M1_PR_MR
NEW li1 ( 181680 209235 ) L1M1_PR_MR ;
- la_data_out[126] ( PIN la_data_out[126] ) ( _180_ LO ) + USE SIGNAL
+ ROUTED met2 ( 294960 3330 0 ) ( * 18315 )
NEW met1 ( 294960 18315 ) ( 296880 * )
NEW li1 ( 296880 18315 ) ( * 147815 )
NEW met1 ( 114960 147815 ) ( 296880 * )
NEW met1 ( 294960 18315 ) M1M2_PR
NEW li1 ( 296880 18315 ) L1M1_PR_MR
NEW li1 ( 114960 147815 ) L1M1_PR_MR
NEW li1 ( 296880 147815 ) L1M1_PR_MR ;
- la_data_out[127] ( PIN la_data_out[127] ) ( _181_ LO ) + USE SIGNAL
+ ROUTED met2 ( 296880 3330 0 ) ( * 14615 )
NEW met1 ( 235440 14615 ) ( 296880 * )
NEW li1 ( 235440 14615 ) L1M1_PR_MR
NEW met1 ( 296880 14615 ) M1M2_PR ;
- la_data_out[12] ( PIN la_data_out[12] ) ( _071_ LO ) + USE SIGNAL
+ ROUTED met2 ( 87120 3330 0 ) ( * 38295 )
NEW met2 ( 200880 35705 ) ( * 38295 )
NEW met1 ( 87120 38295 ) ( 200880 * )
NEW li1 ( 200880 35705 ) L1M1_PR_MR
NEW met1 ( 200880 35705 ) M1M2_PR
NEW met1 ( 87120 38295 ) M1M2_PR
NEW met1 ( 200880 38295 ) M1M2_PR
NEW met1 ( 200880 35705 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[13] ( PIN la_data_out[13] ) ( _072_ LO ) + USE SIGNAL
+ ROUTED met2 ( 89040 3330 0 ) ( * 17205 )
NEW met1 ( 89040 17205 ) ( 93360 * )
NEW met2 ( 93360 17205 ) ( * 25345 )
NEW met1 ( 93360 25345 ) ( 97200 * )
NEW met1 ( 97200 25345 ) ( * 25715 )
NEW met1 ( 97200 25715 ) ( 136800 * )
NEW met1 ( 136800 25715 ) ( * 26085 )
NEW met1 ( 136800 26085 ) ( 183600 * )
NEW met2 ( 183600 26085 ) ( * 274355 )
NEW met1 ( 183600 274355 ) ( 187920 * )
NEW met1 ( 89040 17205 ) M1M2_PR
NEW met1 ( 93360 17205 ) M1M2_PR
NEW met1 ( 93360 25345 ) M1M2_PR
NEW li1 ( 187920 274355 ) L1M1_PR_MR
NEW met1 ( 183600 26085 ) M1M2_PR
NEW met1 ( 183600 274355 ) M1M2_PR ;
- la_data_out[14] ( PIN la_data_out[14] ) ( _073_ LO ) + USE SIGNAL
+ ROUTED met2 ( 90480 3330 0 ) ( * 17945 )
NEW met1 ( 90480 17945 ) ( 92400 * )
NEW met1 ( 92400 77145 ) ( 96720 * )
NEW met2 ( 92400 17945 ) ( * 77145 )
NEW met1 ( 90480 17945 ) M1M2_PR
NEW met1 ( 92400 17945 ) M1M2_PR
NEW met1 ( 92400 77145 ) M1M2_PR
NEW li1 ( 96720 77145 ) L1M1_PR_MR ;
- la_data_out[15] ( PIN la_data_out[15] ) ( output60 X ) + USE SIGNAL
+ ROUTED met2 ( 92400 3330 0 ) ( * 15355 )
NEW met1 ( 92400 15355 ) ( 94800 * )
NEW met1 ( 94800 14985 ) ( * 15355 )
NEW met1 ( 94800 14985 ) ( 99120 * )
NEW met1 ( 99120 14245 ) ( * 14985 )
NEW met1 ( 92400 15355 ) M1M2_PR
NEW li1 ( 99120 14245 ) L1M1_PR_MR ;
- la_data_out[16] ( PIN la_data_out[16] ) ( _074_ LO ) + USE SIGNAL
+ ROUTED met2 ( 94320 3330 0 ) ( * 20535 )
NEW met1 ( 94320 20535 ) ( 99600 * )
NEW met2 ( 99600 20535 ) ( * 24605 )
NEW met2 ( 99600 24605 ) ( 100080 * )
NEW met1 ( 100080 180745 ) ( 237600 * )
NEW met1 ( 237600 180745 ) ( * 181115 )
NEW met1 ( 237600 181115 ) ( 281520 * )
NEW met2 ( 100080 24605 ) ( * 180745 )
NEW met1 ( 94320 20535 ) M1M2_PR
NEW met1 ( 99600 20535 ) M1M2_PR
NEW met1 ( 100080 180745 ) M1M2_PR
NEW li1 ( 281520 181115 ) L1M1_PR_MR ;
- la_data_out[17] ( PIN la_data_out[17] ) ( _075_ LO ) + USE SIGNAL
+ ROUTED met2 ( 96240 3330 0 ) ( * 13875 )
NEW met1 ( 96240 13875 ) ( 99600 * )
NEW met1 ( 99600 13875 ) ( * 14615 )
NEW met1 ( 99600 14615 ) ( 100560 * )
NEW met2 ( 100560 14615 ) ( * 20535 )
NEW met1 ( 100560 20535 ) ( 149520 * )
NEW met2 ( 149520 20535 ) ( * 54575 )
NEW met1 ( 96240 13875 ) M1M2_PR
NEW met1 ( 100560 14615 ) M1M2_PR
NEW met1 ( 100560 20535 ) M1M2_PR
NEW met1 ( 149520 20535 ) M1M2_PR
NEW li1 ( 149520 54575 ) L1M1_PR_MR
NEW met1 ( 149520 54575 ) M1M2_PR
NEW met1 ( 149520 54575 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[18] ( PIN la_data_out[18] ) ( output61 X ) + USE SIGNAL
+ ROUTED met2 ( 98160 3330 0 ) ( * 7030 )
NEW met2 ( 97200 7030 ) ( 98160 * )
NEW met2 ( 97200 7030 ) ( * 15355 )
NEW met1 ( 97200 15355 ) ( 102480 * )
NEW met1 ( 97200 15355 ) M1M2_PR
NEW li1 ( 102480 15355 ) L1M1_PR_MR ;
- la_data_out[19] ( PIN la_data_out[19] ) ( _076_ LO ) + USE SIGNAL
+ ROUTED met2 ( 99600 3330 0 ) ( * 11655 )
NEW met1 ( 96720 11655 ) ( 99600 * )
NEW met2 ( 96720 11655 ) ( * 25715 )
NEW met1 ( 44880 25715 ) ( 96720 * )
NEW met2 ( 44880 25715 ) ( * 203685 )
NEW met1 ( 99600 11655 ) M1M2_PR
NEW met1 ( 96720 11655 ) M1M2_PR
NEW met1 ( 96720 25715 ) M1M2_PR
NEW li1 ( 44880 203685 ) L1M1_PR_MR
NEW met1 ( 44880 203685 ) M1M2_PR
NEW met1 ( 44880 25715 ) M1M2_PR
NEW met1 ( 44880 203685 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[1] ( PIN la_data_out[1] ) ( _063_ LO ) + USE SIGNAL
+ ROUTED met2 ( 66960 3330 0 ) ( * 17205 )
NEW met1 ( 66960 17205 ) ( 69840 * )
NEW met1 ( 69840 208865 ) ( 278640 * )
NEW met2 ( 69840 17205 ) ( * 208865 )
NEW met1 ( 66960 17205 ) M1M2_PR
NEW met1 ( 69840 17205 ) M1M2_PR
NEW met1 ( 69840 208865 ) M1M2_PR
NEW li1 ( 278640 208865 ) L1M1_PR_MR ;
- la_data_out[20] ( PIN la_data_out[20] ) ( _077_ LO ) + USE SIGNAL
+ ROUTED met2 ( 101520 3330 0 ) ( * 44215 )
NEW met1 ( 101520 44215 ) ( 141360 * )
NEW met2 ( 141360 44215 ) ( * 263625 )
NEW met1 ( 101520 44215 ) M1M2_PR
NEW met1 ( 141360 44215 ) M1M2_PR
NEW li1 ( 141360 263625 ) L1M1_PR_MR
NEW met1 ( 141360 263625 ) M1M2_PR
NEW met1 ( 141360 263625 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[21] ( PIN la_data_out[21] ) ( output62 X ) + USE SIGNAL
+ ROUTED met2 ( 103440 3330 0 ) ( * 17205 )
NEW met1 ( 103440 17205 ) ( 104400 * )
NEW met1 ( 103440 17205 ) M1M2_PR
NEW li1 ( 104400 17205 ) L1M1_PR_MR ;
- la_data_out[22] ( PIN la_data_out[22] ) ( _078_ LO ) + USE SIGNAL
+ ROUTED met2 ( 105360 3330 0 ) ( * 18130 )
NEW met2 ( 105360 18130 ) ( 106320 * )
NEW met2 ( 201840 115995 ) ( * 117105 )
NEW met1 ( 201840 117105 ) ( 216720 * )
NEW met2 ( 106320 18130 ) ( * 36000 )
NEW met2 ( 106320 36000 ) ( 107280 * )
NEW met1 ( 107280 115995 ) ( 201840 * )
NEW met2 ( 107280 36000 ) ( * 115995 )
NEW met1 ( 107280 115995 ) M1M2_PR
NEW met1 ( 201840 115995 ) M1M2_PR
NEW met1 ( 201840 117105 ) M1M2_PR
NEW li1 ( 216720 117105 ) L1M1_PR_MR ;
- la_data_out[23] ( PIN la_data_out[23] ) ( _079_ LO ) + USE SIGNAL
+ ROUTED met2 ( 107280 3330 0 ) ( * 12950 )
NEW met2 ( 107280 12950 ) ( 107760 * )
NEW met2 ( 107760 12950 ) ( * 27935 )
NEW met1 ( 79440 27935 ) ( 107760 * )
NEW met2 ( 79440 27935 ) ( * 36000 )
NEW met2 ( 79440 36000 ) ( 79920 * )
NEW met2 ( 79440 187200 ) ( 79920 * )
NEW met2 ( 79440 187200 ) ( * 250305 )
NEW met2 ( 79920 36000 ) ( * 187200 )
NEW met1 ( 107760 27935 ) M1M2_PR
NEW met1 ( 79440 27935 ) M1M2_PR
NEW li1 ( 79440 250305 ) L1M1_PR_MR
NEW met1 ( 79440 250305 ) M1M2_PR
NEW met1 ( 79440 250305 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[24] ( PIN la_data_out[24] ) ( output63 X ) + USE SIGNAL
+ ROUTED met2 ( 108720 3330 0 ) ( * 15355 )
NEW met1 ( 108720 15355 ) ( 110640 * )
NEW met1 ( 108720 15355 ) M1M2_PR
NEW li1 ( 110640 15355 ) L1M1_PR_MR ;
- la_data_out[25] ( PIN la_data_out[25] ) ( _080_ LO ) + USE SIGNAL
+ ROUTED met2 ( 110640 3330 0 ) ( * 18315 )
NEW met1 ( 110640 18315 ) ( 114000 * )
NEW met2 ( 149040 209235 ) ( * 210345 )
NEW met1 ( 114000 210345 ) ( 149040 * )
NEW met2 ( 114000 18315 ) ( * 210345 )
NEW met1 ( 110640 18315 ) M1M2_PR
NEW met1 ( 114000 18315 ) M1M2_PR
NEW met1 ( 114000 210345 ) M1M2_PR
NEW li1 ( 149040 209235 ) L1M1_PR_MR
NEW met1 ( 149040 209235 ) M1M2_PR
NEW met1 ( 149040 210345 ) M1M2_PR
NEW met1 ( 149040 209235 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[26] ( PIN la_data_out[26] ) ( _081_ LO ) + USE SIGNAL
+ ROUTED met2 ( 112560 3330 0 ) ( * 9250 )
NEW met2 ( 112560 9250 ) ( 113040 * )
NEW met2 ( 113040 9250 ) ( * 17205 )
NEW met1 ( 113040 17205 ) ( 136560 * )
NEW met2 ( 136560 17205 ) ( * 18315 )
NEW met1 ( 136560 18315 ) ( 158640 * )
NEW met1 ( 158640 37185 ) ( 168240 * )
NEW met2 ( 158640 18315 ) ( * 37185 )
NEW met1 ( 113040 17205 ) M1M2_PR
NEW met1 ( 136560 17205 ) M1M2_PR
NEW met1 ( 136560 18315 ) M1M2_PR
NEW met1 ( 158640 18315 ) M1M2_PR
NEW met1 ( 158640 37185 ) M1M2_PR
NEW li1 ( 168240 37185 ) L1M1_PR_MR ;
- la_data_out[27] ( PIN la_data_out[27] ) ( output64 X ) + USE SIGNAL
+ ROUTED met2 ( 114480 3330 0 ) ( * 15355 )
NEW met1 ( 114480 15355 ) ( 115440 * )
NEW met1 ( 114480 15355 ) M1M2_PR
NEW li1 ( 115440 15355 ) L1M1_PR_MR ;
- la_data_out[28] ( PIN la_data_out[28] ) ( _082_ LO ) + USE SIGNAL
+ ROUTED met2 ( 115920 86400 ) ( 116400 * )
NEW met2 ( 116400 3330 0 ) ( * 86400 )
NEW met1 ( 102000 243645 ) ( 115920 * )
NEW met2 ( 115920 86400 ) ( * 243645 )
NEW met1 ( 115920 243645 ) M1M2_PR
NEW li1 ( 102000 243645 ) L1M1_PR_MR ;
- la_data_out[29] ( PIN la_data_out[29] ) ( _083_ LO ) + USE SIGNAL
+ ROUTED met2 ( 117840 3330 0 ) ( * 18315 )
NEW met1 ( 117840 18315 ) ( 122160 * )
NEW met2 ( 122160 18315 ) ( * 50505 )
NEW met1 ( 122160 50505 ) ( 141360 * )
NEW met1 ( 117840 18315 ) M1M2_PR
NEW met1 ( 122160 18315 ) M1M2_PR
NEW met1 ( 122160 50505 ) M1M2_PR
NEW li1 ( 141360 50505 ) L1M1_PR_MR ;
- la_data_out[2] ( PIN la_data_out[2] ) ( _064_ LO ) + USE SIGNAL
+ ROUTED met2 ( 68880 3330 0 ) ( * 36000 )
NEW met2 ( 68880 36000 ) ( 69360 * )
NEW met1 ( 69360 163725 ) ( 221520 * )
NEW met2 ( 69360 36000 ) ( * 163725 )
NEW li1 ( 221520 163725 ) L1M1_PR_MR
NEW met1 ( 69360 163725 ) M1M2_PR ;
- la_data_out[30] ( PIN la_data_out[30] ) ( _084_ LO ) + USE SIGNAL
+ ROUTED met1 ( 99600 217005 ) ( 119760 * )
NEW met2 ( 119760 3330 0 ) ( * 217005 )
NEW met1 ( 119760 217005 ) M1M2_PR
NEW li1 ( 99600 217005 ) L1M1_PR_MR ;
- la_data_out[31] ( PIN la_data_out[31] ) ( _085_ LO ) + USE SIGNAL
+ ROUTED met2 ( 121680 3330 0 ) ( * 38665 )
NEW met2 ( 204240 36075 ) ( * 38665 )
NEW met1 ( 121680 38665 ) ( 204240 * )
NEW met1 ( 121680 38665 ) M1M2_PR
NEW li1 ( 204240 36075 ) L1M1_PR_MR
NEW met1 ( 204240 36075 ) M1M2_PR
NEW met1 ( 204240 38665 ) M1M2_PR
NEW met1 ( 204240 36075 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[32] ( PIN la_data_out[32] ) ( _086_ LO ) + USE SIGNAL
+ ROUTED met2 ( 123600 3330 0 ) ( * 18685 )
NEW met1 ( 123600 18685 ) ( 128400 * )
NEW met1 ( 128400 63825 ) ( 136080 * )
NEW met2 ( 128400 18685 ) ( * 63825 )
NEW met1 ( 123600 18685 ) M1M2_PR
NEW met1 ( 128400 18685 ) M1M2_PR
NEW met1 ( 128400 63825 ) M1M2_PR
NEW li1 ( 136080 63825 ) L1M1_PR_MR ;
- la_data_out[33] ( PIN la_data_out[33] ) ( _087_ LO ) + USE SIGNAL
+ ROUTED met1 ( 11760 197025 ) ( 32400 * )
NEW met2 ( 125520 3330 0 ) ( * 64195 )
NEW met1 ( 32400 64195 ) ( 125520 * )
NEW met2 ( 32400 64195 ) ( * 197025 )
NEW met1 ( 32400 197025 ) M1M2_PR
NEW li1 ( 11760 197025 ) L1M1_PR_MR
NEW met1 ( 125520 64195 ) M1M2_PR
NEW met1 ( 32400 64195 ) M1M2_PR ;
- la_data_out[34] ( PIN la_data_out[34] ) ( _088_ LO ) + USE SIGNAL
+ ROUTED met2 ( 126960 3330 0 ) ( * 18315 )
NEW met1 ( 126960 18315 ) ( 129360 * )
NEW met2 ( 129360 18315 ) ( * 175935 )
NEW met2 ( 192240 175935 ) ( * 177045 )
NEW met1 ( 129360 175935 ) ( 192240 * )
NEW met1 ( 126960 18315 ) M1M2_PR
NEW met1 ( 129360 18315 ) M1M2_PR
NEW met1 ( 129360 175935 ) M1M2_PR
NEW met1 ( 192240 175935 ) M1M2_PR
NEW li1 ( 192240 177045 ) L1M1_PR_MR
NEW met1 ( 192240 177045 ) M1M2_PR
NEW met1 ( 192240 177045 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[35] ( PIN la_data_out[35] ) ( _089_ LO ) + USE SIGNAL
+ ROUTED met2 ( 128880 3330 0 ) ( * 17945 )
NEW met1 ( 125040 17945 ) ( 128880 * )
NEW met2 ( 125040 17945 ) ( * 214045 )
NEW met1 ( 80880 214045 ) ( * 214415 )
NEW met1 ( 80880 214045 ) ( 125040 * )
NEW met1 ( 128880 17945 ) M1M2_PR
NEW met1 ( 125040 17945 ) M1M2_PR
NEW met1 ( 125040 214045 ) M1M2_PR
NEW li1 ( 80880 214415 ) L1M1_PR_MR ;
- la_data_out[36] ( PIN la_data_out[36] ) ( _090_ LO ) + USE SIGNAL
+ ROUTED met2 ( 130800 3330 0 ) ( * 18685 )
NEW met1 ( 130800 18685 ) ( 136080 * )
NEW met2 ( 136080 18685 ) ( * 281755 )
NEW met1 ( 136080 281755 ) ( 284400 * )
NEW met1 ( 130800 18685 ) M1M2_PR
NEW met1 ( 136080 18685 ) M1M2_PR
NEW met1 ( 136080 281755 ) M1M2_PR
NEW li1 ( 284400 281755 ) L1M1_PR_MR ;
- la_data_out[37] ( PIN la_data_out[37] ) ( _091_ LO ) + USE SIGNAL
+ ROUTED met2 ( 132720 3330 0 ) ( * 21645 )
NEW met1 ( 126000 21645 ) ( 132720 * )
NEW met2 ( 126000 21645 ) ( * 111555 )
NEW met2 ( 39600 109335 ) ( * 111555 )
NEW met1 ( 39600 111555 ) ( 126000 * )
NEW met1 ( 132720 21645 ) M1M2_PR
NEW met1 ( 126000 21645 ) M1M2_PR
NEW met1 ( 126000 111555 ) M1M2_PR
NEW li1 ( 39600 109335 ) L1M1_PR_MR
NEW met1 ( 39600 109335 ) M1M2_PR
NEW met1 ( 39600 111555 ) M1M2_PR
NEW met1 ( 39600 109335 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[38] ( PIN la_data_out[38] ) ( _092_ LO ) + USE SIGNAL
+ ROUTED met2 ( 134640 3330 0 ) ( * 36000 )
NEW met2 ( 134640 36000 ) ( 135120 * )
NEW met2 ( 135120 36000 ) ( * 72335 )
NEW met2 ( 183120 72335 ) ( * 74555 )
NEW met1 ( 135120 72335 ) ( 183120 * )
NEW met1 ( 135120 72335 ) M1M2_PR
NEW met1 ( 183120 72335 ) M1M2_PR
NEW li1 ( 183120 74555 ) L1M1_PR_MR
NEW met1 ( 183120 74555 ) M1M2_PR
NEW met1 ( 183120 74555 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[39] ( PIN la_data_out[39] ) ( _093_ LO ) + USE SIGNAL
+ ROUTED met2 ( 136080 3330 0 ) ( * 12950 )
NEW met2 ( 135600 12950 ) ( 136080 * )
NEW met2 ( 135600 12950 ) ( * 24605 )
NEW met1 ( 92400 24605 ) ( 135600 * )
NEW li1 ( 92400 24605 ) ( * 25345 )
NEW met1 ( 14160 97125 ) ( 25200 * )
NEW met2 ( 25200 25345 ) ( * 97125 )
NEW met1 ( 25200 25345 ) ( 92400 * )
NEW li1 ( 14160 97125 ) L1M1_PR_MR
NEW met1 ( 135600 24605 ) M1M2_PR
NEW li1 ( 92400 24605 ) L1M1_PR_MR
NEW li1 ( 92400 25345 ) L1M1_PR_MR
NEW met1 ( 25200 97125 ) M1M2_PR
NEW met1 ( 25200 25345 ) M1M2_PR ;
- la_data_out[3] ( PIN la_data_out[3] ) ( output65 X ) + USE SIGNAL
+ ROUTED met2 ( 70800 3330 0 ) ( * 15355 )
NEW met1 ( 70800 15355 ) ( 72240 * )
NEW met1 ( 70800 15355 ) M1M2_PR
NEW li1 ( 72240 15355 ) L1M1_PR_MR ;
- la_data_out[40] ( PIN la_data_out[40] ) ( _094_ LO ) + USE SIGNAL
+ ROUTED met2 ( 74640 122655 ) ( * 123765 )
NEW met2 ( 138000 3330 0 ) ( * 18130 )
NEW met2 ( 138000 18130 ) ( 140880 * )
NEW met1 ( 74640 122655 ) ( 140400 * )
NEW met2 ( 140400 86400 ) ( * 122655 )
NEW met2 ( 140400 86400 ) ( 140880 * )
NEW met2 ( 140880 18130 ) ( * 86400 )
NEW met1 ( 74640 122655 ) M1M2_PR
NEW li1 ( 74640 123765 ) L1M1_PR_MR
NEW met1 ( 74640 123765 ) M1M2_PR
NEW met1 ( 140400 122655 ) M1M2_PR
NEW met1 ( 74640 123765 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[41] ( PIN la_data_out[41] ) ( _095_ LO ) + USE SIGNAL
+ ROUTED met2 ( 139920 3330 0 ) ( * 15170 )
NEW met2 ( 139920 15170 ) ( 141360 * )
NEW met2 ( 141360 15170 ) ( * 29415 )
NEW met2 ( 274320 29415 ) ( * 30525 )
NEW met1 ( 141360 29415 ) ( 274320 * )
NEW met1 ( 141360 29415 ) M1M2_PR
NEW met1 ( 274320 29415 ) M1M2_PR
NEW li1 ( 274320 30525 ) L1M1_PR_MR
NEW met1 ( 274320 30525 ) M1M2_PR
NEW met1 ( 274320 30525 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[42] ( PIN la_data_out[42] ) ( _096_ LO ) + USE SIGNAL
+ ROUTED met1 ( 141840 61235 ) ( 142800 * )
NEW met2 ( 141840 3330 0 ) ( * 61235 )
NEW met1 ( 141840 61235 ) M1M2_PR
NEW li1 ( 142800 61235 ) L1M1_PR_MR ;
- la_data_out[43] ( PIN la_data_out[43] ) ( _097_ LO ) + USE SIGNAL
+ ROUTED met1 ( 143760 187775 ) ( 184080 * )
NEW met2 ( 143760 3330 0 ) ( * 187775 )
NEW met1 ( 143760 187775 ) M1M2_PR
NEW li1 ( 184080 187775 ) L1M1_PR_MR ;
- la_data_out[44] ( PIN la_data_out[44] ) ( _098_ LO ) + USE SIGNAL
+ ROUTED met2 ( 145200 3330 0 ) ( * 59015 )
NEW met2 ( 33360 59015 ) ( * 63825 )
NEW met1 ( 33360 59015 ) ( 145200 * )
NEW met1 ( 145200 59015 ) M1M2_PR
NEW met1 ( 33360 59015 ) M1M2_PR
NEW li1 ( 33360 63825 ) L1M1_PR_MR
NEW met1 ( 33360 63825 ) M1M2_PR
NEW met1 ( 33360 63825 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[45] ( PIN la_data_out[45] ) ( _099_ LO ) + USE SIGNAL
+ ROUTED met2 ( 133200 95645 ) ( * 111555 )
NEW met1 ( 133200 95645 ) ( 147120 * )
NEW met2 ( 147120 3330 0 ) ( * 95645 )
NEW met1 ( 133200 95645 ) M1M2_PR
NEW li1 ( 133200 111555 ) L1M1_PR_MR
NEW met1 ( 133200 111555 ) M1M2_PR
NEW met1 ( 147120 95645 ) M1M2_PR
NEW met1 ( 133200 111555 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[46] ( PIN la_data_out[46] ) ( _100_ LO ) + USE SIGNAL
+ ROUTED met1 ( 70320 157065 ) ( 149040 * )
NEW met2 ( 149040 3330 0 ) ( * 157065 )
NEW li1 ( 70320 157065 ) L1M1_PR_MR
NEW met1 ( 149040 157065 ) M1M2_PR ;
- la_data_out[47] ( PIN la_data_out[47] ) ( _101_ LO ) + USE SIGNAL
+ ROUTED met1 ( 111120 203685 ) ( 150000 * )
NEW met2 ( 150000 86400 ) ( 150960 * )
NEW met2 ( 150960 3330 0 ) ( * 86400 )
NEW met2 ( 150000 86400 ) ( * 203685 )
NEW li1 ( 111120 203685 ) L1M1_PR_MR
NEW met1 ( 150000 203685 ) M1M2_PR ;
- la_data_out[48] ( PIN la_data_out[48] ) ( _102_ LO ) + USE SIGNAL
+ ROUTED met1 ( 72240 41255 ) ( 152880 * )
NEW met2 ( 152880 3330 0 ) ( * 41255 )
NEW li1 ( 72240 41255 ) L1M1_PR_MR
NEW met1 ( 152880 41255 ) M1M2_PR ;
- la_data_out[49] ( PIN la_data_out[49] ) ( _103_ LO ) + USE SIGNAL
+ ROUTED met2 ( 154800 3330 0 ) ( * 15355 )
NEW met1 ( 154800 15355 ) ( 157680 * )
NEW met2 ( 157680 15355 ) ( * 69375 )
NEW met2 ( 275280 69375 ) ( * 70485 )
NEW met1 ( 157680 69375 ) ( 275280 * )
NEW met1 ( 154800 15355 ) M1M2_PR
NEW met1 ( 157680 15355 ) M1M2_PR
NEW met1 ( 157680 69375 ) M1M2_PR
NEW met1 ( 275280 69375 ) M1M2_PR
NEW li1 ( 275280 70485 ) L1M1_PR_MR
NEW met1 ( 275280 70485 ) M1M2_PR
NEW met1 ( 275280 70485 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[4] ( PIN la_data_out[4] ) ( _065_ LO ) + USE SIGNAL
+ ROUTED met2 ( 72240 3330 0 ) ( * 16095 )
NEW met1 ( 72240 16095 ) ( 76560 * )
NEW met2 ( 76560 16095 ) ( * 36000 )
NEW met2 ( 76560 36000 ) ( 77520 * )
NEW met1 ( 77520 170385 ) ( 119760 * )
NEW met2 ( 77520 36000 ) ( * 170385 )
NEW li1 ( 119760 170385 ) L1M1_PR_MR
NEW met1 ( 72240 16095 ) M1M2_PR
NEW met1 ( 76560 16095 ) M1M2_PR
NEW met1 ( 77520 170385 ) M1M2_PR ;
- la_data_out[50] ( PIN la_data_out[50] ) ( _104_ LO ) + USE SIGNAL
+ ROUTED met2 ( 156240 3330 0 ) ( * 18315 )
NEW met2 ( 154320 18315 ) ( 156240 * )
NEW met2 ( 154320 18315 ) ( * 104155 )
NEW met2 ( 42480 102675 ) ( * 104155 )
NEW met1 ( 42480 104155 ) ( 154320 * )
NEW met1 ( 154320 104155 ) M1M2_PR
NEW li1 ( 42480 102675 ) L1M1_PR_MR
NEW met1 ( 42480 102675 ) M1M2_PR
NEW met1 ( 42480 104155 ) M1M2_PR
NEW met1 ( 42480 102675 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[51] ( PIN la_data_out[51] ) ( _105_ LO ) + USE SIGNAL
+ ROUTED met2 ( 8880 72705 ) ( * 74555 )
NEW met2 ( 158160 3330 0 ) ( * 21645 )
NEW met1 ( 140400 21645 ) ( 158160 * )
NEW met2 ( 140400 21645 ) ( * 72705 )
NEW met1 ( 8880 72705 ) ( 140400 * )
NEW met1 ( 8880 72705 ) M1M2_PR
NEW li1 ( 8880 74555 ) L1M1_PR_MR
NEW met1 ( 8880 74555 ) M1M2_PR
NEW met1 ( 158160 21645 ) M1M2_PR
NEW met1 ( 140400 21645 ) M1M2_PR
NEW met1 ( 140400 72705 ) M1M2_PR
NEW met1 ( 8880 74555 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[52] ( PIN la_data_out[52] ) ( _106_ LO ) + USE SIGNAL
+ ROUTED met2 ( 160080 3330 0 ) ( * 29045 )
NEW met1 ( 155760 29045 ) ( 160080 * )
NEW met2 ( 155760 29045 ) ( * 152625 )
NEW met2 ( 39600 152625 ) ( * 154475 )
NEW met1 ( 39600 152625 ) ( 155760 * )
NEW met1 ( 160080 29045 ) M1M2_PR
NEW met1 ( 155760 29045 ) M1M2_PR
NEW met1 ( 155760 152625 ) M1M2_PR
NEW met1 ( 39600 152625 ) M1M2_PR
NEW li1 ( 39600 154475 ) L1M1_PR_MR
NEW met1 ( 39600 154475 ) M1M2_PR
NEW met1 ( 39600 154475 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[53] ( PIN la_data_out[53] ) ( _107_ LO ) + USE SIGNAL
+ ROUTED met2 ( 162000 3330 0 ) ( * 18685 )
NEW met1 ( 162000 18685 ) ( 165360 * )
NEW met2 ( 165360 18685 ) ( * 269175 )
NEW met2 ( 258960 269175 ) ( * 270285 )
NEW met1 ( 165360 269175 ) ( 258960 * )
NEW met1 ( 162000 18685 ) M1M2_PR
NEW met1 ( 165360 18685 ) M1M2_PR
NEW met1 ( 165360 269175 ) M1M2_PR
NEW met1 ( 258960 269175 ) M1M2_PR
NEW li1 ( 258960 270285 ) L1M1_PR_MR
NEW met1 ( 258960 270285 ) M1M2_PR
NEW met1 ( 258960 270285 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[54] ( PIN la_data_out[54] ) ( _108_ LO ) + USE SIGNAL
+ ROUTED met1 ( 164880 123765 ) ( 249840 * )
NEW met2 ( 163920 61050 ) ( 164880 * )
NEW met2 ( 163920 3330 0 ) ( * 61050 )
NEW met2 ( 164880 61050 ) ( * 123765 )
NEW met1 ( 164880 123765 ) M1M2_PR
NEW li1 ( 249840 123765 ) L1M1_PR_MR ;
- la_data_out[55] ( PIN la_data_out[55] ) ( _109_ LO ) + USE SIGNAL
+ ROUTED met2 ( 197040 109335 ) ( * 111555 )
NEW met1 ( 197040 111555 ) ( 208080 * )
NEW met2 ( 165360 3330 0 ) ( * 18130 )
NEW met2 ( 164880 18130 ) ( 165360 * )
NEW met1 ( 164400 109335 ) ( 197040 * )
NEW met1 ( 164400 60495 ) ( * 61605 )
NEW met1 ( 164400 60495 ) ( 164880 * )
NEW met2 ( 164400 61605 ) ( * 109335 )
NEW met2 ( 164880 18130 ) ( * 60495 )
NEW met1 ( 197040 109335 ) M1M2_PR
NEW met1 ( 197040 111555 ) M1M2_PR
NEW li1 ( 208080 111555 ) L1M1_PR_MR
NEW met1 ( 164400 109335 ) M1M2_PR
NEW met1 ( 164400 61605 ) M1M2_PR
NEW met1 ( 164880 60495 ) M1M2_PR ;
- la_data_out[56] ( PIN la_data_out[56] ) ( _110_ LO ) + USE SIGNAL
+ ROUTED met2 ( 131760 170755 ) ( * 254375 )
NEW met2 ( 167280 3330 0 ) ( * 18315 )
NEW met2 ( 167280 18315 ) ( 169200 * )
NEW met2 ( 169200 18315 ) ( * 36000 )
NEW met2 ( 169200 36000 ) ( 170640 * )
NEW met1 ( 131760 170755 ) ( 170640 * )
NEW met2 ( 170640 36000 ) ( * 170755 )
NEW met1 ( 131760 170755 ) M1M2_PR
NEW li1 ( 131760 254375 ) L1M1_PR_MR
NEW met1 ( 131760 254375 ) M1M2_PR
NEW met1 ( 170640 170755 ) M1M2_PR
NEW met1 ( 131760 254375 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[57] ( PIN la_data_out[57] ) ( _111_ LO ) + USE SIGNAL
+ ROUTED met2 ( 169200 3330 0 ) ( * 17205 )
NEW met1 ( 169200 17205 ) ( 172560 * )
NEW met2 ( 269520 89355 ) ( * 90465 )
NEW met1 ( 172560 89355 ) ( 269520 * )
NEW met2 ( 172560 17205 ) ( * 89355 )
NEW met1 ( 169200 17205 ) M1M2_PR
NEW met1 ( 172560 17205 ) M1M2_PR
NEW met1 ( 172560 89355 ) M1M2_PR
NEW met1 ( 269520 89355 ) M1M2_PR
NEW li1 ( 269520 90465 ) L1M1_PR_MR
NEW met1 ( 269520 90465 ) M1M2_PR
NEW met1 ( 269520 90465 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[58] ( PIN la_data_out[58] ) ( _112_ LO ) + USE SIGNAL
+ ROUTED met2 ( 134160 84545 ) ( * 174085 )
NEW met1 ( 56880 174085 ) ( * 174455 )
NEW met1 ( 56880 174085 ) ( 134160 * )
NEW met1 ( 134160 84545 ) ( 171120 * )
NEW met2 ( 171120 3330 0 ) ( * 84545 )
NEW met1 ( 134160 84545 ) M1M2_PR
NEW met1 ( 134160 174085 ) M1M2_PR
NEW li1 ( 56880 174455 ) L1M1_PR_MR
NEW met1 ( 171120 84545 ) M1M2_PR ;
- la_data_out[59] ( PIN la_data_out[59] ) ( _113_ LO ) + USE SIGNAL
+ ROUTED met2 ( 66000 52725 ) ( * 57165 )
NEW met1 ( 66000 52725 ) ( 173040 * )
NEW met2 ( 173040 3330 0 ) ( * 52725 )
NEW met1 ( 55920 57165 ) ( * 57535 )
NEW met1 ( 46800 57535 ) ( 55920 * )
NEW met1 ( 55920 57165 ) ( 66000 * )
NEW met1 ( 66000 52725 ) M1M2_PR
NEW met1 ( 66000 57165 ) M1M2_PR
NEW met1 ( 173040 52725 ) M1M2_PR
NEW li1 ( 46800 57535 ) L1M1_PR_MR ;
- la_data_out[5] ( PIN la_data_out[5] ) ( _066_ LO ) + USE SIGNAL
+ ROUTED met2 ( 74160 3330 0 ) ( * 15725 )
NEW met1 ( 74160 15725 ) ( 78480 * )
NEW met2 ( 78480 15725 ) ( * 21275 )
NEW met2 ( 78480 21275 ) ( 78960 * )
NEW met1 ( 78960 209235 ) ( 130320 * )
NEW met2 ( 78960 21275 ) ( * 209235 )
NEW li1 ( 130320 209235 ) L1M1_PR_MR
NEW met1 ( 74160 15725 ) M1M2_PR
NEW met1 ( 78480 15725 ) M1M2_PR
NEW met1 ( 78960 209235 ) M1M2_PR ;
- la_data_out[60] ( PIN la_data_out[60] ) ( _114_ LO ) + USE SIGNAL
+ ROUTED met1 ( 98160 267695 ) ( 118800 * )
NEW met2 ( 118800 169275 ) ( * 267695 )
NEW met1 ( 118800 169275 ) ( 174480 * )
NEW met2 ( 174480 3330 0 ) ( * 169275 )
NEW met1 ( 118800 169275 ) M1M2_PR
NEW met1 ( 118800 267695 ) M1M2_PR
NEW li1 ( 98160 267695 ) L1M1_PR_MR
NEW met1 ( 174480 169275 ) M1M2_PR ;
- la_data_out[61] ( PIN la_data_out[61] ) ( _115_ LO ) + USE SIGNAL
+ ROUTED met2 ( 176400 3330 0 ) ( * 14985 )
NEW met1 ( 137040 14985 ) ( 176400 * )
NEW met2 ( 137040 14985 ) ( * 17205 )
NEW met1 ( 176400 14985 ) M1M2_PR
NEW met1 ( 137040 14985 ) M1M2_PR
NEW li1 ( 137040 17205 ) L1M1_PR_MR
NEW met1 ( 137040 17205 ) M1M2_PR
NEW met1 ( 137040 17205 ) RECT ( 0 -70 355 70 ) ;
- la_data_out[62] ( PIN la_data_out[62] ) ( _116_ LO ) + USE SIGNAL
+ ROUTED met2 ( 132720 88615 ) ( * 90465 )
NEW met2 ( 178320 3330 0 ) ( * 18315 )
NEW met1 ( 173520 18315 ) ( 178320 * )
NEW met1 ( 132720 88615 ) ( 173520 * )
NEW met2 ( 173520 18315 ) ( * 88615 )
NEW met1 ( 132720 88615 ) M1M2_PR
NEW li1 ( 132720 90465 ) L1M1_PR_MR
NEW met1 ( 132720 90465 ) M1M2_PR
NEW met1 ( 178320 18315 ) M1M2_PR
NEW met1 ( 173520 18315 ) M1M2_PR
NEW met1 ( 173520 88615 ) M1M2_PR
NEW met1 ( 132720 90465 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[63] ( PIN la_data_out[63] ) ( _117_ LO ) + USE SIGNAL
+ ROUTED met2 ( 93360 140785 ) ( * 174455 )
NEW met1 ( 63120 174455 ) ( 93360 * )
NEW met2 ( 180240 3330 0 ) ( * 36000 )
NEW met2 ( 180240 36000 ) ( 181680 * )
NEW met1 ( 93360 140785 ) ( 181680 * )
NEW met2 ( 181680 36000 ) ( * 140785 )
NEW met1 ( 93360 174455 ) M1M2_PR
NEW met1 ( 93360 140785 ) M1M2_PR
NEW li1 ( 63120 174455 ) L1M1_PR_MR
NEW met1 ( 181680 140785 ) M1M2_PR ;
- la_data_out[64] ( PIN la_data_out[64] ) ( _118_ LO ) + USE SIGNAL
+ ROUTED met1 ( 156720 107855 ) ( 182160 * )
NEW met1 ( 99120 224035 ) ( 156720 * )
NEW met2 ( 182160 3330 0 ) ( * 107855 )
NEW met2 ( 156720 107855 ) ( * 224035 )
NEW li1 ( 99120 224035 ) L1M1_PR_MR
NEW met1 ( 156720 107855 ) M1M2_PR
NEW met1 ( 182160 107855 ) M1M2_PR
NEW met1 ( 156720 224035 ) M1M2_PR ;
- la_data_out[65] ( PIN la_data_out[65] ) ( _119_ LO ) + USE SIGNAL
+ ROUTED met2 ( 136560 25345 ) ( * 94535 )
NEW met2 ( 183600 3330 0 ) ( * 25345 )
NEW met1 ( 136560 25345 ) ( 183600 * )
NEW met1 ( 136560 25345 ) M1M2_PR
NEW li1 ( 136560 94535 ) L1M1_PR_MR
NEW met1 ( 136560 94535 ) M1M2_PR
NEW met1 ( 183600 25345 ) M1M2_PR
NEW met1 ( 136560 94535 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[66] ( PIN la_data_out[66] ) ( _120_ LO ) + USE SIGNAL
+ ROUTED met2 ( 185520 3330 0 ) ( * 84175 )
NEW met1 ( 20400 84175 ) ( 185520 * )
NEW met2 ( 20400 84175 ) ( * 234395 )
NEW li1 ( 20400 234395 ) L1M1_PR_MR
NEW met1 ( 20400 234395 ) M1M2_PR
NEW met1 ( 185520 84175 ) M1M2_PR
NEW met1 ( 20400 84175 ) M1M2_PR
NEW met1 ( 20400 234395 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[67] ( PIN la_data_out[67] ) ( _121_ LO ) + USE SIGNAL
+ ROUTED met2 ( 187440 3330 0 ) ( * 18685 )
NEW met1 ( 187440 18685 ) ( 194160 * )
NEW met2 ( 194160 18685 ) ( * 235875 )
NEW met2 ( 259440 235875 ) ( * 236985 )
NEW met1 ( 194160 235875 ) ( 259440 * )
NEW met1 ( 187440 18685 ) M1M2_PR
NEW met1 ( 194160 18685 ) M1M2_PR
NEW met1 ( 194160 235875 ) M1M2_PR
NEW met1 ( 259440 235875 ) M1M2_PR
NEW li1 ( 259440 236985 ) L1M1_PR_MR
NEW met1 ( 259440 236985 ) M1M2_PR
NEW met1 ( 259440 236985 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[68] ( PIN la_data_out[68] ) ( _122_ LO ) + USE SIGNAL
+ ROUTED met2 ( 189360 3330 0 ) ( * 14985 )
NEW met1 ( 189360 14985 ) ( 193200 * )
NEW met1 ( 193200 101195 ) ( 200880 * )
NEW met2 ( 193200 14985 ) ( * 101195 )
NEW met1 ( 189360 14985 ) M1M2_PR
NEW met1 ( 193200 14985 ) M1M2_PR
NEW met1 ( 193200 101195 ) M1M2_PR
NEW li1 ( 200880 101195 ) L1M1_PR_MR ;
- la_data_out[69] ( PIN la_data_out[69] ) ( _123_ LO ) + USE SIGNAL
+ ROUTED met2 ( 191280 3330 0 ) ( * 18315 )
NEW met1 ( 191280 18315 ) ( 193680 * )
NEW met1 ( 193680 236985 ) ( 207120 * )
NEW met2 ( 193680 18315 ) ( * 236985 )
NEW met1 ( 191280 18315 ) M1M2_PR
NEW met1 ( 193680 18315 ) M1M2_PR
NEW met1 ( 193680 236985 ) M1M2_PR
NEW li1 ( 207120 236985 ) L1M1_PR_MR ;
- la_data_out[6] ( PIN la_data_out[6] ) ( output66 X ) + USE SIGNAL
+ ROUTED met2 ( 76080 3330 0 ) ( * 15355 )
NEW met1 ( 76080 15355 ) ( 77520 * )
NEW met1 ( 76080 15355 ) M1M2_PR
NEW li1 ( 77520 15355 ) L1M1_PR_MR ;
- la_data_out[70] ( PIN la_data_out[70] ) ( _124_ LO ) + USE SIGNAL
+ ROUTED met2 ( 192720 3330 0 ) ( * 12765 )
NEW met1 ( 190320 12765 ) ( 192720 * )
NEW met2 ( 190320 12765 ) ( * 25715 )
NEW met1 ( 176880 25715 ) ( 190320 * )
NEW met2 ( 176880 25715 ) ( * 94905 )
NEW met1 ( 84240 94905 ) ( 176880 * )
NEW met1 ( 192720 12765 ) M1M2_PR
NEW met1 ( 190320 12765 ) M1M2_PR
NEW met1 ( 190320 25715 ) M1M2_PR
NEW met1 ( 176880 25715 ) M1M2_PR
NEW met1 ( 176880 94905 ) M1M2_PR
NEW li1 ( 84240 94905 ) L1M1_PR_MR ;
- la_data_out[71] ( PIN la_data_out[71] ) ( _125_ LO ) + USE SIGNAL
+ ROUTED met1 ( 193200 117105 ) ( 194640 * )
NEW met2 ( 194640 3330 0 ) ( * 117105 )
NEW met1 ( 194640 117105 ) M1M2_PR
NEW li1 ( 193200 117105 ) L1M1_PR_MR ;
- la_data_out[72] ( PIN la_data_out[72] ) ( _126_ LO ) + USE SIGNAL
+ ROUTED met2 ( 196560 3330 0 ) ( * 54575 )
NEW met1 ( 165840 54575 ) ( 196560 * )
NEW met1 ( 196560 54575 ) M1M2_PR
NEW li1 ( 165840 54575 ) L1M1_PR_MR ;
- la_data_out[73] ( PIN la_data_out[73] ) ( _127_ LO ) + USE SIGNAL
+ ROUTED met2 ( 198480 3330 0 ) ( * 15910 )
NEW met2 ( 197040 15910 ) ( 198480 * )
NEW met2 ( 197040 15910 ) ( * 30895 )
NEW met1 ( 47280 30895 ) ( 197040 * )
NEW met1 ( 197040 30895 ) M1M2_PR
NEW li1 ( 47280 30895 ) L1M1_PR_MR ;
- la_data_out[74] ( PIN la_data_out[74] ) ( _128_ LO ) + USE SIGNAL
+ ROUTED met2 ( 200400 3330 0 ) ( * 18130 )
NEW met2 ( 197520 18130 ) ( 200400 * )
NEW met2 ( 197520 18130 ) ( * 167055 )
NEW met2 ( 43920 182595 ) ( * 183705 )
NEW met2 ( 165840 167055 ) ( * 182595 )
NEW met1 ( 43920 182595 ) ( 165840 * )
NEW met1 ( 165840 167055 ) ( 197520 * )
NEW met1 ( 197520 167055 ) M1M2_PR
NEW met1 ( 43920 182595 ) M1M2_PR
NEW li1 ( 43920 183705 ) L1M1_PR_MR
NEW met1 ( 43920 183705 ) M1M2_PR
NEW met1 ( 165840 182595 ) M1M2_PR
NEW met1 ( 165840 167055 ) M1M2_PR
NEW met1 ( 43920 183705 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[75] ( PIN la_data_out[75] ) ( _129_ LO ) + USE SIGNAL
+ ROUTED met2 ( 201840 3330 0 ) ( * 14615 )
NEW met1 ( 194640 14615 ) ( 201840 * )
NEW met1 ( 194640 13875 ) ( * 14615 )
NEW met1 ( 193200 13875 ) ( 194640 * )
NEW met1 ( 193200 13875 ) ( * 14615 )
NEW met1 ( 188880 14615 ) ( 193200 * )
NEW met1 ( 188880 14615 ) ( * 14985 )
NEW met1 ( 185040 14985 ) ( 188880 * )
NEW met2 ( 185040 14985 ) ( * 190365 )
NEW met1 ( 201840 14615 ) M1M2_PR
NEW met1 ( 185040 14985 ) M1M2_PR
NEW li1 ( 185040 190365 ) L1M1_PR_MR
NEW met1 ( 185040 190365 ) M1M2_PR
NEW met1 ( 185040 190365 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[76] ( PIN la_data_out[76] ) ( _130_ LO ) + USE SIGNAL
+ ROUTED met1 ( 136080 17945 ) ( * 18315 )
NEW met1 ( 133680 18315 ) ( 136080 * )
NEW met2 ( 203760 3330 0 ) ( * 17945 )
NEW met2 ( 133680 50690 ) ( 134640 * )
NEW met2 ( 133680 18315 ) ( * 50690 )
NEW met1 ( 133200 167795 ) ( 134640 * )
NEW met2 ( 134640 50690 ) ( * 167795 )
NEW met1 ( 136080 17945 ) ( 203760 * )
NEW met1 ( 133680 18315 ) M1M2_PR
NEW met1 ( 203760 17945 ) M1M2_PR
NEW met1 ( 134640 167795 ) M1M2_PR
NEW li1 ( 133200 167795 ) L1M1_PR_MR ;
- la_data_out[77] ( PIN la_data_out[77] ) ( _131_ LO ) + USE SIGNAL
+ ROUTED met2 ( 205680 3330 0 ) ( * 21275 )
NEW met1 ( 160560 21275 ) ( 205680 * )
NEW met2 ( 160080 86400 ) ( 160560 * )
NEW met2 ( 160560 21275 ) ( * 86400 )
NEW met2 ( 160080 86400 ) ( * 181115 )
NEW met1 ( 205680 21275 ) M1M2_PR
NEW met1 ( 160560 21275 ) M1M2_PR
NEW li1 ( 160080 181115 ) L1M1_PR_MR
NEW met1 ( 160080 181115 ) M1M2_PR
NEW met1 ( 160080 181115 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[78] ( PIN la_data_out[78] ) ( _132_ LO ) + USE SIGNAL
+ ROUTED met1 ( 203280 35705 ) ( 204720 * )
NEW met1 ( 196560 36075 ) ( 203280 * )
NEW met1 ( 204720 36075 ) ( 207600 * )
NEW met1 ( 203280 35705 ) ( * 36075 )
NEW met1 ( 204720 35705 ) ( * 36075 )
NEW met2 ( 207600 3330 0 ) ( * 36075 )
NEW li1 ( 196560 36075 ) L1M1_PR_MR
NEW met1 ( 207600 36075 ) M1M2_PR ;
- la_data_out[79] ( PIN la_data_out[79] ) ( _133_ LO ) + USE SIGNAL
+ ROUTED met2 ( 209520 3330 0 ) ( * 18870 )
NEW met3 ( 90960 18870 ) ( 209520 * )
NEW met2 ( 90960 18870 ) ( * 281015 )
NEW met2 ( 90960 18870 ) M2M3_PR_M
NEW met2 ( 209520 18870 ) M2M3_PR_M
NEW li1 ( 90960 281015 ) L1M1_PR_MR
NEW met1 ( 90960 281015 ) M1M2_PR
NEW met1 ( 90960 281015 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[7] ( PIN la_data_out[7] ) ( _067_ LO ) + USE SIGNAL
+ ROUTED met2 ( 78000 3330 0 ) ( * 17205 )
NEW met1 ( 76080 17205 ) ( 78000 * )
NEW met2 ( 76080 17205 ) ( * 29045 )
NEW met1 ( 67440 29045 ) ( 76080 * )
NEW met1 ( 78000 17205 ) M1M2_PR
NEW met1 ( 76080 17205 ) M1M2_PR
NEW met1 ( 76080 29045 ) M1M2_PR
NEW li1 ( 67440 29045 ) L1M1_PR_MR ;
- la_data_out[80] ( PIN la_data_out[80] ) ( _134_ LO ) + USE SIGNAL
+ ROUTED met2 ( 210960 3330 0 ) ( * 17205 )
NEW li1 ( 173040 17205 ) ( * 18315 )
NEW met1 ( 161520 18315 ) ( 173040 * )
NEW met1 ( 161520 18315 ) ( * 18685 )
NEW met1 ( 154800 18685 ) ( 161520 * )
NEW met1 ( 173040 17205 ) ( 210960 * )
NEW met2 ( 154800 18685 ) ( * 167795 )
NEW met1 ( 210960 17205 ) M1M2_PR
NEW li1 ( 173040 17205 ) L1M1_PR_MR
NEW li1 ( 173040 18315 ) L1M1_PR_MR
NEW met1 ( 154800 18685 ) M1M2_PR
NEW li1 ( 154800 167795 ) L1M1_PR_MR
NEW met1 ( 154800 167795 ) M1M2_PR
NEW met1 ( 154800 167795 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[81] ( PIN la_data_out[81] ) ( _135_ LO ) + USE SIGNAL
+ ROUTED met2 ( 212880 3330 0 ) ( * 10730 )
NEW met2 ( 212400 10730 ) ( 212880 * )
NEW met2 ( 212400 10730 ) ( * 20905 )
NEW met2 ( 59760 20905 ) ( * 21090 )
NEW met2 ( 58800 21090 ) ( 59760 * )
NEW met2 ( 58800 20905 ) ( * 21090 )
NEW met1 ( 37680 20905 ) ( 58800 * )
NEW met2 ( 37680 20905 ) ( * 23865 )
NEW met1 ( 59760 20905 ) ( 212400 * )
NEW met1 ( 212400 20905 ) M1M2_PR
NEW met1 ( 59760 20905 ) M1M2_PR
NEW met1 ( 58800 20905 ) M1M2_PR
NEW met1 ( 37680 20905 ) M1M2_PR
NEW li1 ( 37680 23865 ) L1M1_PR_MR
NEW met1 ( 37680 23865 ) M1M2_PR
NEW met1 ( 37680 23865 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[82] ( PIN la_data_out[82] ) ( _136_ LO ) + USE SIGNAL
+ ROUTED met1 ( 12720 32005 ) ( 14160 * )
NEW met2 ( 14160 17390 ) ( * 32005 )
NEW met2 ( 214800 3330 0 ) ( * 17390 )
NEW met2 ( 12720 32005 ) ( * 267695 )
NEW met3 ( 14160 17390 ) ( 214800 * )
NEW met1 ( 12720 32005 ) M1M2_PR
NEW met1 ( 14160 32005 ) M1M2_PR
NEW met2 ( 14160 17390 ) M2M3_PR_M
NEW met2 ( 214800 17390 ) M2M3_PR_M
NEW li1 ( 12720 267695 ) L1M1_PR_MR
NEW met1 ( 12720 267695 ) M1M2_PR
NEW met1 ( 12720 267695 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[83] ( PIN la_data_out[83] ) ( _137_ LO ) + USE SIGNAL
+ ROUTED met2 ( 216720 3330 0 ) ( * 18130 )
NEW met2 ( 215760 18130 ) ( 216720 * )
NEW met1 ( 206160 43845 ) ( 215760 * )
NEW met2 ( 215760 18130 ) ( * 43845 )
NEW met1 ( 215760 43845 ) M1M2_PR
NEW li1 ( 206160 43845 ) L1M1_PR_MR ;
- la_data_out[84] ( PIN la_data_out[84] ) ( _138_ LO ) + USE SIGNAL
+ ROUTED met2 ( 218640 3330 0 ) ( * 17205 )
NEW met1 ( 211920 17205 ) ( 218640 * )
NEW met1 ( 211920 17205 ) ( * 17575 )
NEW met1 ( 84240 17575 ) ( 211920 * )
NEW met1 ( 84240 161135 ) ( 86160 * )
NEW met2 ( 84240 17575 ) ( * 161135 )
NEW met1 ( 218640 17205 ) M1M2_PR
NEW met1 ( 84240 17575 ) M1M2_PR
NEW met1 ( 84240 161135 ) M1M2_PR
NEW li1 ( 86160 161135 ) L1M1_PR_MR ;
- la_data_out[85] ( PIN la_data_out[85] ) ( _139_ LO ) + USE SIGNAL
+ ROUTED met2 ( 220080 3330 0 ) ( * 18130 )
NEW met3 ( 81360 18130 ) ( 220080 * )
NEW met1 ( 79920 281015 ) ( 80880 * )
NEW met2 ( 80880 115200 ) ( * 281015 )
NEW met2 ( 80880 115200 ) ( 81360 * )
NEW met2 ( 81360 18130 ) ( * 115200 )
NEW met2 ( 220080 18130 ) M2M3_PR_M
NEW met2 ( 81360 18130 ) M2M3_PR_M
NEW met1 ( 80880 281015 ) M1M2_PR
NEW li1 ( 79920 281015 ) L1M1_PR_MR ;
- la_data_out[86] ( PIN la_data_out[86] ) ( _140_ LO ) + USE SIGNAL
+ ROUTED met2 ( 222000 3330 0 ) ( * 16095 )
NEW met1 ( 78960 14985 ) ( * 16095 )
NEW met1 ( 75600 14985 ) ( 78960 * )
NEW met1 ( 75600 14985 ) ( * 15355 )
NEW met1 ( 72720 15355 ) ( 75600 * )
NEW met1 ( 72720 14985 ) ( * 15355 )
NEW met1 ( 70320 14985 ) ( 72720 * )
NEW met1 ( 70320 14985 ) ( * 15355 )
NEW met1 ( 78960 16095 ) ( 222000 * )
NEW met1 ( 64080 15355 ) ( 70320 * )
NEW met2 ( 64080 15355 ) ( * 127835 )
NEW met1 ( 222000 16095 ) M1M2_PR
NEW met1 ( 64080 15355 ) M1M2_PR
NEW li1 ( 64080 127835 ) L1M1_PR_MR
NEW met1 ( 64080 127835 ) M1M2_PR
NEW met1 ( 64080 127835 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[87] ( PIN la_data_out[87] ) ( _141_ LO ) + USE SIGNAL
+ ROUTED met2 ( 223920 3330 0 ) ( * 20535 )
NEW met1 ( 190800 20535 ) ( 223920 * )
NEW met2 ( 190800 18315 ) ( * 20535 )
NEW met1 ( 178800 18315 ) ( * 18685 )
NEW met1 ( 168720 18685 ) ( 178800 * )
NEW met1 ( 178800 18315 ) ( 190800 * )
NEW met2 ( 168720 18685 ) ( * 261035 )
NEW met1 ( 223920 20535 ) M1M2_PR
NEW met1 ( 190800 20535 ) M1M2_PR
NEW met1 ( 190800 18315 ) M1M2_PR
NEW met1 ( 168720 18685 ) M1M2_PR
NEW li1 ( 168720 261035 ) L1M1_PR_MR
NEW met1 ( 168720 261035 ) M1M2_PR
NEW met1 ( 168720 261035 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[88] ( PIN la_data_out[88] ) ( _142_ LO ) + USE SIGNAL
+ ROUTED met1 ( 11760 108225 ) ( 14160 * )
NEW met2 ( 225840 3330 0 ) ( * 18685 )
NEW met1 ( 211920 18685 ) ( 225840 * )
NEW met1 ( 211920 18685 ) ( * 19055 )
NEW met2 ( 11760 19055 ) ( * 108225 )
NEW met1 ( 64080 19055 ) ( * 19425 )
NEW met1 ( 64080 19425 ) ( 65040 * )
NEW met1 ( 65040 19055 ) ( * 19425 )
NEW met1 ( 11760 19055 ) ( 64080 * )
NEW met1 ( 65040 19055 ) ( 211920 * )
NEW met1 ( 11760 19055 ) M1M2_PR
NEW met1 ( 11760 108225 ) M1M2_PR
NEW li1 ( 14160 108225 ) L1M1_PR_MR
NEW met1 ( 225840 18685 ) M1M2_PR ;
- la_data_out[89] ( PIN la_data_out[89] ) ( _143_ LO ) + USE SIGNAL
+ ROUTED met2 ( 227760 3330 0 ) ( * 14985 )
NEW met1 ( 208080 14985 ) ( 227760 * )
NEW met1 ( 208080 14985 ) ( * 15355 )
NEW met1 ( 188400 15355 ) ( 208080 * )
NEW met2 ( 188400 14430 ) ( * 15355 )
NEW met2 ( 187920 14430 ) ( 188400 * )
NEW met2 ( 187920 14245 ) ( * 14430 )
NEW met1 ( 187200 14245 ) ( 187920 * )
NEW met1 ( 187200 14245 ) ( * 14615 )
NEW met1 ( 156720 14615 ) ( 187200 * )
NEW met2 ( 156240 86400 ) ( * 108225 )
NEW met2 ( 156240 86400 ) ( 156720 * )
NEW met2 ( 156720 14615 ) ( * 86400 )
NEW met1 ( 227760 14985 ) M1M2_PR
NEW met1 ( 188400 15355 ) M1M2_PR
NEW met1 ( 187920 14245 ) M1M2_PR
NEW met1 ( 156720 14615 ) M1M2_PR
NEW li1 ( 156240 108225 ) L1M1_PR_MR
NEW met1 ( 156240 108225 ) M1M2_PR
NEW met1 ( 156240 108225 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[8] ( PIN la_data_out[8] ) ( _068_ LO ) + USE SIGNAL
+ ROUTED met2 ( 89520 14985 ) ( * 18685 )
NEW met1 ( 89520 18685 ) ( 93360 * )
NEW met1 ( 93360 17945 ) ( * 18685 )
NEW met1 ( 93360 17945 ) ( 106320 * )
NEW met1 ( 106320 17945 ) ( * 18685 )
NEW met1 ( 106320 18685 ) ( 114480 * )
NEW met1 ( 114480 18315 ) ( * 18685 )
NEW met1 ( 114480 18315 ) ( 115920 * )
NEW met2 ( 115920 18315 ) ( * 30525 )
NEW met2 ( 79920 3330 0 ) ( * 14985 )
NEW met1 ( 79920 14985 ) ( 89520 * )
NEW met1 ( 115920 30525 ) ( 139440 * )
NEW met1 ( 89520 14985 ) M1M2_PR
NEW met1 ( 89520 18685 ) M1M2_PR
NEW met1 ( 115920 18315 ) M1M2_PR
NEW met1 ( 115920 30525 ) M1M2_PR
NEW met1 ( 79920 14985 ) M1M2_PR
NEW li1 ( 139440 30525 ) L1M1_PR_MR ;
- la_data_out[90] ( PIN la_data_out[90] ) ( _144_ LO ) + USE SIGNAL
+ ROUTED met2 ( 229200 3330 0 ) ( * 17945 )
NEW met1 ( 243600 17205 ) ( * 17945 )
NEW met1 ( 243600 17205 ) ( 278160 * )
NEW met1 ( 229200 17945 ) ( 243600 * )
NEW met1 ( 278160 67895 ) ( 278640 * )
NEW met2 ( 278160 17205 ) ( * 67895 )
NEW met1 ( 229200 17945 ) M1M2_PR
NEW met1 ( 278160 17205 ) M1M2_PR
NEW met1 ( 278160 67895 ) M1M2_PR
NEW li1 ( 278640 67895 ) L1M1_PR_MR ;
- la_data_out[91] ( PIN la_data_out[91] ) ( _145_ LO ) + USE SIGNAL
+ ROUTED met2 ( 231120 3330 0 ) ( * 14615 )
NEW met1 ( 207600 14615 ) ( 231120 * )
NEW met1 ( 207600 14615 ) ( * 14985 )
NEW met1 ( 194160 14985 ) ( 207600 * )
NEW met2 ( 194160 14985 ) ( * 15170 )
NEW met3 ( 187920 15170 ) ( 194160 * )
NEW met2 ( 187920 15170 ) ( * 15355 )
NEW met1 ( 162480 15355 ) ( 187920 * )
NEW met2 ( 162480 15355 ) ( * 108225 )
NEW met1 ( 231120 14615 ) M1M2_PR
NEW met1 ( 194160 14985 ) M1M2_PR
NEW met2 ( 194160 15170 ) M2M3_PR_M
NEW met2 ( 187920 15170 ) M2M3_PR_M
NEW met1 ( 187920 15355 ) M1M2_PR
NEW met1 ( 162480 15355 ) M1M2_PR
NEW li1 ( 162480 108225 ) L1M1_PR_MR
NEW met1 ( 162480 108225 ) M1M2_PR
NEW met1 ( 162480 108225 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[92] ( PIN la_data_out[92] ) ( _146_ LO ) + USE SIGNAL
+ ROUTED met2 ( 233040 3330 0 ) ( * 15355 )
NEW met1 ( 208560 15355 ) ( 233040 * )
NEW met2 ( 208560 15355 ) ( * 230325 )
NEW met1 ( 233040 15355 ) M1M2_PR
NEW met1 ( 208560 15355 ) M1M2_PR
NEW li1 ( 208560 230325 ) L1M1_PR_MR
NEW met1 ( 208560 230325 ) M1M2_PR
NEW met1 ( 208560 230325 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[93] ( PIN la_data_out[93] ) ( _147_ LO ) + USE SIGNAL
+ ROUTED met2 ( 234960 3330 0 ) ( * 15725 )
NEW met1 ( 193680 15725 ) ( 234960 * )
NEW met2 ( 193680 14430 ) ( * 15725 )
NEW met2 ( 191760 14430 ) ( 193680 * )
NEW met1 ( 191280 81215 ) ( 191760 * )
NEW met2 ( 191760 14430 ) ( * 81215 )
NEW met1 ( 234960 15725 ) M1M2_PR
NEW met1 ( 193680 15725 ) M1M2_PR
NEW met1 ( 191760 81215 ) M1M2_PR
NEW li1 ( 191280 81215 ) L1M1_PR_MR ;
- la_data_out[94] ( PIN la_data_out[94] ) ( _148_ LO ) + USE SIGNAL
+ ROUTED met2 ( 236880 3330 0 ) ( * 18685 )
NEW met1 ( 236880 18685 ) ( 265680 * )
NEW met2 ( 265680 18685 ) ( * 50505 )
NEW met1 ( 236880 18685 ) M1M2_PR
NEW met1 ( 265680 18685 ) M1M2_PR
NEW li1 ( 265680 50505 ) L1M1_PR_MR
NEW met1 ( 265680 50505 ) M1M2_PR
NEW met1 ( 265680 50505 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[95] ( PIN la_data_out[95] ) ( _149_ LO ) + USE SIGNAL
+ ROUTED met2 ( 212880 19055 ) ( * 201835 )
NEW met2 ( 238320 3330 0 ) ( * 19055 )
NEW met1 ( 212880 19055 ) ( 238320 * )
NEW met1 ( 212880 19055 ) M1M2_PR
NEW li1 ( 212880 201835 ) L1M1_PR_MR
NEW met1 ( 212880 201835 ) M1M2_PR
NEW met1 ( 238320 19055 ) M1M2_PR
NEW met1 ( 212880 201835 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[96] ( PIN la_data_out[96] ) ( _150_ LO ) + USE SIGNAL
+ ROUTED met2 ( 219600 21275 ) ( * 143745 )
NEW met2 ( 240240 3330 0 ) ( * 21275 )
NEW met1 ( 219600 21275 ) ( 240240 * )
NEW met1 ( 219600 21275 ) M1M2_PR
NEW li1 ( 219600 143745 ) L1M1_PR_MR
NEW met1 ( 219600 143745 ) M1M2_PR
NEW met1 ( 240240 21275 ) M1M2_PR
NEW met1 ( 219600 143745 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[97] ( PIN la_data_out[97] ) ( _151_ LO ) + USE SIGNAL
+ ROUTED li1 ( 213840 17575 ) ( * 19425 )
NEW met2 ( 242160 3330 0 ) ( * 17575 )
NEW met1 ( 213840 17575 ) ( 242160 * )
NEW met2 ( 33840 18685 ) ( * 29045 )
NEW li1 ( 63600 17945 ) ( * 18685 )
NEW met1 ( 63600 17945 ) ( 66000 * )
NEW met2 ( 66000 17945 ) ( * 19425 )
NEW met1 ( 33840 18685 ) ( 63600 * )
NEW met1 ( 66000 19425 ) ( 213840 * )
NEW li1 ( 213840 19425 ) L1M1_PR_MR
NEW li1 ( 213840 17575 ) L1M1_PR_MR
NEW met1 ( 242160 17575 ) M1M2_PR
NEW met1 ( 33840 18685 ) M1M2_PR
NEW li1 ( 33840 29045 ) L1M1_PR_MR
NEW met1 ( 33840 29045 ) M1M2_PR
NEW li1 ( 63600 18685 ) L1M1_PR_MR
NEW li1 ( 63600 17945 ) L1M1_PR_MR
NEW met1 ( 66000 17945 ) M1M2_PR
NEW met1 ( 66000 19425 ) M1M2_PR
NEW met1 ( 33840 29045 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[98] ( PIN la_data_out[98] ) ( _152_ LO ) + USE SIGNAL
+ ROUTED met2 ( 244080 3330 0 ) ( * 17945 )
NEW met1 ( 244080 17945 ) ( 274800 * )
NEW met1 ( 274800 37185 ) ( 283440 * )
NEW met2 ( 274800 17945 ) ( * 37185 )
NEW met1 ( 244080 17945 ) M1M2_PR
NEW met1 ( 274800 17945 ) M1M2_PR
NEW met1 ( 274800 37185 ) M1M2_PR
NEW li1 ( 283440 37185 ) L1M1_PR_MR ;
- la_data_out[99] ( PIN la_data_out[99] ) ( _153_ LO ) + USE SIGNAL
+ ROUTED met2 ( 246000 3330 0 ) ( * 36000 )
NEW met2 ( 246000 36000 ) ( 247920 * )
NEW met2 ( 247920 36000 ) ( * 194435 )
NEW li1 ( 247920 194435 ) L1M1_PR_MR
NEW met1 ( 247920 194435 ) M1M2_PR
NEW met1 ( 247920 194435 ) RECT ( -355 -70 0 70 ) ;
- la_data_out[9] ( PIN la_data_out[9] ) ( _069_ LO ) + USE SIGNAL
+ ROUTED met2 ( 191760 86400 ) ( 192240 * )
NEW met2 ( 192240 15725 ) ( * 86400 )
NEW met2 ( 191760 86400 ) ( * 181115 )
NEW met2 ( 81360 3330 0 ) ( * 15725 )
NEW met1 ( 81360 15725 ) ( 192240 * )
NEW met1 ( 192240 15725 ) M1M2_PR
NEW li1 ( 191760 181115 ) L1M1_PR_MR
NEW met1 ( 191760 181115 ) M1M2_PR
NEW met1 ( 81360 15725 ) M1M2_PR
NEW met1 ( 191760 181115 ) RECT ( -355 -70 0 70 ) ;
- la_oenb[0] ( PIN la_oenb[0] ) + USE SIGNAL ;
- la_oenb[100] ( PIN la_oenb[100] ) + USE SIGNAL ;
- la_oenb[101] ( PIN la_oenb[101] ) + USE SIGNAL ;
- la_oenb[102] ( PIN la_oenb[102] ) + USE SIGNAL ;
- la_oenb[103] ( PIN la_oenb[103] ) + USE SIGNAL ;
- la_oenb[104] ( PIN la_oenb[104] ) + USE SIGNAL ;
- la_oenb[105] ( PIN la_oenb[105] ) + USE SIGNAL ;
- la_oenb[106] ( PIN la_oenb[106] ) + USE SIGNAL ;
- la_oenb[107] ( PIN la_oenb[107] ) + USE SIGNAL ;
- la_oenb[108] ( PIN la_oenb[108] ) + USE SIGNAL ;
- la_oenb[109] ( PIN la_oenb[109] ) + USE SIGNAL ;
- la_oenb[10] ( PIN la_oenb[10] ) + USE SIGNAL ;
- la_oenb[110] ( PIN la_oenb[110] ) + USE SIGNAL ;
- la_oenb[111] ( PIN la_oenb[111] ) + USE SIGNAL ;
- la_oenb[112] ( PIN la_oenb[112] ) + USE SIGNAL ;
- la_oenb[113] ( PIN la_oenb[113] ) + USE SIGNAL ;
- la_oenb[114] ( PIN la_oenb[114] ) + USE SIGNAL ;
- la_oenb[115] ( PIN la_oenb[115] ) + USE SIGNAL ;
- la_oenb[116] ( PIN la_oenb[116] ) + USE SIGNAL ;
- la_oenb[117] ( PIN la_oenb[117] ) + USE SIGNAL ;
- la_oenb[118] ( PIN la_oenb[118] ) + USE SIGNAL ;
- la_oenb[119] ( PIN la_oenb[119] ) + USE SIGNAL ;
- la_oenb[11] ( PIN la_oenb[11] ) + USE SIGNAL ;
- la_oenb[120] ( PIN la_oenb[120] ) + USE SIGNAL ;
- la_oenb[121] ( PIN la_oenb[121] ) + USE SIGNAL ;
- la_oenb[122] ( PIN la_oenb[122] ) + USE SIGNAL ;
- la_oenb[123] ( PIN la_oenb[123] ) + USE SIGNAL ;
- la_oenb[124] ( PIN la_oenb[124] ) + USE SIGNAL ;
- la_oenb[125] ( PIN la_oenb[125] ) + USE SIGNAL ;
- la_oenb[126] ( PIN la_oenb[126] ) + USE SIGNAL ;
- la_oenb[127] ( PIN la_oenb[127] ) + USE SIGNAL ;
- la_oenb[12] ( PIN la_oenb[12] ) + USE SIGNAL ;
- la_oenb[13] ( PIN la_oenb[13] ) + USE SIGNAL ;
- la_oenb[14] ( PIN la_oenb[14] ) + USE SIGNAL ;
- la_oenb[15] ( PIN la_oenb[15] ) + USE SIGNAL ;
- la_oenb[16] ( PIN la_oenb[16] ) + USE SIGNAL ;
- la_oenb[17] ( PIN la_oenb[17] ) + USE SIGNAL ;
- la_oenb[18] ( PIN la_oenb[18] ) + USE SIGNAL ;
- la_oenb[19] ( PIN la_oenb[19] ) + USE SIGNAL ;
- la_oenb[1] ( PIN la_oenb[1] ) + USE SIGNAL ;
- la_oenb[20] ( PIN la_oenb[20] ) + USE SIGNAL ;
- la_oenb[21] ( PIN la_oenb[21] ) + USE SIGNAL ;
- la_oenb[22] ( PIN la_oenb[22] ) + USE SIGNAL ;
- la_oenb[23] ( PIN la_oenb[23] ) + USE SIGNAL ;
- la_oenb[24] ( PIN la_oenb[24] ) + USE SIGNAL ;
- la_oenb[25] ( PIN la_oenb[25] ) + USE SIGNAL ;
- la_oenb[26] ( PIN la_oenb[26] ) + USE SIGNAL ;
- la_oenb[27] ( PIN la_oenb[27] ) + USE SIGNAL ;
- la_oenb[28] ( PIN la_oenb[28] ) + USE SIGNAL ;
- la_oenb[29] ( PIN la_oenb[29] ) + USE SIGNAL ;
- la_oenb[2] ( PIN la_oenb[2] ) + USE SIGNAL ;
- la_oenb[30] ( PIN la_oenb[30] ) + USE SIGNAL ;
- la_oenb[31] ( PIN la_oenb[31] ) + USE SIGNAL ;
- la_oenb[32] ( PIN la_oenb[32] ) + USE SIGNAL ;
- la_oenb[33] ( PIN la_oenb[33] ) + USE SIGNAL ;
- la_oenb[34] ( PIN la_oenb[34] ) + USE SIGNAL ;
- la_oenb[35] ( PIN la_oenb[35] ) + USE SIGNAL ;
- la_oenb[36] ( PIN la_oenb[36] ) + USE SIGNAL ;
- la_oenb[37] ( PIN la_oenb[37] ) + USE SIGNAL ;
- la_oenb[38] ( PIN la_oenb[38] ) + USE SIGNAL ;
- la_oenb[39] ( PIN la_oenb[39] ) + USE SIGNAL ;
- la_oenb[3] ( PIN la_oenb[3] ) + USE SIGNAL ;
- la_oenb[40] ( PIN la_oenb[40] ) + USE SIGNAL ;
- la_oenb[41] ( PIN la_oenb[41] ) + USE SIGNAL ;
- la_oenb[42] ( PIN la_oenb[42] ) + USE SIGNAL ;
- la_oenb[43] ( PIN la_oenb[43] ) + USE SIGNAL ;
- la_oenb[44] ( PIN la_oenb[44] ) + USE SIGNAL ;
- la_oenb[45] ( PIN la_oenb[45] ) + USE SIGNAL ;
- la_oenb[46] ( PIN la_oenb[46] ) + USE SIGNAL ;
- la_oenb[47] ( PIN la_oenb[47] ) + USE SIGNAL ;
- la_oenb[48] ( PIN la_oenb[48] ) + USE SIGNAL ;
- la_oenb[49] ( PIN la_oenb[49] ) + USE SIGNAL ;
- la_oenb[4] ( PIN la_oenb[4] ) + USE SIGNAL ;
- la_oenb[50] ( PIN la_oenb[50] ) + USE SIGNAL ;
- la_oenb[51] ( PIN la_oenb[51] ) + USE SIGNAL ;
- la_oenb[52] ( PIN la_oenb[52] ) + USE SIGNAL ;
- la_oenb[53] ( PIN la_oenb[53] ) + USE SIGNAL ;
- la_oenb[54] ( PIN la_oenb[54] ) + USE SIGNAL ;
- la_oenb[55] ( PIN la_oenb[55] ) + USE SIGNAL ;
- la_oenb[56] ( PIN la_oenb[56] ) + USE SIGNAL ;
- la_oenb[57] ( PIN la_oenb[57] ) + USE SIGNAL ;
- la_oenb[58] ( PIN la_oenb[58] ) + USE SIGNAL ;
- la_oenb[59] ( PIN la_oenb[59] ) + USE SIGNAL ;
- la_oenb[5] ( PIN la_oenb[5] ) + USE SIGNAL ;
- la_oenb[60] ( PIN la_oenb[60] ) + USE SIGNAL ;
- la_oenb[61] ( PIN la_oenb[61] ) + USE SIGNAL ;
- la_oenb[62] ( PIN la_oenb[62] ) + USE SIGNAL ;
- la_oenb[63] ( PIN la_oenb[63] ) + USE SIGNAL ;
- la_oenb[64] ( PIN la_oenb[64] ) + USE SIGNAL ;
- la_oenb[65] ( PIN la_oenb[65] ) + USE SIGNAL ;
- la_oenb[66] ( PIN la_oenb[66] ) + USE SIGNAL ;
- la_oenb[67] ( PIN la_oenb[67] ) + USE SIGNAL ;
- la_oenb[68] ( PIN la_oenb[68] ) + USE SIGNAL ;
- la_oenb[69] ( PIN la_oenb[69] ) + USE SIGNAL ;
- la_oenb[6] ( PIN la_oenb[6] ) + USE SIGNAL ;
- la_oenb[70] ( PIN la_oenb[70] ) + USE SIGNAL ;
- la_oenb[71] ( PIN la_oenb[71] ) + USE SIGNAL ;
- la_oenb[72] ( PIN la_oenb[72] ) + USE SIGNAL ;
- la_oenb[73] ( PIN la_oenb[73] ) + USE SIGNAL ;
- la_oenb[74] ( PIN la_oenb[74] ) + USE SIGNAL ;
- la_oenb[75] ( PIN la_oenb[75] ) + USE SIGNAL ;
- la_oenb[76] ( PIN la_oenb[76] ) + USE SIGNAL ;
- la_oenb[77] ( PIN la_oenb[77] ) + USE SIGNAL ;
- la_oenb[78] ( PIN la_oenb[78] ) + USE SIGNAL ;
- la_oenb[79] ( PIN la_oenb[79] ) + USE SIGNAL ;
- la_oenb[7] ( PIN la_oenb[7] ) + USE SIGNAL ;
- la_oenb[80] ( PIN la_oenb[80] ) + USE SIGNAL ;
- la_oenb[81] ( PIN la_oenb[81] ) + USE SIGNAL ;
- la_oenb[82] ( PIN la_oenb[82] ) + USE SIGNAL ;
- la_oenb[83] ( PIN la_oenb[83] ) + USE SIGNAL ;
- la_oenb[84] ( PIN la_oenb[84] ) + USE SIGNAL ;
- la_oenb[85] ( PIN la_oenb[85] ) + USE SIGNAL ;
- la_oenb[86] ( PIN la_oenb[86] ) + USE SIGNAL ;
- la_oenb[87] ( PIN la_oenb[87] ) + USE SIGNAL ;
- la_oenb[88] ( PIN la_oenb[88] ) + USE SIGNAL ;
- la_oenb[89] ( PIN la_oenb[89] ) + USE SIGNAL ;
- la_oenb[8] ( PIN la_oenb[8] ) + USE SIGNAL ;
- la_oenb[90] ( PIN la_oenb[90] ) + USE SIGNAL ;
- la_oenb[91] ( PIN la_oenb[91] ) + USE SIGNAL ;
- la_oenb[92] ( PIN la_oenb[92] ) + USE SIGNAL ;
- la_oenb[93] ( PIN la_oenb[93] ) + USE SIGNAL ;
- la_oenb[94] ( PIN la_oenb[94] ) + USE SIGNAL ;
- la_oenb[95] ( PIN la_oenb[95] ) + USE SIGNAL ;
- la_oenb[96] ( PIN la_oenb[96] ) + USE SIGNAL ;
- la_oenb[97] ( PIN la_oenb[97] ) + USE SIGNAL ;
- la_oenb[98] ( PIN la_oenb[98] ) + USE SIGNAL ;
- la_oenb[99] ( PIN la_oenb[99] ) + USE SIGNAL ;
- la_oenb[9] ( PIN la_oenb[9] ) + USE SIGNAL ;
- net1 ( ANTENNA_AND2X1_A DIODE ) ( input1 X ) ( AND2X1 A ) + USE SIGNAL
+ ROUTED met1 ( 9840 284345 ) ( 42000 * )
NEW met1 ( 42000 28675 ) ( 46560 * 0 )
NEW met2 ( 42000 28675 ) ( * 284345 )
NEW li1 ( 9840 284345 ) L1M1_PR_MR
NEW met1 ( 42000 284345 ) M1M2_PR
NEW li1 ( 42000 28675 ) L1M1_PR_MR
NEW met1 ( 42000 28675 ) M1M2_PR
NEW met1 ( 42000 28675 ) RECT ( -355 -70 0 70 ) ;
- net10 ( ANTENNA_INV_A DIODE ) ( input10 X ) ( INV A ) + USE SIGNAL
+ ROUTED met2 ( 168240 74185 ) ( * 283605 )
NEW met1 ( 46560 75295 0 ) ( 50640 * )
NEW met1 ( 50640 74185 ) ( * 75295 )
NEW met1 ( 50640 74185 ) ( 168240 * )
NEW met1 ( 168240 74185 ) M1M2_PR
NEW li1 ( 168240 283605 ) L1M1_PR_MR
NEW met1 ( 168240 283605 ) M1M2_PR
NEW li1 ( 50640 74185 ) L1M1_PR_MR
NEW met1 ( 168240 283605 ) RECT ( -355 -70 0 70 ) ;
- net11 ( ANTENNA_INVX1_A DIODE ) ( input11 X ) ( INVX1 A ) + USE SIGNAL
+ ROUTED met2 ( 183120 80845 ) ( * 283605 )
NEW met1 ( 46560 81955 0 ) ( 50640 * )
NEW met1 ( 50640 80845 ) ( * 81955 )
NEW met1 ( 50640 80845 ) ( 183120 * )
NEW met1 ( 183120 80845 ) M1M2_PR
NEW li1 ( 183120 283605 ) L1M1_PR_MR
NEW met1 ( 183120 283605 ) M1M2_PR
NEW li1 ( 50640 80845 ) L1M1_PR_MR
NEW met1 ( 183120 283605 ) RECT ( -355 -70 0 70 ) ;
- net12 ( ANTENNA_INVX2_A DIODE ) ( input12 X ) ( INVX2 A ) + USE SIGNAL
+ ROUTED met2 ( 203280 87505 ) ( * 283605 )
NEW met1 ( 46560 88615 0 ) ( 50640 * )
NEW met1 ( 50640 87505 ) ( * 88615 )
NEW met1 ( 50640 87505 ) ( 203280 * )
NEW met1 ( 203280 87505 ) M1M2_PR
NEW li1 ( 203280 283605 ) L1M1_PR_MR
NEW met1 ( 203280 283605 ) M1M2_PR
NEW li1 ( 50640 87505 ) L1M1_PR_MR
NEW met1 ( 203280 283605 ) RECT ( -355 -70 0 70 ) ;
- net13 ( ANTENNA_INVX4_A DIODE ) ( input13 X ) ( INVX4 A ) + USE SIGNAL
+ ROUTED met2 ( 217200 94165 ) ( * 283605 )
NEW met1 ( 52080 94165 ) ( 217200 * )
NEW met2 ( 49680 94165 ) ( * 94350 )
NEW met3 ( 47760 94350 ) ( 49680 * )
NEW met2 ( 47760 94350 ) ( * 95170 )
NEW met1 ( 49680 94165 ) ( 52080 * )
NEW met1 ( 217200 94165 ) M1M2_PR
NEW li1 ( 217200 283605 ) L1M1_PR_MR
NEW met1 ( 217200 283605 ) M1M2_PR
NEW li1 ( 52080 94165 ) L1M1_PR_MR
NEW met1 ( 49680 94165 ) M1M2_PR
NEW met2 ( 49680 94350 ) M2M3_PR_M
NEW met2 ( 47760 94350 ) M2M3_PR_M
NEW met1 ( 47760 95170 ) M1M2_PR
NEW met1 ( 217200 283605 ) RECT ( -355 -70 0 70 ) ;
- net14 ( ANTENNA_INVX8_A DIODE ) ( input14 X ) ( INVX8 A ) + USE SIGNAL
+ ROUTED met2 ( 232560 101565 ) ( * 283605 )
NEW met2 ( 50640 101750 ) ( * 101830 )
NEW met3 ( 50640 101750 ) ( 52080 * )
NEW met2 ( 52080 101565 ) ( * 101750 )
NEW met1 ( 52080 101565 ) ( 54960 * )
NEW met1 ( 54960 101565 ) ( 232560 * )
NEW met1 ( 232560 101565 ) M1M2_PR
NEW li1 ( 232560 283605 ) L1M1_PR_MR
NEW met1 ( 232560 283605 ) M1M2_PR
NEW li1 ( 54960 101565 ) L1M1_PR_MR
NEW met1 ( 50640 101830 ) M1M2_PR
NEW met2 ( 50640 101750 ) M2M3_PR_M
NEW met2 ( 52080 101750 ) M2M3_PR_M
NEW met1 ( 52080 101565 ) M1M2_PR
NEW met1 ( 232560 283605 ) RECT ( -355 -70 0 70 ) ;
- net15 ( ANTENNA_MUX2X1_A DIODE ) ( input15 X ) ( MUX2X1 A ) + USE SIGNAL
+ ROUTED met2 ( 248400 108595 ) ( * 283605 )
NEW met2 ( 48000 107955 ) ( * 108965 )
NEW met1 ( 48000 108965 ) ( * 109335 )
NEW met1 ( 48000 109335 ) ( 52080 * )
NEW li1 ( 52080 108965 ) ( * 109335 )
NEW li1 ( 52080 108965 ) ( 53040 * )
NEW li1 ( 53040 108595 ) ( * 108965 )
NEW met1 ( 53040 108595 ) ( 56400 * )
NEW met1 ( 56400 108595 ) ( 248400 * )
NEW met1 ( 248400 108595 ) M1M2_PR
NEW li1 ( 248400 283605 ) L1M1_PR_MR
NEW met1 ( 248400 283605 ) M1M2_PR
NEW li1 ( 56400 108595 ) L1M1_PR_MR
NEW met1 ( 48000 107955 ) M1M2_PR_MR
NEW met1 ( 48000 108965 ) M1M2_PR
NEW li1 ( 52080 109335 ) L1M1_PR_MR
NEW li1 ( 53040 108595 ) L1M1_PR_MR
NEW met1 ( 248400 283605 ) RECT ( -355 -70 0 70 ) ;
- net16 ( ANTENNA_MUX2X1_B DIODE ) ( input16 X ) ( MUX2X1 B ) + USE SIGNAL
+ ROUTED met2 ( 256560 111185 ) ( * 283605 )
NEW met1 ( 59280 109335 ) ( 60240 * )
NEW met2 ( 60240 109335 ) ( * 111185 )
NEW met2 ( 52320 108595 ) ( * 109150 )
NEW met3 ( 52320 109150 ) ( 60240 * )
NEW met2 ( 60240 109150 ) ( * 109335 )
NEW met1 ( 60240 111185 ) ( 256560 * )
NEW met1 ( 256560 111185 ) M1M2_PR
NEW li1 ( 256560 283605 ) L1M1_PR_MR
NEW met1 ( 256560 283605 ) M1M2_PR
NEW li1 ( 59280 109335 ) L1M1_PR_MR
NEW met1 ( 60240 109335 ) M1M2_PR
NEW met1 ( 60240 111185 ) M1M2_PR
NEW met1 ( 52320 108595 ) M1M2_PR
NEW met2 ( 52320 109150 ) M2M3_PR_M
NEW met2 ( 60240 109150 ) M2M3_PR_M
NEW met1 ( 256560 283605 ) RECT ( -355 -70 0 70 ) ;
- net17 ( ANTENNA_MUX2X1_S DIODE ) ( input17 X ) ( MUX2X1 S ) + USE SIGNAL
+ ROUTED met1 ( 187200 103785 ) ( * 104155 )
NEW met1 ( 187200 104155 ) ( 268080 * )
NEW met2 ( 268080 104155 ) ( * 283605 )
NEW met1 ( 50640 105635 ) ( 58320 * )
NEW met2 ( 50640 105635 ) ( * 107115 )
NEW li1 ( 59280 103785 ) ( * 105635 )
NEW met1 ( 58320 105635 ) ( 59280 * )
NEW met1 ( 59280 103785 ) ( 187200 * )
NEW met1 ( 268080 104155 ) M1M2_PR
NEW li1 ( 268080 283605 ) L1M1_PR_MR
NEW met1 ( 268080 283605 ) M1M2_PR
NEW li1 ( 58320 105635 ) L1M1_PR_MR
NEW met1 ( 50640 105635 ) M1M2_PR
NEW met1 ( 50640 107115 ) M1M2_PR
NEW li1 ( 59280 103785 ) L1M1_PR_MR
NEW li1 ( 59280 105635 ) L1M1_PR_MR
NEW met1 ( 268080 283605 ) RECT ( -355 -70 0 70 ) ;
- net18 ( ANTENNA_NAND2X1_A DIODE ) ( input18 X ) ( NAND2X1 A ) + USE SIGNAL
+ ROUTED met1 ( 281040 283605 ) ( 282000 * )
NEW met2 ( 282000 114145 ) ( * 283605 )
NEW met1 ( 52080 114145 ) ( 282000 * )
NEW met2 ( 49680 114145 ) ( * 114330 )
NEW met3 ( 48000 114330 ) ( 49680 * )
NEW met2 ( 48000 114145 ) ( * 114330 )
NEW met1 ( 49680 114145 ) ( 52080 * )
NEW met1 ( 282000 114145 ) M1M2_PR
NEW met1 ( 282000 283605 ) M1M2_PR
NEW li1 ( 281040 283605 ) L1M1_PR_MR
NEW li1 ( 52080 114145 ) L1M1_PR_MR
NEW met1 ( 49680 114145 ) M1M2_PR
NEW met2 ( 49680 114330 ) M2M3_PR_M
NEW met2 ( 48000 114330 ) M2M3_PR_M
NEW met1 ( 48000 114145 ) M1M2_PR ;
- net19 ( ANTENNA_NAND2X1_B DIODE ) ( input19 X ) ( NAND2X1 B ) + USE SIGNAL
+ ROUTED met1 ( 288240 283605 ) ( 288720 * )
NEW met2 ( 288720 113775 ) ( * 283605 )
NEW li1 ( 55440 113775 ) ( * 114885 )
NEW met1 ( 54480 114885 ) ( 55440 * )
NEW met1 ( 55440 113775 ) ( 288720 * )
NEW li1 ( 49200 114885 ) ( * 115995 )
NEW li1 ( 47760 115995 ) ( 49200 * )
NEW li1 ( 47760 115625 ) ( * 115995 )
NEW li1 ( 47280 115625 ) ( 47760 * )
NEW li1 ( 47280 114145 ) ( * 115625 )
NEW met1 ( 46560 114145 0 ) ( 47280 * )
NEW met1 ( 49200 114885 ) ( 54480 * )
NEW met1 ( 288720 113775 ) M1M2_PR
NEW met1 ( 288720 283605 ) M1M2_PR
NEW li1 ( 288240 283605 ) L1M1_PR_MR
NEW li1 ( 54480 114885 ) L1M1_PR_MR
NEW li1 ( 55440 113775 ) L1M1_PR_MR
NEW li1 ( 55440 114885 ) L1M1_PR_MR
NEW li1 ( 49200 114885 ) L1M1_PR_MR
NEW li1 ( 47280 114145 ) L1M1_PR_MR ;
- net2 ( ANTENNA_AOI22X1_A DIODE ) ( input2 X ) ( AOI22X1 A ) + USE SIGNAL
+ ROUTED met2 ( 85200 49395 ) ( * 283605 )
NEW met1 ( 48000 47545 0 ) ( 48720 * )
NEW met1 ( 48720 47545 ) ( * 47915 )
NEW met2 ( 48720 47915 ) ( * 49210 )
NEW met3 ( 48720 49210 ) ( 52080 * )
NEW met2 ( 52080 49210 ) ( * 49395 )
NEW met1 ( 52080 49395 ) ( 54960 * )
NEW met1 ( 54960 49395 ) ( 85200 * )
NEW met1 ( 85200 49395 ) M1M2_PR
NEW li1 ( 85200 283605 ) L1M1_PR_MR
NEW met1 ( 85200 283605 ) M1M2_PR
NEW li1 ( 54960 49395 ) L1M1_PR_MR
NEW met1 ( 48720 47915 ) M1M2_PR
NEW met2 ( 48720 49210 ) M2M3_PR_M
NEW met2 ( 52080 49210 ) M2M3_PR_M
NEW met1 ( 52080 49395 ) M1M2_PR
NEW met1 ( 85200 283605 ) RECT ( -355 -70 0 70 ) ;
- net20 ( ANTENNA_AND2X2_A DIODE ) ( input20 X ) ( AND2X2 A ) + USE SIGNAL
+ ROUTED met1 ( 27120 283975 ) ( 41520 * )
NEW met1 ( 41520 35705 ) ( 42000 * )
NEW met1 ( 46560 35335 0 ) ( * 35705 )
NEW met1 ( 42000 35705 ) ( 46560 * )
NEW met2 ( 41520 35705 ) ( * 283975 )
NEW li1 ( 27120 283975 ) L1M1_PR_MR
NEW met1 ( 41520 283975 ) M1M2_PR
NEW li1 ( 42000 35705 ) L1M1_PR_MR
NEW met1 ( 41520 35705 ) M1M2_PR ;
- net21 ( ANTENNA_AND2X2_B DIODE ) ( input21 X ) ( AND2X2 B ) + USE SIGNAL
+ ROUTED met1 ( 33840 32745 ) ( 43440 * )
NEW met2 ( 48000 33670 ) ( * 34225 )
NEW met2 ( 47760 33670 ) ( 48000 * )
NEW met2 ( 47760 32745 ) ( * 33670 )
NEW met1 ( 43440 32745 ) ( 47760 * )
NEW met2 ( 33840 32745 ) ( * 283605 )
NEW li1 ( 33840 283605 ) L1M1_PR_MR
NEW met1 ( 33840 283605 ) M1M2_PR
NEW li1 ( 43440 32745 ) L1M1_PR_MR
NEW met1 ( 33840 32745 ) M1M2_PR
NEW met1 ( 48000 34225 ) M1M2_PR
NEW met1 ( 47760 32745 ) M1M2_PR
NEW met1 ( 33840 283605 ) RECT ( -355 -70 0 70 ) ;
- net22 ( ANTENNA_AOI21X1_A DIODE ) ( input22 X ) ( AOI21X1 A ) + USE SIGNAL
+ ROUTED met2 ( 52560 187200 ) ( 53040 * )
NEW met1 ( 52080 284345 ) ( 52560 * )
NEW met2 ( 52560 187200 ) ( * 284345 )
NEW met2 ( 52560 89910 ) ( 53040 * )
NEW met2 ( 52560 64800 ) ( * 89910 )
NEW met2 ( 53040 42365 ) ( * 64800 )
NEW met2 ( 52560 64800 ) ( 53040 * )
NEW met1 ( 48000 41995 0 ) ( 48720 * )
NEW met1 ( 48720 41625 ) ( * 41995 )
NEW met2 ( 48720 41625 ) ( * 41810 )
NEW met2 ( 48720 41810 ) ( 50640 * )
NEW met2 ( 50640 41810 ) ( * 42365 )
NEW met1 ( 50640 42365 ) ( 53040 * )
NEW met2 ( 53040 89910 ) ( * 187200 )
NEW met1 ( 52560 284345 ) M1M2_PR
NEW li1 ( 52080 284345 ) L1M1_PR_MR
NEW li1 ( 53040 42365 ) L1M1_PR_MR
NEW met1 ( 53040 42365 ) M1M2_PR
NEW met1 ( 48720 41625 ) M1M2_PR
NEW met1 ( 50640 42365 ) M1M2_PR
NEW met1 ( 53040 42365 ) RECT ( -355 -70 0 70 ) ;
- net23 ( ANTENNA_AOI21X1_B DIODE ) ( input23 X ) ( AOI21X1 B ) + USE SIGNAL
+ ROUTED met1 ( 56400 42365 ) ( 59280 * )
NEW met2 ( 49440 41070 ) ( * 41255 )
NEW met2 ( 49440 41070 ) ( 50160 * )
NEW met2 ( 50160 41070 ) ( * 41255 )
NEW met1 ( 50160 41255 ) ( 56400 * )
NEW met1 ( 56400 41255 ) ( * 42365 )
NEW met2 ( 59280 42365 ) ( * 283605 )
NEW li1 ( 59280 283605 ) L1M1_PR_MR
NEW met1 ( 59280 283605 ) M1M2_PR
NEW li1 ( 56400 42365 ) L1M1_PR_MR
NEW met1 ( 59280 42365 ) M1M2_PR
NEW met1 ( 49440 41255 ) M1M2_PR_MR
NEW met1 ( 50160 41255 ) M1M2_PR
NEW met1 ( 59280 283605 ) RECT ( -355 -70 0 70 ) ;
- net24 ( ANTENNA_AOI21X1_C DIODE ) ( input24 X ) ( AOI21X1 C ) + USE SIGNAL
+ ROUTED met1 ( 66000 90465 ) ( 67440 * )
NEW met2 ( 66000 90465 ) ( * 283605 )
NEW met2 ( 67440 41995 ) ( * 90465 )
NEW met1 ( 64800 41995 ) ( 67440 * )
NEW met1 ( 64800 41995 ) ( * 42735 )
NEW met1 ( 58800 42735 ) ( 64800 * )
NEW met1 ( 46560 41995 0 ) ( * 42735 )
NEW met1 ( 46560 42735 ) ( 47280 * )
NEW met2 ( 47280 42735 ) ( * 43845 )
NEW met1 ( 47280 43845 ) ( 49200 * )
NEW met2 ( 49200 42735 ) ( * 43845 )
NEW met1 ( 49200 42735 ) ( 58800 * )
NEW met1 ( 67440 41995 ) M1M2_PR
NEW li1 ( 66000 283605 ) L1M1_PR_MR
NEW met1 ( 66000 283605 ) M1M2_PR
NEW met1 ( 66000 90465 ) M1M2_PR
NEW met1 ( 67440 90465 ) M1M2_PR
NEW li1 ( 58800 42735 ) L1M1_PR_MR
NEW met1 ( 47280 42735 ) M1M2_PR
NEW met1 ( 47280 43845 ) M1M2_PR
NEW met1 ( 49200 43845 ) M1M2_PR
NEW met1 ( 49200 42735 ) M1M2_PR
NEW met1 ( 66000 283605 ) RECT ( -355 -70 0 70 ) ;
- net25 ( ANTENNA_NAND3X1_A DIODE ) ( input25 X ) ( NAND3X1 A ) + USE SIGNAL
+ ROUTED met1 ( 65040 16095 ) ( 65520 * )
NEW met2 ( 65040 57350 ) ( 65520 * )
NEW met2 ( 65520 16095 ) ( * 57350 )
NEW met2 ( 65040 57350 ) ( * 64800 )
NEW met2 ( 65040 64800 ) ( 65520 * )
NEW met2 ( 65520 64800 ) ( * 120805 )
NEW met1 ( 49440 121915 0 ) ( 53520 * )
NEW met1 ( 53520 120805 ) ( * 121915 )
NEW met1 ( 53520 120805 ) ( 65520 * )
NEW li1 ( 65040 16095 ) L1M1_PR_MR
NEW met1 ( 65520 16095 ) M1M2_PR
NEW met1 ( 65520 120805 ) M1M2_PR
NEW li1 ( 53520 120805 ) L1M1_PR_MR ;
- net26 ( ANTENNA_OAI22X1_A DIODE ) ( input26 X ) ( OAI22X1 A ) + USE SIGNAL
+ ROUTED met2 ( 54000 64800 ) ( 54480 * )
NEW li1 ( 51600 141155 ) ( * 141340 )
NEW li1 ( 51600 141155 ) ( 52080 * )
NEW li1 ( 52080 140415 ) ( * 141155 )
NEW met1 ( 52080 140415 ) ( 54480 * )
NEW met2 ( 54480 64800 ) ( * 140415 )
NEW li1 ( 50160 141340 ) ( * 142265 )
NEW li1 ( 47280 142265 ) ( 50160 * )
NEW li1 ( 47280 141710 ) ( * 142265 )
NEW met1 ( 47280 141710 ) ( * 141895 )
NEW met1 ( 47280 141895 ) ( 48000 * 0 )
NEW li1 ( 50160 141340 ) ( 51600 * )
NEW met1 ( 54000 34225 ) ( 85680 * )
NEW met2 ( 85680 17945 ) ( * 34225 )
NEW met2 ( 54000 34225 ) ( * 64800 )
NEW li1 ( 54480 140415 ) L1M1_PR_MR
NEW met1 ( 54480 140415 ) M1M2_PR
NEW li1 ( 52080 140415 ) L1M1_PR_MR
NEW li1 ( 47280 141710 ) L1M1_PR_MR
NEW met1 ( 54000 34225 ) M1M2_PR
NEW met1 ( 85680 34225 ) M1M2_PR
NEW li1 ( 85680 17945 ) L1M1_PR_MR
NEW met1 ( 85680 17945 ) M1M2_PR
NEW met1 ( 54480 140415 ) RECT ( -355 -70 0 70 )
NEW met1 ( 85680 17945 ) RECT ( -355 -70 0 70 ) ;
- net27 ( ANTENNA_OAI22X1_B DIODE ) ( input27 X ) ( OAI22X1 B ) + USE SIGNAL
+ ROUTED met1 ( 89040 17945 ) ( 89520 * )
NEW met2 ( 89040 17945 ) ( * 141525 )
NEW met2 ( 57840 139305 ) ( * 140415 )
NEW met1 ( 47280 139305 ) ( 57840 * )
NEW met2 ( 47280 139305 ) ( * 141155 )
NEW met1 ( 47280 140785 ) ( * 141155 )
NEW met1 ( 46560 140785 0 ) ( 47280 * )
NEW li1 ( 61200 140415 ) ( * 141525 )
NEW met1 ( 57840 140415 ) ( 61200 * )
NEW met1 ( 61200 141525 ) ( 89040 * )
NEW met1 ( 89040 17945 ) M1M2_PR
NEW li1 ( 89520 17945 ) L1M1_PR_MR
NEW met1 ( 89040 141525 ) M1M2_PR
NEW li1 ( 57840 140415 ) L1M1_PR_MR
NEW met1 ( 57840 140415 ) M1M2_PR
NEW met1 ( 57840 139305 ) M1M2_PR
NEW met1 ( 47280 139305 ) M1M2_PR
NEW met1 ( 47280 141155 ) M1M2_PR
NEW li1 ( 61200 141525 ) L1M1_PR_MR
NEW li1 ( 61200 140415 ) L1M1_PR_MR
NEW met1 ( 57840 140415 ) RECT ( -355 -70 0 70 ) ;
- net28 ( ANTENNA_OAI22X1_C DIODE ) ( input28 X ) ( OAI22X1 C ) + USE SIGNAL
+ ROUTED met1 ( 88560 20535 ) ( 89520 * )
NEW met2 ( 88560 20535 ) ( * 143745 )
NEW met1 ( 54960 144485 ) ( 56400 * )
NEW met1 ( 56400 143745 ) ( * 144485 )
NEW met2 ( 54960 142635 ) ( * 144485 )
NEW met1 ( 56400 143745 ) ( 88560 * )
NEW met2 ( 49440 141525 ) ( * 142265 )
NEW met2 ( 49440 142265 ) ( 49680 * )
NEW met2 ( 49680 142265 ) ( * 142635 )
NEW met1 ( 49680 142635 ) ( 54960 * )
NEW met1 ( 88560 20535 ) M1M2_PR
NEW li1 ( 89520 20535 ) L1M1_PR_MR
NEW met1 ( 88560 143745 ) M1M2_PR
NEW li1 ( 54960 144485 ) L1M1_PR_MR
NEW met1 ( 54960 142635 ) M1M2_PR
NEW met1 ( 54960 144485 ) M1M2_PR
NEW met1 ( 49440 141525 ) M1M2_PR
NEW met1 ( 49680 142635 ) M1M2_PR
NEW met1 ( 54960 144485 ) RECT ( -595 -70 0 70 ) ;
- net29 ( ANTENNA_OAI22X1_D DIODE ) ( input29 X ) ( OAI22X1 D ) + USE SIGNAL
+ ROUTED met1 ( 92880 20535 ) ( 93360 * )
NEW met1 ( 60720 140785 ) ( 92880 * )
NEW met1 ( 50880 141340 0 ) ( 51600 * )
NEW met1 ( 51600 141155 ) ( * 141340 )
NEW met1 ( 51600 141155 ) ( 60720 * )
NEW met1 ( 60720 140785 ) ( * 141155 )
NEW met2 ( 92880 20535 ) ( * 140785 )
NEW met1 ( 92880 20535 ) M1M2_PR
NEW li1 ( 93360 20535 ) L1M1_PR_MR
NEW li1 ( 60720 140785 ) L1M1_PR_MR
NEW met1 ( 92880 140785 ) M1M2_PR ;
- net3 ( ANTENNA_AOI22X1_B DIODE ) ( input3 X ) ( AOI22X1 B ) + USE SIGNAL
+ ROUTED met2 ( 89520 49025 ) ( * 283605 )
NEW met2 ( 57840 46065 ) ( * 47175 )
NEW met1 ( 47280 46065 ) ( 57840 * )
NEW met2 ( 47280 46065 ) ( * 47915 )
NEW met1 ( 47280 47545 ) ( * 47915 )
NEW met1 ( 46560 47545 0 ) ( 47280 * )
NEW li1 ( 61200 47175 ) ( * 49025 )
NEW met1 ( 57840 47175 ) ( 61200 * )
NEW met1 ( 61200 49025 ) ( 89520 * )
NEW met1 ( 89520 49025 ) M1M2_PR
NEW li1 ( 89520 283605 ) L1M1_PR_MR
NEW met1 ( 89520 283605 ) M1M2_PR
NEW li1 ( 57840 47175 ) L1M1_PR_MR
NEW met1 ( 57840 47175 ) M1M2_PR
NEW met1 ( 57840 46065 ) M1M2_PR
NEW met1 ( 47280 46065 ) M1M2_PR
NEW met1 ( 47280 47915 ) M1M2_PR
NEW li1 ( 61200 49025 ) L1M1_PR_MR
NEW li1 ( 61200 47175 ) L1M1_PR_MR
NEW met1 ( 89520 283605 ) RECT ( -355 -70 0 70 )
NEW met1 ( 57840 47175 ) RECT ( -355 -70 0 70 ) ;
- net30 ( ANTENNA_OR2X1_A DIODE ) ( input30 X ) ( OR2X1 A ) + USE SIGNAL
+ ROUTED met1 ( 93360 14245 ) ( * 14615 )
NEW met1 ( 91920 14245 ) ( 93360 * )
NEW met2 ( 91920 14245 ) ( * 147445 )
NEW met1 ( 46560 148555 0 ) ( 47280 * )
NEW li1 ( 47280 148555 ) ( * 148925 )
NEW li1 ( 47280 148925 ) ( 49200 * )
NEW li1 ( 49200 148925 ) ( * 149295 )
NEW li1 ( 49200 149295 ) ( 50640 * )
NEW li1 ( 50640 147445 ) ( * 149295 )
NEW met1 ( 50640 147445 ) ( 53520 * )
NEW met1 ( 53520 147445 ) ( 91920 * )
NEW li1 ( 93360 14615 ) L1M1_PR_MR
NEW met1 ( 91920 14245 ) M1M2_PR
NEW met1 ( 91920 147445 ) M1M2_PR
NEW li1 ( 53520 147445 ) L1M1_PR_MR
NEW li1 ( 47280 148555 ) L1M1_PR_MR
NEW li1 ( 50640 147445 ) L1M1_PR_MR ;
- net31 ( ANTENNA_OR2X1_B DIODE ) ( input31 X ) ( OR2X1 B ) + USE SIGNAL
+ ROUTED met2 ( 97200 18685 ) ( * 147075 )
NEW met1 ( 49440 149295 ) ( 55920 * )
NEW met1 ( 49440 148925 ) ( * 149295 )
NEW met1 ( 48000 148925 ) ( 49440 * )
NEW met1 ( 48000 148555 0 ) ( * 148925 )
NEW li1 ( 56880 147075 ) ( * 149295 )
NEW met1 ( 55920 149295 ) ( 56880 * )
NEW met1 ( 56880 147075 ) ( 97200 * )
NEW li1 ( 97200 18685 ) L1M1_PR_MR
NEW met1 ( 97200 18685 ) M1M2_PR
NEW met1 ( 97200 147075 ) M1M2_PR
NEW li1 ( 55920 149295 ) L1M1_PR_MR
NEW li1 ( 56880 147075 ) L1M1_PR_MR
NEW li1 ( 56880 149295 ) L1M1_PR_MR
NEW met1 ( 97200 18685 ) RECT ( -355 -70 0 70 ) ;
- net32 ( ANTENNA_OR2X2_A DIODE ) ( input32 X ) ( OR2X2 A ) + USE SIGNAL
+ ROUTED met1 ( 100560 21275 ) ( * 22385 )
NEW met2 ( 100560 22385 ) ( * 154105 )
NEW met1 ( 46560 155215 0 ) ( * 155585 )
NEW met1 ( 46560 155585 ) ( 49200 * )
NEW met1 ( 49200 155585 ) ( * 155955 )
NEW met1 ( 49200 155955 ) ( 50640 * )
NEW li1 ( 50640 154105 ) ( * 155955 )
NEW met1 ( 50640 154105 ) ( 53520 * )
NEW met1 ( 53520 154105 ) ( 100560 * )
NEW li1 ( 100560 21275 ) L1M1_PR_MR
NEW met1 ( 100560 22385 ) M1M2_PR
NEW met1 ( 100560 154105 ) M1M2_PR
NEW li1 ( 53520 154105 ) L1M1_PR_MR
NEW li1 ( 50640 155955 ) L1M1_PR_MR
NEW li1 ( 50640 154105 ) L1M1_PR_MR ;
- net33 ( ANTENNA_NAND3X1_B DIODE ) ( input33 X ) ( NAND3X1 B ) + USE SIGNAL
+ ROUTED met2 ( 66960 17945 ) ( * 120435 )
NEW met1 ( 55920 120435 ) ( 66960 * )
NEW met1 ( 50400 120435 ) ( 55920 * )
NEW li1 ( 50400 120435 ) ( * 121175 )
NEW li1 ( 50160 121175 ) ( 50400 * )
NEW li1 ( 50160 121175 ) ( * 122285 )
NEW li1 ( 49200 122285 ) ( 50160 * )
NEW li1 ( 49200 122285 ) ( * 122655 )
NEW li1 ( 47760 122655 ) ( 49200 * )
NEW li1 ( 47760 122285 ) ( * 122655 )
NEW li1 ( 47280 122285 ) ( 47760 * )
NEW li1 ( 47280 121545 ) ( * 122285 )
NEW met1 ( 47280 121545 ) ( * 121915 )
NEW met1 ( 47280 121915 ) ( 48000 * 0 )
NEW li1 ( 66960 17945 ) L1M1_PR_MR
NEW met1 ( 66960 17945 ) M1M2_PR
NEW met1 ( 66960 120435 ) M1M2_PR
NEW li1 ( 55920 120435 ) L1M1_PR_MR
NEW li1 ( 50400 120435 ) L1M1_PR_MR
NEW li1 ( 47280 121545 ) L1M1_PR_MR
NEW met1 ( 66960 17945 ) RECT ( -355 -70 0 70 ) ;
- net34 ( ANTENNA_OR2X2_B DIODE ) ( input34 X ) ( OR2X2 B ) + USE SIGNAL
+ ROUTED met1 ( 104400 21275 ) ( 104880 * )
NEW met2 ( 104400 21275 ) ( * 153735 )
NEW met1 ( 50640 154845 ) ( 55920 * )
NEW met1 ( 50640 154845 ) ( * 155030 )
NEW li1 ( 56880 153735 ) ( * 154845 )
NEW met1 ( 55920 154845 ) ( 56880 * )
NEW met1 ( 56880 153735 ) ( 104400 * )
NEW met1 ( 50400 155030 ) ( 50640 * )
NEW met1 ( 50400 155030 ) ( * 155105 )
NEW met2 ( 50400 155030 ) ( * 155105 )
NEW met2 ( 50160 155030 ) ( 50400 * )
NEW met3 ( 48000 155030 ) ( 50160 * )
NEW li1 ( 104880 21275 ) L1M1_PR_MR
NEW met1 ( 104400 21275 ) M1M2_PR
NEW met1 ( 104400 153735 ) M1M2_PR
NEW li1 ( 55920 154845 ) L1M1_PR_MR
NEW li1 ( 56880 153735 ) L1M1_PR_MR
NEW li1 ( 56880 154845 ) L1M1_PR_MR
NEW met1 ( 50400 155105 ) M1M2_PR
NEW met2 ( 50160 155030 ) M2M3_PR_M
NEW met1 ( 48000 155030 ) M1M2_PR
NEW met2 ( 48000 155030 ) M2M3_PR_M ;
- net35 ( ANTENNA_XNOR2X1_A DIODE ) ( input35 X ) ( XNOR2X1 A ) + USE SIGNAL
+ ROUTED met2 ( 111120 17945 ) ( * 160765 )
NEW met2 ( 53520 160765 ) ( * 160950 )
NEW met2 ( 53520 160950 ) ( 54960 * )
NEW met2 ( 54960 160765 ) ( * 160950 )
NEW met1 ( 54960 160765 ) ( 57840 * )
NEW met1 ( 57840 160765 ) ( 111120 * )
NEW li1 ( 111120 17945 ) L1M1_PR_MR
NEW met1 ( 111120 17945 ) M1M2_PR
NEW met1 ( 111120 160765 ) M1M2_PR
NEW li1 ( 57840 160765 ) L1M1_PR_MR
NEW met1 ( 53520 160765 ) M1M2_PR_MR
NEW met1 ( 54960 160765 ) M1M2_PR
NEW met1 ( 111120 17945 ) RECT ( 0 -70 355 70 ) ;
- net36 ( ANTENNA_XNOR2X1_B DIODE ) ( input36 X ) ( XNOR2X1 B ) + USE SIGNAL
+ ROUTED met2 ( 109200 21275 ) ( * 159285 )
NEW met1 ( 57840 162615 ) ( 60240 * )
NEW met2 ( 57840 162615 ) ( * 163725 )
NEW met1 ( 47280 163725 ) ( 57840 * )
NEW met2 ( 47280 162245 ) ( * 163725 )
NEW met1 ( 47280 161770 0 ) ( * 162245 )
NEW met2 ( 57840 159285 ) ( * 162615 )
NEW met1 ( 57840 159285 ) ( 109200 * )
NEW li1 ( 109200 21275 ) L1M1_PR_MR
NEW met1 ( 109200 21275 ) M1M2_PR
NEW met1 ( 109200 159285 ) M1M2_PR
NEW li1 ( 60240 162615 ) L1M1_PR_MR
NEW met1 ( 57840 162615 ) M1M2_PR
NEW met1 ( 57840 163725 ) M1M2_PR
NEW met1 ( 47280 163725 ) M1M2_PR
NEW met1 ( 47280 162245 ) M1M2_PR
NEW met1 ( 57840 159285 ) M1M2_PR
NEW met1 ( 109200 21275 ) RECT ( -355 -70 0 70 ) ;
- net37 ( ANTENNA_XOR2X1_A DIODE ) ( input37 X ) ( XOR2X1 A ) + USE SIGNAL
+ ROUTED met1 ( 115440 18685 ) ( 116880 * )
NEW met2 ( 116880 18685 ) ( * 167425 )
NEW met1 ( 47280 167425 0 ) ( * 168165 )
NEW met2 ( 47280 165945 ) ( * 168165 )
NEW met1 ( 47280 165945 ) ( 57840 * )
NEW met2 ( 57840 165945 ) ( * 167425 )
NEW met1 ( 57840 167425 ) ( 116880 * )
NEW li1 ( 115440 18685 ) L1M1_PR_MR
NEW met1 ( 116880 18685 ) M1M2_PR
NEW met1 ( 116880 167425 ) M1M2_PR
NEW li1 ( 57840 167425 ) L1M1_PR_MR
NEW met1 ( 47280 168165 ) M1M2_PR
NEW met1 ( 47280 165945 ) M1M2_PR
NEW met1 ( 57840 165945 ) M1M2_PR
NEW met1 ( 57840 167425 ) M1M2_PR
NEW met1 ( 57840 167425 ) RECT ( -595 -70 0 70 ) ;
- net38 ( ANTENNA_XOR2X1_B DIODE ) ( input38 X ) ( XOR2X1 B ) + USE SIGNAL
+ ROUTED met2 ( 60720 165945 ) ( * 167055 )
NEW met1 ( 53520 168905 0 ) ( * 169275 )
NEW met1 ( 53520 169275 ) ( 61200 * )
NEW li1 ( 61200 167055 ) ( * 169275 )
NEW met1 ( 60720 167055 ) ( 61200 * )
NEW met1 ( 60720 165945 ) ( 113520 * )
NEW met2 ( 113520 21275 ) ( * 165945 )
NEW li1 ( 113520 21275 ) L1M1_PR_MR
NEW met1 ( 113520 21275 ) M1M2_PR
NEW met1 ( 113520 165945 ) M1M2_PR
NEW li1 ( 60720 167055 ) L1M1_PR_MR
NEW met1 ( 60720 167055 ) M1M2_PR
NEW met1 ( 60720 165945 ) M1M2_PR
NEW li1 ( 61200 169275 ) L1M1_PR_MR
NEW li1 ( 61200 167055 ) L1M1_PR_MR
NEW met1 ( 113520 21275 ) RECT ( -355 -70 0 70 )
NEW met1 ( 60720 167055 ) RECT ( -355 -70 0 70 ) ;
- net39 ( ANTENNA_NAND3X1_C DIODE ) ( input39 X ) ( NAND3X1 C ) + USE SIGNAL
+ ROUTED met2 ( 70800 17945 ) ( * 117105 )
NEW met1 ( 47280 119325 ) ( 53040 * )
NEW met2 ( 47280 119325 ) ( * 120805 )
NEW met1 ( 46560 120805 0 ) ( 47280 * )
NEW li1 ( 54000 117105 ) ( * 119325 )
NEW met1 ( 53040 119325 ) ( 54000 * )
NEW met1 ( 54000 117105 ) ( 70800 * )
NEW li1 ( 70800 17945 ) L1M1_PR_MR
NEW met1 ( 70800 17945 ) M1M2_PR
NEW met1 ( 70800 117105 ) M1M2_PR
NEW li1 ( 53040 119325 ) L1M1_PR_MR
NEW met1 ( 47280 119325 ) M1M2_PR
NEW met1 ( 47280 120805 ) M1M2_PR
NEW li1 ( 54000 117105 ) L1M1_PR_MR
NEW li1 ( 54000 119325 ) L1M1_PR_MR
NEW met1 ( 70800 17945 ) RECT ( -355 -70 0 70 ) ;
- net4 ( ANTENNA_AOI22X1_C DIODE ) ( input4 X ) ( AOI22X1 C ) + USE SIGNAL
+ ROUTED met1 ( 97680 283605 ) ( 98160 * )
NEW met1 ( 86400 57165 ) ( 97680 * )
NEW met1 ( 86400 57165 ) ( * 57535 )
NEW met2 ( 97680 57165 ) ( * 283605 )
NEW met1 ( 54960 52725 ) ( 56880 * )
NEW met2 ( 56880 52725 ) ( * 57535 )
NEW met1 ( 49440 47545 0 ) ( 50160 * )
NEW met1 ( 50160 47545 ) ( * 47915 )
NEW met2 ( 50160 47915 ) ( * 48470 )
NEW met2 ( 50160 48470 ) ( 50640 * )
NEW met2 ( 50640 48470 ) ( * 52725 )
NEW met1 ( 50640 52725 ) ( 54960 * )
NEW met1 ( 56880 57535 ) ( 86400 * )
NEW met1 ( 97680 57165 ) M1M2_PR
NEW met1 ( 97680 283605 ) M1M2_PR
NEW li1 ( 98160 283605 ) L1M1_PR_MR
NEW li1 ( 54960 52725 ) L1M1_PR_MR
NEW met1 ( 56880 52725 ) M1M2_PR
NEW met1 ( 56880 57535 ) M1M2_PR
NEW met1 ( 50160 47915 ) M1M2_PR
NEW met1 ( 50640 52725 ) M1M2_PR ;
- net40 ( ANTENNA_NOR2X1_A DIODE ) ( input40 X ) ( NOR2X1 A ) + USE SIGNAL
+ ROUTED met2 ( 75600 17945 ) ( * 127095 )
NEW met1 ( 46560 128575 0 ) ( * 129315 )
NEW met1 ( 46560 129315 ) ( 52560 * )
NEW li1 ( 52560 127095 ) ( * 129315 )
NEW met1 ( 52080 127095 ) ( 75600 * )
NEW li1 ( 75600 17945 ) L1M1_PR_MR
NEW met1 ( 75600 17945 ) M1M2_PR
NEW met1 ( 75600 127095 ) M1M2_PR
NEW li1 ( 52080 127095 ) L1M1_PR_MR
NEW li1 ( 52560 129315 ) L1M1_PR_MR
NEW li1 ( 52560 127095 ) L1M1_PR_MR
NEW met1 ( 75600 17945 ) RECT ( 0 -70 355 70 )
NEW met1 ( 52560 127095 ) RECT ( -595 -70 0 70 ) ;
- net41 ( ANTENNA_NOR2X1_B DIODE ) ( input41 X ) ( NOR2X1 B ) + USE SIGNAL
+ ROUTED met1 ( 79440 17575 ) ( * 17945 )
NEW met1 ( 75600 17575 ) ( 79440 * )
NEW met1 ( 75600 17205 ) ( * 17575 )
NEW met1 ( 71280 17205 ) ( 75600 * )
NEW met1 ( 71280 17205 ) ( * 17575 )
NEW met2 ( 52080 17575 ) ( * 48470 )
NEW met2 ( 51120 48470 ) ( 52080 * )
NEW met1 ( 52080 17575 ) ( 71280 * )
NEW met2 ( 48000 127650 ) ( * 127835 )
NEW met2 ( 48000 127650 ) ( 48240 * )
NEW met2 ( 48240 125985 ) ( * 127650 )
NEW met1 ( 48240 125985 ) ( 51120 * )
NEW met2 ( 51120 123765 ) ( * 125985 )
NEW met2 ( 51120 48470 ) ( * 123765 )
NEW li1 ( 79440 17945 ) L1M1_PR_MR
NEW met1 ( 52080 17575 ) M1M2_PR
NEW li1 ( 51120 123765 ) L1M1_PR_MR
NEW met1 ( 51120 123765 ) M1M2_PR
NEW met1 ( 48000 127835 ) M1M2_PR_MR
NEW met1 ( 48240 125985 ) M1M2_PR
NEW met1 ( 51120 125985 ) M1M2_PR
NEW met1 ( 51120 123765 ) RECT ( -355 -70 0 70 ) ;
- net42 ( ANTENNA_OAI21X1_A DIODE ) ( input42 X ) ( OAI21X1 A ) + USE SIGNAL
+ ROUTED met2 ( 78480 22015 ) ( * 134125 )
NEW met2 ( 48000 134865 ) ( * 135975 )
NEW met1 ( 48000 135975 ) ( 50640 * )
NEW li1 ( 50640 134125 ) ( * 135975 )
NEW met1 ( 50640 134125 ) ( 53520 * )
NEW met1 ( 53520 134125 ) ( 78480 * )
NEW li1 ( 78480 22015 ) L1M1_PR_MR
NEW met1 ( 78480 22015 ) M1M2_PR
NEW met1 ( 78480 134125 ) M1M2_PR
NEW li1 ( 53520 134125 ) L1M1_PR_MR
NEW met1 ( 48000 134865 ) M1M2_PR
NEW met1 ( 48000 135975 ) M1M2_PR
NEW li1 ( 50640 135975 ) L1M1_PR_MR
NEW li1 ( 50640 134125 ) L1M1_PR_MR
NEW met1 ( 78480 22015 ) RECT ( -355 -70 0 70 ) ;
- net43 ( ANTENNA_OAI21X1_B DIODE ) ( input43 X ) ( OAI21X1 B ) + USE SIGNAL
+ ROUTED met2 ( 49440 133570 ) ( * 134495 )
NEW met2 ( 49440 133570 ) ( 49680 * )
NEW met2 ( 49680 131905 ) ( * 133570 )
NEW met1 ( 49680 131905 ) ( 55920 * )
NEW met1 ( 55920 40515 ) ( 82800 * )
NEW met2 ( 82800 22015 ) ( * 40515 )
NEW met2 ( 55920 40515 ) ( * 133755 )
NEW li1 ( 55920 133755 ) L1M1_PR_MR
NEW met1 ( 55920 133755 ) M1M2_PR
NEW met1 ( 49440 134495 ) M1M2_PR_MR
NEW met1 ( 49680 131905 ) M1M2_PR
NEW met1 ( 55920 131905 ) M1M2_PR
NEW met1 ( 55920 40515 ) M1M2_PR
NEW met1 ( 82800 40515 ) M1M2_PR
NEW li1 ( 82800 22015 ) L1M1_PR_MR
NEW met1 ( 82800 22015 ) M1M2_PR
NEW met1 ( 55920 133755 ) RECT ( -355 -70 0 70 )
NEW met2 ( 55920 131905 ) RECT ( -70 -485 70 0 )
NEW met1 ( 82800 22015 ) RECT ( -355 -70 0 70 ) ;
- net44 ( ANTENNA_OAI21X1_C DIODE ) ( input44 X ) ( OAI21X1 C ) + USE SIGNAL
+ ROUTED met1 ( 83280 26085 ) ( 85200 * )
NEW met2 ( 83280 26085 ) ( * 134865 )
NEW met2 ( 53520 134865 ) ( * 137085 )
NEW met1 ( 46560 135235 0 ) ( 47280 * )
NEW met1 ( 47280 134865 ) ( * 135235 )
NEW met2 ( 47280 134865 ) ( * 137085 )
NEW met1 ( 47280 137085 ) ( 53520 * )
NEW met1 ( 53520 134865 ) ( 83280 * )
NEW li1 ( 85200 26085 ) L1M1_PR_MR
NEW met1 ( 83280 26085 ) M1M2_PR
NEW met1 ( 83280 134865 ) M1M2_PR
NEW li1 ( 53520 137085 ) L1M1_PR_MR
NEW met1 ( 53520 137085 ) M1M2_PR
NEW met1 ( 53520 134865 ) M1M2_PR
NEW met1 ( 47280 134865 ) M1M2_PR
NEW met1 ( 47280 137085 ) M1M2_PR
NEW met1 ( 53520 137085 ) RECT ( -355 -70 0 70 ) ;
- net45 ( ANTENNA_AOI22X1_Y DIODE ) ( ANTENNA_output45_A DIODE ) ( output45 A ) ( AOI22X1 Y ) + USE SIGNAL
+ ROUTED met1 ( 114480 285085 ) ( 117840 * )
NEW met2 ( 114480 283605 ) ( * 285085 )
NEW met2 ( 114480 43845 ) ( * 283605 )
NEW met1 ( 51120 45695 ) ( 58320 * )
NEW met2 ( 51120 45695 ) ( * 47175 )
NEW li1 ( 59280 43845 ) ( * 45695 )
NEW met1 ( 58320 45695 ) ( 59280 * )
NEW met1 ( 59280 43845 ) ( 114480 * )
NEW met1 ( 114480 43845 ) M1M2_PR
NEW li1 ( 114480 283605 ) L1M1_PR_MR
NEW met1 ( 114480 283605 ) M1M2_PR
NEW li1 ( 117840 285085 ) L1M1_PR_MR
NEW met1 ( 114480 285085 ) M1M2_PR
NEW li1 ( 58320 45695 ) L1M1_PR_MR
NEW met1 ( 51120 45695 ) M1M2_PR
NEW met1 ( 51120 47175 ) M1M2_PR
NEW li1 ( 59280 43845 ) L1M1_PR_MR
NEW li1 ( 59280 45695 ) L1M1_PR_MR
NEW met1 ( 114480 283605 ) RECT ( -355 -70 0 70 ) ;
- net46 ( ANTENNA_BUFX2_Y DIODE ) ( ANTENNA_output46_A DIODE ) ( output46 A ) ( BUFX2 Y ) + USE SIGNAL
+ ROUTED met1 ( 127920 285085 ) ( 131280 * )
NEW met2 ( 127920 283605 ) ( * 285085 )
NEW met2 ( 127920 54205 ) ( * 283605 )
NEW met1 ( 86400 54205 ) ( 127920 * )
NEW met1 ( 86400 54205 ) ( * 54575 )
NEW met2 ( 50160 52725 ) ( * 54575 )
NEW met1 ( 48480 54575 0 ) ( 86400 * )
NEW met1 ( 127920 54205 ) M1M2_PR
NEW li1 ( 127920 283605 ) L1M1_PR_MR
NEW met1 ( 127920 283605 ) M1M2_PR
NEW li1 ( 131280 285085 ) L1M1_PR_MR
NEW met1 ( 127920 285085 ) M1M2_PR
NEW li1 ( 50160 52725 ) L1M1_PR_MR
NEW met1 ( 50160 52725 ) M1M2_PR
NEW met1 ( 50160 54575 ) M1M2_PR
NEW met1 ( 127920 283605 ) RECT ( -355 -70 0 70 )
NEW met1 ( 50160 52725 ) RECT ( -355 -70 0 70 )
NEW met1 ( 50160 54575 ) RECT ( -595 -70 0 70 ) ;
- net47 ( ANTENNA_BUFX4_Y DIODE ) ( ANTENNA_output47_A DIODE ) ( output47 A ) ( BUFX4 Y ) + USE SIGNAL
+ ROUTED met1 ( 146160 281015 ) ( 149520 * )
NEW met2 ( 149520 57905 ) ( * 281015 )
NEW met1 ( 48485 60495 0 ) ( 49200 * )
NEW met2 ( 49200 57905 ) ( * 60495 )
NEW met1 ( 49200 57905 ) ( 51600 * )
NEW met1 ( 51600 57905 ) ( 149520 * )
NEW met1 ( 149520 57905 ) M1M2_PR
NEW li1 ( 149520 281015 ) L1M1_PR_MR
NEW met1 ( 149520 281015 ) M1M2_PR
NEW li1 ( 146160 281015 ) L1M1_PR_MR
NEW li1 ( 51600 57905 ) L1M1_PR_MR
NEW met1 ( 49200 60495 ) M1M2_PR
NEW met1 ( 49200 57905 ) M1M2_PR
NEW met1 ( 149520 281015 ) RECT ( -355 -70 0 70 ) ;
- net48 ( ANTENNA_CLKBUF1_Y DIODE ) ( ANTENNA_output48_A DIODE ) ( output48 A ) ( CLKBUF1 Y ) + USE SIGNAL
+ ROUTED met1 ( 158640 285085 ) ( 162960 * )
NEW met2 ( 158640 283605 ) ( * 285085 )
NEW met2 ( 158640 64935 ) ( * 283605 )
NEW met1 ( 64800 64935 ) ( 158640 * )
NEW met2 ( 58320 66045 ) ( * 69005 )
NEW met1 ( 55680 69005 0 ) ( 58320 * )
NEW met1 ( 64800 64935 ) ( * 66045 )
NEW met1 ( 58320 66045 ) ( 64800 * )
NEW met1 ( 158640 64935 ) M1M2_PR
NEW li1 ( 158640 283605 ) L1M1_PR_MR
NEW met1 ( 158640 283605 ) M1M2_PR
NEW li1 ( 162960 285085 ) L1M1_PR_MR
NEW met1 ( 158640 285085 ) M1M2_PR
NEW li1 ( 58320 66045 ) L1M1_PR_MR
NEW met1 ( 58320 66045 ) M1M2_PR
NEW met1 ( 58320 69005 ) M1M2_PR
NEW met1 ( 158640 283605 ) RECT ( -355 -70 0 70 )
NEW met1 ( 58320 66045 ) RECT ( -355 -70 0 70 ) ;
- net49 ( ANTENNA_INV_Y DIODE ) ( ANTENNA_output49_A DIODE ) ( output49 A ) ( INV Y ) + USE SIGNAL
+ ROUTED met1 ( 175440 285085 ) ( 178320 * )
NEW met2 ( 178320 73815 ) ( * 285085 )
NEW met1 ( 45840 75665 0 ) ( 53040 * )
NEW li1 ( 54000 73815 ) ( * 75665 )
NEW met1 ( 53040 75665 ) ( 54000 * )
NEW met1 ( 54000 73815 ) ( 178320 * )
NEW met1 ( 178320 73815 ) M1M2_PR
NEW li1 ( 178320 285085 ) L1M1_PR_MR
NEW met1 ( 178320 285085 ) M1M2_PR
NEW li1 ( 175440 285085 ) L1M1_PR_MR
NEW li1 ( 53040 75665 ) L1M1_PR_MR
NEW li1 ( 54000 73815 ) L1M1_PR_MR
NEW li1 ( 54000 75665 ) L1M1_PR_MR
NEW met1 ( 178320 285085 ) RECT ( -355 -70 0 70 ) ;
- net5 ( ANTENNA_AOI22X1_D DIODE ) ( input5 X ) ( AOI22X1 D ) + USE SIGNAL
+ ROUTED met2 ( 104880 47175 ) ( * 283605 )
NEW met1 ( 64800 47175 ) ( 104880 * )
NEW met1 ( 54480 47545 ) ( 60240 * )
NEW met2 ( 54480 47545 ) ( * 47730 )
NEW met3 ( 50880 47730 ) ( 54480 * )
NEW met2 ( 50880 47730 ) ( * 47915 )
NEW met1 ( 64800 47175 ) ( * 47545 )
NEW met1 ( 60240 47545 ) ( 64800 * )
NEW met1 ( 104880 47175 ) M1M2_PR
NEW li1 ( 104880 283605 ) L1M1_PR_MR
NEW met1 ( 104880 283605 ) M1M2_PR
NEW li1 ( 60240 47545 ) L1M1_PR_MR
NEW met1 ( 54480 47545 ) M1M2_PR
NEW met2 ( 54480 47730 ) M2M3_PR_M
NEW met2 ( 50880 47730 ) M2M3_PR_M
NEW met1 ( 50880 47915 ) M1M2_PR_MR
NEW met1 ( 104880 283605 ) RECT ( -355 -70 0 70 ) ;
- net50 ( ANTENNA_INVX1_Y DIODE ) ( ANTENNA_output50_A DIODE ) ( output50 A ) ( INVX1 Y ) + USE SIGNAL
+ ROUTED met1 ( 192720 285085 ) ( 196080 * )
NEW met2 ( 192720 283605 ) ( * 285085 )
NEW met2 ( 192720 80475 ) ( * 283605 )
NEW met1 ( 45840 82325 0 ) ( 53040 * )
NEW li1 ( 54000 80475 ) ( * 82325 )
NEW met1 ( 53040 82325 ) ( 54000 * )
NEW met1 ( 54000 80475 ) ( 192720 * )
NEW met1 ( 192720 80475 ) M1M2_PR
NEW li1 ( 192720 283605 ) L1M1_PR_MR
NEW met1 ( 192720 283605 ) M1M2_PR
NEW li1 ( 196080 285085 ) L1M1_PR_MR
NEW met1 ( 192720 285085 ) M1M2_PR
NEW li1 ( 53040 82325 ) L1M1_PR_MR
NEW li1 ( 54000 80475 ) L1M1_PR_MR
NEW li1 ( 54000 82325 ) L1M1_PR_MR
NEW met1 ( 192720 283605 ) RECT ( -355 -70 0 70 ) ;
- net51 ( ANTENNA_INVX2_Y DIODE ) ( ANTENNA_output51_A DIODE ) ( output51 A ) ( INVX2 Y ) + USE SIGNAL
+ ROUTED met1 ( 209520 281015 ) ( 212400 * )
NEW met2 ( 212400 87135 ) ( * 281015 )
NEW met1 ( 45840 88985 0 ) ( 53040 * )
NEW li1 ( 54000 87135 ) ( * 88985 )
NEW met1 ( 53040 88985 ) ( 54000 * )
NEW met1 ( 54000 87135 ) ( 212400 * )
NEW met1 ( 212400 87135 ) M1M2_PR
NEW li1 ( 212400 281015 ) L1M1_PR_MR
NEW met1 ( 212400 281015 ) M1M2_PR
NEW li1 ( 209520 281015 ) L1M1_PR_MR
NEW li1 ( 53040 88985 ) L1M1_PR_MR
NEW li1 ( 54000 87135 ) L1M1_PR_MR
NEW li1 ( 54000 88985 ) L1M1_PR_MR
NEW met1 ( 212400 281015 ) RECT ( -355 -70 0 70 ) ;
- net52 ( ANTENNA_INVX4_Y DIODE ) ( ANTENNA_output52_A DIODE ) ( output52 A ) ( INVX4 Y ) + USE SIGNAL
+ ROUTED met1 ( 226800 281015 ) ( 228240 * )
NEW met2 ( 226800 276945 ) ( * 281015 )
NEW met2 ( 226800 93795 ) ( * 276945 )
NEW li1 ( 55440 93795 ) ( * 96015 )
NEW met1 ( 54960 93795 ) ( 226800 * )
NEW met1 ( 47760 95645 0 ) ( * 96015 )
NEW met1 ( 47760 96015 ) ( 55440 * )
NEW met1 ( 226800 93795 ) M1M2_PR
NEW li1 ( 226800 276945 ) L1M1_PR_MR
NEW met1 ( 226800 276945 ) M1M2_PR
NEW li1 ( 228240 281015 ) L1M1_PR_MR
NEW met1 ( 226800 281015 ) M1M2_PR
NEW li1 ( 54960 93795 ) L1M1_PR_MR
NEW li1 ( 55440 96015 ) L1M1_PR_MR
NEW li1 ( 55440 93795 ) L1M1_PR_MR
NEW met1 ( 226800 276945 ) RECT ( -355 -70 0 70 )
NEW met1 ( 55440 93795 ) RECT ( -595 -70 0 70 ) ;
- net53 ( ANTENNA_AND2X1_Y DIODE ) ( ANTENNA_output53_A DIODE ) ( output53 A ) ( AND2X1 Y ) + USE SIGNAL
+ ROUTED met2 ( 27120 282495 ) ( * 284715 )
NEW met1 ( 22320 284715 ) ( 27120 * )
NEW met1 ( 22320 284715 ) ( * 285085 )
NEW met1 ( 27120 281015 ) ( * 282495 )
NEW met2 ( 49200 169830 ) ( 49680 * )
NEW met2 ( 49680 169830 ) ( * 187200 )
NEW met2 ( 49680 187200 ) ( 50160 * )
NEW met1 ( 27120 281015 ) ( 50160 * )
NEW met2 ( 50160 187200 ) ( * 281015 )
NEW met1 ( 49200 27195 ) ( 49920 * 0 )
NEW met2 ( 49200 27195 ) ( * 35150 )
NEW met2 ( 48240 35150 ) ( 49200 * )
NEW met2 ( 48240 35150 ) ( * 61050 )
NEW met2 ( 48240 61050 ) ( 49200 * )
NEW met1 ( 49920 27195 0 ) ( 53040 * )
NEW met2 ( 49200 61050 ) ( * 100800 )
NEW met2 ( 49200 151200 ) ( * 169830 )
NEW met2 ( 49200 100800 ) ( 50160 * )
NEW met2 ( 50160 100800 ) ( * 151200 )
NEW met2 ( 49200 151200 ) ( 50160 * )
NEW li1 ( 27120 282495 ) L1M1_PR_MR
NEW met1 ( 27120 282495 ) M1M2_PR
NEW met1 ( 27120 284715 ) M1M2_PR
NEW li1 ( 22320 285085 ) L1M1_PR_MR
NEW met1 ( 50160 281015 ) M1M2_PR
NEW met1 ( 49200 27195 ) M1M2_PR
NEW li1 ( 53040 27195 ) L1M1_PR_MR
NEW met1 ( 27120 282495 ) RECT ( -355 -70 0 70 ) ;
- net54 ( ANTENNA_INVX8_Y DIODE ) ( ANTENNA_output54_A DIODE ) ( output54 A ) ( INVX8 Y ) + USE SIGNAL
+ ROUTED met1 ( 240240 285085 ) ( 241680 * )
NEW met2 ( 240240 281015 ) ( * 285085 )
NEW met2 ( 240240 100825 ) ( * 281015 )
NEW met1 ( 50640 102305 0 ) ( * 102675 )
NEW met1 ( 50640 102675 ) ( 52080 * )
NEW li1 ( 52080 100825 ) ( * 102675 )
NEW met1 ( 52080 100825 ) ( 57840 * )
NEW met1 ( 57840 100825 ) ( 240240 * )
NEW met1 ( 240240 100825 ) M1M2_PR
NEW li1 ( 240240 281015 ) L1M1_PR_MR
NEW met1 ( 240240 281015 ) M1M2_PR
NEW li1 ( 241680 285085 ) L1M1_PR_MR
NEW met1 ( 240240 285085 ) M1M2_PR
NEW li1 ( 57840 100825 ) L1M1_PR_MR
NEW li1 ( 52080 102675 ) L1M1_PR_MR
NEW li1 ( 52080 100825 ) L1M1_PR_MR
NEW met1 ( 240240 281015 ) RECT ( -355 -70 0 70 ) ;
- net55 ( ANTENNA_MUX2X1_Y DIODE ) ( ANTENNA_output55_A DIODE ) ( output55 A ) ( MUX2X1 Y ) + USE SIGNAL
+ ROUTED met1 ( 272400 285085 ) ( 273840 * )
NEW met2 ( 272400 281015 ) ( * 285085 )
NEW met2 ( 272400 110815 ) ( * 281015 )
NEW met2 ( 58320 108965 ) ( * 110445 )
NEW met1 ( 49920 108965 0 ) ( 58320 * )
NEW li1 ( 59280 110815 ) ( * 111925 )
NEW met1 ( 58320 111925 ) ( 59280 * )
NEW met2 ( 58320 110445 ) ( * 111925 )
NEW met1 ( 59280 110815 ) ( 272400 * )
NEW met1 ( 272400 110815 ) M1M2_PR
NEW li1 ( 272400 281015 ) L1M1_PR_MR
NEW met1 ( 272400 281015 ) M1M2_PR
NEW li1 ( 273840 285085 ) L1M1_PR_MR
NEW met1 ( 272400 285085 ) M1M2_PR
NEW li1 ( 58320 110445 ) L1M1_PR_MR
NEW met1 ( 58320 110445 ) M1M2_PR
NEW met1 ( 58320 108965 ) M1M2_PR
NEW li1 ( 59280 110815 ) L1M1_PR_MR
NEW li1 ( 59280 111925 ) L1M1_PR_MR
NEW met1 ( 58320 111925 ) M1M2_PR
NEW met1 ( 272400 281015 ) RECT ( -355 -70 0 70 )
NEW met1 ( 58320 110445 ) RECT ( -355 -70 0 70 ) ;
- net56 ( ANTENNA_NAND2X1_Y DIODE ) ( ANTENNA_output56_A DIODE ) ( output56 A ) ( NAND2X1 Y ) + USE SIGNAL
+ ROUTED met1 ( 288240 276945 ) ( 289200 * )
NEW met1 ( 288240 280645 ) ( * 281015 )
NEW met1 ( 288240 280645 ) ( 289200 * )
NEW met2 ( 289200 276945 ) ( * 280645 )
NEW met2 ( 289200 110445 ) ( * 276945 )
NEW met1 ( 52080 111185 ) ( 58800 * )
NEW met1 ( 58800 110445 ) ( * 111185 )
NEW met1 ( 58800 110445 ) ( 289200 * )
NEW met1 ( 47760 115995 ) ( 52080 * )
NEW met1 ( 47760 115625 0 ) ( * 115995 )
NEW met2 ( 52080 111185 ) ( * 115995 )
NEW met1 ( 289200 110445 ) M1M2_PR
NEW li1 ( 288240 276945 ) L1M1_PR_MR
NEW met1 ( 289200 276945 ) M1M2_PR
NEW li1 ( 288240 281015 ) L1M1_PR_MR
NEW met1 ( 289200 280645 ) M1M2_PR
NEW li1 ( 52080 111185 ) L1M1_PR_MR
NEW met1 ( 52080 111185 ) M1M2_PR
NEW met1 ( 52080 115995 ) M1M2_PR
NEW met1 ( 52080 111185 ) RECT ( -595 -70 0 70 ) ;
- net57 ( ANTENNA_AND2X2_Y DIODE ) ( ANTENNA_output57_A DIODE ) ( output57 A ) ( AND2X2 Y ) + USE SIGNAL
+ ROUTED met1 ( 52080 281015 ) ( 53040 * )
NEW met1 ( 48240 285085 ) ( 52080 * )
NEW met2 ( 52080 281015 ) ( * 285085 )
NEW met1 ( 49920 35705 0 ) ( 52560 * )
NEW met2 ( 52560 35705 ) ( * 49950 )
NEW met2 ( 52080 49950 ) ( 52560 * )
NEW met2 ( 52080 49950 ) ( * 64800 )
NEW met2 ( 51600 64800 ) ( 52080 * )
NEW met1 ( 52560 35705 ) ( 53040 * )
NEW met2 ( 51600 140230 ) ( 52080 * )
NEW met2 ( 51600 64800 ) ( * 140230 )
NEW met2 ( 52080 140230 ) ( * 281015 )
NEW li1 ( 53040 281015 ) L1M1_PR_MR
NEW met1 ( 52080 281015 ) M1M2_PR
NEW li1 ( 48240 285085 ) L1M1_PR_MR
NEW met1 ( 52080 285085 ) M1M2_PR
NEW met1 ( 52560 35705 ) M1M2_PR
NEW li1 ( 53040 35705 ) L1M1_PR_MR ;
- net58 ( ANTENNA_AOI21X1_Y DIODE ) ( ANTENNA_output58_A DIODE ) ( output58 A ) ( AOI21X1 Y ) + USE SIGNAL
+ ROUTED met1 ( 75120 285085 ) ( 78000 * )
NEW met2 ( 78000 38665 ) ( * 285085 )
NEW met1 ( 49680 39405 ) ( 58320 * )
NEW met2 ( 49680 39405 ) ( * 40515 )
NEW li1 ( 64560 38665 ) ( * 39405 )
NEW met1 ( 58320 39405 ) ( 64560 * )
NEW met1 ( 64560 38665 ) ( 78000 * )
NEW met1 ( 78000 38665 ) M1M2_PR
NEW li1 ( 78000 285085 ) L1M1_PR_MR
NEW met1 ( 78000 285085 ) M1M2_PR
NEW li1 ( 75120 285085 ) L1M1_PR_MR
NEW li1 ( 58320 39405 ) L1M1_PR_MR
NEW met1 ( 49680 39405 ) M1M2_PR
NEW met1 ( 49680 40515 ) M1M2_PR
NEW li1 ( 64560 38665 ) L1M1_PR_MR
NEW li1 ( 64560 39405 ) L1M1_PR_MR
NEW met1 ( 78000 285085 ) RECT ( -355 -70 0 70 ) ;
- net59 ( ANTENNA_OAI21X1_Y DIODE ) ( ANTENNA_output59_A DIODE ) ( output59 A ) ( OAI21X1 Y ) + USE SIGNAL
+ ROUTED met2 ( 87600 14615 ) ( * 27195 )
NEW met1 ( 87120 27195 ) ( 87600 * )
NEW met1 ( 77520 14245 ) ( * 14615 )
NEW met1 ( 76080 14245 ) ( 77520 * )
NEW met1 ( 76080 14245 ) ( * 14615 )
NEW met1 ( 73200 14615 ) ( 76080 * )
NEW met2 ( 73200 14615 ) ( * 15725 )
NEW met1 ( 77520 14615 ) ( 87600 * )
NEW met1 ( 53520 15725 ) ( 73200 * )
NEW met1 ( 53520 130425 ) ( 54480 * )
NEW met2 ( 45840 130425 ) ( * 133755 )
NEW met1 ( 45840 130425 ) ( 53520 * )
NEW met2 ( 53520 15725 ) ( * 130425 )
NEW met1 ( 87600 14615 ) M1M2_PR
NEW met1 ( 87600 27195 ) M1M2_PR
NEW li1 ( 87120 27195 ) L1M1_PR_MR
NEW met1 ( 73200 14615 ) M1M2_PR
NEW met1 ( 73200 15725 ) M1M2_PR
NEW li1 ( 86160 14615 ) L1M1_PR_MR
NEW met1 ( 53520 15725 ) M1M2_PR
NEW li1 ( 54480 130425 ) L1M1_PR_MR
NEW met1 ( 53520 130425 ) M1M2_PR
NEW met1 ( 45840 133755 ) M1M2_PR
NEW met1 ( 45840 130425 ) M1M2_PR
NEW met1 ( 86160 14615 ) RECT ( -595 -70 0 70 ) ;
- net6 ( ANTENNA_BUFX2_A DIODE ) ( input6 X ) ( BUFX2 A ) + USE SIGNAL
+ ROUTED met2 ( 124080 54945 ) ( * 283605 )
NEW met1 ( 46560 54760 0 ) ( 47280 * )
NEW met1 ( 47280 54575 ) ( * 54760 )
NEW li1 ( 47280 54575 ) ( * 54760 )
NEW li1 ( 47280 54760 ) ( 48720 * )
NEW li1 ( 48720 54760 ) ( * 54945 )
NEW li1 ( 48720 54945 ) ( 49200 * )
NEW met1 ( 49200 54945 ) ( 52080 * )
NEW met1 ( 52080 54945 ) ( 124080 * )
NEW met1 ( 124080 54945 ) M1M2_PR
NEW li1 ( 124080 283605 ) L1M1_PR_MR
NEW met1 ( 124080 283605 ) M1M2_PR
NEW li1 ( 52080 54945 ) L1M1_PR_MR
NEW li1 ( 47280 54575 ) L1M1_PR_MR
NEW li1 ( 49200 54945 ) L1M1_PR_MR
NEW met1 ( 124080 283605 ) RECT ( -355 -70 0 70 ) ;
- net60 ( ANTENNA_OAI22X1_Y DIODE ) ( ANTENNA_output60_A DIODE ) ( output60 A ) ( OAI22X1 Y ) + USE SIGNAL
+ ROUTED met2 ( 97680 14615 ) ( * 27195 )
NEW met1 ( 96720 27195 ) ( 97680 * )
NEW met2 ( 96720 27195 ) ( * 137085 )
NEW met1 ( 51120 138935 ) ( 58320 * )
NEW met2 ( 51120 138935 ) ( * 142265 )
NEW li1 ( 59280 137085 ) ( * 138935 )
NEW met1 ( 58320 138935 ) ( 59280 * )
NEW met1 ( 59280 137085 ) ( 96720 * )
NEW li1 ( 97680 27195 ) L1M1_PR_MR
NEW met1 ( 97680 27195 ) M1M2_PR
NEW li1 ( 97680 14615 ) L1M1_PR_MR
NEW met1 ( 97680 14615 ) M1M2_PR
NEW met1 ( 96720 27195 ) M1M2_PR
NEW met1 ( 96720 137085 ) M1M2_PR
NEW li1 ( 58320 138935 ) L1M1_PR_MR
NEW met1 ( 51120 138935 ) M1M2_PR
NEW met1 ( 51120 142265 ) M1M2_PR_MR
NEW li1 ( 59280 137085 ) L1M1_PR_MR
NEW li1 ( 59280 138935 ) L1M1_PR_MR
NEW met1 ( 97680 27195 ) RECT ( -355 -70 0 70 )
NEW met1 ( 97680 14615 ) RECT ( -355 -70 0 70 ) ;
- net61 ( ANTENNA_OR2X1_Y DIODE ) ( ANTENNA_output61_A DIODE ) ( output61 A ) ( OR2X1 Y ) + USE SIGNAL
+ ROUTED met1 ( 117840 14615 ) ( 119760 * )
NEW met1 ( 117840 14245 ) ( * 14615 )
NEW met1 ( 116400 14245 ) ( 117840 * )
NEW met1 ( 116400 14245 ) ( * 14615 )
NEW met1 ( 113040 14615 ) ( 116400 * )
NEW met1 ( 113040 14245 ) ( * 14615 )
NEW met1 ( 111600 14245 ) ( 113040 * )
NEW met1 ( 111600 14245 ) ( * 14985 )
NEW met1 ( 103920 14985 ) ( 111600 * )
NEW met1 ( 103920 14615 ) ( * 14985 )
NEW met2 ( 103440 115200 ) ( * 152255 )
NEW met2 ( 103440 115200 ) ( 103920 * )
NEW met2 ( 103920 14615 ) ( * 115200 )
NEW met1 ( 49920 148925 0 ) ( 53520 * )
NEW met2 ( 53520 148925 ) ( * 152255 )
NEW met1 ( 53520 152255 ) ( 103440 * )
NEW li1 ( 103920 14615 ) L1M1_PR_MR
NEW met1 ( 103920 14615 ) M1M2_PR
NEW li1 ( 119760 14615 ) L1M1_PR_MR
NEW met1 ( 103440 152255 ) M1M2_PR
NEW li1 ( 53520 152255 ) L1M1_PR_MR
NEW met1 ( 53520 148925 ) M1M2_PR
NEW met1 ( 53520 152255 ) M1M2_PR
NEW met1 ( 103920 14615 ) RECT ( -355 -70 0 70 )
NEW met1 ( 53520 152255 ) RECT ( -595 -70 0 70 ) ;
- net62 ( ANTENNA_OR2X2_Y DIODE ) ( ANTENNA_output62_A DIODE ) ( output62 A ) ( OR2X2 Y ) + USE SIGNAL
+ ROUTED met2 ( 105840 18685 ) ( * 26085 )
NEW met2 ( 105840 26085 ) ( * 155585 )
NEW met2 ( 53520 155585 ) ( * 157065 )
NEW met1 ( 49920 155585 0 ) ( 105840 * )
NEW li1 ( 105840 26085 ) L1M1_PR_MR
NEW met1 ( 105840 26085 ) M1M2_PR
NEW li1 ( 105840 18685 ) L1M1_PR_MR
NEW met1 ( 105840 18685 ) M1M2_PR
NEW met1 ( 105840 155585 ) M1M2_PR
NEW li1 ( 53520 157065 ) L1M1_PR_MR
NEW met1 ( 53520 157065 ) M1M2_PR
NEW met1 ( 53520 155585 ) M1M2_PR
NEW met1 ( 105840 26085 ) RECT ( -355 -70 0 70 )
NEW met1 ( 105840 18685 ) RECT ( -355 -70 0 70 )
NEW met1 ( 53520 157065 ) RECT ( -355 -70 0 70 )
NEW met1 ( 53520 155585 ) RECT ( -595 -70 0 70 ) ;
- net63 ( ANTENNA_XNOR2X1_Y DIODE ) ( ANTENNA_output63_A DIODE ) ( output63 A ) ( XNOR2X1 Y ) + USE SIGNAL
+ ROUTED met1 ( 112080 14615 ) ( 112560 * )
NEW met1 ( 112560 14985 ) ( 123120 * )
NEW met1 ( 112560 14615 ) ( * 14985 )
NEW met2 ( 112080 115200 ) ( * 155955 )
NEW met2 ( 112080 115200 ) ( 112560 * )
NEW met2 ( 112560 14615 ) ( * 115200 )
NEW met1 ( 51600 158175 ) ( 58320 * )
NEW met2 ( 51600 158175 ) ( * 160395 )
NEW met1 ( 49925 160395 0 ) ( 51600 * )
NEW met2 ( 57360 155955 ) ( * 158175 )
NEW met1 ( 57360 155955 ) ( 112080 * )
NEW li1 ( 112080 14615 ) L1M1_PR_MR
NEW met1 ( 112560 14615 ) M1M2_PR
NEW li1 ( 123120 14985 ) L1M1_PR_MR
NEW met1 ( 112080 155955 ) M1M2_PR
NEW li1 ( 58320 158175 ) L1M1_PR_MR
NEW met1 ( 51600 158175 ) M1M2_PR
NEW met1 ( 51600 160395 ) M1M2_PR
NEW met1 ( 57360 155955 ) M1M2_PR
NEW met1 ( 57360 158175 ) M1M2_PR
NEW met1 ( 57360 158175 ) RECT ( -595 -70 0 70 ) ;
- net64 ( ANTENNA_XOR2X1_Y DIODE ) ( ANTENNA_output64_A DIODE ) ( output64 A ) ( XOR2X1 Y ) + USE SIGNAL
+ ROUTED met1 ( 116880 14615 ) ( 117360 * )
NEW met2 ( 117360 14615 ) ( * 22755 )
NEW met2 ( 117360 22755 ) ( * 162615 )
NEW met1 ( 49925 169275 0 ) ( 51600 * )
NEW met1 ( 64800 162615 ) ( 117360 * )
NEW met1 ( 51600 165575 ) ( 58320 * )
NEW met1 ( 64800 162245 ) ( * 162615 )
NEW met1 ( 57360 162245 ) ( 64800 * )
NEW met2 ( 57360 162245 ) ( * 165575 )
NEW met2 ( 51600 165575 ) ( * 169275 )
NEW li1 ( 117360 22755 ) L1M1_PR_MR
NEW met1 ( 117360 22755 ) M1M2_PR
NEW li1 ( 116880 14615 ) L1M1_PR_MR
NEW met1 ( 117360 14615 ) M1M2_PR
NEW met1 ( 117360 162615 ) M1M2_PR
NEW met1 ( 51600 169275 ) M1M2_PR
NEW li1 ( 58320 165575 ) L1M1_PR_MR
NEW met1 ( 51600 165575 ) M1M2_PR
NEW met1 ( 57360 162245 ) M1M2_PR
NEW met1 ( 57360 165575 ) M1M2_PR
NEW met1 ( 117360 22755 ) RECT ( -355 -70 0 70 )
NEW met1 ( 57360 165575 ) RECT ( -595 -70 0 70 ) ;
- net65 ( ANTENNA_NAND3X1_Y DIODE ) ( ANTENNA_output65_A DIODE ) ( output65 A ) ( NAND3X1 Y ) + USE SIGNAL
+ ROUTED met1 ( 70320 14615 ) ( 71760 * )
NEW met2 ( 70320 14615 ) ( * 22755 )
NEW met1 ( 67920 22755 ) ( 70320 * )
NEW met2 ( 67920 22755 ) ( * 123765 )
NEW met2 ( 54000 122285 ) ( * 123765 )
NEW met1 ( 49200 122285 ) ( 54000 * )
NEW met1 ( 49200 122285 ) ( * 122655 )
NEW met1 ( 47760 122655 ) ( 49200 * )
NEW met1 ( 47760 122285 0 ) ( * 122655 )
NEW met1 ( 54000 123765 ) ( 67920 * )
NEW li1 ( 67920 22755 ) L1M1_PR_MR
NEW met1 ( 67920 22755 ) M1M2_PR
NEW li1 ( 71760 14615 ) L1M1_PR_MR
NEW met1 ( 70320 14615 ) M1M2_PR
NEW met1 ( 70320 22755 ) M1M2_PR
NEW met1 ( 67920 123765 ) M1M2_PR
NEW li1 ( 54000 123765 ) L1M1_PR_MR
NEW met1 ( 54000 123765 ) M1M2_PR
NEW met1 ( 54000 122285 ) M1M2_PR
NEW met1 ( 67920 22755 ) RECT ( -355 -70 0 70 )
NEW met1 ( 54000 123765 ) RECT ( -355 -70 0 70 ) ;
- net66 ( ANTENNA_NOR2X1_Y DIODE ) ( ANTENNA_output66_A DIODE ) ( output66 A ) ( NOR2X1 Y ) + USE SIGNAL
+ ROUTED met1 ( 76080 27195 ) ( 77040 * )
NEW met2 ( 77040 14615 ) ( * 27195 )
NEW met1 ( 75120 27195 ) ( 76080 * )
NEW met2 ( 75120 27195 ) ( * 130795 )
NEW met1 ( 47280 127095 0 ) ( * 128945 )
NEW met1 ( 47280 128945 ) ( 52080 * )
NEW met2 ( 52080 128945 ) ( * 130795 )
NEW met1 ( 52080 130795 ) ( 75120 * )
NEW li1 ( 76080 27195 ) L1M1_PR_MR
NEW met1 ( 77040 27195 ) M1M2_PR
NEW li1 ( 77040 14615 ) L1M1_PR_MR
NEW met1 ( 77040 14615 ) M1M2_PR
NEW met1 ( 75120 27195 ) M1M2_PR
NEW met1 ( 75120 130795 ) M1M2_PR
NEW li1 ( 52080 130795 ) L1M1_PR_MR
NEW met1 ( 52080 128945 ) M1M2_PR
NEW met1 ( 52080 130795 ) M1M2_PR
NEW met1 ( 77040 14615 ) RECT ( -355 -70 0 70 )
NEW met1 ( 52080 130795 ) RECT ( -595 -70 0 70 ) ;
- net7 ( ANTENNA_BUFX4_A DIODE ) ( input7 X ) ( BUFX4 A ) + USE SIGNAL
+ ROUTED met2 ( 138000 60865 ) ( * 283605 )
NEW met1 ( 46565 61050 0 ) ( 46800 * )
NEW met1 ( 46800 61050 ) ( * 61235 )
NEW met1 ( 46800 61235 ) ( 47280 * )
NEW li1 ( 47280 61235 ) ( * 62345 )
NEW met1 ( 47280 62345 ) ( 53520 * )
NEW met1 ( 53520 60865 ) ( * 62345 )
NEW met1 ( 53520 60865 ) ( 138000 * )
NEW met1 ( 138000 60865 ) M1M2_PR
NEW li1 ( 138000 283605 ) L1M1_PR_MR
NEW met1 ( 138000 283605 ) M1M2_PR
NEW li1 ( 53520 60865 ) L1M1_PR_MR
NEW li1 ( 47280 61235 ) L1M1_PR_MR
NEW li1 ( 47280 62345 ) L1M1_PR_MR
NEW met1 ( 138000 283605 ) RECT ( -355 -70 0 70 ) ;
- net8 ( ANTENNA_CLKBUF1_A DIODE ) ( input8 X ) ( CLKBUF1 A ) + USE SIGNAL
+ ROUTED met2 ( 152400 67155 ) ( * 283605 )
NEW met2 ( 60240 69375 ) ( * 70485 )
NEW met1 ( 47280 70485 ) ( 60240 * )
NEW met2 ( 47280 69375 ) ( * 70485 )
NEW li1 ( 61200 67155 ) ( * 69375 )
NEW met1 ( 60240 69375 ) ( 61200 * )
NEW met1 ( 61200 67155 ) ( 152400 * )
NEW met1 ( 152400 67155 ) M1M2_PR
NEW li1 ( 152400 283605 ) L1M1_PR_MR
NEW met1 ( 152400 283605 ) M1M2_PR
NEW li1 ( 60240 69375 ) L1M1_PR_MR
NEW met1 ( 60240 69375 ) M1M2_PR
NEW met1 ( 60240 70485 ) M1M2_PR
NEW met1 ( 47280 70485 ) M1M2_PR
NEW met1 ( 47280 69375 ) M1M2_PR
NEW li1 ( 61200 67155 ) L1M1_PR_MR
NEW li1 ( 61200 69375 ) L1M1_PR_MR
NEW met1 ( 152400 283605 ) RECT ( -355 -70 0 70 )
NEW met1 ( 60240 69375 ) RECT ( -355 -70 0 70 ) ;
- net9 ( ANTENNA_AND2X1_B DIODE ) ( input9 X ) ( AND2X1 B ) + USE SIGNAL
+ ROUTED met1 ( 13680 26085 ) ( 43440 * )
NEW met2 ( 48000 27750 ) ( * 27935 )
NEW met2 ( 48000 27750 ) ( 48240 * )
NEW met2 ( 48240 26085 ) ( * 27750 )
NEW met1 ( 43440 26085 ) ( 48240 * )
NEW met2 ( 13680 26085 ) ( * 283605 )
NEW li1 ( 13680 283605 ) L1M1_PR_MR
NEW met1 ( 13680 283605 ) M1M2_PR
NEW li1 ( 43440 26085 ) L1M1_PR_MR
NEW met1 ( 13680 26085 ) M1M2_PR
NEW met1 ( 48000 27935 ) M1M2_PR_MR
NEW met1 ( 48240 26085 ) M1M2_PR
NEW met1 ( 13680 283605 ) RECT ( -355 -70 0 70 ) ;
- wb_clk_i ( PIN wb_clk_i ) + USE SIGNAL ;
- wb_rst_i ( PIN wb_rst_i ) + USE SIGNAL ;
- wbs_ack_o ( PIN wbs_ack_o ) ( _182_ LO ) + USE SIGNAL
+ ROUTED met2 ( 1200 3330 0 ) ( * 18315 )
NEW met1 ( 1200 18315 ) ( 6960 * )
NEW met2 ( 6960 18315 ) ( * 177045 )
NEW met1 ( 6960 177045 ) ( 183600 * )
NEW met1 ( 1200 18315 ) M1M2_PR
NEW met1 ( 6960 18315 ) M1M2_PR
NEW met1 ( 6960 177045 ) M1M2_PR
NEW li1 ( 183600 177045 ) L1M1_PR_MR ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) + USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) + USE SIGNAL ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) + USE SIGNAL ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) + USE SIGNAL ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) + USE SIGNAL ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) + USE SIGNAL ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) + USE SIGNAL ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) + USE SIGNAL ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) + USE SIGNAL ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) + USE SIGNAL ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) + USE SIGNAL ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) + USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) + USE SIGNAL ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) + USE SIGNAL ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) + USE SIGNAL ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) + USE SIGNAL ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) + USE SIGNAL ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) + USE SIGNAL ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) + USE SIGNAL ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) + USE SIGNAL ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) + USE SIGNAL ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) + USE SIGNAL ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) + USE SIGNAL ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) + USE SIGNAL ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) + USE SIGNAL ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) + USE SIGNAL ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) + USE SIGNAL ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) + USE SIGNAL ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) + USE SIGNAL ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) + USE SIGNAL ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) + USE SIGNAL ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) + USE SIGNAL ;
- wbs_cyc_i ( PIN wbs_cyc_i ) + USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) + USE SIGNAL ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) + USE SIGNAL ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) + USE SIGNAL ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) + USE SIGNAL ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) + USE SIGNAL ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) + USE SIGNAL ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) + USE SIGNAL ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) + USE SIGNAL ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) + USE SIGNAL ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) + USE SIGNAL ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) + USE SIGNAL ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) + USE SIGNAL ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) + USE SIGNAL ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) + USE SIGNAL ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) + USE SIGNAL ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) + USE SIGNAL ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) + USE SIGNAL ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) + USE SIGNAL ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) + USE SIGNAL ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) + USE SIGNAL ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) + USE SIGNAL ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) + USE SIGNAL ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) + USE SIGNAL ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) + USE SIGNAL ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) + USE SIGNAL ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) + USE SIGNAL ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) + USE SIGNAL ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) + USE SIGNAL ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) + USE SIGNAL ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) + USE SIGNAL ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) + USE SIGNAL ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) + USE SIGNAL ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( _183_ LO ) + USE SIGNAL
+ ROUTED met2 ( 5040 3330 0 ) ( * 36000 )
NEW met2 ( 5040 36000 ) ( 6480 * )
NEW met2 ( 6480 36000 ) ( * 117105 )
NEW met1 ( 6480 117105 ) ( 14400 * )
NEW met1 ( 14400 117105 ) ( * 117475 )
NEW met1 ( 14400 117475 ) ( 71280 * )
NEW met1 ( 6480 117105 ) M1M2_PR
NEW li1 ( 71280 117475 ) L1M1_PR_MR ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( _193_ LO ) + USE SIGNAL
+ ROUTED met1 ( 25680 17945 ) ( 57840 * )
NEW met2 ( 57840 17945 ) ( * 30525 )
NEW met2 ( 25680 3330 0 ) ( * 17945 )
NEW met1 ( 57840 30525 ) ( 91920 * )
NEW li1 ( 91920 30525 ) L1M1_PR_MR
NEW met1 ( 25680 17945 ) M1M2_PR
NEW met1 ( 57840 17945 ) M1M2_PR
NEW met1 ( 57840 30525 ) M1M2_PR ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( _194_ LO ) + USE SIGNAL
+ ROUTED met1 ( 28560 282125 ) ( 75120 * )
NEW met2 ( 27600 3330 0 ) ( * 14400 )
NEW met2 ( 27600 14400 ) ( 28560 * )
NEW met2 ( 28560 14400 ) ( * 282125 )
NEW met1 ( 28560 282125 ) M1M2_PR
NEW li1 ( 75120 282125 ) L1M1_PR_MR ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( _195_ LO ) + USE SIGNAL
+ ROUTED met1 ( 34800 104895 ) ( 234960 * )
NEW met1 ( 29040 21275 ) ( 34800 * )
NEW met2 ( 29040 3330 0 ) ( * 21275 )
NEW met2 ( 34800 21275 ) ( * 104895 )
NEW li1 ( 234960 104895 ) L1M1_PR_MR
NEW met1 ( 34800 104895 ) M1M2_PR
NEW met1 ( 29040 21275 ) M1M2_PR
NEW met1 ( 34800 21275 ) M1M2_PR ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( _196_ LO ) + USE SIGNAL
+ ROUTED met2 ( 103920 125985 ) ( * 127835 )
NEW met1 ( 30960 15725 ) ( 35280 * )
NEW met2 ( 30960 3330 0 ) ( * 15725 )
NEW met1 ( 64800 125985 ) ( 103920 * )
NEW met1 ( 35280 125615 ) ( 64800 * )
NEW met1 ( 64800 125615 ) ( * 125985 )
NEW met2 ( 35280 15725 ) ( * 125615 )
NEW met1 ( 103920 125985 ) M1M2_PR
NEW li1 ( 103920 127835 ) L1M1_PR_MR
NEW met1 ( 103920 127835 ) M1M2_PR
NEW met1 ( 30960 15725 ) M1M2_PR
NEW met1 ( 35280 15725 ) M1M2_PR
NEW met1 ( 35280 125615 ) M1M2_PR
NEW met1 ( 103920 127835 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( _197_ LO ) + USE SIGNAL
+ ROUTED met1 ( 134160 29415 ) ( 134640 * )
NEW met2 ( 134160 29415 ) ( * 31635 )
NEW met2 ( 32880 3330 0 ) ( * 31635 )
NEW met1 ( 32880 31635 ) ( 134160 * )
NEW li1 ( 134640 29415 ) L1M1_PR_MR
NEW met1 ( 134160 29415 ) M1M2_PR
NEW met1 ( 134160 31635 ) M1M2_PR
NEW met1 ( 32880 31635 ) M1M2_PR ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( _198_ LO ) + USE SIGNAL
+ ROUTED met1 ( 34320 227735 ) ( 36000 * )
NEW met1 ( 36000 227735 ) ( * 228105 )
NEW met1 ( 187200 227735 ) ( 195120 * )
NEW met1 ( 187200 227735 ) ( * 228105 )
NEW met1 ( 36000 228105 ) ( 187200 * )
NEW met2 ( 34800 3330 0 ) ( * 14400 )
NEW met2 ( 34320 14400 ) ( 34800 * )
NEW met2 ( 34320 14400 ) ( * 227735 )
NEW met1 ( 34320 227735 ) M1M2_PR
NEW li1 ( 195120 227735 ) L1M1_PR_MR ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( _199_ LO ) + USE SIGNAL
+ ROUTED met1 ( 22800 203685 ) ( 23280 * )
NEW met1 ( 23280 20905 ) ( 36720 * )
NEW met2 ( 36720 3330 0 ) ( * 20905 )
NEW met2 ( 23280 20905 ) ( * 203685 )
NEW met1 ( 23280 203685 ) M1M2_PR
NEW li1 ( 22800 203685 ) L1M1_PR_MR
NEW met1 ( 23280 20905 ) M1M2_PR
NEW met1 ( 36720 20905 ) M1M2_PR ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( _200_ LO ) + USE SIGNAL
+ ROUTED met2 ( 187920 242535 ) ( * 243645 )
NEW met1 ( 42960 242535 ) ( 187920 * )
NEW met1 ( 38160 20535 ) ( 42960 * )
NEW met2 ( 38160 3330 0 ) ( * 20535 )
NEW met2 ( 42960 20535 ) ( * 242535 )
NEW met1 ( 187920 242535 ) M1M2_PR
NEW li1 ( 187920 243645 ) L1M1_PR_MR
NEW met1 ( 187920 243645 ) M1M2_PR
NEW met1 ( 42960 242535 ) M1M2_PR
NEW met1 ( 38160 20535 ) M1M2_PR
NEW met1 ( 42960 20535 ) M1M2_PR
NEW met1 ( 187920 243645 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( _201_ LO ) + USE SIGNAL
+ ROUTED met1 ( 41040 90465 ) ( 42480 * )
NEW met1 ( 40080 21275 ) ( 42480 * )
NEW met2 ( 40080 3330 0 ) ( * 21275 )
NEW met2 ( 42480 21275 ) ( * 90465 )
NEW met2 ( 41040 90465 ) ( * 117845 )
NEW met1 ( 41040 117845 ) ( 121200 * )
NEW li1 ( 121200 117845 ) L1M1_PR_MR
NEW met1 ( 41040 90465 ) M1M2_PR
NEW met1 ( 42480 90465 ) M1M2_PR
NEW met1 ( 40080 21275 ) M1M2_PR
NEW met1 ( 42480 21275 ) M1M2_PR
NEW met1 ( 41040 117845 ) M1M2_PR ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( _202_ LO ) + USE SIGNAL
+ ROUTED met1 ( 40560 91205 ) ( 221040 * )
NEW met2 ( 42000 3330 0 ) ( * 14400 )
NEW met2 ( 40560 14400 ) ( 42000 * )
NEW met2 ( 40560 14400 ) ( * 91205 )
NEW li1 ( 221040 91205 ) L1M1_PR_MR
NEW met1 ( 40560 91205 ) M1M2_PR ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( _184_ LO ) + USE SIGNAL
+ ROUTED met2 ( 7440 3330 0 ) ( * 17945 )
NEW met1 ( 7440 17945 ) ( 12240 * )
NEW met2 ( 222000 102675 ) ( * 104525 )
NEW met2 ( 12240 17945 ) ( * 104525 )
NEW met1 ( 12240 104525 ) ( 222000 * )
NEW met1 ( 7440 17945 ) M1M2_PR
NEW met1 ( 12240 17945 ) M1M2_PR
NEW met1 ( 12240 104525 ) M1M2_PR
NEW li1 ( 222000 102675 ) L1M1_PR_MR
NEW met1 ( 222000 102675 ) M1M2_PR
NEW met1 ( 222000 104525 ) M1M2_PR
NEW met1 ( 222000 102675 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( _203_ LO ) + USE SIGNAL
+ ROUTED met1 ( 64800 70485 ) ( 268560 * )
NEW met1 ( 43920 70855 ) ( 64800 * )
NEW met1 ( 64800 70485 ) ( * 70855 )
NEW met2 ( 43920 3330 0 ) ( * 70855 )
NEW li1 ( 268560 70485 ) L1M1_PR_MR
NEW met1 ( 43920 70855 ) M1M2_PR ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( _204_ LO ) + USE SIGNAL
+ ROUTED met1 ( 45840 19425 ) ( 51600 * )
NEW met2 ( 51600 19425 ) ( * 23865 )
NEW met2 ( 45840 3330 0 ) ( * 19425 )
NEW met1 ( 51600 23865 ) ( 74640 * )
NEW li1 ( 74640 23865 ) L1M1_PR_MR
NEW met1 ( 45840 19425 ) M1M2_PR
NEW met1 ( 51600 19425 ) M1M2_PR
NEW met1 ( 51600 23865 ) M1M2_PR ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( _205_ LO ) + USE SIGNAL
+ ROUTED met1 ( 49200 214785 ) ( 86400 * )
NEW met1 ( 86400 214415 ) ( * 214785 )
NEW met1 ( 86400 214415 ) ( 182160 * )
NEW met2 ( 49200 187200 ) ( * 214785 )
NEW met2 ( 48720 187200 ) ( 49200 * )
NEW met2 ( 47280 41810 ) ( 47760 * )
NEW met2 ( 47760 41810 ) ( * 64800 )
NEW met2 ( 47760 64800 ) ( 48720 * )
NEW met2 ( 47280 3330 0 ) ( * 41810 )
NEW met2 ( 48720 64800 ) ( * 187200 )
NEW met1 ( 49200 214785 ) M1M2_PR
NEW li1 ( 182160 214415 ) L1M1_PR_MR ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( _206_ LO ) + USE SIGNAL
+ ROUTED met2 ( 66480 56055 ) ( * 57165 )
NEW met1 ( 46800 21275 ) ( 49200 * )
NEW met2 ( 46800 21275 ) ( * 55685 )
NEW met1 ( 46800 55685 ) ( 47760 * )
NEW met1 ( 47760 55685 ) ( * 56055 )
NEW met2 ( 49200 3330 0 ) ( * 21275 )
NEW met1 ( 47760 56055 ) ( 66480 * )
NEW met1 ( 66480 56055 ) M1M2_PR
NEW li1 ( 66480 57165 ) L1M1_PR_MR
NEW met1 ( 66480 57165 ) M1M2_PR
NEW met1 ( 49200 21275 ) M1M2_PR
NEW met1 ( 46800 21275 ) M1M2_PR
NEW met1 ( 46800 55685 ) M1M2_PR
NEW met1 ( 66480 57165 ) RECT ( 0 -70 355 70 ) ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( _207_ LO ) + USE SIGNAL
+ ROUTED met1 ( 101040 22015 ) ( * 22755 )
NEW met1 ( 86400 22755 ) ( 101040 * )
NEW met1 ( 86400 22385 ) ( * 22755 )
NEW met1 ( 101040 22015 ) ( 200880 * )
NEW met2 ( 51120 3330 0 ) ( * 22385 )
NEW met1 ( 51120 22385 ) ( 86400 * )
NEW li1 ( 200880 22015 ) L1M1_PR_MR
NEW met1 ( 51120 22385 ) M1M2_PR ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( _208_ LO ) + USE SIGNAL
+ ROUTED met1 ( 53040 20535 ) ( 57360 * )
NEW met2 ( 57360 20535 ) ( * 64800 )
NEW met2 ( 56880 64800 ) ( 57360 * )
NEW met2 ( 53040 3330 0 ) ( * 20535 )
NEW met2 ( 56880 64800 ) ( * 134495 )
NEW met1 ( 56880 134495 ) ( 203280 * )
NEW li1 ( 203280 134495 ) L1M1_PR_MR
NEW met1 ( 53040 20535 ) M1M2_PR
NEW met1 ( 57360 20535 ) M1M2_PR
NEW met1 ( 56880 134495 ) M1M2_PR ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( _209_ LO ) + USE SIGNAL
+ ROUTED met1 ( 56400 124135 ) ( 183120 * )
NEW met2 ( 54960 3330 0 ) ( * 25345 )
NEW met2 ( 54960 25345 ) ( 55440 * )
NEW met2 ( 55440 25345 ) ( * 40885 )
NEW met1 ( 55440 40885 ) ( 56400 * )
NEW met2 ( 56400 40885 ) ( * 124135 )
NEW li1 ( 183120 124135 ) L1M1_PR_MR
NEW met1 ( 56400 124135 ) M1M2_PR
NEW met1 ( 55440 40885 ) M1M2_PR
NEW met1 ( 56400 40885 ) M1M2_PR ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( _210_ LO ) + USE SIGNAL
+ ROUTED met1 ( 123600 230325 ) ( * 230695 )
NEW met1 ( 123600 230695 ) ( 124560 * )
NEW met1 ( 124560 230325 ) ( * 230695 )
NEW met1 ( 55440 230325 ) ( 123600 * )
NEW met1 ( 124560 230325 ) ( 162000 * )
NEW met2 ( 54480 40330 ) ( 54960 * )
NEW met2 ( 54480 21275 ) ( * 40330 )
NEW met1 ( 54480 21275 ) ( 56400 * )
NEW met2 ( 56400 3330 0 ) ( * 21275 )
NEW met2 ( 54960 40330 ) ( * 115200 )
NEW met2 ( 54960 115200 ) ( 55440 * )
NEW met2 ( 55440 115200 ) ( * 230325 )
NEW met1 ( 55440 230325 ) M1M2_PR
NEW li1 ( 162000 230325 ) L1M1_PR_MR
NEW met1 ( 54480 21275 ) M1M2_PR
NEW met1 ( 56400 21275 ) M1M2_PR ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( _211_ LO ) + USE SIGNAL
+ ROUTED met1 ( 62640 194435 ) ( 136800 * )
NEW met1 ( 136800 194435 ) ( * 194805 )
NEW met1 ( 136800 194805 ) ( 270480 * )
NEW met1 ( 58320 17945 ) ( 62640 * )
NEW met2 ( 58320 3330 0 ) ( * 17945 )
NEW met2 ( 62640 17945 ) ( * 194435 )
NEW met1 ( 62640 194435 ) M1M2_PR
NEW li1 ( 270480 194805 ) L1M1_PR_MR
NEW met1 ( 58320 17945 ) M1M2_PR
NEW met1 ( 62640 17945 ) M1M2_PR ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( _212_ LO ) + USE SIGNAL
+ ROUTED met1 ( 187200 263625 ) ( 201840 * )
NEW met1 ( 187200 263625 ) ( * 263995 )
NEW met1 ( 64560 263995 ) ( 187200 * )
NEW met1 ( 60240 21275 ) ( 64560 * )
NEW met2 ( 60240 3330 0 ) ( * 21275 )
NEW met2 ( 64560 21275 ) ( * 263995 )
NEW li1 ( 201840 263625 ) L1M1_PR_MR
NEW met1 ( 64560 263995 ) M1M2_PR
NEW met1 ( 60240 21275 ) M1M2_PR
NEW met1 ( 64560 21275 ) M1M2_PR ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( _185_ LO ) + USE SIGNAL
+ ROUTED met2 ( 9840 3330 0 ) ( * 18315 )
NEW met1 ( 9840 18315 ) ( 13200 * )
NEW met2 ( 13200 18315 ) ( * 51245 )
NEW met2 ( 274320 51985 ) ( * 54575 )
NEW met1 ( 13200 51245 ) ( 14400 * )
NEW met1 ( 14400 51245 ) ( * 51985 )
NEW met1 ( 14400 51985 ) ( 274320 * )
NEW met1 ( 9840 18315 ) M1M2_PR
NEW met1 ( 13200 18315 ) M1M2_PR
NEW met1 ( 13200 51245 ) M1M2_PR
NEW met1 ( 274320 51985 ) M1M2_PR
NEW li1 ( 274320 54575 ) L1M1_PR_MR
NEW met1 ( 274320 54575 ) M1M2_PR
NEW met1 ( 274320 54575 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( _213_ LO ) + USE SIGNAL
+ ROUTED met1 ( 20880 17205 ) ( 62160 * )
NEW met2 ( 62160 3330 0 ) ( * 17205 )
NEW met1 ( 20400 150405 ) ( 20880 * )
NEW met2 ( 20880 17205 ) ( * 150405 )
NEW met1 ( 20880 17205 ) M1M2_PR
NEW met1 ( 62160 17205 ) M1M2_PR
NEW met1 ( 20880 150405 ) M1M2_PR
NEW li1 ( 20400 150405 ) L1M1_PR_MR ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( _214_ LO ) + USE SIGNAL
+ ROUTED met1 ( 63600 227365 ) ( 136800 * )
NEW met1 ( 136800 227365 ) ( * 227735 )
NEW met1 ( 136800 227735 ) ( 140400 * )
NEW met2 ( 64080 3330 0 ) ( * 14400 )
NEW met2 ( 63600 14400 ) ( 64080 * )
NEW met2 ( 63600 14400 ) ( * 227365 )
NEW met1 ( 63600 227365 ) M1M2_PR
NEW li1 ( 140400 227735 ) L1M1_PR_MR ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( _186_ LO ) + USE SIGNAL
+ ROUTED met2 ( 12240 3330 0 ) ( * 15910 )
NEW met2 ( 12240 15910 ) ( 12720 * )
NEW met2 ( 12720 15910 ) ( * 31265 )
NEW met1 ( 12720 31265 ) ( 148560 * )
NEW met1 ( 12720 31265 ) M1M2_PR
NEW li1 ( 148560 31265 ) L1M1_PR_MR ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( _187_ LO ) + USE SIGNAL
+ ROUTED met2 ( 129840 36075 ) ( * 39035 )
NEW met2 ( 14640 3330 0 ) ( * 39035 )
NEW met1 ( 14640 39035 ) ( 129840 * )
NEW li1 ( 129840 36075 ) L1M1_PR_MR
NEW met1 ( 129840 36075 ) M1M2_PR
NEW met1 ( 129840 39035 ) M1M2_PR
NEW met1 ( 14640 39035 ) M1M2_PR
NEW met1 ( 129840 36075 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( _188_ LO ) + USE SIGNAL
+ ROUTED met1 ( 187200 183705 ) ( * 184075 )
NEW met1 ( 19440 184075 ) ( 187200 * )
NEW met1 ( 187200 183705 ) ( 276240 * )
NEW met1 ( 16560 21275 ) ( 19440 * )
NEW met2 ( 16560 3330 0 ) ( * 21275 )
NEW met2 ( 19440 21275 ) ( * 184075 )
NEW met1 ( 19440 184075 ) M1M2_PR
NEW li1 ( 276240 183705 ) L1M1_PR_MR
NEW met1 ( 16560 21275 ) M1M2_PR
NEW met1 ( 19440 21275 ) M1M2_PR ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( _189_ LO ) + USE SIGNAL
+ ROUTED met2 ( 226800 76035 ) ( * 77515 )
NEW met1 ( 64800 77515 ) ( 226800 * )
NEW met1 ( 18480 77885 ) ( 64800 * )
NEW met1 ( 64800 77515 ) ( * 77885 )
NEW met2 ( 18480 3330 0 ) ( * 77885 )
NEW li1 ( 226800 76035 ) L1M1_PR_MR
NEW met1 ( 226800 76035 ) M1M2_PR
NEW met1 ( 226800 77515 ) M1M2_PR
NEW met1 ( 18480 77885 ) M1M2_PR
NEW met1 ( 226800 76035 ) RECT ( -355 -70 0 70 ) ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( _190_ LO ) + USE SIGNAL
+ ROUTED met1 ( 19920 221075 ) ( 123120 * )
NEW met2 ( 19920 3330 0 ) ( * 221075 )
NEW met1 ( 19920 221075 ) M1M2_PR
NEW li1 ( 123120 221075 ) L1M1_PR_MR ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( _191_ LO ) + USE SIGNAL
+ ROUTED met1 ( 21840 16095 ) ( 43440 * )
NEW met2 ( 43440 16095 ) ( * 37185 )
NEW met1 ( 43440 37185 ) ( 53040 * )
NEW met2 ( 21840 3330 0 ) ( * 16095 )
NEW met1 ( 21840 16095 ) M1M2_PR
NEW met1 ( 43440 16095 ) M1M2_PR
NEW met1 ( 43440 37185 ) M1M2_PR
NEW li1 ( 53040 37185 ) L1M1_PR_MR ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( _192_ LO ) + USE SIGNAL
+ ROUTED met2 ( 8880 15355 ) ( * 36000 )
NEW met2 ( 8400 36000 ) ( 8880 * )
NEW met2 ( 8400 36000 ) ( * 86400 )
NEW met2 ( 8400 86400 ) ( 8880 * )
NEW met2 ( 8880 86400 ) ( * 181115 )
NEW met1 ( 8880 15355 ) ( 23760 * )
NEW met2 ( 23760 3330 0 ) ( * 15355 )
NEW met1 ( 8880 15355 ) M1M2_PR
NEW li1 ( 8880 181115 ) L1M1_PR_MR
NEW met1 ( 8880 181115 ) M1M2_PR
NEW met1 ( 23760 15355 ) M1M2_PR
NEW met1 ( 8880 181115 ) RECT ( -355 -70 0 70 ) ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) + USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) + USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) + USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) + USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) + USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i ) + USE SIGNAL ;
END NETS
END DESIGN