blob: 95fcc9f733bf55408f73c99b6de0a9c8cd0742ea [file] [log] [blame]
MACRO XOR2X1
CLASS CORE ;
FOREIGN XOR2X1 0 0 ;
SIZE 10.08 BY 3.33 ;
ORIGIN 0 0 ;
SYMMETRY X Y R90 ;
SITE unit ;
PIN VPWR
DIRECTION INOUT ;
USE POWER ;
SHAPE ABUTMENT ;
PORT
CLASS CORE ;
LAYER li1 ;
RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
LAYER met1 ;
RECT 0.00000000 3.09000000 10.08000000 3.57000000 ;
END
END VPWR
PIN VGND
DIRECTION INOUT ;
USE GROUND ;
SHAPE ABUTMENT ;
PORT
CLASS CORE ;
LAYER li1 ;
RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
LAYER met1 ;
RECT 0.00000000 -0.24000000 10.08000000 0.24000000 ;
END
END VGND
PIN Y
DIRECTION INOUT ;
USE SIGNAL ;
SHAPE ABUTMENT ;
PORT
CLASS CORE ;
LAYER met1 ;
RECT 4.65500000 0.44000000 4.94500000 0.73000000 ;
END
END Y
PIN A
DIRECTION INOUT ;
USE SIGNAL ;
SHAPE ABUTMENT ;
PORT
CLASS CORE ;
LAYER met1 ;
RECT 1.29500000 0.84500000 1.58500000 1.13500000 ;
RECT 4.17500000 0.84500000 4.46500000 1.13500000 ;
RECT 1.37000000 1.13500000 1.51000000 1.78000000 ;
RECT 1.29500000 1.78000000 1.58500000 1.85500000 ;
RECT 4.25000000 1.13500000 4.39000000 1.85500000 ;
RECT 5.61500000 1.78000000 5.90500000 1.85500000 ;
RECT 1.29500000 1.85500000 5.90500000 1.99500000 ;
RECT 1.29500000 1.99500000 1.58500000 2.07000000 ;
RECT 5.61500000 1.99500000 5.90500000 2.07000000 ;
END
END A
PIN B
DIRECTION INOUT ;
USE SIGNAL ;
SHAPE ABUTMENT ;
PORT
CLASS CORE ;
LAYER met1 ;
RECT 2.73500000 0.84500000 3.02500000 1.13500000 ;
RECT 2.81000000 1.13500000 2.95000000 1.38500000 ;
RECT 2.73500000 1.38500000 3.02500000 1.67500000 ;
END
END B
OBS
LAYER locali ;
RECT ( 0 3.415 ) ( 10.08 3.57 ) ;
RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
RECT ( 7.045 3.245 ) ( 7.355 3.415 ) ;
RECT ( 7.525 3.245 ) ( 7.835 3.415 ) ;
RECT ( 8.005 3.245 ) ( 8.315 3.415 ) ;
RECT ( 8.485 3.245 ) ( 8.795 3.415 ) ;
RECT ( 8.965 3.245 ) ( 9.275 3.415 ) ;
RECT ( 9.445 3.245 ) ( 9.755 3.415 ) ;
RECT ( 9.925 3.245 ) ( 10.08 3.415 ) ;
RECT ( 0 3.09 ) ( 10.08 3.245 ) ;
RECT ( 1.755 2.99 ) ( 2.085 3.09 ) ;
RECT ( 1.755 2.82 ) ( 1.835 2.99 ) ;
RECT ( 2.005 2.82 ) ( 2.085 2.99 ) ;
RECT ( 7.515 2.99 ) ( 7.845 3.09 ) ;
RECT ( 1.755 2.74 ) ( 2.085 2.82 ) ;
RECT ( 7.515 2.82 ) ( 7.595 2.99 ) ;
RECT ( 7.765 2.82 ) ( 7.845 2.99 ) ;
RECT ( 7.515 2.74 ) ( 7.845 2.82 ) ;
RECT ( 0.555 2.425 ) ( 0.885 2.505 ) ;
RECT ( 0.555 2.255 ) ( 0.635 2.425 ) ;
RECT ( 0.805 2.255 ) ( 0.885 2.425 ) ;
RECT ( 0.555 2.175 ) ( 0.885 2.255 ) ;
RECT ( 2.795 2.09 ) ( 2.965 2.66 ) ;
RECT ( 4.635 2.425 ) ( 4.965 2.505 ) ;
RECT ( 4.635 2.26 ) ( 4.715 2.425 ) ;
RECT ( 4.235 2.09 ) ( 4.405 2.255 ) ;
RECT ( 4.655 2.255 ) ( 4.715 2.26 ) ;
RECT ( 4.885 2.255 ) ( 4.965 2.425 ) ;
RECT ( 8.955 2.425 ) ( 9.285 2.505 ) ;
RECT ( 8.955 2.26 ) ( 9.035 2.425 ) ;
RECT ( 4.655 2.175 ) ( 4.965 2.255 ) ;
RECT ( 8.975 2.255 ) ( 9.035 2.26 ) ;
RECT ( 9.205 2.255 ) ( 9.285 2.425 ) ;
RECT ( 8.975 2.175 ) ( 9.285 2.255 ) ;
RECT ( 1.275 2.01 ) ( 1.605 2.09 ) ;
RECT ( 1.275 1.84 ) ( 1.355 2.01 ) ;
RECT ( 1.525 1.84 ) ( 1.605 2.01 ) ;
RECT ( 1.275 1.76 ) ( 1.605 1.84 ) ;
RECT ( 2.715 2.01 ) ( 3.045 2.09 ) ;
RECT ( 2.715 1.84 ) ( 2.795 2.01 ) ;
RECT ( 2.965 1.84 ) ( 3.045 2.01 ) ;
RECT ( 2.715 1.76 ) ( 3.045 1.84 ) ;
RECT ( 4.155 2.01 ) ( 4.485 2.09 ) ;
RECT ( 4.155 1.84 ) ( 4.235 2.01 ) ;
RECT ( 4.405 1.84 ) ( 4.485 2.01 ) ;
RECT ( 4.155 1.76 ) ( 4.485 1.84 ) ;
RECT ( 2.795 1.615 ) ( 2.965 1.76 ) ;
RECT ( 1.275 1.075 ) ( 1.605 1.155 ) ;
RECT ( 1.275 0.905 ) ( 1.355 1.075 ) ;
RECT ( 1.525 0.905 ) ( 1.605 1.075 ) ;
RECT ( 1.275 0.825 ) ( 1.605 0.905 ) ;
RECT ( 2.715 1.075 ) ( 3.045 1.155 ) ;
RECT ( 2.715 0.905 ) ( 2.795 1.075 ) ;
RECT ( 2.965 0.905 ) ( 3.045 1.075 ) ;
RECT ( 2.715 0.825 ) ( 3.045 0.905 ) ;
RECT ( 4.155 1.075 ) ( 4.485 1.155 ) ;
RECT ( 4.155 0.905 ) ( 4.235 1.075 ) ;
RECT ( 4.405 0.92 ) ( 4.485 1.075 ) ;
RECT ( 4.405 0.905 ) ( 4.465 0.92 ) ;
RECT ( 4.155 0.825 ) ( 4.465 0.905 ) ;
RECT ( 4.715 0.75 ) ( 4.885 2.175 ) ;
RECT ( 5.595 2.01 ) ( 5.925 2.09 ) ;
RECT ( 5.595 1.84 ) ( 5.675 2.01 ) ;
RECT ( 5.845 1.84 ) ( 5.925 2.01 ) ;
RECT ( 5.595 1.76 ) ( 5.925 1.84 ) ;
RECT ( 7.035 2.01 ) ( 7.365 2.09 ) ;
RECT ( 7.035 1.84 ) ( 7.115 2.01 ) ;
RECT ( 7.285 1.84 ) ( 7.365 2.01 ) ;
RECT ( 7.035 1.76 ) ( 7.365 1.84 ) ;
RECT ( 8.475 2.01 ) ( 8.805 2.09 ) ;
RECT ( 8.475 1.84 ) ( 8.555 2.01 ) ;
RECT ( 8.725 1.84 ) ( 8.805 2.01 ) ;
RECT ( 8.475 1.76 ) ( 8.805 1.84 ) ;
RECT ( 8.555 1.155 ) ( 8.725 1.76 ) ;
RECT ( 5.595 1.075 ) ( 5.925 1.155 ) ;
RECT ( 5.595 0.905 ) ( 5.675 1.075 ) ;
RECT ( 5.845 0.905 ) ( 5.925 1.075 ) ;
RECT ( 5.595 0.825 ) ( 5.925 0.905 ) ;
RECT ( 7.035 1.075 ) ( 7.365 1.155 ) ;
RECT ( 7.035 0.905 ) ( 7.115 1.075 ) ;
RECT ( 7.285 0.905 ) ( 7.365 1.075 ) ;
RECT ( 7.035 0.825 ) ( 7.365 0.905 ) ;
RECT ( 8.475 1.075 ) ( 8.805 1.155 ) ;
RECT ( 8.475 0.905 ) ( 8.555 1.075 ) ;
RECT ( 8.725 0.905 ) ( 8.805 1.075 ) ;
RECT ( 8.475 0.825 ) ( 8.805 0.905 ) ;
RECT ( 0.555 0.67 ) ( 0.885 0.75 ) ;
RECT ( 0.555 0.5 ) ( 0.635 0.67 ) ;
RECT ( 0.805 0.5 ) ( 0.885 0.67 ) ;
RECT ( 4.635 0.67 ) ( 4.965 0.75 ) ;
RECT ( 0.555 0.42 ) ( 0.885 0.5 ) ;
RECT ( 1.755 0.51 ) ( 2.085 0.59 ) ;
RECT ( 1.755 0.34 ) ( 1.835 0.51 ) ;
RECT ( 2.005 0.34 ) ( 2.085 0.51 ) ;
RECT ( 4.635 0.5 ) ( 4.715 0.67 ) ;
RECT ( 4.885 0.5 ) ( 4.965 0.67 ) ;
RECT ( 8.975 0.67 ) ( 9.285 0.75 ) ;
RECT ( 8.975 0.655 ) ( 9.035 0.67 ) ;
RECT ( 4.635 0.42 ) ( 4.965 0.5 ) ;
RECT ( 7.515 0.51 ) ( 7.845 0.59 ) ;
RECT ( 1.755 0.24 ) ( 2.085 0.34 ) ;
RECT ( 7.515 0.34 ) ( 7.595 0.51 ) ;
RECT ( 7.765 0.34 ) ( 7.845 0.51 ) ;
RECT ( 8.955 0.5 ) ( 9.035 0.655 ) ;
RECT ( 9.205 0.5 ) ( 9.285 0.67 ) ;
RECT ( 8.955 0.42 ) ( 9.285 0.5 ) ;
RECT ( 7.515 0.24 ) ( 7.845 0.34 ) ;
RECT ( 0 0.085 ) ( 10.08 0.24 ) ;
RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
RECT ( 7.045 -0.085 ) ( 7.355 0.085 ) ;
RECT ( 7.525 -0.085 ) ( 7.835 0.085 ) ;
RECT ( 8.005 -0.085 ) ( 8.315 0.085 ) ;
RECT ( 8.485 -0.085 ) ( 8.795 0.085 ) ;
RECT ( 8.965 -0.085 ) ( 9.275 0.085 ) ;
RECT ( 9.445 -0.085 ) ( 9.755 0.085 ) ;
RECT ( 9.925 -0.085 ) ( 10.08 0.085 ) ;
RECT ( 0 -0.24 ) ( 10.08 -0.085 ) ;
LAYER metal1 ;
RECT ( 0 3.415 ) ( 10.08 3.57 ) ;
RECT ( 0 3.245 ) ( 0.155 3.415 ) ;
RECT ( 0.325 3.245 ) ( 0.635 3.415 ) ;
RECT ( 0.805 3.245 ) ( 1.115 3.415 ) ;
RECT ( 1.285 3.245 ) ( 1.595 3.415 ) ;
RECT ( 1.765 3.245 ) ( 2.075 3.415 ) ;
RECT ( 2.245 3.245 ) ( 2.555 3.415 ) ;
RECT ( 2.725 3.245 ) ( 3.035 3.415 ) ;
RECT ( 3.205 3.245 ) ( 3.515 3.415 ) ;
RECT ( 3.685 3.245 ) ( 3.995 3.415 ) ;
RECT ( 4.165 3.245 ) ( 4.475 3.415 ) ;
RECT ( 4.645 3.245 ) ( 4.955 3.415 ) ;
RECT ( 5.125 3.245 ) ( 5.435 3.415 ) ;
RECT ( 5.605 3.245 ) ( 5.915 3.415 ) ;
RECT ( 6.085 3.245 ) ( 6.395 3.415 ) ;
RECT ( 6.565 3.245 ) ( 6.875 3.415 ) ;
RECT ( 7.045 3.245 ) ( 7.355 3.415 ) ;
RECT ( 7.525 3.245 ) ( 7.835 3.415 ) ;
RECT ( 8.005 3.245 ) ( 8.315 3.415 ) ;
RECT ( 8.485 3.245 ) ( 8.795 3.415 ) ;
RECT ( 8.965 3.245 ) ( 9.275 3.415 ) ;
RECT ( 9.445 3.245 ) ( 9.755 3.415 ) ;
RECT ( 9.925 3.245 ) ( 10.08 3.415 ) ;
RECT ( 0 3.09 ) ( 10.08 3.245 ) ;
RECT ( 1.775 2.99 ) ( 2.065 3.09 ) ;
RECT ( 1.775 2.82 ) ( 1.835 2.99 ) ;
RECT ( 2.005 2.82 ) ( 2.065 2.99 ) ;
RECT ( 1.775 2.76 ) ( 2.065 2.82 ) ;
RECT ( 2.735 2.83 ) ( 3.025 2.89 ) ;
RECT ( 2.735 2.66 ) ( 2.795 2.83 ) ;
RECT ( 2.965 2.815 ) ( 3.025 2.83 ) ;
RECT ( 2.965 2.675 ) ( 8.71 2.815 ) ;
RECT ( 2.965 2.66 ) ( 3.025 2.675 ) ;
RECT ( 2.735 2.6 ) ( 3.025 2.66 ) ;
RECT ( 0.575 2.425 ) ( 0.865 2.485 ) ;
RECT ( 0.575 2.255 ) ( 0.635 2.425 ) ;
RECT ( 0.805 2.41 ) ( 0.865 2.425 ) ;
RECT ( 4.175 2.425 ) ( 4.465 2.485 ) ;
RECT ( 4.175 2.41 ) ( 4.235 2.425 ) ;
RECT ( 0.805 2.27 ) ( 4.235 2.41 ) ;
RECT ( 0.805 2.255 ) ( 0.865 2.27 ) ;
RECT ( 0.575 2.195 ) ( 0.865 2.255 ) ;
RECT ( 4.175 2.255 ) ( 4.235 2.27 ) ;
RECT ( 4.405 2.41 ) ( 4.465 2.425 ) ;
RECT ( 4.405 2.27 ) ( 6.31 2.41 ) ;
RECT ( 4.405 2.255 ) ( 4.465 2.27 ) ;
RECT ( 4.175 2.195 ) ( 4.465 2.255 ) ;
RECT ( 0.65 0.73 ) ( 0.79 2.195 ) ;
RECT ( 1.295 2.01 ) ( 1.585 2.07 ) ;
RECT ( 1.295 1.84 ) ( 1.355 2.01 ) ;
RECT ( 1.525 1.995 ) ( 1.585 2.01 ) ;
RECT ( 5.615 2.01 ) ( 5.905 2.07 ) ;
RECT ( 5.615 1.995 ) ( 5.675 2.01 ) ;
RECT ( 1.525 1.855 ) ( 5.675 1.995 ) ;
RECT ( 1.525 1.84 ) ( 1.585 1.855 ) ;
RECT ( 1.295 1.78 ) ( 1.585 1.84 ) ;
RECT ( 1.37 1.135 ) ( 1.51 1.78 ) ;
RECT ( 2.735 1.615 ) ( 3.025 1.675 ) ;
RECT ( 2.735 1.445 ) ( 2.795 1.615 ) ;
RECT ( 2.965 1.445 ) ( 3.025 1.615 ) ;
RECT ( 2.735 1.385 ) ( 3.025 1.445 ) ;
RECT ( 2.81 1.135 ) ( 2.95 1.385 ) ;
RECT ( 4.25 1.135 ) ( 4.39 1.855 ) ;
RECT ( 5.615 1.84 ) ( 5.675 1.855 ) ;
RECT ( 5.845 1.84 ) ( 5.905 2.01 ) ;
RECT ( 5.615 1.78 ) ( 5.905 1.84 ) ;
RECT ( 1.295 1.075 ) ( 1.585 1.135 ) ;
RECT ( 1.295 0.905 ) ( 1.355 1.075 ) ;
RECT ( 1.525 0.905 ) ( 1.585 1.075 ) ;
RECT ( 1.295 0.845 ) ( 1.585 0.905 ) ;
RECT ( 2.735 1.075 ) ( 3.025 1.135 ) ;
RECT ( 2.735 0.905 ) ( 2.795 1.075 ) ;
RECT ( 2.965 0.905 ) ( 3.025 1.075 ) ;
RECT ( 2.735 0.845 ) ( 3.025 0.905 ) ;
RECT ( 4.175 1.075 ) ( 4.465 1.135 ) ;
RECT ( 4.175 0.905 ) ( 4.235 1.075 ) ;
RECT ( 4.405 0.905 ) ( 4.465 1.075 ) ;
RECT ( 4.175 0.845 ) ( 4.465 0.905 ) ;
RECT ( 5.615 1.075 ) ( 5.905 1.135 ) ;
RECT ( 5.615 0.905 ) ( 5.675 1.075 ) ;
RECT ( 5.845 1.06 ) ( 5.905 1.075 ) ;
RECT ( 6.17 1.06 ) ( 6.31 2.27 ) ;
RECT ( 8.57 2.07 ) ( 8.71 2.675 ) ;
RECT ( 8.975 2.425 ) ( 9.265 2.485 ) ;
RECT ( 8.975 2.255 ) ( 9.035 2.425 ) ;
RECT ( 9.205 2.255 ) ( 9.265 2.425 ) ;
RECT ( 8.975 2.195 ) ( 9.265 2.255 ) ;
RECT ( 7.055 2.01 ) ( 7.345 2.07 ) ;
RECT ( 7.055 1.84 ) ( 7.115 2.01 ) ;
RECT ( 7.285 1.84 ) ( 7.345 2.01 ) ;
RECT ( 7.055 1.78 ) ( 7.345 1.84 ) ;
RECT ( 8.495 2.01 ) ( 8.785 2.07 ) ;
RECT ( 8.495 1.84 ) ( 8.555 2.01 ) ;
RECT ( 8.725 1.84 ) ( 8.785 2.01 ) ;
RECT ( 8.495 1.78 ) ( 8.785 1.84 ) ;
RECT ( 7.13 1.135 ) ( 7.27 1.78 ) ;
RECT ( 5.845 0.92 ) ( 6.31 1.06 ) ;
RECT ( 7.055 1.075 ) ( 7.345 1.135 ) ;
RECT ( 5.845 0.905 ) ( 5.905 0.92 ) ;
RECT ( 5.615 0.845 ) ( 5.905 0.905 ) ;
RECT ( 7.055 0.905 ) ( 7.115 1.075 ) ;
RECT ( 7.285 1.06 ) ( 7.345 1.075 ) ;
RECT ( 9.05 1.06 ) ( 9.19 2.195 ) ;
RECT ( 7.285 0.92 ) ( 9.19 1.06 ) ;
RECT ( 7.285 0.905 ) ( 7.345 0.92 ) ;
RECT ( 7.055 0.845 ) ( 7.345 0.905 ) ;
RECT ( 9.05 0.73 ) ( 9.19 0.92 ) ;
RECT ( 0.575 0.67 ) ( 0.865 0.73 ) ;
RECT ( 0.575 0.5 ) ( 0.635 0.67 ) ;
RECT ( 0.805 0.5 ) ( 0.865 0.67 ) ;
RECT ( 4.655 0.67 ) ( 4.945 0.73 ) ;
RECT ( 0.575 0.44 ) ( 0.865 0.5 ) ;
RECT ( 1.775 0.51 ) ( 2.065 0.57 ) ;
RECT ( 1.775 0.34 ) ( 1.835 0.51 ) ;
RECT ( 2.005 0.34 ) ( 2.065 0.51 ) ;
RECT ( 4.655 0.5 ) ( 4.715 0.67 ) ;
RECT ( 4.885 0.5 ) ( 4.945 0.67 ) ;
RECT ( 8.975 0.67 ) ( 9.265 0.73 ) ;
RECT ( 4.655 0.44 ) ( 4.945 0.5 ) ;
RECT ( 7.535 0.51 ) ( 7.825 0.57 ) ;
RECT ( 1.775 0.24 ) ( 2.065 0.34 ) ;
RECT ( 7.535 0.34 ) ( 7.595 0.51 ) ;
RECT ( 7.765 0.34 ) ( 7.825 0.51 ) ;
RECT ( 8.975 0.5 ) ( 9.035 0.67 ) ;
RECT ( 9.205 0.5 ) ( 9.265 0.67 ) ;
RECT ( 8.975 0.44 ) ( 9.265 0.5 ) ;
RECT ( 7.535 0.24 ) ( 7.825 0.34 ) ;
RECT ( 0 0.085 ) ( 10.08 0.24 ) ;
RECT ( 0 -0.085 ) ( 0.155 0.085 ) ;
RECT ( 0.325 -0.085 ) ( 0.635 0.085 ) ;
RECT ( 0.805 -0.085 ) ( 1.115 0.085 ) ;
RECT ( 1.285 -0.085 ) ( 1.595 0.085 ) ;
RECT ( 1.765 -0.085 ) ( 2.075 0.085 ) ;
RECT ( 2.245 -0.085 ) ( 2.555 0.085 ) ;
RECT ( 2.725 -0.085 ) ( 3.035 0.085 ) ;
RECT ( 3.205 -0.085 ) ( 3.515 0.085 ) ;
RECT ( 3.685 -0.085 ) ( 3.995 0.085 ) ;
RECT ( 4.165 -0.085 ) ( 4.475 0.085 ) ;
RECT ( 4.645 -0.085 ) ( 4.955 0.085 ) ;
RECT ( 5.125 -0.085 ) ( 5.435 0.085 ) ;
RECT ( 5.605 -0.085 ) ( 5.915 0.085 ) ;
RECT ( 6.085 -0.085 ) ( 6.395 0.085 ) ;
RECT ( 6.565 -0.085 ) ( 6.875 0.085 ) ;
RECT ( 7.045 -0.085 ) ( 7.355 0.085 ) ;
RECT ( 7.525 -0.085 ) ( 7.835 0.085 ) ;
RECT ( 8.005 -0.085 ) ( 8.315 0.085 ) ;
RECT ( 8.485 -0.085 ) ( 8.795 0.085 ) ;
RECT ( 8.965 -0.085 ) ( 9.275 0.085 ) ;
RECT ( 9.445 -0.085 ) ( 9.755 0.085 ) ;
RECT ( 9.925 -0.085 ) ( 10.08 0.085 ) ;
RECT ( 0 -0.24 ) ( 10.08 -0.085 ) ;
end
END XOR2X1