1st commit MPW-II including LDOs, Opentitan SoC and voltage references
diff --git a/gds/ldo_all.gds b/gds/ldo_all.gds
new file mode 100644
index 0000000..c8d2445
--- /dev/null
+++ b/gds/ldo_all.gds
Binary files differ
diff --git a/gds/opentitan_soc_top.gds b/gds/opentitan_soc_top.gds
new file mode 100644
index 0000000..d84a4e0
--- /dev/null
+++ b/gds/opentitan_soc_top.gds
Binary files differ
diff --git a/gds/user_analog_project_wrapper.gds.gz b/gds/user_analog_project_wrapper.gds.gz
new file mode 100644
index 0000000..d9ccca7
--- /dev/null
+++ b/gds/user_analog_project_wrapper.gds.gz
Binary files differ
diff --git a/openlane/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/config.tcl
new file mode 100644
index 0000000..3a70431
--- /dev/null
+++ b/openlane/user_project_wrapper/config.tcl
@@ -0,0 +1,42 @@
+# User config
+set ::env(DESIGN_NAME) user_analog_project_wrapper
+
+#Add
+source [glob $::env(DESIGN_DIR)/src/fixed_wrapper_cfgs.tcl]
+
+
+# Change if needed
+set ::env(EXTRA_LEFS) [glob $::env(DESIGN_DIR)/src/ldo_all.lef $::env(DESIGN_DIR)/src/opentitan_soc_top.lef]
+set ::env(EXTRA_GDS_FILES) [glob $::env(DESIGN_DIR)/src/ldo_all.gds $::env(DESIGN_DIR)/src/opentitan_soc_top.gds]
+set ::env(VERILOG_FILES) [glob $::env(DESIGN_DIR)/src/defines.v]
+# set ::env(VERILOG_FILES) [glob $::env(DESIGN_DIR)/src/opentitan_soc_top.v]
+set ::env(VERILOG_FILES) [glob $::env(DESIGN_DIR)/src/user_analog_project_wrapper.v]
+
+set ::env(VERILOG_FILES_BLACKBOX) [glob $::env(DESIGN_DIR)/src/ldo_all.v $::env(DESIGN_DIR)/src/opentitan_soc_top.v]
+
+#set ::env(SYNTH_FLAT_TOP) 1
+#set ::env(MACRO_PLACEMENT_CFG) $::env(DESIGN_DIR)/macro_placement.cfg
+set ::env(FP_PDN_CHECK_NODES) 0
+set ::env(FP_PDN_CORE_RING) 1
+set ::env(FP_PDN_ENABLE_RAILS) 0
+# Fill this
+set ::env(CLOCK_PERIOD) "48"
+set ::env(CLOCK_PORT) "wb_clk_i"
+set ::env(CLOCK_TREE_SYNTH) "0"
+#set ::env(PL_RANDOM_GLB_PLACEMENT) "1"
+set ::env(PL_BASIC_PLACEMENT) 1
+set ::env(DIODE_INSERTION_STRATEGY) 0
+
+## Core Margins
+set ::env(BOTTOM_MARGIN_MULT) 8
+set ::env(TOP_MARGIN_MULT) 8
+set ::env(LEFT_MARGIN_MULT) 28
+set ::env(RIGHT_MARGIN_MULT) 28
+
+## Routing
+set ::env(GLB_RT_ADJUSTMENT) 0.15
+
+set filename $::env(DESIGN_DIR)/$::env(PDK)_$::env(STD_CELL_LIBRARY)_config.tcl
+if { [file exists $filename] == 1} {
+        source $filename
+}
diff --git a/openlane/user_project_wrapper/fixed_wrapper_cfgs.tcl b/openlane/user_project_wrapper/fixed_wrapper_cfgs.tcl
new file mode 100755
index 0000000..01ebd37
--- /dev/null
+++ b/openlane/user_project_wrapper/fixed_wrapper_cfgs.tcl
@@ -0,0 +1,41 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+# SPDX-License-Identifier: Apache-2.0
+
+# DON'T TOUCH THE FOLLOWING SECTIONS
+
+# This makes sure that the core rings are outside the boundaries
+# of your block.
+set ::env(MAGIC_ZEROIZE_ORIGIN) 0
+
+# Area Configurations. DON'T TOUCH.
+set ::env(FP_SIZING) absolute
+set ::env(DIE_AREA) "0 0 2920 3520"
+
+set ::env(RUN_CVC) 0
+
+# Pin Configurations. DON'T TOUCH
+set ::unit 2.4
+set ::env(FP_IO_VLENGTH) $::unit
+set ::env(FP_IO_HLENGTH) $::unit
+
+set ::env(FP_IO_VTHICKNESS_MULT) 4
+set ::env(FP_IO_HTHICKNESS_MULT) 4
+
+# Power & Pin Configurations. DON'T TOUCH.
+#set ::env(FP_PDN_CORE_RING) 0
+
+set ::env(VDD_NETS) [list {vccd1} {vccd2} {vdda1} {vdda2}]
+set ::env(GND_NETS) [list {vssd1} {vssd2} {vssa1} {vssa2}]
+set ::env(SYNTH_USE_PG_PINS_DEFINES) "USE_POWER_PINS"
diff --git a/openlane/user_project_wrapper/interactive.tcl b/openlane/user_project_wrapper/interactive.tcl
new file mode 100644
index 0000000..377852b
--- /dev/null
+++ b/openlane/user_project_wrapper/interactive.tcl
@@ -0,0 +1,42 @@
+package require openlane
+
+set script_dir [file dirname [file normalize [info script]]]
+
+prep -design $script_dir -tag user_analog_project_wrapper -overwrite
+
+set save_path $script_dir/../..
+
+run_synthesis
+
+init_floorplan
+gen_pdn
+place_io
+
+set ::env(FP_DEF_TEMPLATE) $script_dir/../../def/user_analog_project_wrapper_empty.def
+
+apply_def_template
+
+add_macro_placement soc_top 260 260 N
+add_macro_placement ldo 260 2372 N
+manual_macro_placement f
+
+set_def $::env(CURRENT_DEF)
+
+tap_decap_or
+
+run_placement 
+global_routing_or
+
+run_magic
+
+run_magic_spice_export
+
+save_views       -lef_path $::env(magic_result_file_tag).lef \
+                 -def_path $::env(tritonRoute_result_file_tag).def \
+                 -gds_path $::env(magic_result_file_tag).gds \
+                 -mag_path $::env(magic_result_file_tag).mag \
+                 -save_path $save_path \
+                 -tag $::env(RUN_TAG)
+#run_magic_drc
+#run_lvs; # requires run_magic_spice_export
+#run_antenna_check
diff --git a/verilog/rtl/ldo_all.v b/verilog/rtl/ldo_all.v
new file mode 100755
index 0000000..c3b1a23
--- /dev/null
+++ b/verilog/rtl/ldo_all.v
@@ -0,0 +1,286 @@
+module ldo_all(

+        // Output to clock PAD

+        //output CDC_CLK_OUT0,

+        //output CDC_CLK_OUT1,

+        //output CDC_CLK_OUT2,

+        // SPI Interface

+        input  ldo_reset,  // Active Low Reset

+        input  signal_reset,

+        input  [3:0] SPI_LDO_SS,   // Slave Select            

+        input  clk,        // Serial Clock

+        input  SPI_LDO_MOSI,    // Master Out Slave In

+        // output SPI_LDO_MISO,

+        // LDO interface

+        //output [72:0] data,

+        output reg [9:0] out,

+`ifdef USE_POWER_PINS

+    inout vdda1,        // User area 1 3.3V supply

+    inout vdda2,        // User area 2 3.3V supply

+    inout vssa1,        // User area 1 analog ground

+    inout vssa2,        // User area 2 analog ground

+    inout vccd1,        // User area 1 1.8V supply

+    inout vccd2,        // User area 2 1.8v supply

+    inout vssd1,        // User area 1 digital ground

+    inout vssd2,        // User area 2 digital ground

+`endif

+);

+

+    //wire data_in;           //SPI_LDO_MOSI_IN

+

+    wire [9:0] ldo_1_out;

+    wire [9:0] ldo_2_out;

+    wire [9:0] ldo_3_out;

+    wire [9:0] ldo_4_out;

+    wire [9:0] ldo_5_out;

+    wire [9:0] ldo_6_out;

+    wire [9:0] ldo_7_out;

+    wire [9:0] ldo_8_out;

+    wire [9:0] ldo_9_out;

+    wire [9:0] ldo_10_out;

+

+    reg [21:0] ldo_reg;

+    reg [21:0] ldo_reg_next;

+

+    reg [4:0] count;

+    reg [4:0] count_next;

+

+    ldo_1 ldo_1_macro(

+        .clk(clk),                         //input

+        .reset(ldo_reset),                   //input

+        .trim1(ldo_reg[0]),                  //input

+        .trim2(ldo_reg[1]),

+        .trim3(ldo_reg[2]),

+        .trim4(ldo_reg[3]),

+        .trim5(ldo_reg[4]),

+        .trim6(ldo_reg[5]),

+        .trim7(ldo_reg[6]),

+        .trim8(ldo_reg[7]),

+        .trim9(ldo_reg[8]),

+        .trim10(ldo_reg[9]),

+        .mode_sel(ldo_reg[11:10]),                // 2'b00 (Comparator & PT Array Test Mode)    // 2'b01 (Controller Test Mode)     // 2'b1X (LDO Run Mode)    input

+        .std_ctrl_in(ldo_reg[12]),                // Standalone Controller Test Input

+        .std_pt_in_cnt(ldo_reg[21:13]),           // Standalone PT Array Test Input

+        .cmp_out(ldo_1_out[9]),                   // Comparator Output

+        .ctrl_out(ldo_1_out[8:0])

+    );

+

+    ldo_2 ldo_2_macro(

+        .clk(clk),                         //input

+        .reset(ldo_reset),                   //input

+        .trim1(ldo_reg[0]),                  //input

+        .trim2(ldo_reg[1]),

+        .trim3(ldo_reg[2]),

+        .trim4(ldo_reg[3]),

+        .trim5(ldo_reg[4]),

+        .trim6(ldo_reg[5]),

+        .trim7(ldo_reg[6]),

+        .trim8(ldo_reg[7]),

+        .trim9(ldo_reg[8]),

+        .trim10(ldo_reg[9]),

+        .mode_sel(ldo_reg[11:10]),                // 2'b00 (Comparator & PT Array Test Mode)    // 2'b01 (Controller Test Mode)     // 2'b1X (LDO Run Mode)    input

+        .std_ctrl_in(ldo_reg[12]),                // Standalone Controller Test Input

+        .std_pt_in_cnt(ldo_reg[21:13]),           // Standalone PT Array Test Input

+        .cmp_out(ldo_2_out[9]),                   // Comparator Output

+        .ctrl_out(ldo_2_out[8:0])

+    );

+

+    ldo_3 ldo_3_macro(

+        .clk(clk),                 //input

+        .reset(ldo_reset),                   //input

+        .trim1(ldo_reg[0]),                  //input

+        .trim2(ldo_reg[1]),

+        .trim3(ldo_reg[2]),

+        .trim4(ldo_reg[3]),

+        .trim5(ldo_reg[4]),

+        .trim6(ldo_reg[5]),

+        .trim7(ldo_reg[6]),

+        .trim8(ldo_reg[7]),

+        .trim9(ldo_reg[8]),

+        .trim10(ldo_reg[9]),

+        .mode_sel(ldo_reg[11:10]),                // 2'b00 (Comparator & PT Array Test Mode)    // 2'b01 (Controller Test Mode)     // 2'b1X (LDO Run Mode)    input

+        .std_ctrl_in(ldo_reg[12]),                // Standalone Controller Test Input

+        .std_pt_in_cnt(ldo_reg[21:13]),           // Standalone PT Array Test Input

+        .cmp_out(ldo_3_out[9]),                   // Comparator Output

+        .ctrl_out(ldo_3_out[8:0])

+    );

+

+    ldo_4 ldo_4_macro(

+        .clk(clk),                         //input

+        .reset(ldo_reset),                   //input

+        .trim1(ldo_reg[0]),                  //input

+        .trim2(ldo_reg[1]),

+        .trim3(ldo_reg[2]),

+        .trim4(ldo_reg[3]),

+        .trim5(ldo_reg[4]),

+        .trim6(ldo_reg[5]),

+        .trim7(ldo_reg[6]),

+        .trim8(ldo_reg[7]),

+        .trim9(ldo_reg[8]),

+        .trim10(ldo_reg[9]),

+        .mode_sel(ldo_reg[11:10]),                // 2'b00 (Comparator & PT Array Test Mode)    // 2'b01 (Controller Test Mode)     // 2'b1X (LDO Run Mode)    input

+        .std_ctrl_in(ldo_reg[12]),                // Standalone Controller Test Input

+        .std_pt_in_cnt(ldo_reg[21:13]),           // Standalone PT Array Test Input

+        .cmp_out(ldo_4_out[9]),                   // Comparator Output

+        .ctrl_out(ldo_4_out[8:0])

+    );

+

+    ldo_5 ldo_5_macro(

+        .clk(clk),                         //input

+        .reset(ldo_reset),                   //input

+        .trim1(ldo_reg[0]),                  //input

+        .trim2(ldo_reg[1]),

+        .trim3(ldo_reg[2]),

+        .trim4(ldo_reg[3]),

+        .trim5(ldo_reg[4]),

+        .trim6(ldo_reg[5]),

+        .trim7(ldo_reg[6]),

+        .trim8(ldo_reg[7]),

+        .trim9(ldo_reg[8]),

+        .trim10(ldo_reg[9]),

+        .mode_sel(ldo_reg[11:10]),                // 2'b00 (Comparator & PT Array Test Mode)    // 2'b01 (Controller Test Mode)     // 2'b1X (LDO Run Mode)    input

+        .std_ctrl_in(ldo_reg[12]),                // Standalone Controller Test Input

+        .std_pt_in_cnt(ldo_reg[21:13]),           // Standalone PT Array Test Input

+        .cmp_out(ldo_5_out[9]),                   // Comparator Output

+        .ctrl_out(ldo_5_out[8:0])

+    );

+

+    ldo_6 ldo_6_macro(

+        .clk(clk),                         //input

+        .reset(ldo_reset),                   //input

+        .trim1(ldo_reg[0]),                  //input

+        .trim2(ldo_reg[1]),

+        .trim3(ldo_reg[2]),

+        .trim4(ldo_reg[3]),

+        .trim5(ldo_reg[4]),

+        .trim6(ldo_reg[5]),

+        .trim7(ldo_reg[6]),

+        .trim8(ldo_reg[7]),

+        .trim9(ldo_reg[8]),

+        .trim10(ldo_reg[9]),

+        .mode_sel(ldo_reg[11:10]),                // 2'b00 (Comparator & PT Array Test Mode)    // 2'b01 (Controller Test Mode)     // 2'b1X (LDO Run Mode)    input

+        .std_ctrl_in(ldo_reg[12]),                // Standalone Controller Test Input

+        .std_pt_in_cnt(ldo_reg[21:13]),           // Standalone PT Array Test Input

+        .cmp_out(ldo_6_out[9]),                   // Comparator Output

+        .ctrl_out(ldo_6_out[8:0])

+    );

+

+    ldo_7 ldo_7_macro(

+        .clk(clk),                         //input

+        .reset(ldo_reset),                   //input

+        .trim1(ldo_reg[0]),                  //input

+        .trim2(ldo_reg[1]),

+        .trim3(ldo_reg[2]),

+        .trim4(ldo_reg[3]),

+        .trim5(ldo_reg[4]),

+        .trim6(ldo_reg[5]),

+        .trim7(ldo_reg[6]),

+        .trim8(ldo_reg[7]),

+        .trim9(ldo_reg[8]),

+        .trim10(ldo_reg[9]),

+        .mode_sel(ldo_reg[11:10]),                // 2'b00 (Comparator & PT Array Test Mode)    // 2'b01 (Controller Test Mode)     // 2'b1X (LDO Run Mode)    input

+        .std_ctrl_in(ldo_reg[12]),                // Standalone Controller Test Input

+        .std_pt_in_cnt(ldo_reg[21:13]),           // Standalone PT Array Test Input

+        .cmp_out(ldo_7_out[9]),                   // Comparator Output

+        .ctrl_out(ldo_7_out[8:0])

+    );

+

+    ldo_8 ldo_8_macro(

+        .clk(clk),                         //input

+        .reset(ldo_reset),                   //input

+        .trim1(ldo_reg[0]),                  //input

+        .trim2(ldo_reg[1]),

+        .trim3(ldo_reg[2]),

+        .trim4(ldo_reg[3]),

+        .trim5(ldo_reg[4]),

+        .trim6(ldo_reg[5]),

+        .trim7(ldo_reg[6]),

+        .trim8(ldo_reg[7]),

+        .trim9(ldo_reg[8]),

+        .trim10(ldo_reg[9]),

+        .mode_sel(ldo_reg[11:10]),                // 2'b00 (Comparator & PT Array Test Mode)    // 2'b01 (Controller Test Mode)     // 2'b1X (LDO Run Mode)    input

+        .std_ctrl_in(ldo_reg[12]),                // Standalone Controller Test Input

+        .std_pt_in_cnt(ldo_reg[21:13]),           // Standalone PT Array Test Input

+        .cmp_out(ldo_8_out[9]),                   // Comparator Output

+        .ctrl_out(ldo_8_out[8:0])

+    );

+

+    ldo_9 ldo_9_macro(

+        .clk(clk),                         //input

+        .reset(ldo_reset),                   //input

+        .trim1(ldo_reg[0]),                  //input

+        .trim2(ldo_reg[1]),

+        .trim3(ldo_reg[2]),

+        .trim4(ldo_reg[3]),

+        .trim5(ldo_reg[4]),

+        .trim6(ldo_reg[5]),

+        .trim7(ldo_reg[6]),

+        .trim8(ldo_reg[7]),

+        .trim9(ldo_reg[8]),

+        .trim10(ldo_reg[9]),

+        .mode_sel(ldo_reg[11:10]),                // 2'b00 (Comparator & PT Array Test Mode)    // 2'b01 (Controller Test Mode)     // 2'b1X (LDO Run Mode)    input

+        .std_ctrl_in(ldo_reg[12]),                // Standalone Controller Test Input

+        .std_pt_in_cnt(ldo_reg[21:13]),           // Standalone PT Array Test Input

+        .cmp_out(ldo_9_out[9]),                   // Comparator Output

+        .ctrl_out(ldo_9_out[8:0])

+    );

+

+    ldo_10 ldo_10_macro(

+        .clk(clk),                         //input

+        .reset(ldo_reset),                   //input

+        .trim1(ldo_reg[0]),                  //input

+        .trim2(ldo_reg[1]),

+        .trim3(ldo_reg[2]),

+        .trim4(ldo_reg[3]),

+        .trim5(ldo_reg[4]),

+        .trim6(ldo_reg[5]),

+        .trim7(ldo_reg[6]),

+        .trim8(ldo_reg[7]),

+        .trim9(ldo_reg[8]),

+        .trim10(ldo_reg[9]),

+        .mode_sel(ldo_reg[11:10]),                // 2'b00 (Comparator & PT Array Test Mode)    // 2'b01 (Controller Test Mode)     // 2'b1X (LDO Run Mode)    input

+        .std_ctrl_in(ldo_reg[12]),                // Standalone Controller Test Input

+        .std_pt_in_cnt(ldo_reg[21:13]),           // Standalone PT Array Test Input

+        .cmp_out(ldo_10_out[9]),                   // Comparator Output

+        .ctrl_out(ldo_10_out[8:0])

+    );

+

+

+    always @(*) begin

+

+        ldo_reg_next = ldo_reg;

+        count_next = count;

+

+        case (SPI_LDO_SS)

+            4'b0000: out = ldo_1_out;

+            4'b0001: out = ldo_2_out;

+            4'b0010: out = ldo_3_out;

+            4'b0011: out = ldo_4_out;

+            4'b0100: out = ldo_5_out;

+            4'b0101: out = ldo_6_out;

+            4'b0110: out = ldo_7_out;

+            4'b0111: out = ldo_8_out;

+            4'b1000: out = ldo_9_out;

+            4'b1001: out = ldo_10_out;

+            default: out = ldo_1_out;

+        endcase

+

+    end

+

+    always @(negedge clk) begin

+        if(signal_reset) begin

+            if (count <= 5'd21) begin

+                count <= count_next + 1'b1;

+                ldo_reg <= {ldo_reg_next[20:0],SPI_LDO_MOSI};

+            end

+            else begin

+                count <= count_next;

+                ldo_reg <= ldo_reg_next;

+	    end

+        end

+        else begin

+                count <= 0;

+                ldo_reg <= ldo_reg_next;

+        end

+    end

+

+endmodule

diff --git a/verilog/rtl/opentitan_soc_top.v b/verilog/rtl/opentitan_soc_top.v
new file mode 100644
index 0000000..8db039d
--- /dev/null
+++ b/verilog/rtl/opentitan_soc_top.v
@@ -0,0 +1,51384 @@
+/////////////////////////////////////////////////////////////
+// Created by: Synopsys DC Ultra(TM) in wire load mode
+// Version   : Q-2019.12-SP4
+// Date      : Wed Jul 21 15:19:57 2021
+/////////////////////////////////////////////////////////////
+
+
+module opentitan_soc_top_prim_generic_clock_gating_0 ( clk_i, en_i, test_en_i, 
+        clk_o );
+  input clk_i, en_i, test_en_i;
+  output clk_o;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(clk_i), .X(clk_o) );
+endmodule
+
+
+module opentitan_soc_top_prim_clock_gating_0 ( clk_i, en_i, test_en_i, clk_o
+ );
+  input clk_i, en_i, test_en_i;
+  output clk_o;
+  wire   n1, n3;
+
+  opentitan_soc_top_prim_generic_clock_gating_0 gen_generic_u_impl_generic ( 
+        .clk_i(clk_i), .en_i(1'b0), .test_en_i(n1), .clk_o(n3) );
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(n3), .X(clk_o) );
+  sky130_fd_sc_hd__conb_1 U2 ( .HI(n1) );
+endmodule
+
+
+module opentitan_soc_top_ibex_fetch_fifo_00000002_DW01_add_J14_0_0 ( A, B, CI, 
+        SUM, CO );
+  input [30:0] A;
+  input [30:0] B;
+  output [30:0] SUM;
+  input CI;
+  output CO;
+  wire   n130, n131, n132, n133, n134, n135, n136, n137, n138, n139, n140,
+         n141, n142, n143, n144, n145, n146, n147, n148, n149, n150, n151,
+         n152, n153, n154, n155, n156, n157, n158, n159;
+
+  sky130_fd_sc_hd__xor2_1 U35 ( .A(n130), .B(A[30]), .X(SUM[30]) );
+  sky130_fd_sc_hd__ha_1 U36 ( .A(B[0]), .B(A[0]), .COUT(n131), .SUM(SUM[0]) );
+  sky130_fd_sc_hd__fa_1 U37 ( .A(B[1]), .B(A[1]), .CIN(n131), .COUT(n132), 
+        .SUM(SUM[1]) );
+  sky130_fd_sc_hd__ha_1 U38 ( .A(A[2]), .B(n132), .COUT(n133), .SUM(SUM[2]) );
+  sky130_fd_sc_hd__ha_1 U39 ( .A(A[3]), .B(n133), .COUT(n134), .SUM(SUM[3]) );
+  sky130_fd_sc_hd__ha_1 U40 ( .A(A[4]), .B(n134), .COUT(n135), .SUM(SUM[4]) );
+  sky130_fd_sc_hd__ha_1 U41 ( .A(A[5]), .B(n135), .COUT(n136), .SUM(SUM[5]) );
+  sky130_fd_sc_hd__ha_1 U42 ( .A(A[6]), .B(n136), .COUT(n137), .SUM(SUM[6]) );
+  sky130_fd_sc_hd__ha_1 U43 ( .A(A[7]), .B(n137), .COUT(n138), .SUM(SUM[7]) );
+  sky130_fd_sc_hd__ha_1 U44 ( .A(A[8]), .B(n138), .COUT(n139), .SUM(SUM[8]) );
+  sky130_fd_sc_hd__ha_1 U45 ( .A(A[9]), .B(n139), .COUT(n140), .SUM(SUM[9]) );
+  sky130_fd_sc_hd__ha_1 U46 ( .A(A[10]), .B(n140), .COUT(n141), .SUM(SUM[10])
+         );
+  sky130_fd_sc_hd__ha_1 U47 ( .A(A[11]), .B(n141), .COUT(n142), .SUM(SUM[11])
+         );
+  sky130_fd_sc_hd__ha_1 U48 ( .A(A[12]), .B(n142), .COUT(n143), .SUM(SUM[12])
+         );
+  sky130_fd_sc_hd__ha_1 U49 ( .A(A[13]), .B(n143), .COUT(n144), .SUM(SUM[13])
+         );
+  sky130_fd_sc_hd__ha_1 U50 ( .A(A[14]), .B(n144), .COUT(n145), .SUM(SUM[14])
+         );
+  sky130_fd_sc_hd__ha_1 U51 ( .A(A[15]), .B(n145), .COUT(n146), .SUM(SUM[15])
+         );
+  sky130_fd_sc_hd__ha_1 U52 ( .A(A[16]), .B(n146), .COUT(n147), .SUM(SUM[16])
+         );
+  sky130_fd_sc_hd__ha_1 U53 ( .A(A[17]), .B(n147), .COUT(n148), .SUM(SUM[17])
+         );
+  sky130_fd_sc_hd__ha_1 U54 ( .A(A[18]), .B(n148), .COUT(n149), .SUM(SUM[18])
+         );
+  sky130_fd_sc_hd__ha_1 U55 ( .A(A[19]), .B(n149), .COUT(n150), .SUM(SUM[19])
+         );
+  sky130_fd_sc_hd__ha_1 U56 ( .A(A[20]), .B(n150), .COUT(n151), .SUM(SUM[20])
+         );
+  sky130_fd_sc_hd__ha_1 U57 ( .A(A[21]), .B(n151), .COUT(n152), .SUM(SUM[21])
+         );
+  sky130_fd_sc_hd__ha_1 U58 ( .A(A[22]), .B(n152), .COUT(n153), .SUM(SUM[22])
+         );
+  sky130_fd_sc_hd__ha_1 U59 ( .A(A[23]), .B(n153), .COUT(n154), .SUM(SUM[23])
+         );
+  sky130_fd_sc_hd__ha_1 U60 ( .A(A[24]), .B(n154), .COUT(n155), .SUM(SUM[24])
+         );
+  sky130_fd_sc_hd__ha_1 U61 ( .A(A[25]), .B(n155), .COUT(n156), .SUM(SUM[25])
+         );
+  sky130_fd_sc_hd__ha_1 U62 ( .A(A[26]), .B(n156), .COUT(n157), .SUM(SUM[26])
+         );
+  sky130_fd_sc_hd__ha_1 U63 ( .A(A[27]), .B(n157), .COUT(n158), .SUM(SUM[27])
+         );
+  sky130_fd_sc_hd__ha_1 U64 ( .A(A[28]), .B(n158), .COUT(n159), .SUM(SUM[28])
+         );
+  sky130_fd_sc_hd__ha_1 U65 ( .A(A[29]), .B(n159), .COUT(n130), .SUM(SUM[29])
+         );
+endmodule
+
+
+module opentitan_soc_top_ibex_fetch_fifo_00000002_0 ( clk_i, rst_ni, clear_i, 
+        busy_o, in_valid_i, in_addr_i, in_rdata_i, in_err_i, out_valid_o, 
+        out_ready_i, out_addr_o, out_addr_next_o, out_rdata_o, out_err_o, 
+        out_err_plus2_o );
+  output [1:0] busy_o;
+  input [31:0] in_addr_i;
+  input [31:0] in_rdata_i;
+  output [31:0] out_addr_o;
+  output [31:0] out_addr_next_o;
+  output [31:0] out_rdata_o;
+  input clk_i, rst_ni, clear_i, in_valid_i, in_err_i, out_ready_i;
+  output out_valid_o, out_err_o, out_err_plus2_o;
+  wire   n_Logic0_, out_addr_next_o_31_, out_addr_next_o_30_,
+         out_addr_next_o_29_, out_addr_next_o_28_, out_addr_next_o_27_,
+         out_addr_next_o_26_, out_addr_next_o_25_, out_addr_next_o_24_,
+         out_addr_next_o_23_, out_addr_next_o_22_, out_addr_next_o_21_,
+         out_addr_next_o_20_, out_addr_next_o_19_, out_addr_next_o_18_,
+         out_addr_next_o_17_, out_addr_next_o_16_, out_addr_next_o_15_,
+         out_addr_next_o_14_, out_addr_next_o_13_, out_addr_next_o_12_,
+         out_addr_next_o_11_, out_addr_next_o_10_, out_addr_next_o_9_,
+         out_addr_next_o_8_, out_addr_next_o_7_, out_addr_next_o_6_,
+         out_addr_next_o_5_, out_addr_next_o_4_, out_addr_next_o_3_,
+         out_addr_next_o_2_, out_addr_next_o_1_, valid_q_0_, addr_incr_two,
+         entry_en_2_, n287, n288, n289, n290, n291, n292, n293, n294, n295,
+         n296, n297, n298, n299, n300, n301, n302, n303, n304, n305, n306,
+         n307, n308, n309, n310, n311, n312, n313, n314, n315, n316, n317,
+         n318, n319, n320, n321, n322, n323, n324, n325, n326, n327, n328,
+         n329, n330, n331, n332, n333, n334, n335, n336, n337, n338, n339,
+         n340, n341, n342, n343, n344, n345, n346, n347, n348, n349, n350,
+         n351, n352, n353, n354, n355, n356, n357, n358, n359, n360, n361,
+         n362, n363, n364, n365, n366, n367, n368, n369, n370, n371, n372,
+         n373, n374, n375, n376, n377, n378, n379, n380, n381, n382, n383,
+         n384, n2, n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15,
+         n16, n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29,
+         n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42, n43,
+         n44, n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57,
+         n58, n59, n60, n61, n62, n63, n64, n65, n66, n67, n68, n69, n70, n71,
+         n72, n73, n74, n75, n76, n77, n78, n79, n80, n81, n82, n83, n84, n85,
+         n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96, n97, n98, n99,
+         n100, n101, n102, n103, n104, n105, n106, n107, n108, n109, n110,
+         n111, n112, n113, n114, n115, n116, n117, n118, n119, n120, n121,
+         n122, n123, n124, n125, n126, n127, n128, n129, n130, n131, n132,
+         n133, n134, n135, n136, n137, n138, n139, n140, n141, n142, n143,
+         n144, n145, n146, n147, n148, n149, n150, n151, n152, n153, n154,
+         n155, n156, n157, n158, n159, n160, n161, n162, n163, n164, n165,
+         n166, n167, n168, n169, n170, n171, n172, n173, n174, n175, n176,
+         n177, n178, n179, n180, n181, n182, n183, n184, n185, n186, n187,
+         n188, n189, n190, n191, n192, n193, n194, n195, n196, n197, n198,
+         n199, n200, n201, n202, n203, n204, n205, n206, n207, n208, n209,
+         n210, n211, n212, n213, n214, n215, n216, n217, n218, n219, n220,
+         n221, n222, n223, n224, n225, n226, n227, n228, n229, n230, n231,
+         n232, n233, n234, n235, n236, n237, n238, n239, n240, n241, n242,
+         n243, n244, n245, n246, n247, n248, n249, n250, n251, n252, n253,
+         n254, n255, n256, n257, n258, n259, n260, n261, n262, n263, n264,
+         n265, n266, n267, n268, n269, n270, n271, n272, n273, n274, n275,
+         n276, n277, n278, n279, n280, n281, n282, n283, n284, n285, n286;
+  wire   [95:0] rdata_q;
+  wire   [2:0] err_q;
+  wire   [2:0] valid_d;
+
+  sky130_fd_sc_hd__edfxtp_1 err_q_reg_2_ ( .D(in_err_i), .DE(entry_en_2_), 
+        .CLK(n3), .Q(err_q[2]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_64_ ( .D(in_rdata_i[0]), .DE(
+        entry_en_2_), .CLK(n2), .Q(rdata_q[64]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_95_ ( .D(in_rdata_i[31]), .DE(n286), 
+        .CLK(n2), .Q(rdata_q[95]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_94_ ( .D(in_rdata_i[30]), .DE(n286), 
+        .CLK(n2), .Q(rdata_q[94]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_93_ ( .D(in_rdata_i[29]), .DE(n286), 
+        .CLK(n2), .Q(rdata_q[93]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_92_ ( .D(in_rdata_i[28]), .DE(n286), 
+        .CLK(n3), .Q(rdata_q[92]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_91_ ( .D(in_rdata_i[27]), .DE(
+        entry_en_2_), .CLK(n2), .Q(rdata_q[91]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_90_ ( .D(in_rdata_i[26]), .DE(n286), 
+        .CLK(n3), .Q(rdata_q[90]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_89_ ( .D(in_rdata_i[25]), .DE(
+        entry_en_2_), .CLK(n3), .Q(rdata_q[89]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_88_ ( .D(in_rdata_i[24]), .DE(n286), 
+        .CLK(n3), .Q(rdata_q[88]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_87_ ( .D(in_rdata_i[23]), .DE(n286), 
+        .CLK(n2), .Q(rdata_q[87]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_86_ ( .D(in_rdata_i[22]), .DE(n286), 
+        .CLK(n3), .Q(rdata_q[86]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_85_ ( .D(in_rdata_i[21]), .DE(n286), 
+        .CLK(n3), .Q(rdata_q[85]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_84_ ( .D(in_rdata_i[20]), .DE(n286), 
+        .CLK(n2), .Q(rdata_q[84]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_83_ ( .D(in_rdata_i[19]), .DE(n286), 
+        .CLK(n3), .Q(rdata_q[83]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_82_ ( .D(in_rdata_i[18]), .DE(n286), 
+        .CLK(n2), .Q(rdata_q[82]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_81_ ( .D(in_rdata_i[17]), .DE(n286), 
+        .CLK(n2), .Q(rdata_q[81]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_80_ ( .D(in_rdata_i[16]), .DE(n286), 
+        .CLK(n2), .Q(rdata_q[80]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_79_ ( .D(in_rdata_i[15]), .DE(n286), 
+        .CLK(n3), .Q(rdata_q[79]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_78_ ( .D(in_rdata_i[14]), .DE(n286), 
+        .CLK(n2), .Q(rdata_q[78]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_77_ ( .D(in_rdata_i[13]), .DE(n286), 
+        .CLK(n2), .Q(rdata_q[77]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_76_ ( .D(in_rdata_i[12]), .DE(n286), 
+        .CLK(n2), .Q(rdata_q[76]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_75_ ( .D(in_rdata_i[11]), .DE(
+        entry_en_2_), .CLK(n3), .Q(rdata_q[75]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_74_ ( .D(in_rdata_i[10]), .DE(
+        entry_en_2_), .CLK(n3), .Q(rdata_q[74]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_73_ ( .D(in_rdata_i[9]), .DE(
+        entry_en_2_), .CLK(n2), .Q(rdata_q[73]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_72_ ( .D(in_rdata_i[8]), .DE(
+        entry_en_2_), .CLK(n2), .Q(rdata_q[72]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_71_ ( .D(in_rdata_i[7]), .DE(
+        entry_en_2_), .CLK(n2), .Q(rdata_q[71]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_70_ ( .D(in_rdata_i[6]), .DE(
+        entry_en_2_), .CLK(n2), .Q(rdata_q[70]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_69_ ( .D(in_rdata_i[5]), .DE(
+        entry_en_2_), .CLK(n2), .Q(rdata_q[69]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_68_ ( .D(in_rdata_i[4]), .DE(
+        entry_en_2_), .CLK(n3), .Q(rdata_q[68]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_67_ ( .D(in_rdata_i[3]), .DE(
+        entry_en_2_), .CLK(n2), .Q(rdata_q[67]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_66_ ( .D(in_rdata_i[2]), .DE(
+        entry_en_2_), .CLK(n2), .Q(rdata_q[66]) );
+  sky130_fd_sc_hd__edfxtp_1 rdata_q_reg_65_ ( .D(in_rdata_i[1]), .DE(
+        entry_en_2_), .CLK(n2), .Q(rdata_q[65]) );
+  sky130_fd_sc_hd__dfxtp_1 err_q_reg_1_ ( .D(n317), .CLK(n2), .Q(err_q[1]) );
+  sky130_fd_sc_hd__dfxtp_1 err_q_reg_0_ ( .D(n350), .CLK(n2), .Q(err_q[0]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_63_ ( .D(n383), .CLK(n3), .Q(
+        rdata_q[63]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_31_ ( .D(n349), .CLK(n3), .Q(
+        rdata_q[31]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_32_ ( .D(n318), .CLK(n3), .Q(
+        rdata_q[32]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_0_ ( .D(n351), .CLK(n2), .Q(rdata_q[0])
+         );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_62_ ( .D(n316), .CLK(n3), .Q(
+        rdata_q[62]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_30_ ( .D(n348), .CLK(n2), .Q(
+        rdata_q[30]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_61_ ( .D(n315), .CLK(n3), .Q(
+        rdata_q[61]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_29_ ( .D(n347), .CLK(n3), .Q(
+        rdata_q[29]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_60_ ( .D(n314), .CLK(n3), .Q(
+        rdata_q[60]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_28_ ( .D(n346), .CLK(n2), .Q(
+        rdata_q[28]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_59_ ( .D(n313), .CLK(n2), .Q(
+        rdata_q[59]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_27_ ( .D(n345), .CLK(n2), .Q(
+        rdata_q[27]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_58_ ( .D(n312), .CLK(n2), .Q(
+        rdata_q[58]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_26_ ( .D(n344), .CLK(n3), .Q(
+        rdata_q[26]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_57_ ( .D(n311), .CLK(n2), .Q(
+        rdata_q[57]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_25_ ( .D(n343), .CLK(n3), .Q(
+        rdata_q[25]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_56_ ( .D(n310), .CLK(n3), .Q(
+        rdata_q[56]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_24_ ( .D(n342), .CLK(n2), .Q(
+        rdata_q[24]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_55_ ( .D(n309), .CLK(n3), .Q(
+        rdata_q[55]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_23_ ( .D(n341), .CLK(n2), .Q(
+        rdata_q[23]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_54_ ( .D(n308), .CLK(n2), .Q(
+        rdata_q[54]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_22_ ( .D(n340), .CLK(n3), .Q(
+        rdata_q[22]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_53_ ( .D(n307), .CLK(n2), .Q(
+        rdata_q[53]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_21_ ( .D(n339), .CLK(n2), .Q(
+        rdata_q[21]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_52_ ( .D(n306), .CLK(n2), .Q(
+        rdata_q[52]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_20_ ( .D(n338), .CLK(n3), .Q(
+        rdata_q[20]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_51_ ( .D(n305), .CLK(n2), .Q(
+        rdata_q[51]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_19_ ( .D(n337), .CLK(n3), .Q(
+        rdata_q[19]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_50_ ( .D(n304), .CLK(n3), .Q(
+        rdata_q[50]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_18_ ( .D(n336), .CLK(n3), .Q(
+        rdata_q[18]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_49_ ( .D(n303), .CLK(n2), .Q(
+        rdata_q[49]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_17_ ( .D(n335), .CLK(n2), .Q(
+        rdata_q[17]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_48_ ( .D(n302), .CLK(n2), .Q(
+        rdata_q[48]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_16_ ( .D(n334), .CLK(n3), .Q(
+        rdata_q[16]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_2_ ( .D(n382), .CLK(n2), .Q(
+        out_addr_o[2]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_3_ ( .D(n380), .CLK(n3), .Q(
+        out_addr_o[3]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_4_ ( .D(n379), .CLK(n3), .Q(
+        out_addr_o[4]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_5_ ( .D(n378), .CLK(n3), .Q(
+        out_addr_o[5]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_6_ ( .D(n377), .CLK(n3), .Q(
+        out_addr_o[6]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_7_ ( .D(n376), .CLK(n2), .Q(
+        out_addr_o[7]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_8_ ( .D(n375), .CLK(n3), .Q(
+        out_addr_o[8]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_9_ ( .D(n374), .CLK(n3), .Q(
+        out_addr_o[9]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_10_ ( .D(n373), .CLK(n3), .Q(
+        out_addr_o[10]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_11_ ( .D(n372), .CLK(n2), .Q(
+        out_addr_o[11]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_12_ ( .D(n371), .CLK(n2), .Q(
+        out_addr_o[12]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_13_ ( .D(n370), .CLK(n3), .Q(
+        out_addr_o[13]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_14_ ( .D(n369), .CLK(n3), .Q(
+        out_addr_o[14]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_15_ ( .D(n368), .CLK(n3), .Q(
+        out_addr_o[15]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_16_ ( .D(n367), .CLK(n2), .Q(
+        out_addr_o[16]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_17_ ( .D(n366), .CLK(n3), .Q(
+        out_addr_o[17]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_18_ ( .D(n365), .CLK(n2), .Q(
+        out_addr_o[18]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_19_ ( .D(n364), .CLK(n3), .Q(
+        out_addr_o[19]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_20_ ( .D(n363), .CLK(n3), .Q(
+        out_addr_o[20]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_21_ ( .D(n362), .CLK(n3), .Q(
+        out_addr_o[21]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_22_ ( .D(n361), .CLK(n3), .Q(
+        out_addr_o[22]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_23_ ( .D(n360), .CLK(n3), .Q(
+        out_addr_o[23]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_24_ ( .D(n359), .CLK(n3), .Q(
+        out_addr_o[24]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_25_ ( .D(n358), .CLK(n3), .Q(
+        out_addr_o[25]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_26_ ( .D(n357), .CLK(n3), .Q(
+        out_addr_o[26]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_27_ ( .D(n356), .CLK(n3), .Q(
+        out_addr_o[27]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_28_ ( .D(n355), .CLK(n2), .Q(
+        out_addr_o[28]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_29_ ( .D(n354), .CLK(n2), .Q(
+        out_addr_o[29]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_30_ ( .D(n353), .CLK(n2), .Q(
+        out_addr_o[30]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_31_ ( .D(n352), .CLK(n2), .Q(
+        out_addr_o[31]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_47_ ( .D(n301), .CLK(n3), .Q(
+        rdata_q[47]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_15_ ( .D(n333), .CLK(n2), .Q(
+        rdata_q[15]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_46_ ( .D(n300), .CLK(n3), .Q(
+        rdata_q[46]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_14_ ( .D(n332), .CLK(n2), .Q(
+        rdata_q[14]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_45_ ( .D(n299), .CLK(n3), .Q(
+        rdata_q[45]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_13_ ( .D(n331), .CLK(n3), .Q(
+        rdata_q[13]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_44_ ( .D(n298), .CLK(n3), .Q(
+        rdata_q[44]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_12_ ( .D(n330), .CLK(n2), .Q(
+        rdata_q[12]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_43_ ( .D(n297), .CLK(n3), .Q(
+        rdata_q[43]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_11_ ( .D(n329), .CLK(n2), .Q(
+        rdata_q[11]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_42_ ( .D(n296), .CLK(n2), .Q(
+        rdata_q[42]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_10_ ( .D(n328), .CLK(n3), .Q(
+        rdata_q[10]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_41_ ( .D(n295), .CLK(n3), .Q(
+        rdata_q[41]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_9_ ( .D(n327), .CLK(n2), .Q(rdata_q[9])
+         );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_40_ ( .D(n294), .CLK(n2), .Q(
+        rdata_q[40]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_8_ ( .D(n326), .CLK(n2), .Q(rdata_q[8])
+         );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_39_ ( .D(n293), .CLK(n2), .Q(
+        rdata_q[39]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_7_ ( .D(n325), .CLK(n2), .Q(rdata_q[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_38_ ( .D(n292), .CLK(n2), .Q(
+        rdata_q[38]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_6_ ( .D(n324), .CLK(n3), .Q(rdata_q[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_37_ ( .D(n291), .CLK(n2), .Q(
+        rdata_q[37]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_5_ ( .D(n323), .CLK(n3), .Q(rdata_q[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_36_ ( .D(n290), .CLK(n3), .Q(
+        rdata_q[36]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_4_ ( .D(n322), .CLK(n3), .Q(rdata_q[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_35_ ( .D(n289), .CLK(n3), .Q(
+        rdata_q[35]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_3_ ( .D(n321), .CLK(n2), .Q(rdata_q[3])
+         );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_34_ ( .D(n288), .CLK(n2), .Q(
+        rdata_q[34]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_2_ ( .D(n320), .CLK(n3), .Q(rdata_q[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_33_ ( .D(n287), .CLK(n3), .Q(
+        rdata_q[33]) );
+  sky130_fd_sc_hd__dfxtp_1 rdata_q_reg_1_ ( .D(n319), .CLK(n2), .Q(rdata_q[1])
+         );
+  sky130_fd_sc_hd__dfrtp_1 valid_q_reg_1_ ( .D(valid_d[1]), .CLK(n2), 
+        .RESET_B(rst_ni), .Q(busy_o[0]) );
+  sky130_fd_sc_hd__dfrtp_1 valid_q_reg_2_ ( .D(valid_d[2]), .CLK(n3), 
+        .RESET_B(rst_ni), .Q(busy_o[1]) );
+  opentitan_soc_top_ibex_fetch_fifo_00000002_DW01_add_J14_0_0 add_x_13 ( .A(
+        out_addr_o[31:1]), .B({n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, 
+        n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, 
+        n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, 
+        n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, 
+        n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, n_Logic0_, 
+        n_Logic0_, n384, addr_incr_two}), .CI(n_Logic0_), .SUM({
+        out_addr_next_o_31_, out_addr_next_o_30_, out_addr_next_o_29_, 
+        out_addr_next_o_28_, out_addr_next_o_27_, out_addr_next_o_26_, 
+        out_addr_next_o_25_, out_addr_next_o_24_, out_addr_next_o_23_, 
+        out_addr_next_o_22_, out_addr_next_o_21_, out_addr_next_o_20_, 
+        out_addr_next_o_19_, out_addr_next_o_18_, out_addr_next_o_17_, 
+        out_addr_next_o_16_, out_addr_next_o_15_, out_addr_next_o_14_, 
+        out_addr_next_o_13_, out_addr_next_o_12_, out_addr_next_o_11_, 
+        out_addr_next_o_10_, out_addr_next_o_9_, out_addr_next_o_8_, 
+        out_addr_next_o_7_, out_addr_next_o_6_, out_addr_next_o_5_, 
+        out_addr_next_o_4_, out_addr_next_o_3_, out_addr_next_o_2_, 
+        out_addr_next_o_1_}) );
+  sky130_fd_sc_hd__dfxtp_1 instr_addr_q_reg_1_ ( .D(n381), .CLK(n3), .Q(
+        out_addr_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 valid_q_reg_0_ ( .D(valid_d[0]), .CLK(n3), 
+        .RESET_B(rst_ni), .Q(valid_q_0_) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(clk_i), .X(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(n2), .X(n3) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(in_rdata_i[31]), .Y(n185) );
+  sky130_fd_sc_hd__clkinv_1 U6 ( .A(in_valid_i), .Y(n24) );
+  sky130_fd_sc_hd__conb_1 U7 ( .LO(n_Logic0_) );
+  sky130_fd_sc_hd__clkinv_1 U8 ( .A(in_rdata_i[17]), .Y(n250) );
+  sky130_fd_sc_hd__o2bb2ai_1 U9 ( .B1(valid_q_0_), .B2(n250), .A1_N(valid_q_0_), .A2_N(rdata_q[17]), .Y(n57) );
+  sky130_fd_sc_hd__clkinv_1 U10 ( .A(in_rdata_i[16]), .Y(n252) );
+  sky130_fd_sc_hd__o2bb2ai_1 U11 ( .B1(valid_q_0_), .B2(n252), .A1_N(
+        valid_q_0_), .A2_N(rdata_q[16]), .Y(n55) );
+  sky130_fd_sc_hd__clkinv_1 U12 ( .A(in_err_i), .Y(n222) );
+  sky130_fd_sc_hd__clkinv_1 U13 ( .A(valid_q_0_), .Y(n21) );
+  sky130_fd_sc_hd__clkinv_1 U14 ( .A(err_q[0]), .Y(n32) );
+  sky130_fd_sc_hd__o22ai_1 U15 ( .A1(valid_q_0_), .A2(n222), .B1(n21), .B2(n32), .Y(n35) );
+  sky130_fd_sc_hd__a21oi_1 U16 ( .A1(n57), .A2(n55), .B1(n35), .Y(n106) );
+  sky130_fd_sc_hd__clkinv_1 U17 ( .A(busy_o[0]), .Y(n181) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(out_addr_o[1]), .Y(n116) );
+  sky130_fd_sc_hd__nor2_1 U19 ( .A(n181), .B(n116), .Y(n102) );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(n102), .Y(n33) );
+  sky130_fd_sc_hd__nand2_1 U21 ( .A(out_addr_o[1]), .B(n21), .Y(n51) );
+  sky130_fd_sc_hd__a222oi_1 U22 ( .A1(n106), .A2(in_valid_i), .B1(n106), .B2(
+        valid_q_0_), .C1(in_valid_i), .C2(n51), .Y(n4) );
+  sky130_fd_sc_hd__nor2_1 U23 ( .A(n21), .B(out_addr_o[1]), .Y(n53) );
+  sky130_fd_sc_hd__clkinv_1 U24 ( .A(n53), .Y(n100) );
+  sky130_fd_sc_hd__o211ai_1 U25 ( .A1(n106), .A2(n33), .B1(n4), .C1(n100), .Y(
+        out_valid_o) );
+  sky130_fd_sc_hd__nor3_1 U26 ( .A(busy_o[1]), .B(n24), .C(n181), .Y(
+        entry_en_2_) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(entry_en_2_), .X(n286) );
+  sky130_fd_sc_hd__clkinv_1 U28 ( .A(in_rdata_i[14]), .Y(n256) );
+  sky130_fd_sc_hd__nand2_1 U29 ( .A(n21), .B(n116), .Y(n104) );
+  sky130_fd_sc_hd__clkinv_1 U30 ( .A(in_rdata_i[30]), .Y(n224) );
+  sky130_fd_sc_hd__clkinv_1 U31 ( .A(rdata_q[30]), .Y(n95) );
+  sky130_fd_sc_hd__nand2_1 U32 ( .A(valid_q_0_), .B(out_addr_o[1]), .Y(n50) );
+  sky130_fd_sc_hd__o22ai_1 U33 ( .A1(n51), .A2(n224), .B1(n95), .B2(n50), .Y(
+        n5) );
+  sky130_fd_sc_hd__a21oi_1 U34 ( .A1(n53), .A2(rdata_q[14]), .B1(n5), .Y(n6)
+         );
+  sky130_fd_sc_hd__o21ai_1 U35 ( .A1(n256), .A2(n104), .B1(n6), .Y(
+        out_rdata_o[14]) );
+  sky130_fd_sc_hd__clkinv_1 U36 ( .A(in_rdata_i[12]), .Y(n260) );
+  sky130_fd_sc_hd__clkinv_1 U37 ( .A(in_rdata_i[28]), .Y(n228) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(rdata_q[28]), .Y(n89) );
+  sky130_fd_sc_hd__o22ai_1 U39 ( .A1(n51), .A2(n228), .B1(n89), .B2(n50), .Y(
+        n7) );
+  sky130_fd_sc_hd__a21oi_1 U40 ( .A1(n53), .A2(rdata_q[12]), .B1(n7), .Y(n8)
+         );
+  sky130_fd_sc_hd__o21ai_1 U41 ( .A1(n260), .A2(n104), .B1(n8), .Y(
+        out_rdata_o[12]) );
+  sky130_fd_sc_hd__clkinv_1 U42 ( .A(in_rdata_i[13]), .Y(n258) );
+  sky130_fd_sc_hd__clkinv_1 U43 ( .A(in_rdata_i[29]), .Y(n226) );
+  sky130_fd_sc_hd__clkinv_1 U44 ( .A(rdata_q[29]), .Y(n92) );
+  sky130_fd_sc_hd__o22ai_1 U45 ( .A1(n51), .A2(n226), .B1(n92), .B2(n50), .Y(
+        n9) );
+  sky130_fd_sc_hd__a21oi_1 U46 ( .A1(n53), .A2(rdata_q[13]), .B1(n9), .Y(n10)
+         );
+  sky130_fd_sc_hd__o21ai_1 U47 ( .A1(n258), .A2(n104), .B1(n10), .Y(
+        out_rdata_o[13]) );
+  sky130_fd_sc_hd__clkinv_1 U48 ( .A(in_rdata_i[0]), .Y(n220) );
+  sky130_fd_sc_hd__a22oi_1 U49 ( .A1(out_addr_o[1]), .A2(n55), .B1(rdata_q[0]), 
+        .B2(n53), .Y(n11) );
+  sky130_fd_sc_hd__o21ai_1 U50 ( .A1(n220), .A2(n104), .B1(n11), .Y(
+        out_rdata_o[0]) );
+  sky130_fd_sc_hd__clkinv_1 U51 ( .A(in_rdata_i[1]), .Y(n285) );
+  sky130_fd_sc_hd__a22oi_1 U52 ( .A1(out_addr_o[1]), .A2(n57), .B1(rdata_q[1]), 
+        .B2(n53), .Y(n12) );
+  sky130_fd_sc_hd__o21ai_1 U53 ( .A1(n285), .A2(n104), .B1(n12), .Y(
+        out_rdata_o[1]) );
+  sky130_fd_sc_hd__clkinv_1 U54 ( .A(in_rdata_i[11]), .Y(n262) );
+  sky130_fd_sc_hd__clkinv_1 U55 ( .A(in_rdata_i[27]), .Y(n230) );
+  sky130_fd_sc_hd__clkinv_1 U56 ( .A(rdata_q[27]), .Y(n86) );
+  sky130_fd_sc_hd__o22ai_1 U57 ( .A1(n51), .A2(n230), .B1(n86), .B2(n50), .Y(
+        n13) );
+  sky130_fd_sc_hd__a21oi_1 U58 ( .A1(n53), .A2(rdata_q[11]), .B1(n13), .Y(n14)
+         );
+  sky130_fd_sc_hd__o21ai_1 U59 ( .A1(n262), .A2(n104), .B1(n14), .Y(
+        out_rdata_o[11]) );
+  sky130_fd_sc_hd__clkinv_1 U60 ( .A(in_rdata_i[10]), .Y(n264) );
+  sky130_fd_sc_hd__clkinv_1 U61 ( .A(in_rdata_i[26]), .Y(n232) );
+  sky130_fd_sc_hd__clkinv_1 U62 ( .A(rdata_q[26]), .Y(n83) );
+  sky130_fd_sc_hd__o22ai_1 U63 ( .A1(n51), .A2(n232), .B1(n83), .B2(n50), .Y(
+        n15) );
+  sky130_fd_sc_hd__a21oi_1 U64 ( .A1(n53), .A2(rdata_q[10]), .B1(n15), .Y(n16)
+         );
+  sky130_fd_sc_hd__o21ai_1 U65 ( .A1(n264), .A2(n104), .B1(n16), .Y(
+        out_rdata_o[10]) );
+  sky130_fd_sc_hd__clkinv_1 U66 ( .A(in_rdata_i[15]), .Y(n254) );
+  sky130_fd_sc_hd__clkinv_1 U67 ( .A(rdata_q[31]), .Y(n99) );
+  sky130_fd_sc_hd__o22ai_1 U68 ( .A1(n51), .A2(n185), .B1(n99), .B2(n50), .Y(
+        n17) );
+  sky130_fd_sc_hd__a21oi_1 U69 ( .A1(n53), .A2(rdata_q[15]), .B1(n17), .Y(n18)
+         );
+  sky130_fd_sc_hd__o21ai_1 U70 ( .A1(n254), .A2(n104), .B1(n18), .Y(
+        out_rdata_o[15]) );
+  sky130_fd_sc_hd__clkinv_1 U71 ( .A(in_rdata_i[3]), .Y(n278) );
+  sky130_fd_sc_hd__clkinv_1 U72 ( .A(in_rdata_i[19]), .Y(n246) );
+  sky130_fd_sc_hd__clkinv_1 U73 ( .A(rdata_q[19]), .Y(n62) );
+  sky130_fd_sc_hd__o22ai_1 U74 ( .A1(n51), .A2(n246), .B1(n62), .B2(n50), .Y(
+        n19) );
+  sky130_fd_sc_hd__a21oi_1 U75 ( .A1(n53), .A2(rdata_q[3]), .B1(n19), .Y(n20)
+         );
+  sky130_fd_sc_hd__o21ai_1 U76 ( .A1(n278), .A2(n104), .B1(n20), .Y(
+        out_rdata_o[3]) );
+  sky130_fd_sc_hd__a31oi_1 U77 ( .A1(in_rdata_i[0]), .A2(in_rdata_i[1]), .A3(
+        n21), .B1(out_addr_o[1]), .Y(n22) );
+  sky130_fd_sc_hd__nand2b_1 U78 ( .A_N(n35), .B(n22), .Y(n23) );
+  sky130_fd_sc_hd__a31oi_1 U79 ( .A1(valid_q_0_), .A2(rdata_q[0]), .A3(
+        rdata_q[1]), .B1(n23), .Y(n105) );
+  sky130_fd_sc_hd__nand2_1 U80 ( .A(out_ready_i), .B(out_valid_o), .Y(n112) );
+  sky130_fd_sc_hd__nor2_1 U81 ( .A(n105), .B(n112), .Y(n28) );
+  sky130_fd_sc_hd__nand2_1 U82 ( .A(n28), .B(n24), .Y(n26) );
+  sky130_fd_sc_hd__a22oi_1 U83 ( .A1(busy_o[0]), .A2(n28), .B1(valid_q_0_), 
+        .B2(n26), .Y(n25) );
+  sky130_fd_sc_hd__clkinv_1 U84 ( .A(n28), .Y(n177) );
+  sky130_fd_sc_hd__nand2_1 U85 ( .A(n177), .B(in_valid_i), .Y(n179) );
+  sky130_fd_sc_hd__a21oi_1 U86 ( .A1(n25), .A2(n179), .B1(clear_i), .Y(
+        valid_d[0]) );
+  sky130_fd_sc_hd__a22oi_1 U87 ( .A1(busy_o[0]), .A2(n26), .B1(n28), .B2(
+        busy_o[1]), .Y(n27) );
+  sky130_fd_sc_hd__nand2b_1 U88 ( .A_N(n179), .B(valid_q_0_), .Y(n108) );
+  sky130_fd_sc_hd__a21oi_1 U89 ( .A1(n27), .A2(n108), .B1(clear_i), .Y(
+        valid_d[1]) );
+  sky130_fd_sc_hd__a21oi_1 U90 ( .A1(in_valid_i), .A2(busy_o[0]), .B1(
+        busy_o[1]), .Y(n107) );
+  sky130_fd_sc_hd__nor3_1 U91 ( .A(n28), .B(n107), .C(clear_i), .Y(valid_d[2])
+         );
+  sky130_fd_sc_hd__nand2_1 U92 ( .A(n102), .B(err_q[1]), .Y(n30) );
+  sky130_fd_sc_hd__nand2_1 U93 ( .A(out_addr_o[1]), .B(n181), .Y(n98) );
+  sky130_fd_sc_hd__nor2_1 U94 ( .A(n222), .B(n98), .Y(n31) );
+  sky130_fd_sc_hd__nand2_1 U95 ( .A(valid_q_0_), .B(n31), .Y(n29) );
+  sky130_fd_sc_hd__a21oi_1 U96 ( .A1(n30), .A2(n29), .B1(err_q[0]), .Y(
+        out_err_plus2_o) );
+  sky130_fd_sc_hd__a21oi_1 U97 ( .A1(err_q[1]), .A2(n102), .B1(n31), .Y(n37)
+         );
+  sky130_fd_sc_hd__a21oi_1 U98 ( .A1(n33), .A2(n50), .B1(n32), .Y(n34) );
+  sky130_fd_sc_hd__a21oi_1 U99 ( .A1(n35), .A2(n116), .B1(n34), .Y(n36) );
+  sky130_fd_sc_hd__o21ai_1 U100 ( .A1(n106), .A2(n37), .B1(n36), .Y(out_err_o)
+         );
+  sky130_fd_sc_hd__clkinv_1 U101 ( .A(in_rdata_i[2]), .Y(n280) );
+  sky130_fd_sc_hd__clkinv_1 U102 ( .A(in_rdata_i[18]), .Y(n248) );
+  sky130_fd_sc_hd__clkinv_1 U103 ( .A(rdata_q[18]), .Y(n59) );
+  sky130_fd_sc_hd__o22ai_1 U104 ( .A1(n51), .A2(n248), .B1(n59), .B2(n50), .Y(
+        n38) );
+  sky130_fd_sc_hd__a21oi_1 U105 ( .A1(n53), .A2(rdata_q[2]), .B1(n38), .Y(n39)
+         );
+  sky130_fd_sc_hd__o21ai_1 U106 ( .A1(n280), .A2(n104), .B1(n39), .Y(
+        out_rdata_o[2]) );
+  sky130_fd_sc_hd__clkinv_1 U107 ( .A(in_rdata_i[4]), .Y(n276) );
+  sky130_fd_sc_hd__clkinv_1 U108 ( .A(in_rdata_i[20]), .Y(n244) );
+  sky130_fd_sc_hd__clkinv_1 U109 ( .A(rdata_q[20]), .Y(n65) );
+  sky130_fd_sc_hd__o22ai_1 U110 ( .A1(n51), .A2(n244), .B1(n65), .B2(n50), .Y(
+        n40) );
+  sky130_fd_sc_hd__a21oi_1 U111 ( .A1(n53), .A2(rdata_q[4]), .B1(n40), .Y(n41)
+         );
+  sky130_fd_sc_hd__o21ai_1 U112 ( .A1(n276), .A2(n104), .B1(n41), .Y(
+        out_rdata_o[4]) );
+  sky130_fd_sc_hd__clkinv_1 U113 ( .A(in_rdata_i[5]), .Y(n274) );
+  sky130_fd_sc_hd__clkinv_1 U114 ( .A(in_rdata_i[21]), .Y(n242) );
+  sky130_fd_sc_hd__clkinv_1 U115 ( .A(rdata_q[21]), .Y(n68) );
+  sky130_fd_sc_hd__o22ai_1 U116 ( .A1(n51), .A2(n242), .B1(n68), .B2(n50), .Y(
+        n42) );
+  sky130_fd_sc_hd__a21oi_1 U117 ( .A1(n53), .A2(rdata_q[5]), .B1(n42), .Y(n43)
+         );
+  sky130_fd_sc_hd__o21ai_1 U118 ( .A1(n274), .A2(n104), .B1(n43), .Y(
+        out_rdata_o[5]) );
+  sky130_fd_sc_hd__clkinv_1 U119 ( .A(in_rdata_i[6]), .Y(n272) );
+  sky130_fd_sc_hd__clkinv_1 U120 ( .A(in_rdata_i[22]), .Y(n240) );
+  sky130_fd_sc_hd__clkinv_1 U121 ( .A(rdata_q[22]), .Y(n71) );
+  sky130_fd_sc_hd__o22ai_1 U122 ( .A1(n51), .A2(n240), .B1(n71), .B2(n50), .Y(
+        n44) );
+  sky130_fd_sc_hd__a21oi_1 U123 ( .A1(n53), .A2(rdata_q[6]), .B1(n44), .Y(n45)
+         );
+  sky130_fd_sc_hd__o21ai_1 U124 ( .A1(n272), .A2(n104), .B1(n45), .Y(
+        out_rdata_o[6]) );
+  sky130_fd_sc_hd__clkinv_1 U125 ( .A(in_rdata_i[7]), .Y(n270) );
+  sky130_fd_sc_hd__clkinv_1 U126 ( .A(in_rdata_i[23]), .Y(n238) );
+  sky130_fd_sc_hd__clkinv_1 U127 ( .A(rdata_q[23]), .Y(n74) );
+  sky130_fd_sc_hd__o22ai_1 U128 ( .A1(n51), .A2(n238), .B1(n74), .B2(n50), .Y(
+        n46) );
+  sky130_fd_sc_hd__a21oi_1 U129 ( .A1(n53), .A2(rdata_q[7]), .B1(n46), .Y(n47)
+         );
+  sky130_fd_sc_hd__o21ai_1 U130 ( .A1(n270), .A2(n104), .B1(n47), .Y(
+        out_rdata_o[7]) );
+  sky130_fd_sc_hd__clkinv_1 U131 ( .A(in_rdata_i[8]), .Y(n268) );
+  sky130_fd_sc_hd__clkinv_1 U132 ( .A(in_rdata_i[24]), .Y(n236) );
+  sky130_fd_sc_hd__clkinv_1 U133 ( .A(rdata_q[24]), .Y(n77) );
+  sky130_fd_sc_hd__o22ai_1 U134 ( .A1(n51), .A2(n236), .B1(n77), .B2(n50), .Y(
+        n48) );
+  sky130_fd_sc_hd__a21oi_1 U135 ( .A1(n53), .A2(rdata_q[8]), .B1(n48), .Y(n49)
+         );
+  sky130_fd_sc_hd__o21ai_1 U136 ( .A1(n268), .A2(n104), .B1(n49), .Y(
+        out_rdata_o[8]) );
+  sky130_fd_sc_hd__clkinv_1 U137 ( .A(in_rdata_i[9]), .Y(n266) );
+  sky130_fd_sc_hd__clkinv_1 U138 ( .A(in_rdata_i[25]), .Y(n234) );
+  sky130_fd_sc_hd__clkinv_1 U139 ( .A(rdata_q[25]), .Y(n80) );
+  sky130_fd_sc_hd__o22ai_1 U140 ( .A1(n51), .A2(n234), .B1(n80), .B2(n50), .Y(
+        n52) );
+  sky130_fd_sc_hd__a21oi_1 U141 ( .A1(n53), .A2(rdata_q[9]), .B1(n52), .Y(n54)
+         );
+  sky130_fd_sc_hd__o21ai_1 U142 ( .A1(n266), .A2(n104), .B1(n54), .Y(
+        out_rdata_o[9]) );
+  sky130_fd_sc_hd__a22oi_1 U143 ( .A1(rdata_q[32]), .A2(n102), .B1(n116), .B2(
+        n55), .Y(n56) );
+  sky130_fd_sc_hd__o21ai_1 U144 ( .A1(n220), .A2(n98), .B1(n56), .Y(
+        out_rdata_o[16]) );
+  sky130_fd_sc_hd__a22oi_1 U145 ( .A1(rdata_q[33]), .A2(n102), .B1(n116), .B2(
+        n57), .Y(n58) );
+  sky130_fd_sc_hd__o21ai_1 U146 ( .A1(n285), .A2(n98), .B1(n58), .Y(
+        out_rdata_o[17]) );
+  sky130_fd_sc_hd__o22ai_1 U147 ( .A1(n100), .A2(n59), .B1(n280), .B2(n98), 
+        .Y(n60) );
+  sky130_fd_sc_hd__a21oi_1 U148 ( .A1(n102), .A2(rdata_q[34]), .B1(n60), .Y(
+        n61) );
+  sky130_fd_sc_hd__o21ai_1 U149 ( .A1(n248), .A2(n104), .B1(n61), .Y(
+        out_rdata_o[18]) );
+  sky130_fd_sc_hd__o22ai_1 U150 ( .A1(n100), .A2(n62), .B1(n278), .B2(n98), 
+        .Y(n63) );
+  sky130_fd_sc_hd__a21oi_1 U151 ( .A1(n102), .A2(rdata_q[35]), .B1(n63), .Y(
+        n64) );
+  sky130_fd_sc_hd__o21ai_1 U152 ( .A1(n246), .A2(n104), .B1(n64), .Y(
+        out_rdata_o[19]) );
+  sky130_fd_sc_hd__o22ai_1 U153 ( .A1(n100), .A2(n65), .B1(n276), .B2(n98), 
+        .Y(n66) );
+  sky130_fd_sc_hd__a21oi_1 U154 ( .A1(n102), .A2(rdata_q[36]), .B1(n66), .Y(
+        n67) );
+  sky130_fd_sc_hd__o21ai_1 U155 ( .A1(n244), .A2(n104), .B1(n67), .Y(
+        out_rdata_o[20]) );
+  sky130_fd_sc_hd__o22ai_1 U156 ( .A1(n100), .A2(n68), .B1(n274), .B2(n98), 
+        .Y(n69) );
+  sky130_fd_sc_hd__a21oi_1 U157 ( .A1(n102), .A2(rdata_q[37]), .B1(n69), .Y(
+        n70) );
+  sky130_fd_sc_hd__o21ai_1 U158 ( .A1(n242), .A2(n104), .B1(n70), .Y(
+        out_rdata_o[21]) );
+  sky130_fd_sc_hd__o22ai_1 U159 ( .A1(n100), .A2(n71), .B1(n272), .B2(n98), 
+        .Y(n72) );
+  sky130_fd_sc_hd__a21oi_1 U160 ( .A1(n102), .A2(rdata_q[38]), .B1(n72), .Y(
+        n73) );
+  sky130_fd_sc_hd__o21ai_1 U161 ( .A1(n240), .A2(n104), .B1(n73), .Y(
+        out_rdata_o[22]) );
+  sky130_fd_sc_hd__o22ai_1 U162 ( .A1(n100), .A2(n74), .B1(n270), .B2(n98), 
+        .Y(n75) );
+  sky130_fd_sc_hd__a21oi_1 U163 ( .A1(n102), .A2(rdata_q[39]), .B1(n75), .Y(
+        n76) );
+  sky130_fd_sc_hd__o21ai_1 U164 ( .A1(n238), .A2(n104), .B1(n76), .Y(
+        out_rdata_o[23]) );
+  sky130_fd_sc_hd__o22ai_1 U165 ( .A1(n100), .A2(n77), .B1(n268), .B2(n98), 
+        .Y(n78) );
+  sky130_fd_sc_hd__a21oi_1 U166 ( .A1(n102), .A2(rdata_q[40]), .B1(n78), .Y(
+        n79) );
+  sky130_fd_sc_hd__o21ai_1 U167 ( .A1(n236), .A2(n104), .B1(n79), .Y(
+        out_rdata_o[24]) );
+  sky130_fd_sc_hd__o22ai_1 U168 ( .A1(n100), .A2(n80), .B1(n266), .B2(n98), 
+        .Y(n81) );
+  sky130_fd_sc_hd__a21oi_1 U169 ( .A1(n102), .A2(rdata_q[41]), .B1(n81), .Y(
+        n82) );
+  sky130_fd_sc_hd__o21ai_1 U170 ( .A1(n234), .A2(n104), .B1(n82), .Y(
+        out_rdata_o[25]) );
+  sky130_fd_sc_hd__o22ai_1 U171 ( .A1(n100), .A2(n83), .B1(n264), .B2(n98), 
+        .Y(n84) );
+  sky130_fd_sc_hd__a21oi_1 U172 ( .A1(n102), .A2(rdata_q[42]), .B1(n84), .Y(
+        n85) );
+  sky130_fd_sc_hd__o21ai_1 U173 ( .A1(n232), .A2(n104), .B1(n85), .Y(
+        out_rdata_o[26]) );
+  sky130_fd_sc_hd__o22ai_1 U174 ( .A1(n100), .A2(n86), .B1(n262), .B2(n98), 
+        .Y(n87) );
+  sky130_fd_sc_hd__a21oi_1 U175 ( .A1(n102), .A2(rdata_q[43]), .B1(n87), .Y(
+        n88) );
+  sky130_fd_sc_hd__o21ai_1 U176 ( .A1(n230), .A2(n104), .B1(n88), .Y(
+        out_rdata_o[27]) );
+  sky130_fd_sc_hd__o22ai_1 U177 ( .A1(n100), .A2(n89), .B1(n260), .B2(n98), 
+        .Y(n90) );
+  sky130_fd_sc_hd__a21oi_1 U178 ( .A1(n102), .A2(rdata_q[44]), .B1(n90), .Y(
+        n91) );
+  sky130_fd_sc_hd__o21ai_1 U179 ( .A1(n228), .A2(n104), .B1(n91), .Y(
+        out_rdata_o[28]) );
+  sky130_fd_sc_hd__o22ai_1 U180 ( .A1(n100), .A2(n92), .B1(n258), .B2(n98), 
+        .Y(n93) );
+  sky130_fd_sc_hd__a21oi_1 U181 ( .A1(n102), .A2(rdata_q[45]), .B1(n93), .Y(
+        n94) );
+  sky130_fd_sc_hd__o21ai_1 U182 ( .A1(n226), .A2(n104), .B1(n94), .Y(
+        out_rdata_o[29]) );
+  sky130_fd_sc_hd__o22ai_1 U183 ( .A1(n100), .A2(n95), .B1(n256), .B2(n98), 
+        .Y(n96) );
+  sky130_fd_sc_hd__a21oi_1 U184 ( .A1(n102), .A2(rdata_q[46]), .B1(n96), .Y(
+        n97) );
+  sky130_fd_sc_hd__o21ai_1 U185 ( .A1(n224), .A2(n104), .B1(n97), .Y(
+        out_rdata_o[30]) );
+  sky130_fd_sc_hd__o22ai_1 U186 ( .A1(n100), .A2(n99), .B1(n254), .B2(n98), 
+        .Y(n101) );
+  sky130_fd_sc_hd__a21oi_1 U187 ( .A1(n102), .A2(rdata_q[47]), .B1(n101), .Y(
+        n103) );
+  sky130_fd_sc_hd__o21ai_1 U188 ( .A1(n185), .A2(n104), .B1(n103), .Y(
+        out_rdata_o[31]) );
+  sky130_fd_sc_hd__a21oi_1 U189 ( .A1(out_addr_o[1]), .A2(n106), .B1(n105), 
+        .Y(n384) );
+  sky130_fd_sc_hd__clkinv_1 U190 ( .A(n384), .Y(addr_incr_two) );
+  sky130_fd_sc_hd__clkinv_1 U191 ( .A(busy_o[1]), .Y(n110) );
+  sky130_fd_sc_hd__o22ai_1 U192 ( .A1(busy_o[0]), .A2(n108), .B1(n107), .B2(
+        n177), .Y(n109) );
+  sky130_fd_sc_hd__nand2_1 U193 ( .A(n110), .B(n109), .Y(n284) );
+  sky130_fd_sc_hd__clkinv_1 U194 ( .A(n109), .Y(n282) );
+  sky130_fd_sc_hd__nor2_1 U195 ( .A(n282), .B(n110), .Y(n281) );
+  sky130_fd_sc_hd__a22oi_1 U196 ( .A1(n282), .A2(rdata_q[63]), .B1(n281), .B2(
+        rdata_q[95]), .Y(n111) );
+  sky130_fd_sc_hd__o21ai_1 U197 ( .A1(n284), .A2(n185), .B1(n111), .Y(n383) );
+  sky130_fd_sc_hd__nand2b_1 U198 ( .A_N(clear_i), .B(n112), .Y(n176) );
+  sky130_fd_sc_hd__clkinv_1 U199 ( .A(out_addr_o[2]), .Y(n114) );
+  sky130_fd_sc_hd__nor2_1 U200 ( .A(clear_i), .B(n112), .Y(n173) );
+  sky130_fd_sc_hd__a22oi_1 U201 ( .A1(clear_i), .A2(in_addr_i[2]), .B1(n173), 
+        .B2(out_addr_next_o_2_), .Y(n113) );
+  sky130_fd_sc_hd__o21ai_1 U202 ( .A1(n176), .A2(n114), .B1(n113), .Y(n382) );
+  sky130_fd_sc_hd__a22oi_1 U203 ( .A1(clear_i), .A2(in_addr_i[1]), .B1(n173), 
+        .B2(out_addr_next_o_1_), .Y(n115) );
+  sky130_fd_sc_hd__o21ai_1 U204 ( .A1(n116), .A2(n176), .B1(n115), .Y(n381) );
+  sky130_fd_sc_hd__clkinv_1 U205 ( .A(out_addr_o[3]), .Y(n118) );
+  sky130_fd_sc_hd__a22oi_1 U206 ( .A1(clear_i), .A2(in_addr_i[3]), .B1(n173), 
+        .B2(out_addr_next_o_3_), .Y(n117) );
+  sky130_fd_sc_hd__o21ai_1 U207 ( .A1(n176), .A2(n118), .B1(n117), .Y(n380) );
+  sky130_fd_sc_hd__clkinv_1 U208 ( .A(out_addr_o[4]), .Y(n120) );
+  sky130_fd_sc_hd__a22oi_1 U209 ( .A1(clear_i), .A2(in_addr_i[4]), .B1(n173), 
+        .B2(out_addr_next_o_4_), .Y(n119) );
+  sky130_fd_sc_hd__o21ai_1 U210 ( .A1(n176), .A2(n120), .B1(n119), .Y(n379) );
+  sky130_fd_sc_hd__clkinv_1 U211 ( .A(out_addr_o[5]), .Y(n122) );
+  sky130_fd_sc_hd__a22oi_1 U212 ( .A1(clear_i), .A2(in_addr_i[5]), .B1(n173), 
+        .B2(out_addr_next_o_5_), .Y(n121) );
+  sky130_fd_sc_hd__o21ai_1 U213 ( .A1(n176), .A2(n122), .B1(n121), .Y(n378) );
+  sky130_fd_sc_hd__clkinv_1 U214 ( .A(out_addr_o[6]), .Y(n124) );
+  sky130_fd_sc_hd__a22oi_1 U215 ( .A1(clear_i), .A2(in_addr_i[6]), .B1(n173), 
+        .B2(out_addr_next_o_6_), .Y(n123) );
+  sky130_fd_sc_hd__o21ai_1 U216 ( .A1(n176), .A2(n124), .B1(n123), .Y(n377) );
+  sky130_fd_sc_hd__clkinv_1 U217 ( .A(out_addr_o[7]), .Y(n126) );
+  sky130_fd_sc_hd__a22oi_1 U218 ( .A1(clear_i), .A2(in_addr_i[7]), .B1(n173), 
+        .B2(out_addr_next_o_7_), .Y(n125) );
+  sky130_fd_sc_hd__o21ai_1 U219 ( .A1(n176), .A2(n126), .B1(n125), .Y(n376) );
+  sky130_fd_sc_hd__clkinv_1 U220 ( .A(out_addr_o[8]), .Y(n128) );
+  sky130_fd_sc_hd__a22oi_1 U221 ( .A1(clear_i), .A2(in_addr_i[8]), .B1(n173), 
+        .B2(out_addr_next_o_8_), .Y(n127) );
+  sky130_fd_sc_hd__o21ai_1 U222 ( .A1(n176), .A2(n128), .B1(n127), .Y(n375) );
+  sky130_fd_sc_hd__clkinv_1 U223 ( .A(out_addr_o[9]), .Y(n130) );
+  sky130_fd_sc_hd__a22oi_1 U224 ( .A1(clear_i), .A2(in_addr_i[9]), .B1(n173), 
+        .B2(out_addr_next_o_9_), .Y(n129) );
+  sky130_fd_sc_hd__o21ai_1 U225 ( .A1(n176), .A2(n130), .B1(n129), .Y(n374) );
+  sky130_fd_sc_hd__clkinv_1 U226 ( .A(out_addr_o[10]), .Y(n132) );
+  sky130_fd_sc_hd__a22oi_1 U227 ( .A1(clear_i), .A2(in_addr_i[10]), .B1(n173), 
+        .B2(out_addr_next_o_10_), .Y(n131) );
+  sky130_fd_sc_hd__o21ai_1 U228 ( .A1(n176), .A2(n132), .B1(n131), .Y(n373) );
+  sky130_fd_sc_hd__clkinv_1 U229 ( .A(out_addr_o[11]), .Y(n134) );
+  sky130_fd_sc_hd__a22oi_1 U230 ( .A1(clear_i), .A2(in_addr_i[11]), .B1(n173), 
+        .B2(out_addr_next_o_11_), .Y(n133) );
+  sky130_fd_sc_hd__o21ai_1 U231 ( .A1(n176), .A2(n134), .B1(n133), .Y(n372) );
+  sky130_fd_sc_hd__clkinv_1 U232 ( .A(out_addr_o[12]), .Y(n136) );
+  sky130_fd_sc_hd__a22oi_1 U233 ( .A1(clear_i), .A2(in_addr_i[12]), .B1(n173), 
+        .B2(out_addr_next_o_12_), .Y(n135) );
+  sky130_fd_sc_hd__o21ai_1 U234 ( .A1(n176), .A2(n136), .B1(n135), .Y(n371) );
+  sky130_fd_sc_hd__clkinv_1 U235 ( .A(out_addr_o[13]), .Y(n138) );
+  sky130_fd_sc_hd__a22oi_1 U236 ( .A1(clear_i), .A2(in_addr_i[13]), .B1(n173), 
+        .B2(out_addr_next_o_13_), .Y(n137) );
+  sky130_fd_sc_hd__o21ai_1 U237 ( .A1(n176), .A2(n138), .B1(n137), .Y(n370) );
+  sky130_fd_sc_hd__clkinv_1 U238 ( .A(out_addr_o[14]), .Y(n140) );
+  sky130_fd_sc_hd__a22oi_1 U239 ( .A1(clear_i), .A2(in_addr_i[14]), .B1(n173), 
+        .B2(out_addr_next_o_14_), .Y(n139) );
+  sky130_fd_sc_hd__o21ai_1 U240 ( .A1(n176), .A2(n140), .B1(n139), .Y(n369) );
+  sky130_fd_sc_hd__clkinv_1 U241 ( .A(out_addr_o[15]), .Y(n142) );
+  sky130_fd_sc_hd__a22oi_1 U242 ( .A1(clear_i), .A2(in_addr_i[15]), .B1(n173), 
+        .B2(out_addr_next_o_15_), .Y(n141) );
+  sky130_fd_sc_hd__o21ai_1 U243 ( .A1(n176), .A2(n142), .B1(n141), .Y(n368) );
+  sky130_fd_sc_hd__clkinv_1 U244 ( .A(out_addr_o[16]), .Y(n144) );
+  sky130_fd_sc_hd__a22oi_1 U245 ( .A1(clear_i), .A2(in_addr_i[16]), .B1(n173), 
+        .B2(out_addr_next_o_16_), .Y(n143) );
+  sky130_fd_sc_hd__o21ai_1 U246 ( .A1(n176), .A2(n144), .B1(n143), .Y(n367) );
+  sky130_fd_sc_hd__clkinv_1 U247 ( .A(out_addr_o[17]), .Y(n146) );
+  sky130_fd_sc_hd__a22oi_1 U248 ( .A1(clear_i), .A2(in_addr_i[17]), .B1(n173), 
+        .B2(out_addr_next_o_17_), .Y(n145) );
+  sky130_fd_sc_hd__o21ai_1 U249 ( .A1(n176), .A2(n146), .B1(n145), .Y(n366) );
+  sky130_fd_sc_hd__clkinv_1 U250 ( .A(out_addr_o[18]), .Y(n148) );
+  sky130_fd_sc_hd__a22oi_1 U251 ( .A1(clear_i), .A2(in_addr_i[18]), .B1(n173), 
+        .B2(out_addr_next_o_18_), .Y(n147) );
+  sky130_fd_sc_hd__o21ai_1 U252 ( .A1(n176), .A2(n148), .B1(n147), .Y(n365) );
+  sky130_fd_sc_hd__clkinv_1 U253 ( .A(out_addr_o[19]), .Y(n150) );
+  sky130_fd_sc_hd__a22oi_1 U254 ( .A1(clear_i), .A2(in_addr_i[19]), .B1(n173), 
+        .B2(out_addr_next_o_19_), .Y(n149) );
+  sky130_fd_sc_hd__o21ai_1 U255 ( .A1(n176), .A2(n150), .B1(n149), .Y(n364) );
+  sky130_fd_sc_hd__clkinv_1 U256 ( .A(out_addr_o[20]), .Y(n152) );
+  sky130_fd_sc_hd__a22oi_1 U257 ( .A1(clear_i), .A2(in_addr_i[20]), .B1(n173), 
+        .B2(out_addr_next_o_20_), .Y(n151) );
+  sky130_fd_sc_hd__o21ai_1 U258 ( .A1(n176), .A2(n152), .B1(n151), .Y(n363) );
+  sky130_fd_sc_hd__clkinv_1 U259 ( .A(out_addr_o[21]), .Y(n154) );
+  sky130_fd_sc_hd__a22oi_1 U260 ( .A1(clear_i), .A2(in_addr_i[21]), .B1(n173), 
+        .B2(out_addr_next_o_21_), .Y(n153) );
+  sky130_fd_sc_hd__o21ai_1 U261 ( .A1(n176), .A2(n154), .B1(n153), .Y(n362) );
+  sky130_fd_sc_hd__clkinv_1 U262 ( .A(out_addr_o[22]), .Y(n156) );
+  sky130_fd_sc_hd__a22oi_1 U263 ( .A1(clear_i), .A2(in_addr_i[22]), .B1(n173), 
+        .B2(out_addr_next_o_22_), .Y(n155) );
+  sky130_fd_sc_hd__o21ai_1 U264 ( .A1(n176), .A2(n156), .B1(n155), .Y(n361) );
+  sky130_fd_sc_hd__clkinv_1 U265 ( .A(out_addr_o[23]), .Y(n158) );
+  sky130_fd_sc_hd__a22oi_1 U266 ( .A1(clear_i), .A2(in_addr_i[23]), .B1(n173), 
+        .B2(out_addr_next_o_23_), .Y(n157) );
+  sky130_fd_sc_hd__o21ai_1 U267 ( .A1(n176), .A2(n158), .B1(n157), .Y(n360) );
+  sky130_fd_sc_hd__clkinv_1 U268 ( .A(out_addr_o[24]), .Y(n160) );
+  sky130_fd_sc_hd__a22oi_1 U269 ( .A1(clear_i), .A2(in_addr_i[24]), .B1(n173), 
+        .B2(out_addr_next_o_24_), .Y(n159) );
+  sky130_fd_sc_hd__o21ai_1 U270 ( .A1(n176), .A2(n160), .B1(n159), .Y(n359) );
+  sky130_fd_sc_hd__clkinv_1 U271 ( .A(out_addr_o[25]), .Y(n162) );
+  sky130_fd_sc_hd__a22oi_1 U272 ( .A1(clear_i), .A2(in_addr_i[25]), .B1(n173), 
+        .B2(out_addr_next_o_25_), .Y(n161) );
+  sky130_fd_sc_hd__o21ai_1 U273 ( .A1(n176), .A2(n162), .B1(n161), .Y(n358) );
+  sky130_fd_sc_hd__clkinv_1 U274 ( .A(out_addr_o[26]), .Y(n164) );
+  sky130_fd_sc_hd__a22oi_1 U275 ( .A1(clear_i), .A2(in_addr_i[26]), .B1(n173), 
+        .B2(out_addr_next_o_26_), .Y(n163) );
+  sky130_fd_sc_hd__o21ai_1 U276 ( .A1(n176), .A2(n164), .B1(n163), .Y(n357) );
+  sky130_fd_sc_hd__clkinv_1 U277 ( .A(out_addr_o[27]), .Y(n166) );
+  sky130_fd_sc_hd__a22oi_1 U278 ( .A1(clear_i), .A2(in_addr_i[27]), .B1(n173), 
+        .B2(out_addr_next_o_27_), .Y(n165) );
+  sky130_fd_sc_hd__o21ai_1 U279 ( .A1(n176), .A2(n166), .B1(n165), .Y(n356) );
+  sky130_fd_sc_hd__clkinv_1 U280 ( .A(out_addr_o[28]), .Y(n168) );
+  sky130_fd_sc_hd__a22oi_1 U281 ( .A1(clear_i), .A2(in_addr_i[28]), .B1(n173), 
+        .B2(out_addr_next_o_28_), .Y(n167) );
+  sky130_fd_sc_hd__o21ai_1 U282 ( .A1(n176), .A2(n168), .B1(n167), .Y(n355) );
+  sky130_fd_sc_hd__clkinv_1 U283 ( .A(out_addr_o[29]), .Y(n170) );
+  sky130_fd_sc_hd__a22oi_1 U284 ( .A1(clear_i), .A2(in_addr_i[29]), .B1(n173), 
+        .B2(out_addr_next_o_29_), .Y(n169) );
+  sky130_fd_sc_hd__o21ai_1 U285 ( .A1(n176), .A2(n170), .B1(n169), .Y(n354) );
+  sky130_fd_sc_hd__clkinv_1 U286 ( .A(out_addr_o[30]), .Y(n172) );
+  sky130_fd_sc_hd__a22oi_1 U287 ( .A1(clear_i), .A2(in_addr_i[30]), .B1(n173), 
+        .B2(out_addr_next_o_30_), .Y(n171) );
+  sky130_fd_sc_hd__o21ai_1 U288 ( .A1(n176), .A2(n172), .B1(n171), .Y(n353) );
+  sky130_fd_sc_hd__clkinv_1 U289 ( .A(out_addr_o[31]), .Y(n175) );
+  sky130_fd_sc_hd__a22oi_1 U290 ( .A1(clear_i), .A2(in_addr_i[31]), .B1(n173), 
+        .B2(out_addr_next_o_31_), .Y(n174) );
+  sky130_fd_sc_hd__o21ai_1 U291 ( .A1(n176), .A2(n175), .B1(n174), .Y(n352) );
+  sky130_fd_sc_hd__a21oi_1 U292 ( .A1(valid_q_0_), .A2(in_valid_i), .B1(
+        busy_o[0]), .Y(n178) );
+  sky130_fd_sc_hd__o22ai_1 U293 ( .A1(valid_q_0_), .A2(n179), .B1(n178), .B2(
+        n177), .Y(n180) );
+  sky130_fd_sc_hd__nand2_1 U294 ( .A(n181), .B(n180), .Y(n218) );
+  sky130_fd_sc_hd__clkinv_1 U295 ( .A(n180), .Y(n216) );
+  sky130_fd_sc_hd__nor2_1 U296 ( .A(n216), .B(n181), .Y(n215) );
+  sky130_fd_sc_hd__a22oi_1 U297 ( .A1(rdata_q[0]), .A2(n216), .B1(rdata_q[32]), 
+        .B2(n215), .Y(n182) );
+  sky130_fd_sc_hd__o21ai_1 U298 ( .A1(n220), .A2(n218), .B1(n182), .Y(n351) );
+  sky130_fd_sc_hd__a22oi_1 U299 ( .A1(err_q[0]), .A2(n216), .B1(err_q[1]), 
+        .B2(n215), .Y(n183) );
+  sky130_fd_sc_hd__o21ai_1 U300 ( .A1(n222), .A2(n218), .B1(n183), .Y(n350) );
+  sky130_fd_sc_hd__a22oi_1 U301 ( .A1(rdata_q[63]), .A2(n215), .B1(n216), .B2(
+        rdata_q[31]), .Y(n184) );
+  sky130_fd_sc_hd__o21ai_1 U302 ( .A1(n185), .A2(n218), .B1(n184), .Y(n349) );
+  sky130_fd_sc_hd__a22oi_1 U303 ( .A1(rdata_q[62]), .A2(n215), .B1(n216), .B2(
+        rdata_q[30]), .Y(n186) );
+  sky130_fd_sc_hd__o21ai_1 U304 ( .A1(n224), .A2(n218), .B1(n186), .Y(n348) );
+  sky130_fd_sc_hd__a22oi_1 U305 ( .A1(rdata_q[61]), .A2(n215), .B1(n216), .B2(
+        rdata_q[29]), .Y(n187) );
+  sky130_fd_sc_hd__o21ai_1 U306 ( .A1(n226), .A2(n218), .B1(n187), .Y(n347) );
+  sky130_fd_sc_hd__a22oi_1 U307 ( .A1(rdata_q[60]), .A2(n215), .B1(n216), .B2(
+        rdata_q[28]), .Y(n188) );
+  sky130_fd_sc_hd__o21ai_1 U308 ( .A1(n228), .A2(n218), .B1(n188), .Y(n346) );
+  sky130_fd_sc_hd__a22oi_1 U309 ( .A1(rdata_q[59]), .A2(n215), .B1(n216), .B2(
+        rdata_q[27]), .Y(n189) );
+  sky130_fd_sc_hd__o21ai_1 U310 ( .A1(n230), .A2(n218), .B1(n189), .Y(n345) );
+  sky130_fd_sc_hd__a22oi_1 U311 ( .A1(rdata_q[58]), .A2(n215), .B1(n216), .B2(
+        rdata_q[26]), .Y(n190) );
+  sky130_fd_sc_hd__o21ai_1 U312 ( .A1(n232), .A2(n218), .B1(n190), .Y(n344) );
+  sky130_fd_sc_hd__a22oi_1 U313 ( .A1(rdata_q[57]), .A2(n215), .B1(n216), .B2(
+        rdata_q[25]), .Y(n191) );
+  sky130_fd_sc_hd__o21ai_1 U314 ( .A1(n234), .A2(n218), .B1(n191), .Y(n343) );
+  sky130_fd_sc_hd__a22oi_1 U315 ( .A1(rdata_q[56]), .A2(n215), .B1(n216), .B2(
+        rdata_q[24]), .Y(n192) );
+  sky130_fd_sc_hd__o21ai_1 U316 ( .A1(n236), .A2(n218), .B1(n192), .Y(n342) );
+  sky130_fd_sc_hd__a22oi_1 U317 ( .A1(rdata_q[55]), .A2(n215), .B1(n216), .B2(
+        rdata_q[23]), .Y(n193) );
+  sky130_fd_sc_hd__o21ai_1 U318 ( .A1(n238), .A2(n218), .B1(n193), .Y(n341) );
+  sky130_fd_sc_hd__a22oi_1 U319 ( .A1(rdata_q[54]), .A2(n215), .B1(n216), .B2(
+        rdata_q[22]), .Y(n194) );
+  sky130_fd_sc_hd__o21ai_1 U320 ( .A1(n240), .A2(n218), .B1(n194), .Y(n340) );
+  sky130_fd_sc_hd__a22oi_1 U321 ( .A1(rdata_q[53]), .A2(n215), .B1(n216), .B2(
+        rdata_q[21]), .Y(n195) );
+  sky130_fd_sc_hd__o21ai_1 U322 ( .A1(n242), .A2(n218), .B1(n195), .Y(n339) );
+  sky130_fd_sc_hd__a22oi_1 U323 ( .A1(rdata_q[52]), .A2(n215), .B1(n216), .B2(
+        rdata_q[20]), .Y(n196) );
+  sky130_fd_sc_hd__o21ai_1 U324 ( .A1(n244), .A2(n218), .B1(n196), .Y(n338) );
+  sky130_fd_sc_hd__a22oi_1 U325 ( .A1(rdata_q[51]), .A2(n215), .B1(n216), .B2(
+        rdata_q[19]), .Y(n197) );
+  sky130_fd_sc_hd__o21ai_1 U326 ( .A1(n246), .A2(n218), .B1(n197), .Y(n337) );
+  sky130_fd_sc_hd__a22oi_1 U327 ( .A1(rdata_q[50]), .A2(n215), .B1(n216), .B2(
+        rdata_q[18]), .Y(n198) );
+  sky130_fd_sc_hd__o21ai_1 U328 ( .A1(n248), .A2(n218), .B1(n198), .Y(n336) );
+  sky130_fd_sc_hd__a22oi_1 U329 ( .A1(rdata_q[17]), .A2(n216), .B1(rdata_q[49]), .B2(n215), .Y(n199) );
+  sky130_fd_sc_hd__o21ai_1 U330 ( .A1(n250), .A2(n218), .B1(n199), .Y(n335) );
+  sky130_fd_sc_hd__a22oi_1 U331 ( .A1(rdata_q[16]), .A2(n216), .B1(rdata_q[48]), .B2(n215), .Y(n200) );
+  sky130_fd_sc_hd__o21ai_1 U332 ( .A1(n252), .A2(n218), .B1(n200), .Y(n334) );
+  sky130_fd_sc_hd__a22oi_1 U333 ( .A1(rdata_q[47]), .A2(n215), .B1(n216), .B2(
+        rdata_q[15]), .Y(n201) );
+  sky130_fd_sc_hd__o21ai_1 U334 ( .A1(n254), .A2(n218), .B1(n201), .Y(n333) );
+  sky130_fd_sc_hd__a22oi_1 U335 ( .A1(rdata_q[46]), .A2(n215), .B1(n216), .B2(
+        rdata_q[14]), .Y(n202) );
+  sky130_fd_sc_hd__o21ai_1 U336 ( .A1(n256), .A2(n218), .B1(n202), .Y(n332) );
+  sky130_fd_sc_hd__a22oi_1 U337 ( .A1(rdata_q[45]), .A2(n215), .B1(n216), .B2(
+        rdata_q[13]), .Y(n203) );
+  sky130_fd_sc_hd__o21ai_1 U338 ( .A1(n258), .A2(n218), .B1(n203), .Y(n331) );
+  sky130_fd_sc_hd__a22oi_1 U339 ( .A1(rdata_q[44]), .A2(n215), .B1(n216), .B2(
+        rdata_q[12]), .Y(n204) );
+  sky130_fd_sc_hd__o21ai_1 U340 ( .A1(n260), .A2(n218), .B1(n204), .Y(n330) );
+  sky130_fd_sc_hd__a22oi_1 U341 ( .A1(rdata_q[43]), .A2(n215), .B1(n216), .B2(
+        rdata_q[11]), .Y(n205) );
+  sky130_fd_sc_hd__o21ai_1 U342 ( .A1(n262), .A2(n218), .B1(n205), .Y(n329) );
+  sky130_fd_sc_hd__a22oi_1 U343 ( .A1(rdata_q[42]), .A2(n215), .B1(n216), .B2(
+        rdata_q[10]), .Y(n206) );
+  sky130_fd_sc_hd__o21ai_1 U344 ( .A1(n264), .A2(n218), .B1(n206), .Y(n328) );
+  sky130_fd_sc_hd__a22oi_1 U345 ( .A1(rdata_q[41]), .A2(n215), .B1(n216), .B2(
+        rdata_q[9]), .Y(n207) );
+  sky130_fd_sc_hd__o21ai_1 U346 ( .A1(n266), .A2(n218), .B1(n207), .Y(n327) );
+  sky130_fd_sc_hd__a22oi_1 U347 ( .A1(rdata_q[40]), .A2(n215), .B1(n216), .B2(
+        rdata_q[8]), .Y(n208) );
+  sky130_fd_sc_hd__o21ai_1 U348 ( .A1(n268), .A2(n218), .B1(n208), .Y(n326) );
+  sky130_fd_sc_hd__a22oi_1 U349 ( .A1(rdata_q[39]), .A2(n215), .B1(n216), .B2(
+        rdata_q[7]), .Y(n209) );
+  sky130_fd_sc_hd__o21ai_1 U350 ( .A1(n270), .A2(n218), .B1(n209), .Y(n325) );
+  sky130_fd_sc_hd__a22oi_1 U351 ( .A1(rdata_q[38]), .A2(n215), .B1(n216), .B2(
+        rdata_q[6]), .Y(n210) );
+  sky130_fd_sc_hd__o21ai_1 U352 ( .A1(n272), .A2(n218), .B1(n210), .Y(n324) );
+  sky130_fd_sc_hd__a22oi_1 U353 ( .A1(rdata_q[37]), .A2(n215), .B1(n216), .B2(
+        rdata_q[5]), .Y(n211) );
+  sky130_fd_sc_hd__o21ai_1 U354 ( .A1(n274), .A2(n218), .B1(n211), .Y(n323) );
+  sky130_fd_sc_hd__a22oi_1 U355 ( .A1(rdata_q[36]), .A2(n215), .B1(n216), .B2(
+        rdata_q[4]), .Y(n212) );
+  sky130_fd_sc_hd__o21ai_1 U356 ( .A1(n276), .A2(n218), .B1(n212), .Y(n322) );
+  sky130_fd_sc_hd__a22oi_1 U357 ( .A1(rdata_q[35]), .A2(n215), .B1(n216), .B2(
+        rdata_q[3]), .Y(n213) );
+  sky130_fd_sc_hd__o21ai_1 U358 ( .A1(n278), .A2(n218), .B1(n213), .Y(n321) );
+  sky130_fd_sc_hd__a22oi_1 U359 ( .A1(rdata_q[34]), .A2(n215), .B1(n216), .B2(
+        rdata_q[2]), .Y(n214) );
+  sky130_fd_sc_hd__o21ai_1 U360 ( .A1(n280), .A2(n218), .B1(n214), .Y(n320) );
+  sky130_fd_sc_hd__a22oi_1 U361 ( .A1(rdata_q[1]), .A2(n216), .B1(rdata_q[33]), 
+        .B2(n215), .Y(n217) );
+  sky130_fd_sc_hd__o21ai_1 U362 ( .A1(n285), .A2(n218), .B1(n217), .Y(n319) );
+  sky130_fd_sc_hd__a22oi_1 U363 ( .A1(n282), .A2(rdata_q[32]), .B1(n281), .B2(
+        rdata_q[64]), .Y(n219) );
+  sky130_fd_sc_hd__o21ai_1 U364 ( .A1(n220), .A2(n284), .B1(n219), .Y(n318) );
+  sky130_fd_sc_hd__a22oi_1 U365 ( .A1(n282), .A2(err_q[1]), .B1(n281), .B2(
+        err_q[2]), .Y(n221) );
+  sky130_fd_sc_hd__o21ai_1 U366 ( .A1(n222), .A2(n284), .B1(n221), .Y(n317) );
+  sky130_fd_sc_hd__a22oi_1 U367 ( .A1(n282), .A2(rdata_q[62]), .B1(n281), .B2(
+        rdata_q[94]), .Y(n223) );
+  sky130_fd_sc_hd__o21ai_1 U368 ( .A1(n284), .A2(n224), .B1(n223), .Y(n316) );
+  sky130_fd_sc_hd__a22oi_1 U369 ( .A1(n282), .A2(rdata_q[61]), .B1(n281), .B2(
+        rdata_q[93]), .Y(n225) );
+  sky130_fd_sc_hd__o21ai_1 U370 ( .A1(n284), .A2(n226), .B1(n225), .Y(n315) );
+  sky130_fd_sc_hd__a22oi_1 U371 ( .A1(n282), .A2(rdata_q[60]), .B1(n281), .B2(
+        rdata_q[92]), .Y(n227) );
+  sky130_fd_sc_hd__o21ai_1 U372 ( .A1(n284), .A2(n228), .B1(n227), .Y(n314) );
+  sky130_fd_sc_hd__a22oi_1 U373 ( .A1(n282), .A2(rdata_q[59]), .B1(n281), .B2(
+        rdata_q[91]), .Y(n229) );
+  sky130_fd_sc_hd__o21ai_1 U374 ( .A1(n284), .A2(n230), .B1(n229), .Y(n313) );
+  sky130_fd_sc_hd__a22oi_1 U375 ( .A1(n282), .A2(rdata_q[58]), .B1(n281), .B2(
+        rdata_q[90]), .Y(n231) );
+  sky130_fd_sc_hd__o21ai_1 U376 ( .A1(n284), .A2(n232), .B1(n231), .Y(n312) );
+  sky130_fd_sc_hd__a22oi_1 U377 ( .A1(n282), .A2(rdata_q[57]), .B1(n281), .B2(
+        rdata_q[89]), .Y(n233) );
+  sky130_fd_sc_hd__o21ai_1 U378 ( .A1(n284), .A2(n234), .B1(n233), .Y(n311) );
+  sky130_fd_sc_hd__a22oi_1 U379 ( .A1(n282), .A2(rdata_q[56]), .B1(n281), .B2(
+        rdata_q[88]), .Y(n235) );
+  sky130_fd_sc_hd__o21ai_1 U380 ( .A1(n284), .A2(n236), .B1(n235), .Y(n310) );
+  sky130_fd_sc_hd__a22oi_1 U381 ( .A1(n282), .A2(rdata_q[55]), .B1(n281), .B2(
+        rdata_q[87]), .Y(n237) );
+  sky130_fd_sc_hd__o21ai_1 U382 ( .A1(n284), .A2(n238), .B1(n237), .Y(n309) );
+  sky130_fd_sc_hd__a22oi_1 U383 ( .A1(n282), .A2(rdata_q[54]), .B1(n281), .B2(
+        rdata_q[86]), .Y(n239) );
+  sky130_fd_sc_hd__o21ai_1 U384 ( .A1(n284), .A2(n240), .B1(n239), .Y(n308) );
+  sky130_fd_sc_hd__a22oi_1 U385 ( .A1(n282), .A2(rdata_q[53]), .B1(n281), .B2(
+        rdata_q[85]), .Y(n241) );
+  sky130_fd_sc_hd__o21ai_1 U386 ( .A1(n284), .A2(n242), .B1(n241), .Y(n307) );
+  sky130_fd_sc_hd__a22oi_1 U387 ( .A1(n282), .A2(rdata_q[52]), .B1(n281), .B2(
+        rdata_q[84]), .Y(n243) );
+  sky130_fd_sc_hd__o21ai_1 U388 ( .A1(n284), .A2(n244), .B1(n243), .Y(n306) );
+  sky130_fd_sc_hd__a22oi_1 U389 ( .A1(n282), .A2(rdata_q[51]), .B1(n281), .B2(
+        rdata_q[83]), .Y(n245) );
+  sky130_fd_sc_hd__o21ai_1 U390 ( .A1(n284), .A2(n246), .B1(n245), .Y(n305) );
+  sky130_fd_sc_hd__a22oi_1 U391 ( .A1(n282), .A2(rdata_q[50]), .B1(n281), .B2(
+        rdata_q[82]), .Y(n247) );
+  sky130_fd_sc_hd__o21ai_1 U392 ( .A1(n284), .A2(n248), .B1(n247), .Y(n304) );
+  sky130_fd_sc_hd__a22oi_1 U393 ( .A1(n282), .A2(rdata_q[49]), .B1(n281), .B2(
+        rdata_q[81]), .Y(n249) );
+  sky130_fd_sc_hd__o21ai_1 U394 ( .A1(n250), .A2(n284), .B1(n249), .Y(n303) );
+  sky130_fd_sc_hd__a22oi_1 U395 ( .A1(n282), .A2(rdata_q[48]), .B1(n281), .B2(
+        rdata_q[80]), .Y(n251) );
+  sky130_fd_sc_hd__o21ai_1 U396 ( .A1(n252), .A2(n284), .B1(n251), .Y(n302) );
+  sky130_fd_sc_hd__a22oi_1 U397 ( .A1(n282), .A2(rdata_q[47]), .B1(n281), .B2(
+        rdata_q[79]), .Y(n253) );
+  sky130_fd_sc_hd__o21ai_1 U398 ( .A1(n284), .A2(n254), .B1(n253), .Y(n301) );
+  sky130_fd_sc_hd__a22oi_1 U399 ( .A1(n282), .A2(rdata_q[46]), .B1(n281), .B2(
+        rdata_q[78]), .Y(n255) );
+  sky130_fd_sc_hd__o21ai_1 U400 ( .A1(n284), .A2(n256), .B1(n255), .Y(n300) );
+  sky130_fd_sc_hd__a22oi_1 U401 ( .A1(n282), .A2(rdata_q[45]), .B1(n281), .B2(
+        rdata_q[77]), .Y(n257) );
+  sky130_fd_sc_hd__o21ai_1 U402 ( .A1(n284), .A2(n258), .B1(n257), .Y(n299) );
+  sky130_fd_sc_hd__a22oi_1 U403 ( .A1(n282), .A2(rdata_q[44]), .B1(n281), .B2(
+        rdata_q[76]), .Y(n259) );
+  sky130_fd_sc_hd__o21ai_1 U404 ( .A1(n284), .A2(n260), .B1(n259), .Y(n298) );
+  sky130_fd_sc_hd__a22oi_1 U405 ( .A1(n282), .A2(rdata_q[43]), .B1(n281), .B2(
+        rdata_q[75]), .Y(n261) );
+  sky130_fd_sc_hd__o21ai_1 U406 ( .A1(n284), .A2(n262), .B1(n261), .Y(n297) );
+  sky130_fd_sc_hd__a22oi_1 U407 ( .A1(n282), .A2(rdata_q[42]), .B1(n281), .B2(
+        rdata_q[74]), .Y(n263) );
+  sky130_fd_sc_hd__o21ai_1 U408 ( .A1(n284), .A2(n264), .B1(n263), .Y(n296) );
+  sky130_fd_sc_hd__a22oi_1 U409 ( .A1(n282), .A2(rdata_q[41]), .B1(n281), .B2(
+        rdata_q[73]), .Y(n265) );
+  sky130_fd_sc_hd__o21ai_1 U410 ( .A1(n284), .A2(n266), .B1(n265), .Y(n295) );
+  sky130_fd_sc_hd__a22oi_1 U411 ( .A1(n282), .A2(rdata_q[40]), .B1(n281), .B2(
+        rdata_q[72]), .Y(n267) );
+  sky130_fd_sc_hd__o21ai_1 U412 ( .A1(n284), .A2(n268), .B1(n267), .Y(n294) );
+  sky130_fd_sc_hd__a22oi_1 U413 ( .A1(n282), .A2(rdata_q[39]), .B1(n281), .B2(
+        rdata_q[71]), .Y(n269) );
+  sky130_fd_sc_hd__o21ai_1 U414 ( .A1(n284), .A2(n270), .B1(n269), .Y(n293) );
+  sky130_fd_sc_hd__a22oi_1 U415 ( .A1(n282), .A2(rdata_q[38]), .B1(n281), .B2(
+        rdata_q[70]), .Y(n271) );
+  sky130_fd_sc_hd__o21ai_1 U416 ( .A1(n284), .A2(n272), .B1(n271), .Y(n292) );
+  sky130_fd_sc_hd__a22oi_1 U417 ( .A1(n282), .A2(rdata_q[37]), .B1(n281), .B2(
+        rdata_q[69]), .Y(n273) );
+  sky130_fd_sc_hd__o21ai_1 U418 ( .A1(n284), .A2(n274), .B1(n273), .Y(n291) );
+  sky130_fd_sc_hd__a22oi_1 U419 ( .A1(n282), .A2(rdata_q[36]), .B1(n281), .B2(
+        rdata_q[68]), .Y(n275) );
+  sky130_fd_sc_hd__o21ai_1 U420 ( .A1(n284), .A2(n276), .B1(n275), .Y(n290) );
+  sky130_fd_sc_hd__a22oi_1 U421 ( .A1(n282), .A2(rdata_q[35]), .B1(n281), .B2(
+        rdata_q[67]), .Y(n277) );
+  sky130_fd_sc_hd__o21ai_1 U422 ( .A1(n284), .A2(n278), .B1(n277), .Y(n289) );
+  sky130_fd_sc_hd__a22oi_1 U423 ( .A1(n282), .A2(rdata_q[34]), .B1(n281), .B2(
+        rdata_q[66]), .Y(n279) );
+  sky130_fd_sc_hd__o21ai_1 U424 ( .A1(n284), .A2(n280), .B1(n279), .Y(n288) );
+  sky130_fd_sc_hd__a22oi_1 U425 ( .A1(n282), .A2(rdata_q[33]), .B1(n281), .B2(
+        rdata_q[65]), .Y(n283) );
+  sky130_fd_sc_hd__o21ai_1 U426 ( .A1(n285), .A2(n284), .B1(n283), .Y(n287) );
+endmodule
+
+
+module opentitan_soc_top_ibex_prefetch_buffer_0_DW01_add_J23_0_0 ( A, B, CI, 
+        SUM, CO );
+  input [31:0] A;
+  input [31:0] B;
+  output [31:0] SUM;
+  input CI;
+  output CO;
+  wire   n129, n130, n131, n132, n133, n134, n135, n136, n137, n138, n139,
+         n140, n141, n142, n143, n144, n145, n146, n147, n148, n149, n150,
+         n151, n152, n153, n154, n155, n156, n157;
+
+  sky130_fd_sc_hd__xor2_1 U34 ( .A(n129), .B(A[31]), .X(SUM[31]) );
+  sky130_fd_sc_hd__ha_1 U35 ( .A(B[2]), .B(A[2]), .COUT(n130), .SUM(SUM[2]) );
+  sky130_fd_sc_hd__ha_1 U36 ( .A(A[3]), .B(n130), .COUT(n131), .SUM(SUM[3]) );
+  sky130_fd_sc_hd__ha_1 U37 ( .A(A[4]), .B(n131), .COUT(n132), .SUM(SUM[4]) );
+  sky130_fd_sc_hd__ha_1 U38 ( .A(A[5]), .B(n132), .COUT(n133), .SUM(SUM[5]) );
+  sky130_fd_sc_hd__ha_1 U39 ( .A(A[6]), .B(n133), .COUT(n134), .SUM(SUM[6]) );
+  sky130_fd_sc_hd__ha_1 U40 ( .A(A[7]), .B(n134), .COUT(n135), .SUM(SUM[7]) );
+  sky130_fd_sc_hd__ha_1 U41 ( .A(A[8]), .B(n135), .COUT(n136), .SUM(SUM[8]) );
+  sky130_fd_sc_hd__ha_1 U42 ( .A(A[9]), .B(n136), .COUT(n137), .SUM(SUM[9]) );
+  sky130_fd_sc_hd__ha_1 U43 ( .A(A[10]), .B(n137), .COUT(n138), .SUM(SUM[10])
+         );
+  sky130_fd_sc_hd__ha_1 U44 ( .A(A[11]), .B(n138), .COUT(n139), .SUM(SUM[11])
+         );
+  sky130_fd_sc_hd__ha_1 U45 ( .A(A[12]), .B(n139), .COUT(n140), .SUM(SUM[12])
+         );
+  sky130_fd_sc_hd__ha_1 U46 ( .A(A[13]), .B(n140), .COUT(n141), .SUM(SUM[13])
+         );
+  sky130_fd_sc_hd__ha_1 U47 ( .A(A[14]), .B(n141), .COUT(n142), .SUM(SUM[14])
+         );
+  sky130_fd_sc_hd__ha_1 U48 ( .A(A[15]), .B(n142), .COUT(n143), .SUM(SUM[15])
+         );
+  sky130_fd_sc_hd__ha_1 U49 ( .A(A[16]), .B(n143), .COUT(n144), .SUM(SUM[16])
+         );
+  sky130_fd_sc_hd__ha_1 U50 ( .A(A[17]), .B(n144), .COUT(n145), .SUM(SUM[17])
+         );
+  sky130_fd_sc_hd__ha_1 U51 ( .A(A[18]), .B(n145), .COUT(n146), .SUM(SUM[18])
+         );
+  sky130_fd_sc_hd__ha_1 U52 ( .A(A[19]), .B(n146), .COUT(n147), .SUM(SUM[19])
+         );
+  sky130_fd_sc_hd__ha_1 U53 ( .A(A[20]), .B(n147), .COUT(n148), .SUM(SUM[20])
+         );
+  sky130_fd_sc_hd__ha_1 U54 ( .A(A[21]), .B(n148), .COUT(n149), .SUM(SUM[21])
+         );
+  sky130_fd_sc_hd__ha_1 U55 ( .A(A[22]), .B(n149), .COUT(n150), .SUM(SUM[22])
+         );
+  sky130_fd_sc_hd__ha_1 U56 ( .A(A[23]), .B(n150), .COUT(n151), .SUM(SUM[23])
+         );
+  sky130_fd_sc_hd__ha_1 U57 ( .A(A[24]), .B(n151), .COUT(n152), .SUM(SUM[24])
+         );
+  sky130_fd_sc_hd__ha_1 U58 ( .A(A[25]), .B(n152), .COUT(n153), .SUM(SUM[25])
+         );
+  sky130_fd_sc_hd__ha_1 U59 ( .A(A[26]), .B(n153), .COUT(n154), .SUM(SUM[26])
+         );
+  sky130_fd_sc_hd__ha_1 U60 ( .A(A[27]), .B(n154), .COUT(n155), .SUM(SUM[27])
+         );
+  sky130_fd_sc_hd__ha_1 U61 ( .A(A[28]), .B(n155), .COUT(n156), .SUM(SUM[28])
+         );
+  sky130_fd_sc_hd__ha_1 U62 ( .A(A[29]), .B(n156), .COUT(n157), .SUM(SUM[29])
+         );
+  sky130_fd_sc_hd__ha_1 U63 ( .A(A[30]), .B(n157), .COUT(n129), .SUM(SUM[30])
+         );
+endmodule
+
+
+module opentitan_soc_top_ibex_prefetch_buffer_0_0 ( clk_i, rst_ni, req_i, 
+        branch_i, branch_spec_i, predicted_branch_i, branch_mispredict_i, 
+        addr_i, ready_i, valid_o, rdata_o, addr_o, err_o, err_plus2_o, 
+        instr_req_o, instr_gnt_i, instr_addr_o, instr_rdata_i, instr_err_i, 
+        instr_pmp_err_i, instr_rvalid_i, busy_o );
+  input [31:0] addr_i;
+  output [31:0] rdata_o;
+  output [31:0] addr_o;
+  output [31:0] instr_addr_o;
+  input [31:0] instr_rdata_i;
+  input clk_i, rst_ni, req_i, branch_i, branch_spec_i, predicted_branch_i,
+         branch_mispredict_i, ready_i, instr_gnt_i, instr_err_i,
+         instr_pmp_err_i, instr_rvalid_i;
+  output valid_o, err_o, err_plus2_o, instr_req_o, busy_o;
+  wire   fifo_valid, valid_req_q, valid_req_d, discard_req_q, discard_req_d,
+         N9, N10, N11, N12, N13, N14, N15, N16, N17, N18, N19, N20, N21, N22,
+         N23, N24, N25, N26, N27, N28, N29, N30, N31, N32, N33, N34, N35, N36,
+         N37, N38, N39, n178, n180, n182, n184, n186, n188, n190, n192, n194,
+         n196, n198, n200, n202, n204, n206, n208, n210, n212, n213, n214,
+         n216, n217, n218, n219, n220, n221, n222, n223, n224, n225, n226,
+         n227, n228, n229, n230, n231, n232, n233, n234, n235, n236, n243, n5,
+         n6, n7, n8, n900, n1000, n1100, n1200, n1300, n140, n1500, n1600,
+         n170, n181, n191, n211, n390, n41, n42, n43, n44, n45, n46, n47, n48,
+         n49, n50, n51, n52, n53, n54, n55, n56, n57, n58, n59, n60, n61, n62,
+         n63, n64, n65, n66, n67, n68, n69, n70, n71, n72, n73, n74, n75, n76,
+         n77, n78, n79, n80, n81, n82, n83, n84, n85, n86, n87, n88, n89, n901,
+         n91, n92, n93, n94, n95, n96, n97, n98, n99, n1001, n101, n102, n103,
+         n104, n105, n106, n107, n108, n109, n1101, n111, n112, n113, n114,
+         n115, n116, n117, n118, n1201, n121, n122, n123, n124, n126, n127,
+         n129, n1301, n132, n133, n135, n136, n138, n139, n141, n142, n144,
+         n145, n147, n148, n1501, n151, n153, n154, n156, n157, n159, n1601,
+         n162, n163, n165, n166, n168, n169, n171, n172, n174, n175, n176,
+         n237, n238, n240, n241, n242, SYNOPSYS_UNCONNECTED_1,
+         SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3,
+         SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5,
+         SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7,
+         SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9,
+         SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11,
+         SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13,
+         SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15,
+         SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17,
+         SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19,
+         SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21,
+         SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23,
+         SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25,
+         SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27,
+         SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29,
+         SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31,
+         SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33,
+         SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35;
+  wire   [1:0] rdata_outstanding_rev;
+  wire   [1:0] fifo_busy;
+  wire   [31:1] fifo_addr;
+  wire   [13:2] stored_addr_q;
+  wire   [31:2] fetch_addr_q;
+  wire   [31:2] fetch_addr_d;
+  wire   [1:0] branch_discard_q;
+  wire   [1:0] rdata_outstanding_s;
+  wire   [1:0] branch_discard_s;
+
+  opentitan_soc_top_ibex_fetch_fifo_00000002_0 fifo_i ( .clk_i(n5), .rst_ni(
+        rst_ni), .clear_i(n242), .busy_o(fifo_busy), .in_valid_i(fifo_valid), 
+        .in_addr_i({fifo_addr, n243}), .in_rdata_i(instr_rdata_i), .in_err_i(
+        instr_err_i), .out_valid_o(valid_o), .out_ready_i(ready_i), 
+        .out_addr_o({addr_o[31:1], SYNOPSYS_UNCONNECTED_1}), .out_addr_next_o(
+        {SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3, 
+        SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, 
+        SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19, 
+        SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21, 
+        SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23, 
+        SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25, 
+        SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27, 
+        SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29, 
+        SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31, 
+        SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33}), .out_rdata_o(
+        rdata_o), .out_err_o(err_o), .out_err_plus2_o(err_plus2_o) );
+  sky130_fd_sc_hd__dfrtp_1 branch_discard_q_reg_0_ ( .D(branch_discard_s[0]), 
+        .CLK(n5), .RESET_B(rst_ni), .Q(branch_discard_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 valid_req_q_reg ( .D(valid_req_d), .CLK(n5), 
+        .RESET_B(rst_ni), .Q(valid_req_q) );
+  sky130_fd_sc_hd__dfrtp_1 discard_req_q_reg ( .D(discard_req_d), .CLK(n5), 
+        .RESET_B(rst_ni), .Q(discard_req_q) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_outstanding_q_reg_1_ ( .D(
+        rdata_outstanding_s[1]), .CLK(n5), .RESET_B(rst_ni), .Q(
+        rdata_outstanding_rev[0]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_outstanding_q_reg_0_ ( .D(
+        rdata_outstanding_s[0]), .CLK(n5), .RESET_B(rst_ni), .Q(
+        rdata_outstanding_rev[1]) );
+  sky130_fd_sc_hd__dfrtp_1 branch_discard_q_reg_1_ ( .D(branch_discard_s[1]), 
+        .CLK(n5), .RESET_B(rst_ni), .Q(branch_discard_q[1]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_2_ ( .D(n236), .CLK(n5), .Q(
+        fetch_addr_q[2]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_3_ ( .D(n234), .CLK(n5), .Q(
+        fetch_addr_q[3]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_4_ ( .D(n232), .CLK(n5), .Q(
+        fetch_addr_q[4]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_5_ ( .D(n230), .CLK(n5), .Q(
+        fetch_addr_q[5]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_6_ ( .D(n228), .CLK(n5), .Q(
+        fetch_addr_q[6]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_7_ ( .D(n226), .CLK(n5), .Q(
+        fetch_addr_q[7]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_8_ ( .D(n224), .CLK(n5), .Q(
+        fetch_addr_q[8]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_9_ ( .D(n222), .CLK(n5), .Q(
+        fetch_addr_q[9]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_10_ ( .D(n220), .CLK(n5), .Q(
+        fetch_addr_q[10]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_11_ ( .D(n218), .CLK(n5), .Q(
+        fetch_addr_q[11]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_12_ ( .D(n216), .CLK(n5), .Q(
+        fetch_addr_q[12]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_13_ ( .D(n214), .CLK(n5), .Q(
+        fetch_addr_q[13]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_14_ ( .D(n212), .CLK(n5), .Q(
+        fetch_addr_q[14]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_15_ ( .D(n210), .CLK(n5), .Q(
+        fetch_addr_q[15]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_16_ ( .D(n208), .CLK(n5), .Q(
+        fetch_addr_q[16]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_17_ ( .D(n206), .CLK(n5), .Q(
+        fetch_addr_q[17]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_18_ ( .D(n204), .CLK(n5), .Q(
+        fetch_addr_q[18]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_19_ ( .D(n202), .CLK(n5), .Q(
+        fetch_addr_q[19]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_20_ ( .D(n200), .CLK(n5), .Q(
+        fetch_addr_q[20]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_21_ ( .D(n198), .CLK(n5), .Q(
+        fetch_addr_q[21]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_22_ ( .D(n196), .CLK(n5), .Q(
+        fetch_addr_q[22]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_23_ ( .D(n194), .CLK(n5), .Q(
+        fetch_addr_q[23]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_24_ ( .D(n192), .CLK(n5), .Q(
+        fetch_addr_q[24]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_25_ ( .D(n190), .CLK(n5), .Q(
+        fetch_addr_q[25]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_26_ ( .D(n188), .CLK(n5), .Q(
+        fetch_addr_q[26]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_27_ ( .D(n186), .CLK(n5), .Q(
+        fetch_addr_q[27]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_28_ ( .D(n184), .CLK(n5), .Q(
+        fetch_addr_q[28]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_29_ ( .D(n182), .CLK(n5), .Q(
+        fetch_addr_q[29]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_30_ ( .D(n180), .CLK(n5), .Q(
+        fetch_addr_q[30]) );
+  sky130_fd_sc_hd__dfxtp_1 fetch_addr_q_reg_31_ ( .D(n178), .CLK(n5), .Q(
+        fetch_addr_q[31]) );
+  sky130_fd_sc_hd__dfxtp_1 stored_addr_q_reg_2_ ( .D(n235), .CLK(n5), .Q(
+        stored_addr_q[2]) );
+  sky130_fd_sc_hd__dfxtp_1 stored_addr_q_reg_3_ ( .D(n233), .CLK(n5), .Q(
+        stored_addr_q[3]) );
+  sky130_fd_sc_hd__dfxtp_1 stored_addr_q_reg_4_ ( .D(n231), .CLK(n5), .Q(
+        stored_addr_q[4]) );
+  sky130_fd_sc_hd__dfxtp_1 stored_addr_q_reg_5_ ( .D(n229), .CLK(n5), .Q(
+        stored_addr_q[5]) );
+  sky130_fd_sc_hd__dfxtp_1 stored_addr_q_reg_6_ ( .D(n227), .CLK(n5), .Q(
+        stored_addr_q[6]) );
+  sky130_fd_sc_hd__dfxtp_1 stored_addr_q_reg_7_ ( .D(n225), .CLK(n5), .Q(
+        stored_addr_q[7]) );
+  sky130_fd_sc_hd__dfxtp_1 stored_addr_q_reg_8_ ( .D(n223), .CLK(n5), .Q(
+        stored_addr_q[8]) );
+  sky130_fd_sc_hd__dfxtp_1 stored_addr_q_reg_9_ ( .D(n221), .CLK(n5), .Q(
+        stored_addr_q[9]) );
+  sky130_fd_sc_hd__dfxtp_1 stored_addr_q_reg_10_ ( .D(n219), .CLK(n5), .Q(
+        stored_addr_q[10]) );
+  sky130_fd_sc_hd__dfxtp_1 stored_addr_q_reg_11_ ( .D(n217), .CLK(n5), .Q(
+        stored_addr_q[11]) );
+  sky130_fd_sc_hd__dfxtp_1 stored_addr_q_reg_13_ ( .D(n213), .CLK(n5), .Q(
+        stored_addr_q[13]) );
+  opentitan_soc_top_ibex_prefetch_buffer_0_DW01_add_J23_0_0 add_x_1 ( .A({N38, 
+        N37, N36, N35, N34, N33, N32, N31, N30, N29, N28, N27, N26, N25, N24, 
+        N23, N22, N21, N20, N19, N18, N17, N16, N15, N14, N13, N12, N11, N10, 
+        N9, fifo_addr[1], n243}), .B({n243, n243, n243, n243, n243, n243, n243, 
+        n243, n243, n243, n243, n243, n243, n243, n243, n243, n243, n243, n243, 
+        n243, n243, n243, n243, n243, n243, n243, n243, n243, n243, N39, n243, 
+        n243}), .CI(n243), .SUM({fetch_addr_d, SYNOPSYS_UNCONNECTED_34, 
+        SYNOPSYS_UNCONNECTED_35}) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(clk_i), .X(n5) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(n86), .Y(n41) );
+  sky130_fd_sc_hd__conb_1 U5 ( .LO(n243) );
+  sky130_fd_sc_hd__clkinv_1 U6 ( .A(branch_i), .Y(n83) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(n83), .Y(n242) );
+  sky130_fd_sc_hd__a221oi_1 U8 ( .A1(rdata_outstanding_rev[1]), .A2(
+        fifo_busy[0]), .B1(fifo_busy[1]), .B2(fifo_busy[0]), .C1(branch_spec_i), .Y(n6) );
+  sky130_fd_sc_hd__clkinv_1 U9 ( .A(rdata_outstanding_rev[0]), .Y(n52) );
+  sky130_fd_sc_hd__o211ai_1 U10 ( .A1(n242), .A2(n6), .B1(req_i), .C1(n52), 
+        .Y(n7) );
+  sky130_fd_sc_hd__nor2_1 U11 ( .A(valid_req_q), .B(n7), .Y(N39) );
+  sky130_fd_sc_hd__clkinv_1 U12 ( .A(valid_req_q), .Y(n900) );
+  sky130_fd_sc_hd__nand2_1 U13 ( .A(n900), .B(n7), .Y(instr_req_o) );
+  sky130_fd_sc_hd__nand2_1 U14 ( .A(rdata_outstanding_rev[1]), .B(
+        instr_rvalid_i), .Y(n46) );
+  sky130_fd_sc_hd__nor2_1 U15 ( .A(branch_discard_q[0]), .B(n46), .Y(
+        fifo_valid) );
+  sky130_fd_sc_hd__o21ai_1 U16 ( .A1(n242), .A2(discard_req_q), .B1(
+        valid_req_q), .Y(n42) );
+  sky130_fd_sc_hd__clkinv_1 U17 ( .A(n42), .Y(discard_req_d) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(instr_req_o), .Y(n8) );
+  sky130_fd_sc_hd__clkinv_1 U19 ( .A(rdata_outstanding_rev[1]), .Y(n48) );
+  sky130_fd_sc_hd__nor2_1 U21 ( .A(instr_gnt_i), .B(n8), .Y(valid_req_d) );
+  sky130_fd_sc_hd__nor2_1 U22 ( .A(valid_req_q), .B(branch_spec_i), .Y(n86) );
+  sky130_fd_sc_hd__clkinv_1 U23 ( .A(fetch_addr_q[2]), .Y(n901) );
+  sky130_fd_sc_hd__nand2_1 U24 ( .A(branch_spec_i), .B(n900), .Y(n88) );
+  sky130_fd_sc_hd__clkinv_1 U25 ( .A(n88), .Y(n390) );
+  sky130_fd_sc_hd__a22oi_1 U26 ( .A1(valid_req_q), .A2(stored_addr_q[2]), .B1(
+        n390), .B2(addr_i[2]), .Y(n1000) );
+  sky130_fd_sc_hd__o21ai_1 U27 ( .A1(n41), .A2(n901), .B1(n1000), .Y(
+        instr_addr_o[2]) );
+  sky130_fd_sc_hd__clkinv_1 U28 ( .A(fetch_addr_q[3]), .Y(n93) );
+  sky130_fd_sc_hd__a22oi_1 U29 ( .A1(valid_req_q), .A2(stored_addr_q[3]), .B1(
+        n390), .B2(addr_i[3]), .Y(n1100) );
+  sky130_fd_sc_hd__o21ai_1 U30 ( .A1(n41), .A2(n93), .B1(n1100), .Y(
+        instr_addr_o[3]) );
+  sky130_fd_sc_hd__clkinv_1 U31 ( .A(fetch_addr_q[4]), .Y(n96) );
+  sky130_fd_sc_hd__a22oi_1 U32 ( .A1(valid_req_q), .A2(stored_addr_q[4]), .B1(
+        n390), .B2(addr_i[4]), .Y(n1200) );
+  sky130_fd_sc_hd__o21ai_1 U33 ( .A1(n41), .A2(n96), .B1(n1200), .Y(
+        instr_addr_o[4]) );
+  sky130_fd_sc_hd__clkinv_1 U34 ( .A(fetch_addr_q[5]), .Y(n99) );
+  sky130_fd_sc_hd__a22oi_1 U35 ( .A1(valid_req_q), .A2(stored_addr_q[5]), .B1(
+        n390), .B2(addr_i[5]), .Y(n1300) );
+  sky130_fd_sc_hd__o21ai_1 U36 ( .A1(n41), .A2(n99), .B1(n1300), .Y(
+        instr_addr_o[5]) );
+  sky130_fd_sc_hd__clkinv_1 U37 ( .A(fetch_addr_q[6]), .Y(n102) );
+  sky130_fd_sc_hd__a22oi_1 U38 ( .A1(valid_req_q), .A2(stored_addr_q[6]), .B1(
+        n390), .B2(addr_i[6]), .Y(n140) );
+  sky130_fd_sc_hd__o21ai_1 U39 ( .A1(n41), .A2(n102), .B1(n140), .Y(
+        instr_addr_o[6]) );
+  sky130_fd_sc_hd__clkinv_1 U40 ( .A(fetch_addr_q[7]), .Y(n105) );
+  sky130_fd_sc_hd__a22oi_1 U41 ( .A1(valid_req_q), .A2(stored_addr_q[7]), .B1(
+        n390), .B2(addr_i[7]), .Y(n1500) );
+  sky130_fd_sc_hd__o21ai_1 U42 ( .A1(n41), .A2(n105), .B1(n1500), .Y(
+        instr_addr_o[7]) );
+  sky130_fd_sc_hd__clkinv_1 U43 ( .A(fetch_addr_q[8]), .Y(n108) );
+  sky130_fd_sc_hd__a22oi_1 U44 ( .A1(valid_req_q), .A2(stored_addr_q[8]), .B1(
+        n390), .B2(addr_i[8]), .Y(n1600) );
+  sky130_fd_sc_hd__o21ai_1 U45 ( .A1(n41), .A2(n108), .B1(n1600), .Y(
+        instr_addr_o[8]) );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(fetch_addr_q[9]), .Y(n111) );
+  sky130_fd_sc_hd__a22oi_1 U47 ( .A1(valid_req_q), .A2(stored_addr_q[9]), .B1(
+        n390), .B2(addr_i[9]), .Y(n170) );
+  sky130_fd_sc_hd__o21ai_1 U48 ( .A1(n41), .A2(n111), .B1(n170), .Y(
+        instr_addr_o[9]) );
+  sky130_fd_sc_hd__clkinv_1 U49 ( .A(fetch_addr_q[10]), .Y(n114) );
+  sky130_fd_sc_hd__a22oi_1 U50 ( .A1(valid_req_q), .A2(stored_addr_q[10]), 
+        .B1(n390), .B2(addr_i[10]), .Y(n181) );
+  sky130_fd_sc_hd__o21ai_1 U51 ( .A1(n41), .A2(n114), .B1(n181), .Y(
+        instr_addr_o[10]) );
+  sky130_fd_sc_hd__clkinv_1 U52 ( .A(fetch_addr_q[11]), .Y(n117) );
+  sky130_fd_sc_hd__a22oi_1 U53 ( .A1(valid_req_q), .A2(stored_addr_q[11]), 
+        .B1(n390), .B2(addr_i[11]), .Y(n191) );
+  sky130_fd_sc_hd__o21ai_1 U54 ( .A1(n41), .A2(n117), .B1(n191), .Y(
+        instr_addr_o[11]) );
+  sky130_fd_sc_hd__clkinv_1 U55 ( .A(fetch_addr_q[12]), .Y(n1201) );
+  sky130_fd_sc_hd__clkinv_1 U58 ( .A(fetch_addr_q[13]), .Y(n123) );
+  sky130_fd_sc_hd__a22oi_1 U59 ( .A1(valid_req_q), .A2(stored_addr_q[13]), 
+        .B1(n390), .B2(addr_i[13]), .Y(n211) );
+  sky130_fd_sc_hd__o21ai_1 U60 ( .A1(n41), .A2(n123), .B1(n211), .Y(
+        instr_addr_o[13]) );
+  sky130_fd_sc_hd__clkinv_1 U61 ( .A(fetch_addr_q[14]), .Y(n126) );
+  sky130_fd_sc_hd__clkinv_1 U64 ( .A(fetch_addr_q[15]), .Y(n129) );
+  sky130_fd_sc_hd__clkinv_1 U67 ( .A(fetch_addr_q[16]), .Y(n132) );
+  sky130_fd_sc_hd__clkinv_1 U70 ( .A(fetch_addr_q[17]), .Y(n135) );
+  sky130_fd_sc_hd__clkinv_1 U73 ( .A(fetch_addr_q[18]), .Y(n138) );
+  sky130_fd_sc_hd__clkinv_1 U76 ( .A(fetch_addr_q[19]), .Y(n141) );
+  sky130_fd_sc_hd__clkinv_1 U79 ( .A(fetch_addr_q[20]), .Y(n144) );
+  sky130_fd_sc_hd__clkinv_1 U82 ( .A(fetch_addr_q[21]), .Y(n147) );
+  sky130_fd_sc_hd__clkinv_1 U85 ( .A(fetch_addr_q[22]), .Y(n1501) );
+  sky130_fd_sc_hd__clkinv_1 U88 ( .A(fetch_addr_q[23]), .Y(n153) );
+  sky130_fd_sc_hd__clkinv_1 U91 ( .A(fetch_addr_q[24]), .Y(n156) );
+  sky130_fd_sc_hd__clkinv_1 U94 ( .A(fetch_addr_q[25]), .Y(n159) );
+  sky130_fd_sc_hd__clkinv_1 U97 ( .A(fetch_addr_q[26]), .Y(n162) );
+  sky130_fd_sc_hd__clkinv_1 U100 ( .A(fetch_addr_q[27]), .Y(n165) );
+  sky130_fd_sc_hd__clkinv_1 U103 ( .A(fetch_addr_q[28]), .Y(n168) );
+  sky130_fd_sc_hd__clkinv_1 U106 ( .A(fetch_addr_q[29]), .Y(n171) );
+  sky130_fd_sc_hd__clkinv_1 U109 ( .A(fetch_addr_q[30]), .Y(n174) );
+  sky130_fd_sc_hd__clkinv_1 U112 ( .A(fetch_addr_q[31]), .Y(n240) );
+  sky130_fd_sc_hd__clkinv_1 U115 ( .A(addr_i[2]), .Y(n53) );
+  sky130_fd_sc_hd__o22ai_1 U116 ( .A1(n242), .A2(n901), .B1(n83), .B2(n53), 
+        .Y(N9) );
+  sky130_fd_sc_hd__clkinv_1 U117 ( .A(addr_i[3]), .Y(n54) );
+  sky130_fd_sc_hd__o22ai_1 U118 ( .A1(n242), .A2(n93), .B1(n83), .B2(n54), .Y(
+        N10) );
+  sky130_fd_sc_hd__clkinv_1 U119 ( .A(addr_i[4]), .Y(n55) );
+  sky130_fd_sc_hd__o22ai_1 U120 ( .A1(n242), .A2(n96), .B1(n83), .B2(n55), .Y(
+        N11) );
+  sky130_fd_sc_hd__clkinv_1 U121 ( .A(addr_i[5]), .Y(n56) );
+  sky130_fd_sc_hd__o22ai_1 U122 ( .A1(n242), .A2(n99), .B1(n83), .B2(n56), .Y(
+        N12) );
+  sky130_fd_sc_hd__clkinv_1 U123 ( .A(addr_i[6]), .Y(n57) );
+  sky130_fd_sc_hd__o22ai_1 U124 ( .A1(n242), .A2(n102), .B1(n83), .B2(n57), 
+        .Y(N13) );
+  sky130_fd_sc_hd__clkinv_1 U125 ( .A(addr_i[7]), .Y(n58) );
+  sky130_fd_sc_hd__o22ai_1 U126 ( .A1(n242), .A2(n105), .B1(n83), .B2(n58), 
+        .Y(N14) );
+  sky130_fd_sc_hd__clkinv_1 U127 ( .A(addr_i[8]), .Y(n59) );
+  sky130_fd_sc_hd__o22ai_1 U128 ( .A1(n242), .A2(n108), .B1(n83), .B2(n59), 
+        .Y(N15) );
+  sky130_fd_sc_hd__clkinv_1 U129 ( .A(addr_i[9]), .Y(n60) );
+  sky130_fd_sc_hd__o22ai_1 U130 ( .A1(n242), .A2(n111), .B1(n83), .B2(n60), 
+        .Y(N16) );
+  sky130_fd_sc_hd__clkinv_1 U131 ( .A(addr_i[10]), .Y(n61) );
+  sky130_fd_sc_hd__o22ai_1 U132 ( .A1(n242), .A2(n114), .B1(n83), .B2(n61), 
+        .Y(N17) );
+  sky130_fd_sc_hd__clkinv_1 U133 ( .A(addr_i[11]), .Y(n62) );
+  sky130_fd_sc_hd__o22ai_1 U134 ( .A1(n242), .A2(n117), .B1(n83), .B2(n62), 
+        .Y(N18) );
+  sky130_fd_sc_hd__clkinv_1 U135 ( .A(addr_i[12]), .Y(n63) );
+  sky130_fd_sc_hd__o22ai_1 U136 ( .A1(n242), .A2(n1201), .B1(n83), .B2(n63), 
+        .Y(N19) );
+  sky130_fd_sc_hd__clkinv_1 U137 ( .A(addr_i[13]), .Y(n64) );
+  sky130_fd_sc_hd__o22ai_1 U138 ( .A1(n242), .A2(n123), .B1(n83), .B2(n64), 
+        .Y(N20) );
+  sky130_fd_sc_hd__clkinv_1 U139 ( .A(addr_i[14]), .Y(n65) );
+  sky130_fd_sc_hd__o22ai_1 U140 ( .A1(n242), .A2(n126), .B1(n83), .B2(n65), 
+        .Y(N21) );
+  sky130_fd_sc_hd__clkinv_1 U141 ( .A(addr_i[15]), .Y(n66) );
+  sky130_fd_sc_hd__o22ai_1 U142 ( .A1(n242), .A2(n129), .B1(n83), .B2(n66), 
+        .Y(N22) );
+  sky130_fd_sc_hd__clkinv_1 U143 ( .A(addr_i[16]), .Y(n67) );
+  sky130_fd_sc_hd__o22ai_1 U144 ( .A1(n242), .A2(n132), .B1(n83), .B2(n67), 
+        .Y(N23) );
+  sky130_fd_sc_hd__clkinv_1 U145 ( .A(addr_i[17]), .Y(n68) );
+  sky130_fd_sc_hd__o22ai_1 U146 ( .A1(n242), .A2(n135), .B1(n83), .B2(n68), 
+        .Y(N24) );
+  sky130_fd_sc_hd__clkinv_1 U147 ( .A(addr_i[18]), .Y(n69) );
+  sky130_fd_sc_hd__o22ai_1 U148 ( .A1(n242), .A2(n138), .B1(n83), .B2(n69), 
+        .Y(N25) );
+  sky130_fd_sc_hd__clkinv_1 U149 ( .A(addr_i[19]), .Y(n70) );
+  sky130_fd_sc_hd__o22ai_1 U150 ( .A1(n242), .A2(n141), .B1(n83), .B2(n70), 
+        .Y(N26) );
+  sky130_fd_sc_hd__clkinv_1 U151 ( .A(addr_i[20]), .Y(n71) );
+  sky130_fd_sc_hd__o22ai_1 U152 ( .A1(n242), .A2(n144), .B1(n83), .B2(n71), 
+        .Y(N27) );
+  sky130_fd_sc_hd__clkinv_1 U153 ( .A(addr_i[21]), .Y(n72) );
+  sky130_fd_sc_hd__o22ai_1 U154 ( .A1(n242), .A2(n147), .B1(n83), .B2(n72), 
+        .Y(N28) );
+  sky130_fd_sc_hd__clkinv_1 U155 ( .A(addr_i[22]), .Y(n73) );
+  sky130_fd_sc_hd__o22ai_1 U156 ( .A1(n242), .A2(n1501), .B1(n83), .B2(n73), 
+        .Y(N29) );
+  sky130_fd_sc_hd__clkinv_1 U157 ( .A(addr_i[23]), .Y(n74) );
+  sky130_fd_sc_hd__o22ai_1 U158 ( .A1(n242), .A2(n153), .B1(n83), .B2(n74), 
+        .Y(N30) );
+  sky130_fd_sc_hd__clkinv_1 U159 ( .A(addr_i[24]), .Y(n75) );
+  sky130_fd_sc_hd__o22ai_1 U160 ( .A1(n242), .A2(n156), .B1(n83), .B2(n75), 
+        .Y(N31) );
+  sky130_fd_sc_hd__clkinv_1 U161 ( .A(addr_i[25]), .Y(n76) );
+  sky130_fd_sc_hd__o22ai_1 U162 ( .A1(n242), .A2(n159), .B1(n83), .B2(n76), 
+        .Y(N32) );
+  sky130_fd_sc_hd__clkinv_1 U163 ( .A(addr_i[26]), .Y(n77) );
+  sky130_fd_sc_hd__o22ai_1 U164 ( .A1(n242), .A2(n162), .B1(n83), .B2(n77), 
+        .Y(N33) );
+  sky130_fd_sc_hd__clkinv_1 U165 ( .A(addr_i[27]), .Y(n78) );
+  sky130_fd_sc_hd__o22ai_1 U166 ( .A1(n242), .A2(n165), .B1(n83), .B2(n78), 
+        .Y(N34) );
+  sky130_fd_sc_hd__clkinv_1 U167 ( .A(addr_i[28]), .Y(n79) );
+  sky130_fd_sc_hd__o22ai_1 U168 ( .A1(n242), .A2(n168), .B1(n83), .B2(n79), 
+        .Y(N35) );
+  sky130_fd_sc_hd__clkinv_1 U169 ( .A(addr_i[29]), .Y(n80) );
+  sky130_fd_sc_hd__o22ai_1 U170 ( .A1(n242), .A2(n171), .B1(n83), .B2(n80), 
+        .Y(N36) );
+  sky130_fd_sc_hd__clkinv_1 U171 ( .A(addr_i[30]), .Y(n81) );
+  sky130_fd_sc_hd__o22ai_1 U172 ( .A1(n242), .A2(n174), .B1(n83), .B2(n81), 
+        .Y(N37) );
+  sky130_fd_sc_hd__clkinv_1 U173 ( .A(addr_i[31]), .Y(n82) );
+  sky130_fd_sc_hd__o22ai_1 U174 ( .A1(n242), .A2(n240), .B1(n83), .B2(n82), 
+        .Y(N38) );
+  sky130_fd_sc_hd__nand3_1 U175 ( .A(instr_gnt_i), .B(rdata_outstanding_rev[1]), .C(instr_req_o), .Y(n51) );
+  sky130_fd_sc_hd__o22ai_1 U176 ( .A1(n83), .A2(n52), .B1(n42), .B2(n51), .Y(
+        n43) );
+  sky130_fd_sc_hd__nor2_1 U177 ( .A(branch_discard_q[1]), .B(n43), .Y(n47) );
+  sky130_fd_sc_hd__o2bb2ai_1 U178 ( .B1(n48), .B2(n83), .A1_N(instr_gnt_i), 
+        .A2_N(discard_req_d), .Y(n44) );
+  sky130_fd_sc_hd__o21ai_1 U179 ( .A1(n44), .A2(branch_discard_q[0]), .B1(n46), 
+        .Y(n45) );
+  sky130_fd_sc_hd__o21ai_1 U180 ( .A1(n47), .A2(n46), .B1(n45), .Y(
+        branch_discard_s[0]) );
+  sky130_fd_sc_hd__clkinv_1 U181 ( .A(n46), .Y(n50) );
+  sky130_fd_sc_hd__nor2_1 U182 ( .A(n50), .B(n47), .Y(branch_discard_s[1]) );
+  sky130_fd_sc_hd__nand2_1 U183 ( .A(instr_gnt_i), .B(instr_req_o), .Y(n49) );
+  sky130_fd_sc_hd__a32oi_1 U184 ( .A1(instr_rvalid_i), .A2(n49), .A3(n52), 
+        .B1(n48), .B2(n49), .Y(rdata_outstanding_s[0]) );
+  sky130_fd_sc_hd__a21oi_1 U185 ( .A1(n52), .A2(n51), .B1(n50), .Y(
+        rdata_outstanding_s[1]) );
+  sky130_fd_sc_hd__nor2b_1 U186 ( .B_N(addr_i[1]), .A(n83), .Y(fifo_addr[1])
+         );
+  sky130_fd_sc_hd__nor2_1 U187 ( .A(n83), .B(n53), .Y(fifo_addr[2]) );
+  sky130_fd_sc_hd__nor2_1 U188 ( .A(n83), .B(n54), .Y(fifo_addr[3]) );
+  sky130_fd_sc_hd__nor2_1 U189 ( .A(n83), .B(n55), .Y(fifo_addr[4]) );
+  sky130_fd_sc_hd__nor2_1 U190 ( .A(n83), .B(n56), .Y(fifo_addr[5]) );
+  sky130_fd_sc_hd__nor2_1 U191 ( .A(n83), .B(n57), .Y(fifo_addr[6]) );
+  sky130_fd_sc_hd__nor2_1 U192 ( .A(n83), .B(n58), .Y(fifo_addr[7]) );
+  sky130_fd_sc_hd__nor2_1 U193 ( .A(n83), .B(n59), .Y(fifo_addr[8]) );
+  sky130_fd_sc_hd__nor2_1 U194 ( .A(n83), .B(n60), .Y(fifo_addr[9]) );
+  sky130_fd_sc_hd__nor2_1 U195 ( .A(n83), .B(n61), .Y(fifo_addr[10]) );
+  sky130_fd_sc_hd__nor2_1 U196 ( .A(n83), .B(n62), .Y(fifo_addr[11]) );
+  sky130_fd_sc_hd__nor2_1 U197 ( .A(n83), .B(n63), .Y(fifo_addr[12]) );
+  sky130_fd_sc_hd__nor2_1 U198 ( .A(n83), .B(n64), .Y(fifo_addr[13]) );
+  sky130_fd_sc_hd__nor2_1 U199 ( .A(n83), .B(n65), .Y(fifo_addr[14]) );
+  sky130_fd_sc_hd__nor2_1 U200 ( .A(n83), .B(n66), .Y(fifo_addr[15]) );
+  sky130_fd_sc_hd__nor2_1 U201 ( .A(n83), .B(n67), .Y(fifo_addr[16]) );
+  sky130_fd_sc_hd__nor2_1 U202 ( .A(n83), .B(n68), .Y(fifo_addr[17]) );
+  sky130_fd_sc_hd__nor2_1 U203 ( .A(n83), .B(n69), .Y(fifo_addr[18]) );
+  sky130_fd_sc_hd__nor2_1 U204 ( .A(n83), .B(n70), .Y(fifo_addr[19]) );
+  sky130_fd_sc_hd__nor2_1 U205 ( .A(n83), .B(n71), .Y(fifo_addr[20]) );
+  sky130_fd_sc_hd__nor2_1 U206 ( .A(n83), .B(n72), .Y(fifo_addr[21]) );
+  sky130_fd_sc_hd__nor2_1 U207 ( .A(n83), .B(n73), .Y(fifo_addr[22]) );
+  sky130_fd_sc_hd__nor2_1 U208 ( .A(n83), .B(n74), .Y(fifo_addr[23]) );
+  sky130_fd_sc_hd__nor2_1 U209 ( .A(n83), .B(n75), .Y(fifo_addr[24]) );
+  sky130_fd_sc_hd__nor2_1 U210 ( .A(n83), .B(n76), .Y(fifo_addr[25]) );
+  sky130_fd_sc_hd__nor2_1 U211 ( .A(n83), .B(n77), .Y(fifo_addr[26]) );
+  sky130_fd_sc_hd__nor2_1 U212 ( .A(n83), .B(n78), .Y(fifo_addr[27]) );
+  sky130_fd_sc_hd__nor2_1 U213 ( .A(n83), .B(n79), .Y(fifo_addr[28]) );
+  sky130_fd_sc_hd__nor2_1 U214 ( .A(n83), .B(n80), .Y(fifo_addr[29]) );
+  sky130_fd_sc_hd__nor2_1 U215 ( .A(n83), .B(n81), .Y(fifo_addr[30]) );
+  sky130_fd_sc_hd__nor2_1 U216 ( .A(n83), .B(n82), .Y(fifo_addr[31]) );
+  sky130_fd_sc_hd__clkinv_1 U217 ( .A(N39), .Y(n85) );
+  sky130_fd_sc_hd__nand2_1 U218 ( .A(n83), .B(n85), .Y(n176) );
+  sky130_fd_sc_hd__nand2_1 U219 ( .A(n176), .B(fetch_addr_d[2]), .Y(n84) );
+  sky130_fd_sc_hd__o21ai_1 U220 ( .A1(n901), .A2(n176), .B1(n84), .Y(n236) );
+  sky130_fd_sc_hd__nor2_1 U221 ( .A(instr_gnt_i), .B(n85), .Y(n87) );
+  sky130_fd_sc_hd__nand2_1 U222 ( .A(n87), .B(n86), .Y(n241) );
+  sky130_fd_sc_hd__clkinv_1 U223 ( .A(n87), .Y(n237) );
+  sky130_fd_sc_hd__nor2_1 U224 ( .A(n237), .B(n88), .Y(n238) );
+  sky130_fd_sc_hd__a22oi_1 U225 ( .A1(addr_i[2]), .A2(n238), .B1(
+        stored_addr_q[2]), .B2(n237), .Y(n89) );
+  sky130_fd_sc_hd__o21ai_1 U226 ( .A1(n241), .A2(n901), .B1(n89), .Y(n235) );
+  sky130_fd_sc_hd__nand2_1 U227 ( .A(n176), .B(fetch_addr_d[3]), .Y(n91) );
+  sky130_fd_sc_hd__o21ai_1 U228 ( .A1(n93), .A2(n176), .B1(n91), .Y(n234) );
+  sky130_fd_sc_hd__a22oi_1 U229 ( .A1(addr_i[3]), .A2(n238), .B1(
+        stored_addr_q[3]), .B2(n237), .Y(n92) );
+  sky130_fd_sc_hd__o21ai_1 U230 ( .A1(n241), .A2(n93), .B1(n92), .Y(n233) );
+  sky130_fd_sc_hd__nand2_1 U231 ( .A(n176), .B(fetch_addr_d[4]), .Y(n94) );
+  sky130_fd_sc_hd__o21ai_1 U232 ( .A1(n96), .A2(n176), .B1(n94), .Y(n232) );
+  sky130_fd_sc_hd__a22oi_1 U233 ( .A1(addr_i[4]), .A2(n238), .B1(
+        stored_addr_q[4]), .B2(n237), .Y(n95) );
+  sky130_fd_sc_hd__o21ai_1 U234 ( .A1(n241), .A2(n96), .B1(n95), .Y(n231) );
+  sky130_fd_sc_hd__nand2_1 U235 ( .A(n176), .B(fetch_addr_d[5]), .Y(n97) );
+  sky130_fd_sc_hd__o21ai_1 U236 ( .A1(n99), .A2(n176), .B1(n97), .Y(n230) );
+  sky130_fd_sc_hd__a22oi_1 U237 ( .A1(addr_i[5]), .A2(n238), .B1(
+        stored_addr_q[5]), .B2(n237), .Y(n98) );
+  sky130_fd_sc_hd__o21ai_1 U238 ( .A1(n241), .A2(n99), .B1(n98), .Y(n229) );
+  sky130_fd_sc_hd__nand2_1 U239 ( .A(n176), .B(fetch_addr_d[6]), .Y(n1001) );
+  sky130_fd_sc_hd__o21ai_1 U240 ( .A1(n102), .A2(n176), .B1(n1001), .Y(n228)
+         );
+  sky130_fd_sc_hd__a22oi_1 U241 ( .A1(addr_i[6]), .A2(n238), .B1(
+        stored_addr_q[6]), .B2(n237), .Y(n101) );
+  sky130_fd_sc_hd__o21ai_1 U242 ( .A1(n241), .A2(n102), .B1(n101), .Y(n227) );
+  sky130_fd_sc_hd__nand2_1 U243 ( .A(n176), .B(fetch_addr_d[7]), .Y(n103) );
+  sky130_fd_sc_hd__o21ai_1 U244 ( .A1(n105), .A2(n176), .B1(n103), .Y(n226) );
+  sky130_fd_sc_hd__a22oi_1 U245 ( .A1(addr_i[7]), .A2(n238), .B1(
+        stored_addr_q[7]), .B2(n237), .Y(n104) );
+  sky130_fd_sc_hd__o21ai_1 U246 ( .A1(n241), .A2(n105), .B1(n104), .Y(n225) );
+  sky130_fd_sc_hd__nand2_1 U247 ( .A(n176), .B(fetch_addr_d[8]), .Y(n106) );
+  sky130_fd_sc_hd__o21ai_1 U248 ( .A1(n108), .A2(n176), .B1(n106), .Y(n224) );
+  sky130_fd_sc_hd__a22oi_1 U249 ( .A1(addr_i[8]), .A2(n238), .B1(
+        stored_addr_q[8]), .B2(n237), .Y(n107) );
+  sky130_fd_sc_hd__o21ai_1 U250 ( .A1(n241), .A2(n108), .B1(n107), .Y(n223) );
+  sky130_fd_sc_hd__nand2_1 U251 ( .A(n176), .B(fetch_addr_d[9]), .Y(n109) );
+  sky130_fd_sc_hd__o21ai_1 U252 ( .A1(n111), .A2(n176), .B1(n109), .Y(n222) );
+  sky130_fd_sc_hd__a22oi_1 U253 ( .A1(addr_i[9]), .A2(n238), .B1(
+        stored_addr_q[9]), .B2(n237), .Y(n1101) );
+  sky130_fd_sc_hd__o21ai_1 U254 ( .A1(n241), .A2(n111), .B1(n1101), .Y(n221)
+         );
+  sky130_fd_sc_hd__nand2_1 U255 ( .A(n176), .B(fetch_addr_d[10]), .Y(n112) );
+  sky130_fd_sc_hd__o21ai_1 U256 ( .A1(n114), .A2(n176), .B1(n112), .Y(n220) );
+  sky130_fd_sc_hd__a22oi_1 U257 ( .A1(addr_i[10]), .A2(n238), .B1(
+        stored_addr_q[10]), .B2(n237), .Y(n113) );
+  sky130_fd_sc_hd__o21ai_1 U258 ( .A1(n241), .A2(n114), .B1(n113), .Y(n219) );
+  sky130_fd_sc_hd__nand2_1 U259 ( .A(n176), .B(fetch_addr_d[11]), .Y(n115) );
+  sky130_fd_sc_hd__o21ai_1 U260 ( .A1(n117), .A2(n176), .B1(n115), .Y(n218) );
+  sky130_fd_sc_hd__a22oi_1 U261 ( .A1(addr_i[11]), .A2(n238), .B1(
+        stored_addr_q[11]), .B2(n237), .Y(n116) );
+  sky130_fd_sc_hd__o21ai_1 U262 ( .A1(n241), .A2(n117), .B1(n116), .Y(n217) );
+  sky130_fd_sc_hd__nand2_1 U263 ( .A(n176), .B(fetch_addr_d[12]), .Y(n118) );
+  sky130_fd_sc_hd__o21ai_1 U264 ( .A1(n1201), .A2(n176), .B1(n118), .Y(n216)
+         );
+  sky130_fd_sc_hd__nand2_1 U267 ( .A(n176), .B(fetch_addr_d[13]), .Y(n121) );
+  sky130_fd_sc_hd__o21ai_1 U268 ( .A1(n123), .A2(n176), .B1(n121), .Y(n214) );
+  sky130_fd_sc_hd__a22oi_1 U269 ( .A1(addr_i[13]), .A2(n238), .B1(
+        stored_addr_q[13]), .B2(n237), .Y(n122) );
+  sky130_fd_sc_hd__o21ai_1 U270 ( .A1(n241), .A2(n123), .B1(n122), .Y(n213) );
+  sky130_fd_sc_hd__nand2_1 U271 ( .A(n176), .B(fetch_addr_d[14]), .Y(n124) );
+  sky130_fd_sc_hd__o21ai_1 U272 ( .A1(n126), .A2(n176), .B1(n124), .Y(n212) );
+  sky130_fd_sc_hd__nand2_1 U275 ( .A(n176), .B(fetch_addr_d[15]), .Y(n127) );
+  sky130_fd_sc_hd__o21ai_1 U276 ( .A1(n129), .A2(n176), .B1(n127), .Y(n210) );
+  sky130_fd_sc_hd__nand2_1 U279 ( .A(n176), .B(fetch_addr_d[16]), .Y(n1301) );
+  sky130_fd_sc_hd__o21ai_1 U280 ( .A1(n132), .A2(n176), .B1(n1301), .Y(n208)
+         );
+  sky130_fd_sc_hd__nand2_1 U283 ( .A(n176), .B(fetch_addr_d[17]), .Y(n133) );
+  sky130_fd_sc_hd__o21ai_1 U284 ( .A1(n135), .A2(n176), .B1(n133), .Y(n206) );
+  sky130_fd_sc_hd__nand2_1 U287 ( .A(n176), .B(fetch_addr_d[18]), .Y(n136) );
+  sky130_fd_sc_hd__o21ai_1 U288 ( .A1(n138), .A2(n176), .B1(n136), .Y(n204) );
+  sky130_fd_sc_hd__nand2_1 U291 ( .A(n176), .B(fetch_addr_d[19]), .Y(n139) );
+  sky130_fd_sc_hd__o21ai_1 U292 ( .A1(n141), .A2(n176), .B1(n139), .Y(n202) );
+  sky130_fd_sc_hd__nand2_1 U295 ( .A(n176), .B(fetch_addr_d[20]), .Y(n142) );
+  sky130_fd_sc_hd__o21ai_1 U296 ( .A1(n144), .A2(n176), .B1(n142), .Y(n200) );
+  sky130_fd_sc_hd__nand2_1 U299 ( .A(n176), .B(fetch_addr_d[21]), .Y(n145) );
+  sky130_fd_sc_hd__o21ai_1 U300 ( .A1(n147), .A2(n176), .B1(n145), .Y(n198) );
+  sky130_fd_sc_hd__nand2_1 U303 ( .A(n176), .B(fetch_addr_d[22]), .Y(n148) );
+  sky130_fd_sc_hd__o21ai_1 U304 ( .A1(n1501), .A2(n176), .B1(n148), .Y(n196)
+         );
+  sky130_fd_sc_hd__nand2_1 U307 ( .A(n176), .B(fetch_addr_d[23]), .Y(n151) );
+  sky130_fd_sc_hd__o21ai_1 U308 ( .A1(n153), .A2(n176), .B1(n151), .Y(n194) );
+  sky130_fd_sc_hd__nand2_1 U311 ( .A(n176), .B(fetch_addr_d[24]), .Y(n154) );
+  sky130_fd_sc_hd__o21ai_1 U312 ( .A1(n156), .A2(n176), .B1(n154), .Y(n192) );
+  sky130_fd_sc_hd__nand2_1 U315 ( .A(n176), .B(fetch_addr_d[25]), .Y(n157) );
+  sky130_fd_sc_hd__o21ai_1 U316 ( .A1(n159), .A2(n176), .B1(n157), .Y(n190) );
+  sky130_fd_sc_hd__nand2_1 U319 ( .A(n176), .B(fetch_addr_d[26]), .Y(n1601) );
+  sky130_fd_sc_hd__o21ai_1 U320 ( .A1(n162), .A2(n176), .B1(n1601), .Y(n188)
+         );
+  sky130_fd_sc_hd__nand2_1 U323 ( .A(n176), .B(fetch_addr_d[27]), .Y(n163) );
+  sky130_fd_sc_hd__o21ai_1 U324 ( .A1(n165), .A2(n176), .B1(n163), .Y(n186) );
+  sky130_fd_sc_hd__nand2_1 U327 ( .A(n176), .B(fetch_addr_d[28]), .Y(n166) );
+  sky130_fd_sc_hd__o21ai_1 U328 ( .A1(n168), .A2(n176), .B1(n166), .Y(n184) );
+  sky130_fd_sc_hd__nand2_1 U331 ( .A(n176), .B(fetch_addr_d[29]), .Y(n169) );
+  sky130_fd_sc_hd__o21ai_1 U332 ( .A1(n171), .A2(n176), .B1(n169), .Y(n182) );
+  sky130_fd_sc_hd__nand2_1 U335 ( .A(n176), .B(fetch_addr_d[30]), .Y(n172) );
+  sky130_fd_sc_hd__o21ai_1 U336 ( .A1(n174), .A2(n176), .B1(n172), .Y(n180) );
+  sky130_fd_sc_hd__nand2_1 U339 ( .A(n176), .B(fetch_addr_d[31]), .Y(n175) );
+  sky130_fd_sc_hd__o21ai_1 U340 ( .A1(n240), .A2(n176), .B1(n175), .Y(n178) );
+endmodule
+
+
+module opentitan_soc_top_ibex_compressed_decoder_0 ( clk_i, rst_ni, valid_i, 
+        instr_i, instr_o, is_compressed_o, illegal_instr_o );
+  input [31:0] instr_i;
+  output [31:0] instr_o;
+  input clk_i, rst_ni, valid_i;
+  output is_compressed_o, illegal_instr_o;
+  wire   n1, n2, n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16,
+         n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30,
+         n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42, n43, n44,
+         n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58,
+         n59, n60, n61, n62, n63, n64, n65, n66, n67, n68, n69, n70, n71, n72,
+         n73, n74, n75, n76, n77, n78, n79, n80, n81, n82, n83, n84, n85, n86,
+         n87, n88, n89, n90, n91, n92, n93, n94, n95, n96, n97, n98, n99, n100,
+         n101, n102, n103, n104, n105, n106, n107, n108, n109, n110, n111,
+         n112, n113, n114, n115, n116, n117, n118, n119, n120, n121, n122,
+         n123, n124, n125, n126, n127, n128, n129, n130, n131, n132, n133,
+         n134, n135, n136, n137, n138, n139, n140, n141, n142, n143, n144,
+         n145, n146, n147, n148, n149, n150, n151, n152, n153, n154, n155,
+         n156, n157, n158, n159, n160, n161, n162, n163, n164, n165, n166,
+         n167, n168, n169, n170, n171, n172, n173, n174, n175, n176, n177,
+         n178, n179, n180, n181, n182, n183;
+
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(instr_i[0]), .Y(n20) );
+  sky130_fd_sc_hd__nand2_1 U4 ( .A(n20), .B(instr_i[1]), .Y(n97) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(n97), .Y(n139) );
+  sky130_fd_sc_hd__clkinv_1 U6 ( .A(instr_i[13]), .Y(n45) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(instr_i[14]), .Y(n18) );
+  sky130_fd_sc_hd__nand3_1 U8 ( .A(n18), .B(n45), .C(instr_i[15]), .Y(n126) );
+  sky130_fd_sc_hd__nor2_1 U9 ( .A(instr_i[1]), .B(instr_i[0]), .Y(n30) );
+  sky130_fd_sc_hd__clkinv_1 U10 ( .A(n30), .Y(n55) );
+  sky130_fd_sc_hd__a21oi_1 U11 ( .A1(n45), .A2(n126), .B1(n55), .Y(n33) );
+  sky130_fd_sc_hd__a21oi_1 U12 ( .A1(n139), .A2(instr_i[13]), .B1(n33), .Y(
+        instr_o[0]) );
+  sky130_fd_sc_hd__nor2_1 U13 ( .A(instr_i[6]), .B(instr_i[5]), .Y(n1) );
+  sky130_fd_sc_hd__clkinv_1 U14 ( .A(n1), .Y(n51) );
+  sky130_fd_sc_hd__nor4_1 U15 ( .A(instr_i[3]), .B(instr_i[4]), .C(instr_i[2]), 
+        .D(n51), .Y(n15) );
+  sky130_fd_sc_hd__clkinv_1 U16 ( .A(instr_i[1]), .Y(n7) );
+  sky130_fd_sc_hd__nand2_1 U17 ( .A(n7), .B(instr_i[0]), .Y(n165) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(instr_i[15]), .Y(n62) );
+  sky130_fd_sc_hd__nand3_1 U19 ( .A(n62), .B(instr_i[14]), .C(instr_i[13]), 
+        .Y(n127) );
+  sky130_fd_sc_hd__nor2_1 U20 ( .A(n165), .B(n127), .Y(n69) );
+  sky130_fd_sc_hd__clkinv_1 U21 ( .A(instr_i[12]), .Y(n171) );
+  sky130_fd_sc_hd__nor2_1 U22 ( .A(instr_i[15]), .B(instr_i[13]), .Y(n164) );
+  sky130_fd_sc_hd__clkinv_1 U23 ( .A(n164), .Y(n128) );
+  sky130_fd_sc_hd__nor2_1 U24 ( .A(instr_i[14]), .B(n128), .Y(n77) );
+  sky130_fd_sc_hd__nand2_1 U25 ( .A(n30), .B(n77), .Y(n148) );
+  sky130_fd_sc_hd__clkinv_1 U26 ( .A(n148), .Y(n104) );
+  sky130_fd_sc_hd__nand2_1 U27 ( .A(n45), .B(instr_i[14]), .Y(n54) );
+  sky130_fd_sc_hd__nand2b_1 U28 ( .A_N(n54), .B(n139), .Y(n121) );
+  sky130_fd_sc_hd__nor2_1 U29 ( .A(instr_i[15]), .B(n121), .Y(n151) );
+  sky130_fd_sc_hd__a31oi_1 U30 ( .A1(n1), .A2(n104), .A3(n171), .B1(n151), .Y(
+        n2) );
+  sky130_fd_sc_hd__nor2_1 U31 ( .A(n126), .B(n97), .Y(n19) );
+  sky130_fd_sc_hd__nand2_1 U32 ( .A(n15), .B(n19), .Y(n13) );
+  sky130_fd_sc_hd__clkinv_1 U33 ( .A(n13), .Y(n22) );
+  sky130_fd_sc_hd__nand2_1 U34 ( .A(n22), .B(n171), .Y(n10) );
+  sky130_fd_sc_hd__clkinv_1 U35 ( .A(instr_i[11]), .Y(n125) );
+  sky130_fd_sc_hd__clkinv_1 U36 ( .A(instr_i[10]), .Y(n173) );
+  sky130_fd_sc_hd__clkinv_1 U37 ( .A(instr_i[9]), .Y(n157) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(instr_i[7]), .Y(n140) );
+  sky130_fd_sc_hd__nand4_1 U39 ( .A(n125), .B(n173), .C(n157), .D(n140), .Y(n9) );
+  sky130_fd_sc_hd__clkinv_1 U40 ( .A(instr_i[8]), .Y(n179) );
+  sky130_fd_sc_hd__nand2b_1 U41 ( .A_N(n9), .B(n179), .Y(n12) );
+  sky130_fd_sc_hd__a21oi_1 U42 ( .A1(n2), .A2(n10), .B1(n12), .Y(n3) );
+  sky130_fd_sc_hd__a31oi_1 U43 ( .A1(n15), .A2(n69), .A3(n171), .B1(n3), .Y(n6) );
+  sky130_fd_sc_hd__nor2_1 U44 ( .A(n165), .B(n126), .Y(n48) );
+  sky130_fd_sc_hd__clkinv_1 U45 ( .A(n48), .Y(n172) );
+  sky130_fd_sc_hd__nand2_1 U46 ( .A(n139), .B(n77), .Y(n86) );
+  sky130_fd_sc_hd__o21ai_1 U47 ( .A1(n172), .A2(instr_i[11]), .B1(n86), .Y(n4)
+         );
+  sky130_fd_sc_hd__nand2_1 U48 ( .A(n4), .B(instr_i[12]), .Y(n5) );
+  sky130_fd_sc_hd__nor2_1 U49 ( .A(n125), .B(n173), .Y(n105) );
+  sky130_fd_sc_hd__nor3_1 U50 ( .A(n171), .B(n165), .C(n126), .Y(n79) );
+  sky130_fd_sc_hd__nand2_1 U51 ( .A(n105), .B(n79), .Y(n65) );
+  sky130_fd_sc_hd__nand4_1 U52 ( .A(instr_o[0]), .B(n6), .C(n5), .D(n65), .Y(
+        illegal_instr_o) );
+  sky130_fd_sc_hd__a21oi_1 U53 ( .A1(instr_i[12]), .A2(n105), .B1(n126), .Y(
+        n59) );
+  sky130_fd_sc_hd__nor2_1 U54 ( .A(instr_i[13]), .B(n59), .Y(n8) );
+  sky130_fd_sc_hd__nor2_1 U55 ( .A(n62), .B(n18), .Y(n58) );
+  sky130_fd_sc_hd__nor2b_1 U56 ( .B_N(n58), .A(instr_i[13]), .Y(n138) );
+  sky130_fd_sc_hd__nor2_1 U57 ( .A(n164), .B(n138), .Y(n98) );
+  sky130_fd_sc_hd__o211ai_1 U58 ( .A1(n8), .A2(n20), .B1(n98), .C1(n7), .Y(
+        instr_o[1]) );
+  sky130_fd_sc_hd__nand2_1 U59 ( .A(instr_i[1]), .B(instr_i[0]), .Y(
+        is_compressed_o) );
+  sky130_fd_sc_hd__nand2_1 U60 ( .A(instr_o[0]), .B(is_compressed_o), .Y(n176)
+         );
+  sky130_fd_sc_hd__clkinv_1 U61 ( .A(n176), .Y(n63) );
+  sky130_fd_sc_hd__nand2_1 U62 ( .A(n63), .B(n65), .Y(n181) );
+  sky130_fd_sc_hd__nor2_1 U63 ( .A(n179), .B(n9), .Y(n131) );
+  sky130_fd_sc_hd__nor2b_1 U64 ( .B_N(n69), .A(n131), .Y(n72) );
+  sky130_fd_sc_hd__nor3_1 U65 ( .A(n165), .B(n45), .C(instr_i[14]), .Y(n116)
+         );
+  sky130_fd_sc_hd__a211oi_1 U66 ( .A1(instr_i[2]), .A2(n181), .B1(n72), .C1(
+        n116), .Y(n11) );
+  sky130_fd_sc_hd__nand2_1 U67 ( .A(n22), .B(n12), .Y(n23) );
+  sky130_fd_sc_hd__nand3_1 U68 ( .A(n11), .B(n23), .C(n10), .Y(instr_o[2]) );
+  sky130_fd_sc_hd__a21o_1 U69 ( .A1(n181), .A2(instr_i[3]), .B1(n116), .X(
+        instr_o[3]) );
+  sky130_fd_sc_hd__a21oi_1 U70 ( .A1(n77), .A2(n20), .B1(n69), .Y(n17) );
+  sky130_fd_sc_hd__or2_0 U71 ( .A(n79), .B(n176), .X(n14) );
+  sky130_fd_sc_hd__nor3_1 U72 ( .A(n171), .B(n13), .C(n12), .Y(n85) );
+  sky130_fd_sc_hd__a21oi_1 U73 ( .A1(instr_i[4]), .A2(n14), .B1(n85), .Y(n16)
+         );
+  sky130_fd_sc_hd__clkinv_1 U74 ( .A(n15), .Y(n56) );
+  sky130_fd_sc_hd__nand2_1 U75 ( .A(n19), .B(n56), .Y(n96) );
+  sky130_fd_sc_hd__clkinv_1 U76 ( .A(n165), .Y(n134) );
+  sky130_fd_sc_hd__o21ai_1 U77 ( .A1(n164), .A2(n59), .B1(n134), .Y(n92) );
+  sky130_fd_sc_hd__nand4_1 U78 ( .A(n17), .B(n16), .C(n96), .D(n92), .Y(
+        instr_o[4]) );
+  sky130_fd_sc_hd__a21oi_1 U79 ( .A1(instr_i[13]), .A2(n18), .B1(n58), .Y(n133) );
+  sky130_fd_sc_hd__nor2_1 U80 ( .A(n133), .B(n165), .Y(n150) );
+  sky130_fd_sc_hd__a211oi_1 U81 ( .A1(instr_i[5]), .A2(n181), .B1(n19), .C1(
+        n150), .Y(n21) );
+  sky130_fd_sc_hd__clkinv_1 U82 ( .A(n72), .Y(n61) );
+  sky130_fd_sc_hd__nand2_1 U83 ( .A(n138), .B(n20), .Y(n87) );
+  sky130_fd_sc_hd__nand3_1 U84 ( .A(n48), .B(n105), .C(n171), .Y(n110) );
+  sky130_fd_sc_hd__nand4_1 U85 ( .A(n21), .B(n61), .C(n87), .D(n110), .Y(
+        instr_o[5]) );
+  sky130_fd_sc_hd__a211o_1 U86 ( .A1(instr_i[6]), .A2(n181), .B1(n22), .C1(
+        n150), .X(instr_o[6]) );
+  sky130_fd_sc_hd__clkinv_1 U87 ( .A(n116), .Y(n178) );
+  sky130_fd_sc_hd__nand2_1 U88 ( .A(n30), .B(n164), .Y(n122) );
+  sky130_fd_sc_hd__clkinv_1 U89 ( .A(n122), .Y(n117) );
+  sky130_fd_sc_hd__nand2_1 U90 ( .A(n134), .B(n58), .Y(n156) );
+  sky130_fd_sc_hd__a21oi_1 U91 ( .A1(n156), .A2(n23), .B1(n171), .Y(n24) );
+  sky130_fd_sc_hd__a21oi_1 U92 ( .A1(instr_i[2]), .A2(n117), .B1(n24), .Y(n27)
+         );
+  sky130_fd_sc_hd__nor2_1 U93 ( .A(n48), .B(n176), .Y(n44) );
+  sky130_fd_sc_hd__o21ai_1 U94 ( .A1(n134), .A2(n139), .B1(n164), .Y(n25) );
+  sky130_fd_sc_hd__nand3_1 U95 ( .A(n44), .B(n25), .C(n96), .Y(n28) );
+  sky130_fd_sc_hd__o21ai_1 U96 ( .A1(n72), .A2(n28), .B1(instr_i[7]), .Y(n26)
+         );
+  sky130_fd_sc_hd__o211ai_1 U97 ( .A1(instr_i[15]), .A2(n178), .B1(n27), .C1(
+        n26), .Y(instr_o[7]) );
+  sky130_fd_sc_hd__clkinv_1 U98 ( .A(n156), .Y(n75) );
+  sky130_fd_sc_hd__nor2_1 U99 ( .A(n75), .B(n117), .Y(n36) );
+  sky130_fd_sc_hd__clkinv_1 U100 ( .A(instr_i[3]), .Y(n50) );
+  sky130_fd_sc_hd__o21ai_1 U101 ( .A1(n69), .A2(n28), .B1(instr_i[8]), .Y(n29)
+         );
+  sky130_fd_sc_hd__o21ai_1 U102 ( .A1(n36), .A2(n50), .B1(n29), .Y(instr_o[8])
+         );
+  sky130_fd_sc_hd__clkinv_1 U103 ( .A(instr_i[4]), .Y(n161) );
+  sky130_fd_sc_hd__nand2_1 U104 ( .A(n30), .B(n138), .Y(n123) );
+  sky130_fd_sc_hd__clkinv_1 U105 ( .A(n123), .Y(n102) );
+  sky130_fd_sc_hd__nor2_1 U106 ( .A(n165), .B(n128), .Y(n108) );
+  sky130_fd_sc_hd__o21ai_1 U107 ( .A1(n56), .A2(n126), .B1(n139), .Y(n31) );
+  sky130_fd_sc_hd__nand3b_1 U108 ( .A_N(n108), .B(is_compressed_o), .C(n31), 
+        .Y(n32) );
+  sky130_fd_sc_hd__nor3_1 U109 ( .A(n72), .B(n33), .C(n32), .Y(n37) );
+  sky130_fd_sc_hd__a21oi_1 U110 ( .A1(n37), .A2(n172), .B1(n157), .Y(n34) );
+  sky130_fd_sc_hd__a21oi_1 U111 ( .A1(n102), .A2(instr_i[6]), .B1(n34), .Y(n35) );
+  sky130_fd_sc_hd__o21ai_1 U112 ( .A1(n36), .A2(n161), .B1(n35), .Y(instr_o[9]) );
+  sky130_fd_sc_hd__nand2_1 U113 ( .A(n134), .B(n59), .Y(n81) );
+  sky130_fd_sc_hd__nand3_1 U114 ( .A(n37), .B(n156), .C(n123), .Y(n39) );
+  sky130_fd_sc_hd__o21ai_1 U115 ( .A1(n79), .A2(n39), .B1(instr_i[10]), .Y(n38) );
+  sky130_fd_sc_hd__nand3_1 U116 ( .A(n122), .B(n81), .C(n38), .Y(instr_o[10])
+         );
+  sky130_fd_sc_hd__clkinv_1 U117 ( .A(n39), .Y(n40) );
+  sky130_fd_sc_hd__a21oi_1 U118 ( .A1(n40), .A2(n65), .B1(n125), .Y(
+        instr_o[11]) );
+  sky130_fd_sc_hd__o21ai_1 U119 ( .A1(n45), .A2(n156), .B1(n86), .Y(n41) );
+  sky130_fd_sc_hd__a31oi_1 U120 ( .A1(instr_i[6]), .A2(instr_i[5]), .A3(n48), 
+        .B1(n41), .Y(n43) );
+  sky130_fd_sc_hd__nand2_1 U121 ( .A(instr_i[12]), .B(n116), .Y(n182) );
+  sky130_fd_sc_hd__o21ai_1 U122 ( .A1(n105), .A2(n172), .B1(n182), .Y(n53) );
+  sky130_fd_sc_hd__a21oi_1 U123 ( .A1(instr_i[2]), .A2(n72), .B1(n53), .Y(n42)
+         );
+  sky130_fd_sc_hd__o211ai_1 U124 ( .A1(n44), .A2(n171), .B1(n43), .C1(n42), 
+        .Y(instr_o[12]) );
+  sky130_fd_sc_hd__clkinv_1 U125 ( .A(instr_i[6]), .Y(n113) );
+  sky130_fd_sc_hd__o21ai_1 U126 ( .A1(instr_i[12]), .A2(n113), .B1(instr_i[10]), .Y(n47) );
+  sky130_fd_sc_hd__o22ai_1 U127 ( .A1(n134), .A2(n45), .B1(instr_i[0]), .B2(
+        n54), .Y(n46) );
+  sky130_fd_sc_hd__a31oi_1 U128 ( .A1(instr_i[11]), .A2(n48), .A3(n47), .B1(
+        n46), .Y(n49) );
+  sky130_fd_sc_hd__o211ai_1 U129 ( .A1(n61), .A2(n50), .B1(n49), .C1(n182), 
+        .Y(instr_o[13]) );
+  sky130_fd_sc_hd__nand2_1 U130 ( .A(n171), .B(n51), .Y(n170) );
+  sky130_fd_sc_hd__o22ai_1 U131 ( .A1(n161), .A2(n61), .B1(n172), .B2(n170), 
+        .Y(n52) );
+  sky130_fd_sc_hd__a211o_1 U132 ( .A1(instr_i[14]), .A2(n176), .B1(n53), .C1(
+        n52), .X(instr_o[14]) );
+  sky130_fd_sc_hd__nor2_1 U133 ( .A(n55), .B(n54), .Y(n103) );
+  sky130_fd_sc_hd__a21oi_1 U134 ( .A1(n171), .A2(n56), .B1(n126), .Y(n57) );
+  sky130_fd_sc_hd__o21ai_1 U135 ( .A1(n77), .A2(n57), .B1(n139), .Y(n76) );
+  sky130_fd_sc_hd__o31ai_1 U136 ( .A1(n59), .A2(n58), .A3(n77), .B1(n134), .Y(
+        n60) );
+  sky130_fd_sc_hd__nand3b_1 U137 ( .A_N(n103), .B(n76), .C(n60), .Y(n71) );
+  sky130_fd_sc_hd__clkinv_1 U138 ( .A(instr_i[5]), .Y(n142) );
+  sky130_fd_sc_hd__o22ai_1 U139 ( .A1(n63), .A2(n62), .B1(n142), .B2(n61), .Y(
+        n64) );
+  sky130_fd_sc_hd__a21oi_1 U140 ( .A1(instr_i[7]), .A2(n71), .B1(n64), .Y(n66)
+         );
+  sky130_fd_sc_hd__nand3_1 U141 ( .A(n66), .B(n65), .C(n182), .Y(instr_o[15])
+         );
+  sky130_fd_sc_hd__a22oi_1 U142 ( .A1(instr_i[8]), .A2(n71), .B1(instr_i[16]), 
+        .B2(n181), .Y(n67) );
+  sky130_fd_sc_hd__nand2_1 U143 ( .A(n148), .B(n67), .Y(n68) );
+  sky130_fd_sc_hd__a21oi_1 U144 ( .A1(n69), .A2(instr_i[6]), .B1(n68), .Y(n70)
+         );
+  sky130_fd_sc_hd__clkinv_1 U145 ( .A(n127), .Y(n130) );
+  sky130_fd_sc_hd__nand3_1 U146 ( .A(n134), .B(n131), .C(n130), .Y(n162) );
+  sky130_fd_sc_hd__nand4_1 U147 ( .A(n70), .B(n182), .C(n162), .D(n121), .Y(
+        instr_o[16]) );
+  sky130_fd_sc_hd__clkinv_1 U148 ( .A(n71), .Y(n74) );
+  sky130_fd_sc_hd__nand2_1 U149 ( .A(instr_i[12]), .B(n72), .Y(n119) );
+  sky130_fd_sc_hd__nand2_1 U150 ( .A(n182), .B(n119), .Y(n91) );
+  sky130_fd_sc_hd__a21oi_1 U151 ( .A1(instr_i[17]), .A2(n181), .B1(n91), .Y(
+        n73) );
+  sky130_fd_sc_hd__o21ai_1 U152 ( .A1(n74), .A2(n157), .B1(n73), .Y(
+        instr_o[17]) );
+  sky130_fd_sc_hd__nor2_1 U153 ( .A(n75), .B(n103), .Y(n143) );
+  sky130_fd_sc_hd__a21boi_0 U154 ( .A1(n77), .A2(n134), .B1_N(n76), .Y(n84) );
+  sky130_fd_sc_hd__clkinv_1 U155 ( .A(n84), .Y(n78) );
+  sky130_fd_sc_hd__a21oi_1 U156 ( .A1(instr_i[10]), .A2(n78), .B1(n91), .Y(n82) );
+  sky130_fd_sc_hd__o21ai_1 U157 ( .A1(n79), .A2(n176), .B1(instr_i[18]), .Y(
+        n80) );
+  sky130_fd_sc_hd__nand4_1 U158 ( .A(n143), .B(n82), .C(n81), .D(n80), .Y(
+        instr_o[18]) );
+  sky130_fd_sc_hd__a21oi_1 U159 ( .A1(instr_i[19]), .A2(n181), .B1(n91), .Y(
+        n83) );
+  sky130_fd_sc_hd__o21ai_1 U160 ( .A1(n84), .A2(n125), .B1(n83), .Y(
+        instr_o[19]) );
+  sky130_fd_sc_hd__a21oi_1 U161 ( .A1(instr_i[20]), .A2(n181), .B1(n85), .Y(
+        n90) );
+  sky130_fd_sc_hd__clkinv_1 U162 ( .A(n92), .Y(n88) );
+  sky130_fd_sc_hd__nand3_1 U163 ( .A(n96), .B(n87), .C(n86), .Y(n93) );
+  sky130_fd_sc_hd__o21ai_1 U164 ( .A1(n88), .A2(n93), .B1(instr_i[2]), .Y(n89)
+         );
+  sky130_fd_sc_hd__nand3b_1 U165 ( .A_N(n91), .B(n90), .C(n89), .Y(instr_o[20]) );
+  sky130_fd_sc_hd__nand2_1 U166 ( .A(instr_i[21]), .B(n181), .Y(n95) );
+  sky130_fd_sc_hd__nand2_1 U167 ( .A(n178), .B(n92), .Y(n99) );
+  sky130_fd_sc_hd__o21ai_1 U168 ( .A1(n99), .A2(n93), .B1(instr_i[3]), .Y(n94)
+         );
+  sky130_fd_sc_hd__nand3_1 U169 ( .A(n95), .B(n94), .C(n119), .Y(instr_o[21])
+         );
+  sky130_fd_sc_hd__a22oi_1 U170 ( .A1(instr_i[6]), .A2(n117), .B1(instr_i[22]), 
+        .B2(n181), .Y(n101) );
+  sky130_fd_sc_hd__o21ai_1 U171 ( .A1(n98), .A2(n97), .B1(n96), .Y(n106) );
+  sky130_fd_sc_hd__o31ai_1 U172 ( .A1(n102), .A2(n99), .A3(n106), .B1(
+        instr_i[4]), .Y(n100) );
+  sky130_fd_sc_hd__nand3_1 U173 ( .A(n101), .B(n119), .C(n100), .Y(instr_o[22]) );
+  sky130_fd_sc_hd__a21oi_1 U174 ( .A1(instr_i[10]), .A2(n103), .B1(n102), .Y(
+        n112) );
+  sky130_fd_sc_hd__nor2_1 U175 ( .A(n116), .B(n104), .Y(n169) );
+  sky130_fd_sc_hd__nor2_1 U176 ( .A(n105), .B(n172), .Y(n107) );
+  sky130_fd_sc_hd__nor3_1 U177 ( .A(n108), .B(n107), .C(n106), .Y(n114) );
+  sky130_fd_sc_hd__a21oi_1 U178 ( .A1(n169), .A2(n114), .B1(n142), .Y(n109) );
+  sky130_fd_sc_hd__a21oi_1 U179 ( .A1(instr_i[23]), .A2(n181), .B1(n109), .Y(
+        n111) );
+  sky130_fd_sc_hd__nand4_1 U180 ( .A(n112), .B(n111), .C(n119), .D(n110), .Y(
+        instr_o[23]) );
+  sky130_fd_sc_hd__a21oi_1 U181 ( .A1(n114), .A2(n162), .B1(n113), .Y(n115) );
+  sky130_fd_sc_hd__a21oi_1 U182 ( .A1(instr_i[24]), .A2(n181), .B1(n115), .Y(
+        n120) );
+  sky130_fd_sc_hd__o21ai_1 U183 ( .A1(n117), .A2(n116), .B1(instr_i[11]), .Y(
+        n118) );
+  sky130_fd_sc_hd__nand3_1 U184 ( .A(n120), .B(n119), .C(n118), .Y(instr_o[24]) );
+  sky130_fd_sc_hd__a31oi_1 U185 ( .A1(n123), .A2(n122), .A3(n121), .B1(n171), 
+        .Y(n124) );
+  sky130_fd_sc_hd__a21oi_1 U186 ( .A1(instr_i[25]), .A2(n181), .B1(n124), .Y(
+        n137) );
+  sky130_fd_sc_hd__nor4_1 U187 ( .A(instr_i[10]), .B(n126), .C(n171), .D(n125), 
+        .Y(n163) );
+  sky130_fd_sc_hd__a221oi_1 U188 ( .A1(n131), .A2(n128), .B1(n127), .B2(n128), 
+        .C1(n171), .Y(n129) );
+  sky130_fd_sc_hd__o21ai_1 U189 ( .A1(n163), .A2(n129), .B1(n134), .Y(n159) );
+  sky130_fd_sc_hd__nand2_1 U190 ( .A(n131), .B(n130), .Y(n132) );
+  sky130_fd_sc_hd__nand2_1 U191 ( .A(n133), .B(n132), .Y(n135) );
+  sky130_fd_sc_hd__nand3_1 U192 ( .A(n135), .B(instr_i[2]), .C(n134), .Y(n136)
+         );
+  sky130_fd_sc_hd__nand3_1 U193 ( .A(n137), .B(n159), .C(n136), .Y(instr_o[25]) );
+  sky130_fd_sc_hd__nand2_1 U194 ( .A(n139), .B(n138), .Y(n147) );
+  sky130_fd_sc_hd__a21oi_1 U195 ( .A1(n169), .A2(n147), .B1(n140), .Y(n141) );
+  sky130_fd_sc_hd__a21oi_1 U196 ( .A1(instr_i[2]), .A2(n151), .B1(n141), .Y(
+        n146) );
+  sky130_fd_sc_hd__a21oi_1 U197 ( .A1(n143), .A2(n162), .B1(n142), .Y(n144) );
+  sky130_fd_sc_hd__a21oi_1 U198 ( .A1(instr_i[26]), .A2(n181), .B1(n144), .Y(
+        n145) );
+  sky130_fd_sc_hd__nand3_1 U199 ( .A(n146), .B(n145), .C(n159), .Y(instr_o[26]) );
+  sky130_fd_sc_hd__a21oi_1 U200 ( .A1(n148), .A2(n147), .B1(n179), .Y(n149) );
+  sky130_fd_sc_hd__a21oi_1 U201 ( .A1(instr_i[6]), .A2(n150), .B1(n149), .Y(
+        n155) );
+  sky130_fd_sc_hd__clkinv_1 U202 ( .A(n162), .Y(n152) );
+  sky130_fd_sc_hd__o21ai_1 U203 ( .A1(n152), .A2(n151), .B1(instr_i[3]), .Y(
+        n154) );
+  sky130_fd_sc_hd__nand2_1 U204 ( .A(instr_i[27]), .B(n181), .Y(n153) );
+  sky130_fd_sc_hd__nand4_1 U205 ( .A(n155), .B(n159), .C(n154), .D(n153), .Y(
+        instr_o[27]) );
+  sky130_fd_sc_hd__o22ai_1 U206 ( .A1(n169), .A2(n157), .B1(n171), .B2(n156), 
+        .Y(n158) );
+  sky130_fd_sc_hd__a21oi_1 U207 ( .A1(instr_i[28]), .A2(n181), .B1(n158), .Y(
+        n160) );
+  sky130_fd_sc_hd__o211ai_1 U208 ( .A1(n162), .A2(n161), .B1(n160), .C1(n159), 
+        .Y(instr_o[28]) );
+  sky130_fd_sc_hd__clkinv_1 U209 ( .A(n163), .Y(n167) );
+  sky130_fd_sc_hd__o21ai_1 U210 ( .A1(instr_i[14]), .A2(n164), .B1(instr_i[12]), .Y(n166) );
+  sky130_fd_sc_hd__a21oi_1 U211 ( .A1(n167), .A2(n166), .B1(n165), .Y(n180) );
+  sky130_fd_sc_hd__a21oi_1 U212 ( .A1(instr_i[29]), .A2(n181), .B1(n180), .Y(
+        n168) );
+  sky130_fd_sc_hd__o21ai_1 U213 ( .A1(n169), .A2(n173), .B1(n168), .Y(
+        instr_o[29]) );
+  sky130_fd_sc_hd__o21ai_1 U214 ( .A1(instr_i[30]), .A2(n171), .B1(n170), .Y(
+        n174) );
+  sky130_fd_sc_hd__a211oi_1 U215 ( .A1(instr_i[11]), .A2(n174), .B1(n173), 
+        .C1(n172), .Y(n175) );
+  sky130_fd_sc_hd__a211oi_1 U216 ( .A1(instr_i[30]), .A2(n176), .B1(n175), 
+        .C1(n180), .Y(n177) );
+  sky130_fd_sc_hd__o21ai_1 U217 ( .A1(n179), .A2(n178), .B1(n177), .Y(
+        instr_o[30]) );
+  sky130_fd_sc_hd__a21oi_1 U218 ( .A1(instr_i[31]), .A2(n181), .B1(n180), .Y(
+        n183) );
+  sky130_fd_sc_hd__nand2_1 U219 ( .A(n183), .B(n182), .Y(instr_o[31]) );
+endmodule
+
+
+
+    module opentitan_soc_top_ibex_if_stage_00000000_00000000_0_0_0_00000020_00000016_00000040_0_0_0 ( 
+        clk_i, rst_ni, boot_addr_i, req_i, instr_req_o, instr_addr_o, 
+        instr_gnt_i, instr_rvalid_i, instr_rdata_i, instr_err_i, 
+        instr_pmp_err_i, ic_tag_req_o, ic_tag_write_o, ic_tag_addr_o, 
+        ic_tag_wdata_o, ic_tag_rdata_i, ic_data_req_o, ic_data_write_o, 
+        ic_data_addr_o, ic_data_wdata_o, ic_data_rdata_i, instr_valid_id_o, 
+        instr_new_id_o, instr_rdata_id_o, instr_rdata_alu_id_o, 
+        instr_rdata_c_id_o, instr_is_compressed_id_o, instr_bp_taken_o, 
+        instr_fetch_err_o, instr_fetch_err_plus2_o, illegal_c_insn_id_o, 
+        dummy_instr_id_o, pc_if_o, pc_id_o, instr_valid_clear_i, pc_set_i, 
+        pc_set_spec_i, pc_mux_i, nt_branch_mispredict_i, exc_pc_mux_i, 
+        exc_cause, dummy_instr_en_i, dummy_instr_mask_i, dummy_instr_seed_en_i, 
+        dummy_instr_seed_i, icache_enable_i, icache_inval_i, 
+        branch_target_ex_i, csr_mepc_i, csr_depc_i, csr_mtvec_i, 
+        csr_mtvec_init_o, id_in_ready_i, pc_mismatch_alert_o, if_busy_o );
+  input [31:0] boot_addr_i;
+  output [31:0] instr_addr_o;
+  input [31:0] instr_rdata_i;
+  output [1:0] ic_tag_req_o;
+  output [7:0] ic_tag_addr_o;
+  output [21:0] ic_tag_wdata_o;
+  input [43:0] ic_tag_rdata_i;
+  output [1:0] ic_data_req_o;
+  output [7:0] ic_data_addr_o;
+  output [63:0] ic_data_wdata_o;
+  input [127:0] ic_data_rdata_i;
+  output [31:0] instr_rdata_id_o;
+  output [31:0] instr_rdata_alu_id_o;
+  output [15:0] instr_rdata_c_id_o;
+  output [31:0] pc_if_o;
+  output [31:0] pc_id_o;
+  input [2:0] pc_mux_i;
+  input [1:0] exc_pc_mux_i;
+  input [5:0] exc_cause;
+  input [2:0] dummy_instr_mask_i;
+  input [31:0] dummy_instr_seed_i;
+  input [31:0] branch_target_ex_i;
+  input [31:0] csr_mepc_i;
+  input [31:0] csr_depc_i;
+  input [31:0] csr_mtvec_i;
+  input clk_i, rst_ni, req_i, instr_gnt_i, instr_rvalid_i, instr_err_i,
+         instr_pmp_err_i, instr_valid_clear_i, pc_set_i, pc_set_spec_i,
+         nt_branch_mispredict_i, dummy_instr_en_i, dummy_instr_seed_en_i,
+         icache_enable_i, icache_inval_i, id_in_ready_i;
+  output instr_req_o, ic_tag_write_o, ic_data_write_o, instr_valid_id_o,
+         instr_new_id_o, instr_is_compressed_id_o, instr_bp_taken_o,
+         instr_fetch_err_o, instr_fetch_err_plus2_o, illegal_c_insn_id_o,
+         dummy_instr_id_o, csr_mtvec_init_o, pc_mismatch_alert_o, if_busy_o;
+  wire   fetch_valid, fetch_err_plus2, instr_is_compressed, illegal_c_insn,
+         instr_err_out, instr_valid_id_d, n75, n76, n77, n78, n79, n80, n81,
+         n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95,
+         n96, n97, n98, n99, n100, n101, n102, n103, n104, n105, n106, n107,
+         n108, n109, n110, n111, n112, n113, n114, n115, n116, n117, n118,
+         n119, n120, n121, n122, n123, n124, n125, n126, n127, n128, n129,
+         n130, n131, n132, n133, n134, n135, n136, n137, n138, n139, n140,
+         n141, n142, n143, n144, n145, n146, n147, n148, n149, n150, n151,
+         n152, n153, n154, n27, n28, n29, n30, n32, n33, n34, n35, n36, n37,
+         n38, n39, n40, n41, n42, n43, n44, n45, n46, n47, n48, n49, n50, n51,
+         n52, n53, n54, n55, n56, n57, n58, n59, n60, n61, n62, n63, n64, n65,
+         n66, n67, n68, n69, n70, n71, n72, n73, n74, n155, n156, n157, n158,
+         n159, n160, n161, n162, n163, n164, n165, n166, n167, n168, n169,
+         n170, n171, n172, n173, n174, n175, n176, n177, n178, n179, n180,
+         n181, n182, n183, n184, n185, n186, SYNOPSYS_UNCONNECTED_1,
+         SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3,
+         SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5,
+         SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7,
+         SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9,
+         SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11,
+         SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13,
+         SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15,
+         SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17,
+         SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19,
+         SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21,
+         SYNOPSYS_UNCONNECTED_22;
+  wire   [31:0] fetch_rdata;
+  wire   [31:0] instr_decompressed;
+
+  opentitan_soc_top_ibex_prefetch_buffer_0_0 gen_prefetch_buffer_prefetch_buffer_i ( 
+        .clk_i(n29), .rst_ni(rst_ni), .req_i(req_i), .branch_i(pc_set_i), 
+        .branch_spec_i(pc_set_spec_i), .predicted_branch_i(n28), 
+        .branch_mispredict_i(n28), .addr_i({n153, n152, n154, n151, n150, n149, 
+        n148, n147, n146, n145, n144, n143, n142, n141, n140, n139, n138, n137, 
+        n136, n135, n134, n133, n132, n131, n130, n129, n128, n127, n126, n125, 
+        n124, n28}), .ready_i(id_in_ready_i), .valid_o(fetch_valid), .rdata_o(
+        fetch_rdata), .addr_o({pc_if_o[31:1], SYNOPSYS_UNCONNECTED_1}), 
+        .err_o(instr_err_out), .err_plus2_o(fetch_err_plus2), .instr_req_o(
+        instr_req_o), .instr_gnt_i(instr_gnt_i), .instr_addr_o({
+        SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, 
+        SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, 
+        SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19, instr_addr_o[13], 
+        SYNOPSYS_UNCONNECTED_20, instr_addr_o[11:2], SYNOPSYS_UNCONNECTED_21, 
+        SYNOPSYS_UNCONNECTED_22}), .instr_rdata_i(instr_rdata_i), 
+        .instr_err_i(instr_err_i), .instr_pmp_err_i(n28), .instr_rvalid_i(
+        instr_rvalid_i) );
+  opentitan_soc_top_ibex_compressed_decoder_0 compressed_decoder_i ( .clk_i(
+        1'b0), .rst_ni(1'b0), .valid_i(1'b0), .instr_i(fetch_rdata), .instr_o(
+        instr_decompressed), .is_compressed_o(instr_is_compressed), 
+        .illegal_instr_o(illegal_c_insn) );
+  sky130_fd_sc_hd__dfrtp_1 instr_valid_id_q_reg ( .D(instr_valid_id_d), .CLK(
+        n29), .RESET_B(rst_ni), .Q(instr_valid_id_o) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_31_ ( .D(n123), .CLK(n29), .Q(
+        pc_id_o[31]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_30_ ( .D(n122), .CLK(n29), .Q(
+        pc_id_o[30]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_29_ ( .D(n121), .CLK(n29), .Q(
+        pc_id_o[29]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_28_ ( .D(n120), .CLK(n29), .Q(
+        pc_id_o[28]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_27_ ( .D(n119), .CLK(n29), .Q(
+        pc_id_o[27]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_26_ ( .D(n118), .CLK(n29), .Q(
+        pc_id_o[26]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_25_ ( .D(n117), .CLK(n29), .Q(
+        pc_id_o[25]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_24_ ( .D(n116), .CLK(n29), .Q(
+        pc_id_o[24]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_23_ ( .D(n115), .CLK(n29), .Q(
+        pc_id_o[23]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_22_ ( .D(n114), .CLK(n29), .Q(
+        pc_id_o[22]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_21_ ( .D(n113), .CLK(n29), .Q(
+        pc_id_o[21]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_20_ ( .D(n112), .CLK(n29), .Q(
+        pc_id_o[20]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_19_ ( .D(n111), .CLK(n29), .Q(
+        pc_id_o[19]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_18_ ( .D(n110), .CLK(n29), .Q(
+        pc_id_o[18]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_17_ ( .D(n109), .CLK(n29), .Q(
+        pc_id_o[17]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_16_ ( .D(n108), .CLK(n29), .Q(
+        pc_id_o[16]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_15_ ( .D(n107), .CLK(n29), .Q(
+        pc_id_o[15]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_14_ ( .D(n106), .CLK(n29), .Q(
+        pc_id_o[14]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_13_ ( .D(n105), .CLK(n29), .Q(
+        pc_id_o[13]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_12_ ( .D(n104), .CLK(n29), .Q(
+        pc_id_o[12]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_11_ ( .D(n103), .CLK(n29), .Q(
+        pc_id_o[11]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_10_ ( .D(n102), .CLK(n29), .Q(
+        pc_id_o[10]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_9_ ( .D(n101), .CLK(n29), .Q(pc_id_o[9]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_8_ ( .D(n100), .CLK(n29), .Q(pc_id_o[8]) );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_7_ ( .D(n99), .CLK(n29), .Q(pc_id_o[7])
+         );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_6_ ( .D(n98), .CLK(n29), .Q(pc_id_o[6])
+         );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_5_ ( .D(n97), .CLK(n29), .Q(pc_id_o[5])
+         );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_4_ ( .D(n96), .CLK(n29), .Q(pc_id_o[4])
+         );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_3_ ( .D(n95), .CLK(n29), .Q(pc_id_o[3])
+         );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_2_ ( .D(n94), .CLK(n29), .Q(pc_id_o[2])
+         );
+  sky130_fd_sc_hd__dfxtp_1 pc_id_o_reg_1_ ( .D(n93), .CLK(n29), .Q(pc_id_o[1])
+         );
+  sky130_fd_sc_hd__dfxtp_1 instr_fetch_err_o_reg ( .D(n92), .CLK(n29), .Q(
+        instr_fetch_err_o) );
+  sky130_fd_sc_hd__dfxtp_1 instr_fetch_err_plus2_o_reg ( .D(n91), .CLK(n29), 
+        .Q(instr_fetch_err_plus2_o) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_15_ ( .D(n90), .CLK(n29), 
+        .Q(instr_rdata_c_id_o[15]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_14_ ( .D(n89), .CLK(n29), 
+        .Q(instr_rdata_c_id_o[14]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_13_ ( .D(n88), .CLK(n29), 
+        .Q(instr_rdata_c_id_o[13]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_12_ ( .D(n87), .CLK(n29), 
+        .Q(instr_rdata_c_id_o[12]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_11_ ( .D(n86), .CLK(n29), 
+        .Q(instr_rdata_c_id_o[11]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_10_ ( .D(n85), .CLK(n29), 
+        .Q(instr_rdata_c_id_o[10]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_9_ ( .D(n84), .CLK(n29), .Q(
+        instr_rdata_c_id_o[9]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_8_ ( .D(n83), .CLK(n29), .Q(
+        instr_rdata_c_id_o[8]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_7_ ( .D(n82), .CLK(n29), .Q(
+        instr_rdata_c_id_o[7]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_6_ ( .D(n81), .CLK(n29), .Q(
+        instr_rdata_c_id_o[6]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_5_ ( .D(n80), .CLK(n29), .Q(
+        instr_rdata_c_id_o[5]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_4_ ( .D(n79), .CLK(n29), .Q(
+        instr_rdata_c_id_o[4]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_3_ ( .D(n78), .CLK(n29), .Q(
+        instr_rdata_c_id_o[3]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_2_ ( .D(n77), .CLK(n29), .Q(
+        instr_rdata_c_id_o[2]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_1_ ( .D(n76), .CLK(n29), .Q(
+        instr_rdata_c_id_o[1]) );
+  sky130_fd_sc_hd__dfxtp_1 instr_rdata_c_id_o_reg_0_ ( .D(n75), .CLK(n29), .Q(
+        instr_rdata_c_id_o[0]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_31_ ( .D(
+        instr_decompressed[31]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[31]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_30_ ( .D(
+        instr_decompressed[30]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[30]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_29_ ( .D(
+        instr_decompressed[29]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[29]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_28_ ( .D(
+        instr_decompressed[28]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[28]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_27_ ( .D(
+        instr_decompressed[27]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[27]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_26_ ( .D(
+        instr_decompressed[26]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[26]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_25_ ( .D(
+        instr_decompressed[25]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[25]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_24_ ( .D(
+        instr_decompressed[24]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_23_ ( .D(
+        instr_decompressed[23]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_22_ ( .D(
+        instr_decompressed[22]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_21_ ( .D(
+        instr_decompressed[21]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_20_ ( .D(
+        instr_decompressed[20]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_19_ ( .D(
+        instr_decompressed[19]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_18_ ( .D(
+        instr_decompressed[18]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_17_ ( .D(
+        instr_decompressed[17]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_16_ ( .D(
+        instr_decompressed[16]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_15_ ( .D(
+        instr_decompressed[15]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_14_ ( .D(
+        instr_decompressed[14]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[14]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_13_ ( .D(
+        instr_decompressed[13]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[13]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_12_ ( .D(
+        instr_decompressed[12]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[12]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_11_ ( .D(
+        instr_decompressed[11]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_10_ ( .D(
+        instr_decompressed[10]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_9_ ( .D(
+        instr_decompressed[9]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[9])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_8_ ( .D(
+        instr_decompressed[8]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[8])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_7_ ( .D(
+        instr_decompressed[7]), .DE(n27), .CLK(n29), .Q(instr_rdata_id_o[7])
+         );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_6_ ( .D(
+        instr_decompressed[6]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[6]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_5_ ( .D(
+        instr_decompressed[5]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[5]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_4_ ( .D(
+        instr_decompressed[4]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[4]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_3_ ( .D(
+        instr_decompressed[3]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[3]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_2_ ( .D(
+        instr_decompressed[2]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[2]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_1_ ( .D(
+        instr_decompressed[1]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[1]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_rdata_alu_id_o_reg_0_ ( .D(
+        instr_decompressed[0]), .DE(n27), .CLK(n29), .Q(
+        instr_rdata_alu_id_o[0]) );
+  sky130_fd_sc_hd__edfxtp_1 instr_is_compressed_id_o_reg ( .D(
+        instr_is_compressed), .DE(n27), .CLK(n29), .Q(instr_is_compressed_id_o) );
+  sky130_fd_sc_hd__edfxtp_1 illegal_c_insn_id_o_reg ( .D(illegal_c_insn), .DE(
+        n27), .CLK(n29), .Q(illegal_c_insn_id_o) );
+  sky130_fd_sc_hd__inv_2 U3 ( .A(n186), .Y(n27) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n28) );
+  sky130_fd_sc_hd__inv_2 U5 ( .A(n30), .Y(n29) );
+  sky130_fd_sc_hd__clkinv_1 U6 ( .A(clk_i), .Y(n30) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(instr_rdata_alu_id_o[12]), .X(
+        instr_rdata_id_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(instr_rdata_alu_id_o[6]), .X(
+        instr_rdata_id_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(instr_rdata_alu_id_o[0]), .X(
+        instr_rdata_id_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(instr_rdata_alu_id_o[1]), .X(
+        instr_rdata_id_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(instr_rdata_alu_id_o[2]), .X(
+        instr_rdata_id_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(instr_rdata_alu_id_o[3]), .X(
+        instr_rdata_id_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(instr_rdata_alu_id_o[4]), .X(
+        instr_rdata_id_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(instr_rdata_alu_id_o[5]), .X(
+        instr_rdata_id_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(instr_rdata_alu_id_o[13]), .X(
+        instr_rdata_id_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(instr_rdata_alu_id_o[14]), .X(
+        instr_rdata_id_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(instr_rdata_alu_id_o[25]), .X(
+        instr_rdata_id_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(instr_rdata_alu_id_o[26]), .X(
+        instr_rdata_id_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(instr_rdata_alu_id_o[27]), .X(
+        instr_rdata_id_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(instr_rdata_alu_id_o[28]), .X(
+        instr_rdata_id_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(instr_rdata_alu_id_o[29]), .X(
+        instr_rdata_id_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(instr_rdata_alu_id_o[30]), .X(
+        instr_rdata_id_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(instr_rdata_alu_id_o[31]), .X(
+        instr_rdata_id_o[31]) );
+  sky130_fd_sc_hd__nor2_1 U40 ( .A(pc_mux_i[0]), .B(pc_mux_i[1]), .Y(n33) );
+  sky130_fd_sc_hd__clkinv_1 U41 ( .A(pc_mux_i[2]), .Y(n39) );
+  sky130_fd_sc_hd__and3_1 U42 ( .A(n33), .B(pc_set_i), .C(n39), .X(
+        csr_mtvec_init_o) );
+  sky130_fd_sc_hd__nand2_1 U43 ( .A(id_in_ready_i), .B(fetch_valid), .Y(n186)
+         );
+  sky130_fd_sc_hd__clkinv_1 U45 ( .A(instr_valid_id_o), .Y(n32) );
+  sky130_fd_sc_hd__o22ai_1 U46 ( .A1(pc_set_i), .A2(n186), .B1(
+        instr_valid_clear_i), .B2(n32), .Y(instr_valid_id_d) );
+  sky130_fd_sc_hd__nand2_1 U47 ( .A(pc_mux_i[2]), .B(n33), .Y(n41) );
+  sky130_fd_sc_hd__clkinv_1 U48 ( .A(pc_mux_i[0]), .Y(n42) );
+  sky130_fd_sc_hd__nor2_1 U49 ( .A(n42), .B(branch_target_ex_i[29]), .Y(n35)
+         );
+  sky130_fd_sc_hd__nor2_1 U50 ( .A(pc_mux_i[0]), .B(exc_pc_mux_i[1]), .Y(n40)
+         );
+  sky130_fd_sc_hd__a21oi_1 U51 ( .A1(n40), .A2(csr_mtvec_i[29]), .B1(
+        pc_mux_i[2]), .Y(n34) );
+  sky130_fd_sc_hd__o21ai_1 U52 ( .A1(pc_mux_i[1]), .A2(n35), .B1(n34), .Y(n36)
+         );
+  sky130_fd_sc_hd__o21ai_1 U53 ( .A1(csr_depc_i[29]), .A2(n41), .B1(n36), .Y(
+        n38) );
+  sky130_fd_sc_hd__nand3_1 U54 ( .A(pc_mux_i[0]), .B(pc_mux_i[1]), .C(
+        csr_mepc_i[29]), .Y(n37) );
+  sky130_fd_sc_hd__nand2_1 U55 ( .A(n38), .B(n37), .Y(n154) );
+  sky130_fd_sc_hd__and3_1 U56 ( .A(n39), .B(pc_mux_i[0]), .C(pc_mux_i[1]), .X(
+        n182) );
+  sky130_fd_sc_hd__and3_1 U57 ( .A(pc_mux_i[1]), .B(n40), .C(n39), .X(n171) );
+  sky130_fd_sc_hd__a22oi_1 U58 ( .A1(n182), .A2(csr_mepc_i[31]), .B1(n171), 
+        .B2(csr_mtvec_i[31]), .Y(n44) );
+  sky130_fd_sc_hd__clkinv_1 U59 ( .A(n41), .Y(n184) );
+  sky130_fd_sc_hd__nor3_1 U60 ( .A(pc_mux_i[2]), .B(pc_mux_i[1]), .C(n42), .Y(
+        n183) );
+  sky130_fd_sc_hd__a22oi_1 U61 ( .A1(n184), .A2(csr_depc_i[31]), .B1(n183), 
+        .B2(branch_target_ex_i[31]), .Y(n43) );
+  sky130_fd_sc_hd__nand2_1 U62 ( .A(n44), .B(n43), .Y(n153) );
+  sky130_fd_sc_hd__a22oi_1 U63 ( .A1(n182), .A2(csr_mepc_i[30]), .B1(n171), 
+        .B2(csr_mtvec_i[30]), .Y(n46) );
+  sky130_fd_sc_hd__a22oi_1 U64 ( .A1(n184), .A2(csr_depc_i[30]), .B1(n183), 
+        .B2(branch_target_ex_i[30]), .Y(n45) );
+  sky130_fd_sc_hd__nand2_1 U65 ( .A(n46), .B(n45), .Y(n152) );
+  sky130_fd_sc_hd__a22oi_1 U66 ( .A1(n182), .A2(csr_mepc_i[28]), .B1(n171), 
+        .B2(csr_mtvec_i[28]), .Y(n48) );
+  sky130_fd_sc_hd__a22oi_1 U67 ( .A1(n184), .A2(csr_depc_i[28]), .B1(n183), 
+        .B2(branch_target_ex_i[28]), .Y(n47) );
+  sky130_fd_sc_hd__nand2_1 U68 ( .A(n48), .B(n47), .Y(n151) );
+  sky130_fd_sc_hd__a22oi_1 U69 ( .A1(n182), .A2(csr_mepc_i[27]), .B1(n171), 
+        .B2(csr_mtvec_i[27]), .Y(n50) );
+  sky130_fd_sc_hd__a22oi_1 U70 ( .A1(n184), .A2(csr_depc_i[27]), .B1(n183), 
+        .B2(branch_target_ex_i[27]), .Y(n49) );
+  sky130_fd_sc_hd__nand2_1 U71 ( .A(n50), .B(n49), .Y(n150) );
+  sky130_fd_sc_hd__a22oi_1 U72 ( .A1(n182), .A2(csr_mepc_i[26]), .B1(n171), 
+        .B2(csr_mtvec_i[26]), .Y(n52) );
+  sky130_fd_sc_hd__a22oi_1 U73 ( .A1(n184), .A2(csr_depc_i[26]), .B1(n183), 
+        .B2(branch_target_ex_i[26]), .Y(n51) );
+  sky130_fd_sc_hd__nand2_1 U74 ( .A(n52), .B(n51), .Y(n149) );
+  sky130_fd_sc_hd__a22oi_1 U75 ( .A1(n182), .A2(csr_mepc_i[25]), .B1(n171), 
+        .B2(csr_mtvec_i[25]), .Y(n54) );
+  sky130_fd_sc_hd__a22oi_1 U76 ( .A1(n184), .A2(csr_depc_i[25]), .B1(n183), 
+        .B2(branch_target_ex_i[25]), .Y(n53) );
+  sky130_fd_sc_hd__nand2_1 U77 ( .A(n54), .B(n53), .Y(n148) );
+  sky130_fd_sc_hd__a22oi_1 U78 ( .A1(n182), .A2(csr_mepc_i[24]), .B1(n171), 
+        .B2(csr_mtvec_i[24]), .Y(n56) );
+  sky130_fd_sc_hd__a22oi_1 U79 ( .A1(n184), .A2(csr_depc_i[24]), .B1(n183), 
+        .B2(branch_target_ex_i[24]), .Y(n55) );
+  sky130_fd_sc_hd__nand2_1 U80 ( .A(n56), .B(n55), .Y(n147) );
+  sky130_fd_sc_hd__a22oi_1 U81 ( .A1(n182), .A2(csr_mepc_i[23]), .B1(n171), 
+        .B2(csr_mtvec_i[23]), .Y(n58) );
+  sky130_fd_sc_hd__a22oi_1 U82 ( .A1(n184), .A2(csr_depc_i[23]), .B1(n183), 
+        .B2(branch_target_ex_i[23]), .Y(n57) );
+  sky130_fd_sc_hd__nand2_1 U83 ( .A(n58), .B(n57), .Y(n146) );
+  sky130_fd_sc_hd__a22oi_1 U84 ( .A1(n182), .A2(csr_mepc_i[22]), .B1(n171), 
+        .B2(csr_mtvec_i[22]), .Y(n60) );
+  sky130_fd_sc_hd__a22oi_1 U85 ( .A1(n184), .A2(csr_depc_i[22]), .B1(n183), 
+        .B2(branch_target_ex_i[22]), .Y(n59) );
+  sky130_fd_sc_hd__nand2_1 U86 ( .A(n60), .B(n59), .Y(n145) );
+  sky130_fd_sc_hd__a22oi_1 U87 ( .A1(n182), .A2(csr_mepc_i[21]), .B1(n171), 
+        .B2(csr_mtvec_i[21]), .Y(n62) );
+  sky130_fd_sc_hd__a22oi_1 U88 ( .A1(n184), .A2(csr_depc_i[21]), .B1(n183), 
+        .B2(branch_target_ex_i[21]), .Y(n61) );
+  sky130_fd_sc_hd__nand2_1 U89 ( .A(n62), .B(n61), .Y(n144) );
+  sky130_fd_sc_hd__a22oi_1 U90 ( .A1(n182), .A2(csr_mepc_i[20]), .B1(n171), 
+        .B2(csr_mtvec_i[20]), .Y(n64) );
+  sky130_fd_sc_hd__a22oi_1 U91 ( .A1(n184), .A2(csr_depc_i[20]), .B1(n183), 
+        .B2(branch_target_ex_i[20]), .Y(n63) );
+  sky130_fd_sc_hd__nand2_1 U92 ( .A(n64), .B(n63), .Y(n143) );
+  sky130_fd_sc_hd__a22oi_1 U93 ( .A1(n182), .A2(csr_mepc_i[19]), .B1(n171), 
+        .B2(csr_mtvec_i[19]), .Y(n66) );
+  sky130_fd_sc_hd__a22oi_1 U94 ( .A1(n184), .A2(csr_depc_i[19]), .B1(n183), 
+        .B2(branch_target_ex_i[19]), .Y(n65) );
+  sky130_fd_sc_hd__nand2_1 U95 ( .A(n66), .B(n65), .Y(n142) );
+  sky130_fd_sc_hd__a22oi_1 U96 ( .A1(n182), .A2(csr_mepc_i[18]), .B1(n171), 
+        .B2(csr_mtvec_i[18]), .Y(n68) );
+  sky130_fd_sc_hd__clkbuf_1 U97 ( .A(n183), .X(n179) );
+  sky130_fd_sc_hd__a22oi_1 U98 ( .A1(n184), .A2(csr_depc_i[18]), .B1(n179), 
+        .B2(branch_target_ex_i[18]), .Y(n67) );
+  sky130_fd_sc_hd__nand2_1 U99 ( .A(n68), .B(n67), .Y(n141) );
+  sky130_fd_sc_hd__a22oi_1 U100 ( .A1(n182), .A2(csr_mepc_i[17]), .B1(n171), 
+        .B2(csr_mtvec_i[17]), .Y(n70) );
+  sky130_fd_sc_hd__a22oi_1 U101 ( .A1(n184), .A2(csr_depc_i[17]), .B1(n179), 
+        .B2(branch_target_ex_i[17]), .Y(n69) );
+  sky130_fd_sc_hd__nand2_1 U102 ( .A(n70), .B(n69), .Y(n140) );
+  sky130_fd_sc_hd__a22oi_1 U103 ( .A1(n182), .A2(csr_mepc_i[16]), .B1(n171), 
+        .B2(csr_mtvec_i[16]), .Y(n72) );
+  sky130_fd_sc_hd__a22oi_1 U104 ( .A1(n184), .A2(csr_depc_i[16]), .B1(n179), 
+        .B2(branch_target_ex_i[16]), .Y(n71) );
+  sky130_fd_sc_hd__nand2_1 U105 ( .A(n72), .B(n71), .Y(n139) );
+  sky130_fd_sc_hd__a22oi_1 U106 ( .A1(n182), .A2(csr_mepc_i[15]), .B1(n171), 
+        .B2(csr_mtvec_i[15]), .Y(n74) );
+  sky130_fd_sc_hd__a22oi_1 U107 ( .A1(n184), .A2(csr_depc_i[15]), .B1(n179), 
+        .B2(branch_target_ex_i[15]), .Y(n73) );
+  sky130_fd_sc_hd__nand2_1 U108 ( .A(n74), .B(n73), .Y(n138) );
+  sky130_fd_sc_hd__a22oi_1 U109 ( .A1(n182), .A2(csr_mepc_i[14]), .B1(n171), 
+        .B2(csr_mtvec_i[14]), .Y(n156) );
+  sky130_fd_sc_hd__a22oi_1 U110 ( .A1(n184), .A2(csr_depc_i[14]), .B1(n179), 
+        .B2(branch_target_ex_i[14]), .Y(n155) );
+  sky130_fd_sc_hd__nand2_1 U111 ( .A(n156), .B(n155), .Y(n137) );
+  sky130_fd_sc_hd__a22oi_1 U112 ( .A1(n182), .A2(csr_mepc_i[13]), .B1(n171), 
+        .B2(csr_mtvec_i[13]), .Y(n158) );
+  sky130_fd_sc_hd__a22oi_1 U113 ( .A1(n184), .A2(csr_depc_i[13]), .B1(n179), 
+        .B2(branch_target_ex_i[13]), .Y(n157) );
+  sky130_fd_sc_hd__nand2_1 U114 ( .A(n158), .B(n157), .Y(n136) );
+  sky130_fd_sc_hd__a22oi_1 U115 ( .A1(n182), .A2(csr_mepc_i[12]), .B1(n171), 
+        .B2(csr_mtvec_i[12]), .Y(n160) );
+  sky130_fd_sc_hd__a22oi_1 U116 ( .A1(n184), .A2(csr_depc_i[12]), .B1(n179), 
+        .B2(branch_target_ex_i[12]), .Y(n159) );
+  sky130_fd_sc_hd__nand2_1 U117 ( .A(n160), .B(n159), .Y(n135) );
+  sky130_fd_sc_hd__a22oi_1 U118 ( .A1(n182), .A2(csr_mepc_i[11]), .B1(n171), 
+        .B2(csr_mtvec_i[11]), .Y(n162) );
+  sky130_fd_sc_hd__a22oi_1 U119 ( .A1(n184), .A2(csr_depc_i[11]), .B1(n179), 
+        .B2(branch_target_ex_i[11]), .Y(n161) );
+  sky130_fd_sc_hd__nand2_1 U120 ( .A(n162), .B(n161), .Y(n134) );
+  sky130_fd_sc_hd__a22oi_1 U121 ( .A1(n182), .A2(csr_mepc_i[10]), .B1(n171), 
+        .B2(csr_mtvec_i[10]), .Y(n164) );
+  sky130_fd_sc_hd__a22oi_1 U122 ( .A1(n184), .A2(csr_depc_i[10]), .B1(n179), 
+        .B2(branch_target_ex_i[10]), .Y(n163) );
+  sky130_fd_sc_hd__nand2_1 U123 ( .A(n164), .B(n163), .Y(n133) );
+  sky130_fd_sc_hd__a22oi_1 U124 ( .A1(n182), .A2(csr_mepc_i[9]), .B1(n171), 
+        .B2(csr_mtvec_i[9]), .Y(n166) );
+  sky130_fd_sc_hd__a22oi_1 U125 ( .A1(n184), .A2(csr_depc_i[9]), .B1(n179), 
+        .B2(branch_target_ex_i[9]), .Y(n165) );
+  sky130_fd_sc_hd__nand2_1 U126 ( .A(n166), .B(n165), .Y(n132) );
+  sky130_fd_sc_hd__a22oi_1 U127 ( .A1(n182), .A2(csr_mepc_i[8]), .B1(n171), 
+        .B2(csr_mtvec_i[8]), .Y(n168) );
+  sky130_fd_sc_hd__a22oi_1 U128 ( .A1(n184), .A2(csr_depc_i[8]), .B1(n179), 
+        .B2(branch_target_ex_i[8]), .Y(n167) );
+  sky130_fd_sc_hd__nand2_1 U129 ( .A(n168), .B(n167), .Y(n131) );
+  sky130_fd_sc_hd__a222oi_1 U130 ( .A1(n184), .A2(csr_depc_i[7]), .B1(n179), 
+        .B2(branch_target_ex_i[7]), .C1(n182), .C2(csr_mepc_i[7]), .Y(n169) );
+  sky130_fd_sc_hd__clkinv_1 U131 ( .A(n169), .Y(n130) );
+  sky130_fd_sc_hd__a222oi_1 U132 ( .A1(n184), .A2(csr_depc_i[6]), .B1(n179), 
+        .B2(branch_target_ex_i[6]), .C1(n182), .C2(csr_mepc_i[6]), .Y(n170) );
+  sky130_fd_sc_hd__clkinv_1 U133 ( .A(n170), .Y(n129) );
+  sky130_fd_sc_hd__and2_0 U134 ( .A(exc_pc_mux_i[0]), .B(n171), .X(n178) );
+  sky130_fd_sc_hd__a22oi_1 U135 ( .A1(n182), .A2(csr_mepc_i[5]), .B1(n178), 
+        .B2(exc_cause[3]), .Y(n173) );
+  sky130_fd_sc_hd__a22oi_1 U136 ( .A1(n184), .A2(csr_depc_i[5]), .B1(n179), 
+        .B2(branch_target_ex_i[5]), .Y(n172) );
+  sky130_fd_sc_hd__nand2_1 U137 ( .A(n173), .B(n172), .Y(n128) );
+  sky130_fd_sc_hd__a22oi_1 U138 ( .A1(n182), .A2(csr_mepc_i[4]), .B1(n178), 
+        .B2(exc_cause[2]), .Y(n175) );
+  sky130_fd_sc_hd__a22oi_1 U139 ( .A1(n184), .A2(csr_depc_i[4]), .B1(n179), 
+        .B2(branch_target_ex_i[4]), .Y(n174) );
+  sky130_fd_sc_hd__nand2_1 U140 ( .A(n175), .B(n174), .Y(n127) );
+  sky130_fd_sc_hd__a22oi_1 U141 ( .A1(n182), .A2(csr_mepc_i[3]), .B1(n178), 
+        .B2(exc_cause[1]), .Y(n177) );
+  sky130_fd_sc_hd__a22oi_1 U142 ( .A1(n184), .A2(csr_depc_i[3]), .B1(n179), 
+        .B2(branch_target_ex_i[3]), .Y(n176) );
+  sky130_fd_sc_hd__nand2_1 U143 ( .A(n177), .B(n176), .Y(n126) );
+  sky130_fd_sc_hd__a22oi_1 U144 ( .A1(n182), .A2(csr_mepc_i[2]), .B1(n178), 
+        .B2(exc_cause[0]), .Y(n181) );
+  sky130_fd_sc_hd__a22oi_1 U145 ( .A1(n184), .A2(csr_depc_i[2]), .B1(n179), 
+        .B2(branch_target_ex_i[2]), .Y(n180) );
+  sky130_fd_sc_hd__nand2_1 U146 ( .A(n181), .B(n180), .Y(n125) );
+  sky130_fd_sc_hd__a222oi_1 U147 ( .A1(n184), .A2(csr_depc_i[1]), .B1(n183), 
+        .B2(branch_target_ex_i[1]), .C1(n182), .C2(csr_mepc_i[1]), .Y(n185) );
+  sky130_fd_sc_hd__clkinv_1 U148 ( .A(n185), .Y(n124) );
+  sky130_fd_sc_hd__a22o_1 U149 ( .A1(n27), .A2(pc_if_o[31]), .B1(n186), .B2(
+        pc_id_o[31]), .X(n123) );
+  sky130_fd_sc_hd__a22o_1 U150 ( .A1(n27), .A2(pc_if_o[30]), .B1(n186), .B2(
+        pc_id_o[30]), .X(n122) );
+  sky130_fd_sc_hd__a22o_1 U151 ( .A1(n27), .A2(pc_if_o[29]), .B1(n186), .B2(
+        pc_id_o[29]), .X(n121) );
+  sky130_fd_sc_hd__a22o_1 U152 ( .A1(n27), .A2(pc_if_o[28]), .B1(n186), .B2(
+        pc_id_o[28]), .X(n120) );
+  sky130_fd_sc_hd__a22o_1 U153 ( .A1(n27), .A2(pc_if_o[27]), .B1(n186), .B2(
+        pc_id_o[27]), .X(n119) );
+  sky130_fd_sc_hd__a22o_1 U154 ( .A1(n27), .A2(pc_if_o[26]), .B1(n186), .B2(
+        pc_id_o[26]), .X(n118) );
+  sky130_fd_sc_hd__a22o_1 U155 ( .A1(n27), .A2(pc_if_o[25]), .B1(n186), .B2(
+        pc_id_o[25]), .X(n117) );
+  sky130_fd_sc_hd__a22o_1 U156 ( .A1(n27), .A2(pc_if_o[24]), .B1(n186), .B2(
+        pc_id_o[24]), .X(n116) );
+  sky130_fd_sc_hd__a22o_1 U157 ( .A1(n27), .A2(pc_if_o[23]), .B1(n186), .B2(
+        pc_id_o[23]), .X(n115) );
+  sky130_fd_sc_hd__a22o_1 U158 ( .A1(n27), .A2(pc_if_o[22]), .B1(n186), .B2(
+        pc_id_o[22]), .X(n114) );
+  sky130_fd_sc_hd__a22o_1 U159 ( .A1(n27), .A2(pc_if_o[21]), .B1(n186), .B2(
+        pc_id_o[21]), .X(n113) );
+  sky130_fd_sc_hd__a22o_1 U160 ( .A1(n27), .A2(pc_if_o[20]), .B1(n186), .B2(
+        pc_id_o[20]), .X(n112) );
+  sky130_fd_sc_hd__a22o_1 U161 ( .A1(n27), .A2(pc_if_o[19]), .B1(n186), .B2(
+        pc_id_o[19]), .X(n111) );
+  sky130_fd_sc_hd__a22o_1 U162 ( .A1(n27), .A2(pc_if_o[18]), .B1(n186), .B2(
+        pc_id_o[18]), .X(n110) );
+  sky130_fd_sc_hd__a22o_1 U163 ( .A1(n27), .A2(pc_if_o[17]), .B1(n186), .B2(
+        pc_id_o[17]), .X(n109) );
+  sky130_fd_sc_hd__a22o_1 U164 ( .A1(n27), .A2(pc_if_o[16]), .B1(n186), .B2(
+        pc_id_o[16]), .X(n108) );
+  sky130_fd_sc_hd__a22o_1 U165 ( .A1(n27), .A2(pc_if_o[15]), .B1(n186), .B2(
+        pc_id_o[15]), .X(n107) );
+  sky130_fd_sc_hd__a22o_1 U166 ( .A1(n27), .A2(pc_if_o[14]), .B1(n186), .B2(
+        pc_id_o[14]), .X(n106) );
+  sky130_fd_sc_hd__a22o_1 U167 ( .A1(n27), .A2(pc_if_o[13]), .B1(n186), .B2(
+        pc_id_o[13]), .X(n105) );
+  sky130_fd_sc_hd__a22o_1 U168 ( .A1(n27), .A2(pc_if_o[12]), .B1(n186), .B2(
+        pc_id_o[12]), .X(n104) );
+  sky130_fd_sc_hd__a22o_1 U169 ( .A1(n27), .A2(pc_if_o[11]), .B1(n186), .B2(
+        pc_id_o[11]), .X(n103) );
+  sky130_fd_sc_hd__a22o_1 U170 ( .A1(n27), .A2(pc_if_o[10]), .B1(n186), .B2(
+        pc_id_o[10]), .X(n102) );
+  sky130_fd_sc_hd__a22o_1 U171 ( .A1(n27), .A2(pc_if_o[9]), .B1(n186), .B2(
+        pc_id_o[9]), .X(n101) );
+  sky130_fd_sc_hd__a22o_1 U172 ( .A1(n27), .A2(pc_if_o[8]), .B1(n186), .B2(
+        pc_id_o[8]), .X(n100) );
+  sky130_fd_sc_hd__a22o_1 U173 ( .A1(n27), .A2(pc_if_o[7]), .B1(n186), .B2(
+        pc_id_o[7]), .X(n99) );
+  sky130_fd_sc_hd__a22o_1 U174 ( .A1(n27), .A2(pc_if_o[6]), .B1(n186), .B2(
+        pc_id_o[6]), .X(n98) );
+  sky130_fd_sc_hd__a22o_1 U175 ( .A1(n27), .A2(pc_if_o[5]), .B1(n186), .B2(
+        pc_id_o[5]), .X(n97) );
+  sky130_fd_sc_hd__a22o_1 U176 ( .A1(n27), .A2(pc_if_o[4]), .B1(n186), .B2(
+        pc_id_o[4]), .X(n96) );
+  sky130_fd_sc_hd__a22o_1 U177 ( .A1(n27), .A2(pc_if_o[3]), .B1(n186), .B2(
+        pc_id_o[3]), .X(n95) );
+  sky130_fd_sc_hd__a22o_1 U178 ( .A1(n27), .A2(pc_if_o[2]), .B1(n186), .B2(
+        pc_id_o[2]), .X(n94) );
+  sky130_fd_sc_hd__a22o_1 U179 ( .A1(n27), .A2(pc_if_o[1]), .B1(n186), .B2(
+        pc_id_o[1]), .X(n93) );
+  sky130_fd_sc_hd__a22o_1 U180 ( .A1(n27), .A2(instr_err_out), .B1(n186), .B2(
+        instr_fetch_err_o), .X(n92) );
+  sky130_fd_sc_hd__a22o_1 U181 ( .A1(n27), .A2(fetch_err_plus2), .B1(n186), 
+        .B2(instr_fetch_err_plus2_o), .X(n91) );
+  sky130_fd_sc_hd__a22o_1 U182 ( .A1(n27), .A2(fetch_rdata[15]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[15]), .X(n90) );
+  sky130_fd_sc_hd__a22o_1 U183 ( .A1(n27), .A2(fetch_rdata[14]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[14]), .X(n89) );
+  sky130_fd_sc_hd__a22o_1 U184 ( .A1(n27), .A2(fetch_rdata[13]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[13]), .X(n88) );
+  sky130_fd_sc_hd__a22o_1 U185 ( .A1(n27), .A2(fetch_rdata[12]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[12]), .X(n87) );
+  sky130_fd_sc_hd__a22o_1 U186 ( .A1(n27), .A2(fetch_rdata[11]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[11]), .X(n86) );
+  sky130_fd_sc_hd__a22o_1 U187 ( .A1(n27), .A2(fetch_rdata[10]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[10]), .X(n85) );
+  sky130_fd_sc_hd__a22o_1 U188 ( .A1(n27), .A2(fetch_rdata[9]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[9]), .X(n84) );
+  sky130_fd_sc_hd__a22o_1 U189 ( .A1(n27), .A2(fetch_rdata[8]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[8]), .X(n83) );
+  sky130_fd_sc_hd__a22o_1 U190 ( .A1(n27), .A2(fetch_rdata[7]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[7]), .X(n82) );
+  sky130_fd_sc_hd__a22o_1 U191 ( .A1(n27), .A2(fetch_rdata[6]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[6]), .X(n81) );
+  sky130_fd_sc_hd__a22o_1 U192 ( .A1(n27), .A2(fetch_rdata[5]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[5]), .X(n80) );
+  sky130_fd_sc_hd__a22o_1 U193 ( .A1(n27), .A2(fetch_rdata[4]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[4]), .X(n79) );
+  sky130_fd_sc_hd__a22o_1 U194 ( .A1(n27), .A2(fetch_rdata[3]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[3]), .X(n78) );
+  sky130_fd_sc_hd__a22o_1 U195 ( .A1(n27), .A2(fetch_rdata[2]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[2]), .X(n77) );
+  sky130_fd_sc_hd__a22o_1 U196 ( .A1(n27), .A2(fetch_rdata[1]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[1]), .X(n76) );
+  sky130_fd_sc_hd__a22o_1 U197 ( .A1(n27), .A2(fetch_rdata[0]), .B1(n186), 
+        .B2(instr_rdata_c_id_o[0]), .X(n75) );
+endmodule
+
+
+module opentitan_soc_top_ibex_decoder_0_2_0_0_0 ( clk_i, rst_ni, 
+        illegal_insn_o, ebrk_insn_o, mret_insn_o, dret_insn_o, ecall_insn_o, 
+        wfi_insn_o, jump_set_o, branch_taken_i, icache_inval_o, 
+        instr_first_cycle_i, instr_rdata_i, instr_rdata_alu_i, 
+        illegal_c_insn_i, imm_a_mux_sel_o, imm_b_mux_sel_o, bt_a_mux_sel_o, 
+        bt_b_mux_sel_o, imm_i_type_o, imm_s_type_o, imm_b_type_o, imm_u_type_o, 
+        imm_j_type_o, zimm_rs1_type_o, rf_wdata_sel_o, rf_we_o, rf_raddr_a_o, 
+        rf_raddr_b_o, rf_waddr_o, rf_ren_a_o, rf_ren_b_o, alu_operator_o, 
+        alu_op_a_mux_sel_o, alu_op_b_mux_sel_o, alu_multicycle_o, mult_en_o, 
+        div_en_o, mult_sel_o, div_sel_o, multdiv_operator_o, 
+        multdiv_signed_mode_o, csr_access_o, csr_op_o, data_req_o, data_we_o, 
+        data_type_o, data_sign_extension_o, jump_in_dec_o, branch_in_dec_o );
+  input [31:0] instr_rdata_i;
+  input [31:0] instr_rdata_alu_i;
+  output [2:0] imm_b_mux_sel_o;
+  output [1:0] bt_a_mux_sel_o;
+  output [2:0] bt_b_mux_sel_o;
+  output [31:0] imm_i_type_o;
+  output [31:0] imm_s_type_o;
+  output [31:0] imm_b_type_o;
+  output [31:0] imm_u_type_o;
+  output [31:0] imm_j_type_o;
+  output [31:0] zimm_rs1_type_o;
+  output [4:0] rf_raddr_a_o;
+  output [4:0] rf_raddr_b_o;
+  output [4:0] rf_waddr_o;
+  output [5:0] alu_operator_o;
+  output [1:0] alu_op_a_mux_sel_o;
+  output [1:0] multdiv_operator_o;
+  output [1:0] multdiv_signed_mode_o;
+  output [1:0] csr_op_o;
+  output [1:0] data_type_o;
+  input clk_i, rst_ni, branch_taken_i, instr_first_cycle_i, illegal_c_insn_i;
+  output illegal_insn_o, ebrk_insn_o, mret_insn_o, dret_insn_o, ecall_insn_o,
+         wfi_insn_o, jump_set_o, icache_inval_o, imm_a_mux_sel_o,
+         rf_wdata_sel_o, rf_we_o, rf_ren_a_o, rf_ren_b_o, alu_op_b_mux_sel_o,
+         alu_multicycle_o, mult_en_o, div_en_o, mult_sel_o, div_sel_o,
+         csr_access_o, data_req_o, data_we_o, data_sign_extension_o,
+         jump_in_dec_o, branch_in_dec_o;
+  wire   icache_inval_o0, n2, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14,
+         n15, n16, n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28,
+         n29, n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42,
+         n43, n44, n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56,
+         n57, n58, n59, n60, n61, n62, n63, n64, n65, n66, n67, n68, n69, n70,
+         n71, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81, n82, n83, n84,
+         n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96, n97, n98,
+         n99, n100, n101, n102, n103, n104, n105, n106, n107, n108, n109, n110,
+         n111, n112, n113, n114, n115, n116, n117, n118, n119, n120, n121,
+         n122, n123, n124, n125, n126, n127, n128, n129, n130, n131, n132,
+         n133, n134, n135, n136, n137, n138, n139, n140, n141, n142, n143,
+         n144, n145, n146, n147, n148, n149, n150, n151, n152, n153, n154,
+         n155, n156, n157, n158, n159, n160, n161, n162, n163, n164, n165,
+         n166, n167, n168, n336;
+
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(n2), .Y(rf_raddr_a_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(instr_rdata_i[12]), .X(imm_u_type_o[12])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(instr_rdata_i[8]), .X(imm_b_type_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(instr_rdata_i[16]), .X(rf_raddr_a_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(instr_rdata_i[30]), .X(imm_b_type_o[10])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(instr_rdata_i[20]), .X(imm_j_type_o[11])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(instr_rdata_i[9]), .X(imm_b_type_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(instr_rdata_i[25]), .X(imm_b_type_o[5])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(instr_rdata_i[28]), .X(imm_b_type_o[8])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(instr_rdata_i[15]), .X(imm_j_type_o[15])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(instr_rdata_i[19]), .X(imm_u_type_o[19])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(imm_j_type_o[20]), .X(imm_i_type_o[22])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(imm_j_type_o[21]), .X(imm_j_type_o[24])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(instr_rdata_i[31]), .X(imm_j_type_o[20])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(imm_s_type_o[11]), .X(imm_b_type_o[28])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(imm_j_type_o[20]), .X(imm_i_type_o[31])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(imm_i_type_o[12]), .X(imm_i_type_o[16])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(imm_j_type_o[20]), .X(imm_i_type_o[27])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(instr_rdata_i[31]), .X(imm_j_type_o[21])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(imm_j_type_o[21]), .X(imm_j_type_o[23])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(imm_j_type_o[21]), .X(imm_j_type_o[25])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(imm_j_type_o[21]), .X(imm_j_type_o[26])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(imm_j_type_o[21]), .X(imm_j_type_o[27])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(imm_j_type_o[21]), .X(imm_j_type_o[29])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(imm_j_type_o[21]), .X(imm_j_type_o[30])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(imm_j_type_o[21]), .X(imm_j_type_o[31])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(imm_j_type_o[21]), .X(imm_j_type_o[22])
+         );
+  sky130_fd_sc_hd__clkinv_1 U30 ( .A(instr_rdata_i[14]), .Y(n139) );
+  sky130_fd_sc_hd__clkinv_1 U31 ( .A(n139), .Y(imm_u_type_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(imm_u_type_o[14]), .X(imm_j_type_o[14])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(instr_rdata_i[31]), .X(imm_b_type_o[12])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(imm_b_type_o[12]), .X(imm_b_type_o[13])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(imm_b_type_o[12]), .X(imm_b_type_o[24])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(imm_b_type_o[12]), .X(imm_b_type_o[15])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(imm_b_type_o[12]), .X(imm_b_type_o[22])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(imm_b_type_o[12]), .X(imm_b_type_o[19])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(imm_b_type_o[12]), .X(imm_b_type_o[21])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(imm_b_type_o[12]), .X(imm_b_type_o[17])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(imm_b_type_o[12]), .X(imm_b_type_o[23])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(imm_b_type_o[12]), .X(imm_b_type_o[14])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(imm_b_type_o[12]), .X(imm_b_type_o[25])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(imm_b_type_o[12]), .X(imm_b_type_o[16])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U45 ( .A(imm_b_type_o[12]), .X(imm_b_type_o[31])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(instr_rdata_i[31]), .X(imm_s_type_o[11])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U47 ( .A(imm_s_type_o[11]), .X(imm_s_type_o[18])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U48 ( .A(imm_s_type_o[11]), .X(imm_b_type_o[26])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U49 ( .A(imm_s_type_o[11]), .X(imm_s_type_o[21])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U50 ( .A(imm_s_type_o[11]), .X(imm_s_type_o[14])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U51 ( .A(imm_s_type_o[11]), .X(imm_s_type_o[25])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U52 ( .A(imm_s_type_o[11]), .X(imm_b_type_o[27])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U53 ( .A(imm_s_type_o[11]), .X(imm_s_type_o[12])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U54 ( .A(imm_s_type_o[11]), .X(imm_b_type_o[20])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U55 ( .A(imm_s_type_o[11]), .X(imm_b_type_o[29])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U56 ( .A(imm_s_type_o[11]), .X(imm_b_type_o[18])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U57 ( .A(imm_s_type_o[11]), .X(imm_s_type_o[20])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U58 ( .A(instr_rdata_i[31]), .X(imm_i_type_o[11])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U59 ( .A(imm_i_type_o[11]), .X(imm_s_type_o[19])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U60 ( .A(imm_i_type_o[11]), .X(imm_s_type_o[30])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U61 ( .A(imm_i_type_o[11]), .X(imm_s_type_o[17])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U62 ( .A(imm_i_type_o[11]), .X(imm_s_type_o[28])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U63 ( .A(imm_i_type_o[11]), .X(imm_s_type_o[15])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U64 ( .A(imm_i_type_o[11]), .X(imm_s_type_o[31])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U65 ( .A(imm_i_type_o[11]), .X(imm_s_type_o[13])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U66 ( .A(imm_i_type_o[11]), .X(imm_s_type_o[24])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U67 ( .A(imm_i_type_o[11]), .X(imm_s_type_o[16])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U68 ( .A(imm_i_type_o[11]), .X(imm_s_type_o[22])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U69 ( .A(imm_i_type_o[11]), .X(imm_b_type_o[30])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U70 ( .A(imm_i_type_o[11]), .X(imm_s_type_o[26])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U71 ( .A(instr_rdata_i[31]), .X(imm_i_type_o[12])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U72 ( .A(imm_i_type_o[12]), .X(imm_i_type_o[13])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U73 ( .A(imm_i_type_o[12]), .X(imm_j_type_o[28])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U74 ( .A(imm_i_type_o[12]), .X(imm_i_type_o[15])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U75 ( .A(imm_i_type_o[12]), .X(imm_i_type_o[14])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U76 ( .A(imm_i_type_o[12]), .X(imm_i_type_o[17])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U77 ( .A(imm_i_type_o[12]), .X(imm_i_type_o[18])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U78 ( .A(imm_i_type_o[12]), .X(imm_i_type_o[19])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U79 ( .A(imm_i_type_o[12]), .X(imm_i_type_o[26])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U80 ( .A(imm_i_type_o[12]), .X(imm_i_type_o[24])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U81 ( .A(imm_i_type_o[12]), .X(imm_i_type_o[20])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U82 ( .A(imm_i_type_o[12]), .X(imm_u_type_o[31])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U83 ( .A(imm_j_type_o[20]), .X(imm_s_type_o[27])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U84 ( .A(imm_j_type_o[20]), .X(imm_s_type_o[29])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U85 ( .A(imm_j_type_o[20]), .X(imm_i_type_o[25])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U86 ( .A(imm_j_type_o[20]), .X(imm_i_type_o[23])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U87 ( .A(imm_j_type_o[20]), .X(imm_i_type_o[21])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U88 ( .A(imm_j_type_o[20]), .X(imm_i_type_o[30])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U89 ( .A(imm_j_type_o[20]), .X(imm_i_type_o[29])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U90 ( .A(imm_j_type_o[20]), .X(imm_i_type_o[28])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U91 ( .A(imm_j_type_o[20]), .X(imm_s_type_o[23])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U92 ( .A(instr_rdata_i[7]), .X(imm_b_type_o[11])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U93 ( .A(instr_rdata_i[7]), .X(imm_s_type_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U94 ( .A(instr_rdata_i[7]), .X(rf_waddr_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U95 ( .A(instr_rdata_i[8]), .X(imm_s_type_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U96 ( .A(instr_rdata_i[8]), .X(rf_waddr_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U97 ( .A(instr_rdata_i[9]), .X(imm_s_type_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U98 ( .A(instr_rdata_i[9]), .X(rf_waddr_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U99 ( .A(instr_rdata_i[10]), .X(imm_b_type_o[3])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U100 ( .A(instr_rdata_i[10]), .X(imm_s_type_o[3])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U101 ( .A(instr_rdata_i[10]), .X(rf_waddr_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U102 ( .A(instr_rdata_i[11]), .X(imm_b_type_o[4])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U103 ( .A(instr_rdata_i[11]), .X(imm_s_type_o[4])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U104 ( .A(instr_rdata_i[11]), .X(rf_waddr_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U105 ( .A(instr_rdata_i[12]), .X(imm_j_type_o[12])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U106 ( .A(instr_rdata_i[13]), .X(imm_u_type_o[13])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U107 ( .A(instr_rdata_i[13]), .X(imm_j_type_o[13])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U108 ( .A(instr_rdata_i[25]), .X(imm_u_type_o[25])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U109 ( .A(instr_rdata_i[25]), .X(imm_s_type_o[5])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U110 ( .A(instr_rdata_i[25]), .X(imm_i_type_o[5])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U111 ( .A(instr_rdata_i[25]), .X(imm_j_type_o[5])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U112 ( .A(instr_rdata_i[26]), .X(imm_u_type_o[26])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U113 ( .A(instr_rdata_i[26]), .X(imm_b_type_o[6])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U114 ( .A(instr_rdata_i[26]), .X(imm_s_type_o[6])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U115 ( .A(instr_rdata_i[26]), .X(imm_i_type_o[6])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U116 ( .A(instr_rdata_i[26]), .X(imm_j_type_o[6])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U117 ( .A(instr_rdata_i[27]), .X(imm_u_type_o[27])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U118 ( .A(instr_rdata_i[27]), .X(imm_b_type_o[7])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U119 ( .A(instr_rdata_i[27]), .X(imm_s_type_o[7])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U120 ( .A(instr_rdata_i[27]), .X(imm_i_type_o[7])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U121 ( .A(instr_rdata_i[27]), .X(imm_j_type_o[7])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U122 ( .A(instr_rdata_i[28]), .X(imm_u_type_o[28])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U123 ( .A(instr_rdata_i[28]), .X(imm_s_type_o[8])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U124 ( .A(instr_rdata_i[28]), .X(imm_i_type_o[8])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U125 ( .A(instr_rdata_i[28]), .X(imm_j_type_o[8])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U126 ( .A(instr_rdata_i[29]), .X(imm_u_type_o[29])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U127 ( .A(instr_rdata_i[29]), .X(imm_b_type_o[9])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U128 ( .A(instr_rdata_i[29]), .X(imm_s_type_o[9])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U129 ( .A(instr_rdata_i[29]), .X(imm_i_type_o[9])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U130 ( .A(instr_rdata_i[29]), .X(imm_j_type_o[9])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U131 ( .A(instr_rdata_i[30]), .X(imm_u_type_o[30])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U132 ( .A(instr_rdata_i[30]), .X(imm_s_type_o[10])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U133 ( .A(instr_rdata_i[30]), .X(imm_i_type_o[10])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U134 ( .A(instr_rdata_i[30]), .X(imm_j_type_o[10])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U135 ( .A(instr_rdata_i[20]), .X(imm_u_type_o[20])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U136 ( .A(instr_rdata_i[20]), .X(imm_i_type_o[0])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U137 ( .A(instr_rdata_i[20]), .X(rf_raddr_b_o[0])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U138 ( .A(instr_rdata_i[21]), .X(imm_j_type_o[1])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U139 ( .A(instr_rdata_i[21]), .X(imm_u_type_o[21])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U140 ( .A(instr_rdata_i[21]), .X(imm_i_type_o[1])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U141 ( .A(instr_rdata_i[21]), .X(rf_raddr_b_o[1])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U142 ( .A(instr_rdata_i[22]), .X(imm_j_type_o[2])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U143 ( .A(instr_rdata_i[22]), .X(imm_u_type_o[22])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U144 ( .A(instr_rdata_i[22]), .X(imm_i_type_o[2])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U145 ( .A(instr_rdata_i[22]), .X(rf_raddr_b_o[2])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U146 ( .A(instr_rdata_i[23]), .X(imm_j_type_o[3])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U147 ( .A(instr_rdata_i[23]), .X(imm_u_type_o[23])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U148 ( .A(instr_rdata_i[23]), .X(imm_i_type_o[3])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U149 ( .A(instr_rdata_i[23]), .X(rf_raddr_b_o[3])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U150 ( .A(instr_rdata_i[24]), .X(imm_j_type_o[4])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U151 ( .A(instr_rdata_i[24]), .X(imm_u_type_o[24])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U152 ( .A(instr_rdata_i[24]), .X(imm_i_type_o[4])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U153 ( .A(instr_rdata_i[24]), .X(rf_raddr_b_o[4])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U154 ( .A(instr_rdata_i[15]), .X(
+        zimm_rs1_type_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U155 ( .A(instr_rdata_i[15]), .X(imm_u_type_o[15])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U156 ( .A(instr_rdata_i[15]), .X(rf_raddr_a_o[0])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U157 ( .A(instr_rdata_i[16]), .X(
+        zimm_rs1_type_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U158 ( .A(instr_rdata_i[16]), .X(imm_j_type_o[16])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U159 ( .A(instr_rdata_i[16]), .X(imm_u_type_o[16])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U160 ( .A(instr_rdata_i[17]), .X(
+        zimm_rs1_type_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U161 ( .A(instr_rdata_i[17]), .X(imm_j_type_o[17])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U162 ( .A(instr_rdata_i[17]), .X(imm_u_type_o[17])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U163 ( .A(instr_rdata_i[17]), .X(rf_raddr_a_o[2])
+         );
+  sky130_fd_sc_hd__clkinv_1 U164 ( .A(instr_rdata_i[18]), .Y(n2) );
+  sky130_fd_sc_hd__clkinv_1 U165 ( .A(n2), .Y(zimm_rs1_type_o[3]) );
+  sky130_fd_sc_hd__clkinv_1 U166 ( .A(n2), .Y(imm_j_type_o[18]) );
+  sky130_fd_sc_hd__clkinv_1 U167 ( .A(n2), .Y(imm_u_type_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U168 ( .A(instr_rdata_i[19]), .X(
+        zimm_rs1_type_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U169 ( .A(instr_rdata_i[19]), .X(imm_j_type_o[19])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U170 ( .A(instr_rdata_i[19]), .X(rf_raddr_a_o[4])
+         );
+  sky130_fd_sc_hd__clkinv_1 U171 ( .A(instr_rdata_alu_i[14]), .Y(n146) );
+  sky130_fd_sc_hd__or4_1 U172 ( .A(instr_rdata_alu_i[30]), .B(
+        instr_rdata_alu_i[29]), .C(instr_rdata_alu_i[31]), .D(
+        instr_rdata_alu_i[28]), .X(n73) );
+  sky130_fd_sc_hd__clkinv_1 U173 ( .A(instr_rdata_alu_i[4]), .Y(n24) );
+  sky130_fd_sc_hd__nor4_1 U175 ( .A(instr_rdata_alu_i[3]), .B(
+        instr_rdata_alu_i[6]), .C(n24), .D(n88), .Y(n62) );
+  sky130_fd_sc_hd__clkinv_1 U176 ( .A(instr_rdata_alu_i[2]), .Y(n25) );
+  sky130_fd_sc_hd__nand3_1 U177 ( .A(instr_rdata_alu_i[5]), .B(n62), .C(n25), 
+        .Y(n20) );
+  sky130_fd_sc_hd__nor2_1 U178 ( .A(n73), .B(n20), .Y(n5) );
+  sky130_fd_sc_hd__nor2_1 U179 ( .A(instr_rdata_alu_i[26]), .B(
+        instr_rdata_alu_i[27]), .Y(n12) );
+  sky130_fd_sc_hd__nand3_1 U180 ( .A(n5), .B(n12), .C(instr_rdata_alu_i[25]), 
+        .Y(n152) );
+  sky130_fd_sc_hd__nor2_1 U181 ( .A(n146), .B(n152), .Y(div_sel_o) );
+  sky130_fd_sc_hd__clkinv_1 U182 ( .A(instr_rdata_alu_i[13]), .Y(n14) );
+  sky130_fd_sc_hd__nand2_1 U183 ( .A(instr_rdata_alu_i[12]), .B(n14), .Y(n108)
+         );
+  sky130_fd_sc_hd__clkinv_1 U184 ( .A(instr_rdata_alu_i[6]), .Y(n66) );
+  sky130_fd_sc_hd__nor2_1 U187 ( .A(n66), .B(n150), .Y(n71) );
+  sky130_fd_sc_hd__nand2_1 U188 ( .A(instr_first_cycle_i), .B(n71), .Y(n103)
+         );
+  sky130_fd_sc_hd__clkinv_1 U189 ( .A(instr_rdata_alu_i[5]), .Y(n89) );
+  sky130_fd_sc_hd__nand3_1 U190 ( .A(n62), .B(n25), .C(n89), .Y(n106) );
+  sky130_fd_sc_hd__nand2_1 U191 ( .A(n103), .B(n106), .Y(n9) );
+  sky130_fd_sc_hd__nor4_1 U192 ( .A(instr_rdata_alu_i[29]), .B(
+        instr_rdata_alu_i[31]), .C(instr_rdata_alu_i[28]), .D(
+        instr_rdata_alu_i[27]), .Y(n22) );
+  sky130_fd_sc_hd__clkinv_1 U193 ( .A(n22), .Y(n7) );
+  sky130_fd_sc_hd__clkinv_1 U194 ( .A(n106), .Y(n76) );
+  sky130_fd_sc_hd__nand2_1 U195 ( .A(instr_rdata_alu_i[14]), .B(n76), .Y(n75)
+         );
+  sky130_fd_sc_hd__nand3_1 U196 ( .A(instr_rdata_alu_i[14]), .B(
+        instr_rdata_alu_i[30]), .C(n22), .Y(n4) );
+  sky130_fd_sc_hd__nor3_1 U197 ( .A(instr_rdata_alu_i[26]), .B(
+        instr_rdata_alu_i[25]), .C(n4), .Y(n19) );
+  sky130_fd_sc_hd__clkinv_1 U198 ( .A(n20), .Y(n145) );
+  sky130_fd_sc_hd__clkinv_1 U199 ( .A(instr_rdata_alu_i[25]), .Y(n11) );
+  sky130_fd_sc_hd__and3_1 U200 ( .A(n12), .B(n5), .C(n11), .X(n85) );
+  sky130_fd_sc_hd__a21oi_1 U201 ( .A1(n19), .A2(n145), .B1(n85), .Y(n6) );
+  sky130_fd_sc_hd__o21ai_1 U202 ( .A1(n7), .A2(n75), .B1(n6), .Y(n8) );
+  sky130_fd_sc_hd__a21oi_1 U203 ( .A1(n146), .A2(n9), .B1(n8), .Y(n10) );
+  sky130_fd_sc_hd__nor2_1 U204 ( .A(n108), .B(n10), .Y(alu_operator_o[3]) );
+  sky130_fd_sc_hd__nand2_1 U205 ( .A(n12), .B(n11), .Y(n13) );
+  sky130_fd_sc_hd__nor2_1 U206 ( .A(instr_rdata_alu_i[14]), .B(n13), .Y(n15)
+         );
+  sky130_fd_sc_hd__nand2_1 U207 ( .A(n145), .B(n15), .Y(n107) );
+  sky130_fd_sc_hd__or2_0 U208 ( .A(instr_rdata_alu_i[12]), .B(n14), .X(n79) );
+  sky130_fd_sc_hd__nand2_1 U209 ( .A(instr_rdata_alu_i[13]), .B(n146), .Y(n34)
+         );
+  sky130_fd_sc_hd__clkinv_1 U210 ( .A(n34), .Y(n97) );
+  sky130_fd_sc_hd__nor3_1 U211 ( .A(n22), .B(n108), .C(n75), .Y(n32) );
+  sky130_fd_sc_hd__nand2_1 U212 ( .A(instr_rdata_alu_i[13]), .B(
+        instr_rdata_alu_i[12]), .Y(n104) );
+  sky130_fd_sc_hd__clkinv_1 U213 ( .A(n15), .Y(n17) );
+  sky130_fd_sc_hd__nor2_1 U214 ( .A(instr_rdata_alu_i[13]), .B(
+        instr_rdata_alu_i[12]), .Y(n147) );
+  sky130_fd_sc_hd__clkinv_1 U215 ( .A(n147), .Y(n84) );
+  sky130_fd_sc_hd__a31oi_1 U216 ( .A1(instr_rdata_alu_i[30]), .A2(n146), .A3(
+        n84), .B1(instr_rdata_alu_i[26]), .Y(n16) );
+  sky130_fd_sc_hd__o21ai_1 U217 ( .A1(n104), .A2(n17), .B1(n16), .Y(n18) );
+  sky130_fd_sc_hd__a21oi_1 U218 ( .A1(n19), .A2(n108), .B1(n18), .Y(n23) );
+  sky130_fd_sc_hd__nand2_1 U219 ( .A(instr_rdata_alu_i[25]), .B(
+        instr_rdata_alu_i[30]), .Y(n21) );
+  sky130_fd_sc_hd__a31oi_1 U220 ( .A1(n23), .A2(n22), .A3(n21), .B1(n20), .Y(
+        n31) );
+  sky130_fd_sc_hd__nor2_1 U221 ( .A(n24), .B(n66), .Y(n93) );
+  sky130_fd_sc_hd__a21oi_1 U222 ( .A1(instr_rdata_alu_i[6]), .A2(n89), .B1(n88), .Y(n30) );
+  sky130_fd_sc_hd__a21oi_1 U223 ( .A1(instr_rdata_alu_i[3]), .A2(n89), .B1(
+        instr_rdata_alu_i[6]), .Y(n27) );
+  sky130_fd_sc_hd__nand2_1 U224 ( .A(instr_rdata_alu_i[2]), .B(n24), .Y(n65)
+         );
+  sky130_fd_sc_hd__a21oi_1 U225 ( .A1(instr_rdata_alu_i[4]), .A2(n66), .B1(n25), .Y(n26) );
+  sky130_fd_sc_hd__o22ai_1 U226 ( .A1(n27), .A2(n65), .B1(instr_rdata_alu_i[3]), .B2(n26), .Y(n91) );
+  sky130_fd_sc_hd__nand2_1 U227 ( .A(instr_rdata_alu_i[3]), .B(n89), .Y(n28)
+         );
+  sky130_fd_sc_hd__nor4_1 U228 ( .A(instr_rdata_alu_i[6]), .B(n88), .C(n28), 
+        .D(n65), .Y(n68) );
+  sky130_fd_sc_hd__o21ai_1 U229 ( .A1(instr_rdata_alu_i[14]), .A2(
+        instr_rdata_alu_i[13]), .B1(n68), .Y(n29) );
+  sky130_fd_sc_hd__nand3_1 U230 ( .A(n30), .B(n91), .C(n29), .Y(n63) );
+  sky130_fd_sc_hd__nor4_1 U231 ( .A(n32), .B(n31), .C(n93), .D(n63), .Y(n33)
+         );
+  sky130_fd_sc_hd__o21ai_1 U232 ( .A1(n34), .A2(n103), .B1(n33), .Y(n110) );
+  sky130_fd_sc_hd__a21oi_1 U233 ( .A1(n97), .A2(n76), .B1(n110), .Y(n35) );
+  sky130_fd_sc_hd__o21ai_1 U234 ( .A1(n107), .A2(n79), .B1(n35), .Y(
+        alu_operator_o[5]) );
+  sky130_fd_sc_hd__clkinv_1 U235 ( .A(instr_rdata_i[3]), .Y(n140) );
+  sky130_fd_sc_hd__nor4_1 U236 ( .A(instr_rdata_i[4]), .B(imm_u_type_o[14]), 
+        .C(instr_rdata_i[5]), .D(n140), .Y(n154) );
+  sky130_fd_sc_hd__clkinv_1 U237 ( .A(instr_rdata_i[13]), .Y(n133) );
+  sky130_fd_sc_hd__nand3_1 U238 ( .A(instr_rdata_i[2]), .B(n154), .C(n133), 
+        .Y(n43) );
+  sky130_fd_sc_hd__nor2_1 U239 ( .A(instr_rdata_i[12]), .B(imm_u_type_o[14]), 
+        .Y(n122) );
+  sky130_fd_sc_hd__clkinv_1 U240 ( .A(instr_rdata_i[2]), .Y(n157) );
+  sky130_fd_sc_hd__o21ai_1 U241 ( .A1(n122), .A2(n133), .B1(n157), .Y(n36) );
+  sky130_fd_sc_hd__a22oi_1 U242 ( .A1(imm_u_type_o[14]), .A2(instr_rdata_i[5]), 
+        .B1(n43), .B2(n36), .Y(n41) );
+  sky130_fd_sc_hd__clkinv_1 U243 ( .A(instr_rdata_i[4]), .Y(n166) );
+  sky130_fd_sc_hd__clkinv_1 U244 ( .A(instr_rdata_i[12]), .Y(n95) );
+  sky130_fd_sc_hd__nor2_1 U245 ( .A(instr_rdata_i[13]), .B(n95), .Y(n155) );
+  sky130_fd_sc_hd__nor2_1 U246 ( .A(instr_rdata_i[27]), .B(instr_rdata_i[29]), 
+        .Y(n119) );
+  sky130_fd_sc_hd__or2_0 U247 ( .A(imm_j_type_o[21]), .B(instr_rdata_i[26]), 
+        .X(n101) );
+  sky130_fd_sc_hd__nor2_1 U248 ( .A(instr_rdata_i[28]), .B(n101), .Y(n118) );
+  sky130_fd_sc_hd__clkinv_1 U249 ( .A(instr_rdata_i[5]), .Y(n136) );
+  sky130_fd_sc_hd__nand2_1 U250 ( .A(n133), .B(n122), .Y(n100) );
+  sky130_fd_sc_hd__clkinv_1 U251 ( .A(n100), .Y(n137) );
+  sky130_fd_sc_hd__clkinv_1 U252 ( .A(instr_rdata_i[30]), .Y(n117) );
+  sky130_fd_sc_hd__a211oi_1 U253 ( .A1(n155), .A2(imm_u_type_o[14]), .B1(n137), 
+        .C1(n117), .Y(n37) );
+  sky130_fd_sc_hd__o22ai_1 U254 ( .A1(instr_rdata_i[30]), .A2(n136), .B1(
+        instr_rdata_i[25]), .B2(n37), .Y(n38) );
+  sky130_fd_sc_hd__a31oi_1 U255 ( .A1(n119), .A2(n118), .A3(n38), .B1(
+        instr_rdata_i[2]), .Y(n39) );
+  sky130_fd_sc_hd__o21ai_1 U256 ( .A1(n155), .A2(instr_rdata_i[5]), .B1(n39), 
+        .Y(n40) );
+  sky130_fd_sc_hd__o22ai_1 U257 ( .A1(instr_rdata_i[4]), .A2(n41), .B1(n166), 
+        .B2(n40), .Y(n42) );
+  sky130_fd_sc_hd__a21oi_1 U258 ( .A1(instr_rdata_i[3]), .A2(n43), .B1(n42), 
+        .Y(n60) );
+  sky130_fd_sc_hd__nor3_1 U259 ( .A(instr_rdata_i[4]), .B(imm_u_type_o[14]), 
+        .C(n133), .Y(n45) );
+  sky130_fd_sc_hd__o21ai_1 U260 ( .A1(n122), .A2(n157), .B1(n140), .Y(n44) );
+  sky130_fd_sc_hd__o22ai_1 U261 ( .A1(n140), .A2(n157), .B1(n45), .B2(n44), 
+        .Y(n58) );
+  sky130_fd_sc_hd__nor4_1 U262 ( .A(instr_rdata_i[11]), .B(instr_rdata_i[7]), 
+        .C(instr_rdata_i[10]), .D(instr_rdata_i[23]), .Y(n55) );
+  sky130_fd_sc_hd__nor4_1 U263 ( .A(imm_u_type_o[14]), .B(instr_rdata_i[8]), 
+        .C(instr_rdata_i[9]), .D(n101), .Y(n54) );
+  sky130_fd_sc_hd__nor4_1 U264 ( .A(instr_rdata_i[25]), .B(instr_rdata_i[30]), 
+        .C(instr_rdata_i[27]), .D(instr_rdata_i[24]), .Y(n49) );
+  sky130_fd_sc_hd__clkinv_1 U265 ( .A(n49), .Y(n130) );
+  sky130_fd_sc_hd__nor2_1 U266 ( .A(instr_rdata_i[29]), .B(n130), .Y(n47) );
+  sky130_fd_sc_hd__clkinv_1 U267 ( .A(instr_rdata_i[20]), .Y(n124) );
+  sky130_fd_sc_hd__nor2_1 U268 ( .A(instr_rdata_i[21]), .B(n124), .Y(n46) );
+  sky130_fd_sc_hd__nand4_1 U269 ( .A(instr_rdata_i[28]), .B(n47), .C(
+        instr_rdata_i[22]), .D(n46), .Y(n125) );
+  sky130_fd_sc_hd__nor4b_1 U270 ( .D_N(n47), .A(instr_rdata_i[28]), .B(
+        instr_rdata_i[21]), .C(instr_rdata_i[22]), .Y(n102) );
+  sky130_fd_sc_hd__clkinv_1 U271 ( .A(n102), .Y(n52) );
+  sky130_fd_sc_hd__and4_1 U272 ( .A(instr_rdata_i[25]), .B(instr_rdata_i[30]), 
+        .C(instr_rdata_i[27]), .D(instr_rdata_i[24]), .X(n126) );
+  sky130_fd_sc_hd__nand3_1 U273 ( .A(instr_rdata_i[28]), .B(instr_rdata_i[29]), 
+        .C(instr_rdata_i[21]), .Y(n48) );
+  sky130_fd_sc_hd__nor3_1 U274 ( .A(instr_rdata_i[22]), .B(instr_rdata_i[20]), 
+        .C(n48), .Y(n128) );
+  sky130_fd_sc_hd__o21ai_1 U275 ( .A1(n49), .A2(n126), .B1(n128), .Y(n51) );
+  sky130_fd_sc_hd__nor4_1 U276 ( .A(instr_rdata_i[15]), .B(instr_rdata_i[18]), 
+        .C(instr_rdata_i[17]), .D(instr_rdata_i[19]), .Y(n50) );
+  sky130_fd_sc_hd__nand2b_1 U277 ( .A_N(instr_rdata_i[16]), .B(n50), .Y(n94)
+         );
+  sky130_fd_sc_hd__a31oi_1 U278 ( .A1(n125), .A2(n52), .A3(n51), .B1(n94), .Y(
+        n53) );
+  sky130_fd_sc_hd__nand2_1 U279 ( .A(n95), .B(n133), .Y(n98) );
+  sky130_fd_sc_hd__a31oi_1 U280 ( .A1(n55), .A2(n54), .A3(n53), .B1(n98), .Y(
+        n56) );
+  sky130_fd_sc_hd__o21ai_1 U281 ( .A1(instr_rdata_i[2]), .A2(n56), .B1(
+        instr_rdata_i[4]), .Y(n57) );
+  sky130_fd_sc_hd__clkinv_1 U282 ( .A(instr_rdata_i[6]), .Y(n164) );
+  sky130_fd_sc_hd__a31oi_1 U283 ( .A1(n58), .A2(instr_rdata_i[5]), .A3(n57), 
+        .B1(n164), .Y(n59) );
+  sky130_fd_sc_hd__nand2_1 U284 ( .A(instr_rdata_i[1]), .B(instr_rdata_i[0]), 
+        .Y(n156) );
+  sky130_fd_sc_hd__nor3_1 U285 ( .A(n59), .B(illegal_c_insn_i), .C(n156), .Y(
+        n153) );
+  sky130_fd_sc_hd__o21ai_1 U286 ( .A1(instr_rdata_i[6]), .A2(n60), .B1(n153), 
+        .Y(illegal_insn_o) );
+  sky130_fd_sc_hd__nand4_1 U287 ( .A(n157), .B(n164), .C(n166), .D(n140), .Y(
+        n162) );
+  sky130_fd_sc_hd__nor3_1 U288 ( .A(n136), .B(illegal_insn_o), .C(n162), .Y(
+        data_we_o) );
+  sky130_fd_sc_hd__clkinv_1 U289 ( .A(n156), .Y(n141) );
+  sky130_fd_sc_hd__nand4_1 U290 ( .A(n157), .B(n140), .C(instr_rdata_i[5]), 
+        .D(n141), .Y(n135) );
+  sky130_fd_sc_hd__or3_1 U291 ( .A(n164), .B(n166), .C(n135), .X(n99) );
+  sky130_fd_sc_hd__nor3b_1 U292 ( .C_N(n94), .A(n133), .B(n99), .Y(n61) );
+  sky130_fd_sc_hd__clkbuf_1 U293 ( .A(n61), .X(csr_op_o[1]) );
+  sky130_fd_sc_hd__nor2_1 U294 ( .A(n137), .B(n99), .Y(rf_wdata_sel_o) );
+  sky130_fd_sc_hd__and2_0 U295 ( .A(n153), .B(rf_wdata_sel_o), .X(csr_access_o) );
+  sky130_fd_sc_hd__nand2_1 U296 ( .A(instr_rdata_alu_i[2]), .B(n62), .Y(n148)
+         );
+  sky130_fd_sc_hd__a21oi_1 U297 ( .A1(instr_rdata_alu_i[14]), .A2(n93), .B1(
+        n63), .Y(n64) );
+  sky130_fd_sc_hd__o21ai_1 U298 ( .A1(n89), .A2(n148), .B1(n64), .Y(
+        alu_op_a_mux_sel_o[0]) );
+  sky130_fd_sc_hd__clkinv_1 U299 ( .A(instr_rdata_alu_i[3]), .Y(n70) );
+  sky130_fd_sc_hd__nor4_1 U300 ( .A(n89), .B(n88), .C(n66), .D(n65), .Y(n67)
+         );
+  sky130_fd_sc_hd__clkinv_1 U301 ( .A(n67), .Y(n90) );
+  sky130_fd_sc_hd__nor2_1 U302 ( .A(instr_rdata_alu_i[14]), .B(n108), .Y(n69)
+         );
+  sky130_fd_sc_hd__clkinv_1 U303 ( .A(instr_first_cycle_i), .Y(n158) );
+  sky130_fd_sc_hd__a22oi_1 U304 ( .A1(n69), .A2(n68), .B1(n67), .B2(n158), .Y(
+        n115) );
+  sky130_fd_sc_hd__o21ai_1 U305 ( .A1(n70), .A2(n90), .B1(n115), .Y(
+        imm_b_mux_sel_o[2]) );
+  sky130_fd_sc_hd__clkinv_1 U306 ( .A(n71), .Y(n72) );
+  sky130_fd_sc_hd__o21ai_1 U307 ( .A1(instr_first_cycle_i), .A2(n72), .B1(n148), .Y(imm_b_mux_sel_o[1]) );
+  sky130_fd_sc_hd__or3_1 U308 ( .A(alu_op_a_mux_sel_o[0]), .B(
+        imm_b_mux_sel_o[2]), .C(imm_b_mux_sel_o[1]), .X(alu_op_a_mux_sel_o[1])
+         );
+  sky130_fd_sc_hd__o31ai_1 U309 ( .A1(instr_rdata_alu_i[27]), .A2(n73), .A3(
+        n106), .B1(n103), .Y(n74) );
+  sky130_fd_sc_hd__o21ai_1 U310 ( .A1(n85), .A2(n74), .B1(
+        instr_rdata_alu_i[14]), .Y(n83) );
+  sky130_fd_sc_hd__o22ai_1 U311 ( .A1(n84), .A2(n103), .B1(n75), .B2(n79), .Y(
+        n109) );
+  sky130_fd_sc_hd__nor2_1 U312 ( .A(n76), .B(n85), .Y(n80) );
+  sky130_fd_sc_hd__nor3_1 U313 ( .A(instr_rdata_alu_i[29]), .B(
+        instr_rdata_alu_i[31]), .C(instr_rdata_alu_i[28]), .Y(n77) );
+  sky130_fd_sc_hd__nand3_1 U314 ( .A(instr_rdata_alu_i[30]), .B(n77), .C(n147), 
+        .Y(n78) );
+  sky130_fd_sc_hd__o22ai_1 U315 ( .A1(n80), .A2(n79), .B1(n107), .B2(n78), .Y(
+        n81) );
+  sky130_fd_sc_hd__nor2_1 U316 ( .A(n109), .B(n81), .Y(n82) );
+  sky130_fd_sc_hd__o21ai_1 U317 ( .A1(n108), .A2(n83), .B1(n82), .Y(
+        alu_operator_o[0]) );
+  sky130_fd_sc_hd__a221oi_1 U318 ( .A1(instr_rdata_alu_i[14]), .A2(n147), .B1(
+        n146), .B2(n84), .C1(n103), .Y(n87) );
+  sky130_fd_sc_hd__nand2_1 U319 ( .A(instr_rdata_alu_i[14]), .B(n85), .Y(n114)
+         );
+  sky130_fd_sc_hd__a21oi_1 U320 ( .A1(n106), .A2(n114), .B1(n104), .Y(n86) );
+  sky130_fd_sc_hd__or3_1 U321 ( .A(n87), .B(n86), .C(alu_operator_o[5]), .X(
+        alu_operator_o[2]) );
+  sky130_fd_sc_hd__nor3_1 U322 ( .A(instr_rdata_alu_i[14]), .B(
+        instr_rdata_alu_i[6]), .C(n150), .Y(n116) );
+  sky130_fd_sc_hd__nor2_1 U323 ( .A(n89), .B(n88), .Y(n92) );
+  sky130_fd_sc_hd__nand3_1 U324 ( .A(n92), .B(n91), .C(n90), .Y(n144) );
+  sky130_fd_sc_hd__or4_1 U325 ( .A(n93), .B(n116), .C(imm_b_mux_sel_o[1]), .D(
+        n144), .X(alu_op_b_mux_sel_o) );
+  sky130_fd_sc_hd__nor2_1 U326 ( .A(n94), .B(n133), .Y(n96) );
+  sky130_fd_sc_hd__nor3_1 U327 ( .A(n96), .B(n99), .C(n95), .Y(csr_op_o[0]) );
+  sky130_fd_sc_hd__nor2_1 U328 ( .A(n97), .B(n103), .Y(alu_operator_o[4]) );
+  sky130_fd_sc_hd__nor3_1 U329 ( .A(n156), .B(n98), .C(n162), .Y(
+        data_type_o[1]) );
+  sky130_fd_sc_hd__nor3_1 U330 ( .A(illegal_insn_o), .B(n146), .C(n152), .Y(
+        div_en_o) );
+  sky130_fd_sc_hd__nor4_1 U331 ( .A(instr_rdata_i[23]), .B(n101), .C(n100), 
+        .D(n99), .Y(n127) );
+  sky130_fd_sc_hd__nand2_1 U332 ( .A(n102), .B(n127), .Y(n123) );
+  sky130_fd_sc_hd__nor2_1 U333 ( .A(instr_rdata_i[20]), .B(n123), .Y(
+        ecall_insn_o) );
+  sky130_fd_sc_hd__nor2_1 U334 ( .A(n104), .B(n103), .Y(n112) );
+  sky130_fd_sc_hd__o22ai_1 U335 ( .A1(instr_rdata_alu_i[14]), .A2(
+        instr_rdata_alu_i[12]), .B1(n146), .B2(n147), .Y(n105) );
+  sky130_fd_sc_hd__o22ai_1 U336 ( .A1(n108), .A2(n107), .B1(n106), .B2(n105), 
+        .Y(n111) );
+  sky130_fd_sc_hd__nor4_1 U337 ( .A(n112), .B(n111), .C(n110), .D(n109), .Y(
+        n113) );
+  sky130_fd_sc_hd__o21ai_1 U338 ( .A1(instr_rdata_alu_i[12]), .A2(n114), .B1(
+        n113), .Y(alu_operator_o[1]) );
+  sky130_fd_sc_hd__nor2_1 U339 ( .A(instr_rdata_alu_i[14]), .B(n152), .Y(
+        mult_sel_o) );
+  sky130_fd_sc_hd__nand3b_1 U340 ( .A_N(n116), .B(n115), .C(n148), .Y(
+        imm_b_mux_sel_o[0]) );
+  sky130_fd_sc_hd__nand4_1 U341 ( .A(instr_rdata_i[4]), .B(n119), .C(n118), 
+        .D(n117), .Y(n120) );
+  sky130_fd_sc_hd__nor4b_1 U342 ( .D_N(instr_rdata_i[25]), .A(instr_rdata_i[6]), .B(n135), .C(n120), .Y(n131) );
+  sky130_fd_sc_hd__o21ai_1 U343 ( .A1(n155), .A2(imm_u_type_o[14]), .B1(n131), 
+        .Y(n121) );
+  sky130_fd_sc_hd__a21oi_1 U344 ( .A1(imm_u_type_o[14]), .A2(instr_rdata_i[12]), .B1(n121), .Y(multdiv_signed_mode_o[1]) );
+  sky130_fd_sc_hd__a31o_1 U345 ( .A1(n122), .A2(instr_rdata_i[13]), .A3(n131), 
+        .B1(multdiv_signed_mode_o[1]), .X(multdiv_signed_mode_o[0]) );
+  sky130_fd_sc_hd__nor2_1 U346 ( .A(n124), .B(n123), .Y(ebrk_insn_o) );
+  sky130_fd_sc_hd__nor2b_1 U347 ( .B_N(n127), .A(n125), .Y(wfi_insn_o) );
+  sky130_fd_sc_hd__and3_1 U348 ( .A(n126), .B(n128), .C(n127), .X(dret_insn_o)
+         );
+  sky130_fd_sc_hd__nand2_1 U349 ( .A(n128), .B(n127), .Y(n129) );
+  sky130_fd_sc_hd__nor2_1 U350 ( .A(n130), .B(n129), .Y(mret_insn_o) );
+  sky130_fd_sc_hd__nand2_1 U351 ( .A(n155), .B(n139), .Y(n132) );
+  sky130_fd_sc_hd__clkinv_1 U352 ( .A(n131), .Y(n134) );
+  sky130_fd_sc_hd__a21oi_1 U353 ( .A1(n133), .A2(n132), .B1(n134), .Y(
+        multdiv_operator_o[0]) );
+  sky130_fd_sc_hd__nor2_1 U354 ( .A(n139), .B(n134), .Y(multdiv_operator_o[1])
+         );
+  sky130_fd_sc_hd__nor4_1 U355 ( .A(imm_u_type_o[14]), .B(instr_rdata_i[5]), 
+        .C(n156), .D(n162), .Y(data_sign_extension_o) );
+  sky130_fd_sc_hd__nor3b_1 U356 ( .C_N(n155), .A(n156), .B(n162), .Y(
+        data_type_o[0]) );
+  sky130_fd_sc_hd__a21oi_1 U357 ( .A1(instr_rdata_i[6]), .A2(instr_rdata_i[4]), 
+        .B1(n135), .Y(rf_ren_b_o) );
+  sky130_fd_sc_hd__nor3_1 U358 ( .A(instr_rdata_i[2]), .B(n137), .C(n136), .Y(
+        n165) );
+  sky130_fd_sc_hd__nor2_1 U359 ( .A(instr_rdata_i[2]), .B(instr_rdata_i[6]), 
+        .Y(n138) );
+  sky130_fd_sc_hd__a21oi_1 U360 ( .A1(n165), .A2(n139), .B1(n138), .Y(n143) );
+  sky130_fd_sc_hd__nand3_1 U361 ( .A(n166), .B(instr_rdata_i[6]), .C(
+        instr_rdata_i[5]), .Y(n161) );
+  sky130_fd_sc_hd__nand2_1 U362 ( .A(n141), .B(n140), .Y(n142) );
+  sky130_fd_sc_hd__a21oi_1 U363 ( .A1(n143), .A2(n161), .B1(n142), .Y(
+        rf_ren_a_o) );
+  sky130_fd_sc_hd__nor2_1 U364 ( .A(n145), .B(n144), .Y(n151) );
+  sky130_fd_sc_hd__nand2_1 U365 ( .A(n147), .B(n146), .Y(n149) );
+  sky130_fd_sc_hd__nand4_1 U366 ( .A(n151), .B(n150), .C(n149), .D(n148), .Y(
+        imm_a_mux_sel_o) );
+  sky130_fd_sc_hd__nor3_1 U367 ( .A(instr_rdata_alu_i[14]), .B(illegal_insn_o), 
+        .C(n152), .Y(mult_en_o) );
+  sky130_fd_sc_hd__nor3b_1 U368 ( .C_N(n153), .A(instr_rdata_i[2]), .B(n161), 
+        .Y(branch_in_dec_o) );
+  sky130_fd_sc_hd__nand3_1 U369 ( .A(n155), .B(n154), .C(n164), .Y(n160) );
+  sky130_fd_sc_hd__nor4_1 U370 ( .A(n158), .B(n157), .C(n156), .D(n160), .Y(
+        icache_inval_o0) );
+  sky130_fd_sc_hd__or3_1 U371 ( .A(n157), .B(illegal_insn_o), .C(n161), .X(
+        n168) );
+  sky130_fd_sc_hd__clkinv_1 U372 ( .A(illegal_insn_o), .Y(n163) );
+  sky130_fd_sc_hd__o2bb2ai_1 U373 ( .B1(n158), .B2(n168), .A1_N(n163), .A2_N(
+        icache_inval_o0), .Y(jump_set_o) );
+  sky130_fd_sc_hd__nand2_1 U374 ( .A(instr_rdata_i[2]), .B(n163), .Y(n159) );
+  sky130_fd_sc_hd__a21oi_1 U375 ( .A1(n161), .A2(n160), .B1(n159), .Y(
+        jump_in_dec_o) );
+  sky130_fd_sc_hd__nor2_1 U376 ( .A(illegal_insn_o), .B(n162), .Y(data_req_o)
+         );
+  sky130_fd_sc_hd__o21ai_1 U377 ( .A1(n165), .A2(n164), .B1(n163), .Y(n167) );
+  sky130_fd_sc_hd__o22ai_1 U378 ( .A1(instr_first_cycle_i), .A2(n168), .B1(
+        n167), .B2(n166), .Y(rf_we_o) );
+  sky130_fd_sc_hd__nand3_1 U174 ( .A(n25), .B(instr_rdata_alu_i[5]), .C(n336), 
+        .Y(n150) );
+  sky130_fd_sc_hd__nor3_1 U185 ( .A(instr_rdata_alu_i[4]), .B(
+        instr_rdata_alu_i[3]), .C(n88), .Y(n336) );
+  sky130_fd_sc_hd__nand2_1 U186 ( .A(instr_rdata_alu_i[0]), .B(
+        instr_rdata_alu_i[1]), .Y(n88) );
+endmodule
+
+
+module opentitan_soc_top_ibex_controller_1_0_DW01_add_J20_0_0 ( A, B, CI, SUM, 
+        CO );
+  input [31:0] A;
+  input [31:0] B;
+  output [31:0] SUM;
+  input CI;
+  output CO;
+  wire   n132, n133, n134, n135, n136, n137, n138, n139, n140, n141, n142,
+         n143, n144, n145, n146, n147, n148, n149, n150, n151, n152, n153,
+         n154, n155, n156, n157, n158, n159, n160, n161;
+
+  sky130_fd_sc_hd__xor2_1 U35 ( .A(n132), .B(A[31]), .X(SUM[31]) );
+  sky130_fd_sc_hd__ha_1 U36 ( .A(B[1]), .B(A[1]), .COUT(n133), .SUM(SUM[1]) );
+  sky130_fd_sc_hd__ha_1 U37 ( .A(A[2]), .B(n133), .COUT(n134), .SUM(SUM[2]) );
+  sky130_fd_sc_hd__ha_1 U38 ( .A(A[3]), .B(n134), .COUT(n135), .SUM(SUM[3]) );
+  sky130_fd_sc_hd__ha_1 U39 ( .A(A[4]), .B(n135), .COUT(n136), .SUM(SUM[4]) );
+  sky130_fd_sc_hd__ha_1 U40 ( .A(A[5]), .B(n136), .COUT(n137), .SUM(SUM[5]) );
+  sky130_fd_sc_hd__ha_1 U41 ( .A(A[6]), .B(n137), .COUT(n138), .SUM(SUM[6]) );
+  sky130_fd_sc_hd__ha_1 U42 ( .A(A[7]), .B(n138), .COUT(n139), .SUM(SUM[7]) );
+  sky130_fd_sc_hd__ha_1 U43 ( .A(A[8]), .B(n139), .COUT(n140), .SUM(SUM[8]) );
+  sky130_fd_sc_hd__ha_1 U44 ( .A(A[9]), .B(n140), .COUT(n141), .SUM(SUM[9]) );
+  sky130_fd_sc_hd__ha_1 U45 ( .A(A[10]), .B(n141), .COUT(n142), .SUM(SUM[10])
+         );
+  sky130_fd_sc_hd__ha_1 U46 ( .A(A[11]), .B(n142), .COUT(n143), .SUM(SUM[11])
+         );
+  sky130_fd_sc_hd__ha_1 U47 ( .A(A[12]), .B(n143), .COUT(n144), .SUM(SUM[12])
+         );
+  sky130_fd_sc_hd__ha_1 U48 ( .A(A[13]), .B(n144), .COUT(n145), .SUM(SUM[13])
+         );
+  sky130_fd_sc_hd__ha_1 U49 ( .A(A[14]), .B(n145), .COUT(n146), .SUM(SUM[14])
+         );
+  sky130_fd_sc_hd__ha_1 U50 ( .A(A[15]), .B(n146), .COUT(n147), .SUM(SUM[15])
+         );
+  sky130_fd_sc_hd__ha_1 U51 ( .A(A[16]), .B(n147), .COUT(n148), .SUM(SUM[16])
+         );
+  sky130_fd_sc_hd__ha_1 U52 ( .A(A[17]), .B(n148), .COUT(n149), .SUM(SUM[17])
+         );
+  sky130_fd_sc_hd__ha_1 U53 ( .A(A[18]), .B(n149), .COUT(n150), .SUM(SUM[18])
+         );
+  sky130_fd_sc_hd__ha_1 U54 ( .A(A[19]), .B(n150), .COUT(n151), .SUM(SUM[19])
+         );
+  sky130_fd_sc_hd__ha_1 U55 ( .A(A[20]), .B(n151), .COUT(n152), .SUM(SUM[20])
+         );
+  sky130_fd_sc_hd__ha_1 U56 ( .A(A[21]), .B(n152), .COUT(n153), .SUM(SUM[21])
+         );
+  sky130_fd_sc_hd__ha_1 U57 ( .A(A[22]), .B(n153), .COUT(n154), .SUM(SUM[22])
+         );
+  sky130_fd_sc_hd__ha_1 U58 ( .A(A[23]), .B(n154), .COUT(n155), .SUM(SUM[23])
+         );
+  sky130_fd_sc_hd__ha_1 U59 ( .A(A[24]), .B(n155), .COUT(n156), .SUM(SUM[24])
+         );
+  sky130_fd_sc_hd__ha_1 U60 ( .A(A[25]), .B(n156), .COUT(n157), .SUM(SUM[25])
+         );
+  sky130_fd_sc_hd__ha_1 U61 ( .A(A[26]), .B(n157), .COUT(n158), .SUM(SUM[26])
+         );
+  sky130_fd_sc_hd__ha_1 U62 ( .A(A[27]), .B(n158), .COUT(n159), .SUM(SUM[27])
+         );
+  sky130_fd_sc_hd__ha_1 U63 ( .A(A[28]), .B(n159), .COUT(n160), .SUM(SUM[28])
+         );
+  sky130_fd_sc_hd__ha_1 U64 ( .A(A[29]), .B(n160), .COUT(n161), .SUM(SUM[29])
+         );
+  sky130_fd_sc_hd__ha_1 U65 ( .A(A[30]), .B(n161), .COUT(n132), .SUM(SUM[30])
+         );
+endmodule
+
+
+module opentitan_soc_top_ibex_controller_1_0_0 ( clk_i, rst_ni, ctrl_busy_o, 
+        illegal_insn_i, ecall_insn_i, mret_insn_i, dret_insn_i, wfi_insn_i, 
+        ebrk_insn_i, csr_pipe_flush_i, instr_valid_i, instr_i, 
+        instr_compressed_i, instr_is_compressed_i, instr_bp_taken_i, 
+        instr_fetch_err_i, instr_fetch_err_plus2_i, pc_id_i, 
+        instr_valid_clear_o, id_in_ready_o, controller_run_o, instr_req_o, 
+        pc_set_o, pc_set_spec_o, pc_mux_o, nt_branch_mispredict_o, 
+        exc_pc_mux_o, exc_cause_o, lsu_addr_last_i, load_err_i, store_err_i, 
+        wb_exception_o, branch_set_i, branch_set_spec_i, branch_not_set_i, 
+        jump_set_i, csr_mstatus_mie_i, irq_pending_i, irqs_i, irq_nm_i, 
+        nmi_mode_o, debug_req_i, debug_cause_o, debug_csr_save_o, debug_mode_o, 
+        debug_single_step_i, debug_ebreakm_i, debug_ebreaku_i, trigger_match_i, 
+        csr_save_if_o, csr_save_id_o, csr_save_wb_o, csr_restore_mret_id_o, 
+        csr_restore_dret_id_o, csr_save_cause_o, csr_mtval_o, priv_mode_i, 
+        csr_mstatus_tw_i, stall_id_i, stall_wb_i, flush_id_o, ready_wb_i, 
+        perf_jump_o, perf_tbranch_o );
+  input [31:0] instr_i;
+  input [15:0] instr_compressed_i;
+  input [31:0] pc_id_i;
+  output [2:0] pc_mux_o;
+  output [1:0] exc_pc_mux_o;
+  output [5:0] exc_cause_o;
+  input [31:0] lsu_addr_last_i;
+  input [17:0] irqs_i;
+  output [2:0] debug_cause_o;
+  output [31:0] csr_mtval_o;
+  input [1:0] priv_mode_i;
+  input clk_i, rst_ni, illegal_insn_i, ecall_insn_i, mret_insn_i, dret_insn_i,
+         wfi_insn_i, ebrk_insn_i, csr_pipe_flush_i, instr_valid_i,
+         instr_is_compressed_i, instr_bp_taken_i, instr_fetch_err_i,
+         instr_fetch_err_plus2_i, load_err_i, store_err_i, branch_set_i,
+         branch_set_spec_i, branch_not_set_i, jump_set_i, csr_mstatus_mie_i,
+         irq_pending_i, irq_nm_i, debug_req_i, debug_single_step_i,
+         debug_ebreakm_i, debug_ebreaku_i, trigger_match_i, csr_mstatus_tw_i,
+         stall_id_i, stall_wb_i, ready_wb_i;
+  output ctrl_busy_o, instr_valid_clear_o, id_in_ready_o, controller_run_o,
+         instr_req_o, pc_set_o, pc_set_spec_o, nt_branch_mispredict_o,
+         wb_exception_o, nmi_mode_o, debug_csr_save_o, debug_mode_o,
+         csr_save_if_o, csr_save_id_o, csr_save_wb_o, csr_restore_mret_id_o,
+         csr_restore_dret_id_o, csr_save_cause_o, flush_id_o, perf_jump_o,
+         perf_tbranch_o;
+  wire   ctrl_busy_o0, perf_jump_o0, illegal_insn_d, exc_req_d, store_err_q,
+         load_err_q, illegal_insn_q, do_single_step_d, do_single_step_q,
+         enter_debug_mode_prio_d, exc_req_q, N255, N256, N257, N258, N259,
+         N260, N261, N262, N263, N264, N265, N266, N267, N268, N269, N270,
+         N271, N272, N273, N274, N275, N276, N277, N278, N279, N280, N281,
+         N282, N283, N284, N285, enter_debug_mode_prio_q, n165, n166, n167,
+         n168, n169, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29,
+         n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42, n43,
+         n44, n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57,
+         n58, n59, n60, n61, n62, n63, n64, n65, n66, n67, n68, n69, n70, n71,
+         n72, n73, n74, n75, n76, n77, n78, n79, n80, n81, n82, n83, n84, n85,
+         n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96, n97, n98, n99,
+         n100, n101, n102, n103, n104, n105, n106, n107, n108, n109, n110,
+         n111, n112, n113, n114, n115, n116, n117, n118, n119, n120, n121,
+         n122, n123, n124, n125, n126, n127, n128, n129, n130, n131, n132,
+         n133, n134, n135, n136, n137, n138, n139, n140, n141, n142, n143,
+         n144, n145, n146, n147, n148, n149, n150, n151, n152, n153, n154,
+         n155, n156, n157, n158, n159, n160, n161, n162, n163, n164, n170,
+         n171, n172, n175, SYNOPSYS_UNCONNECTED_1;
+  wire   [3:0] ctrl_fsm_cs;
+
+  sky130_fd_sc_hd__dfrtp_1 load_err_q_reg ( .D(load_err_i), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(load_err_q) );
+  sky130_fd_sc_hd__dfrtp_1 store_err_q_reg ( .D(store_err_i), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(store_err_q) );
+  sky130_fd_sc_hd__dfrtp_1 exc_req_q_reg ( .D(exc_req_d), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(exc_req_q) );
+  sky130_fd_sc_hd__dfrtp_1 ctrl_fsm_cs_reg_0_ ( .D(n165), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(ctrl_fsm_cs[0]) );
+  sky130_fd_sc_hd__dfrtp_1 ctrl_fsm_cs_reg_1_ ( .D(n166), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(ctrl_fsm_cs[1]) );
+  sky130_fd_sc_hd__dfrtp_1 ctrl_fsm_cs_reg_2_ ( .D(n167), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(ctrl_fsm_cs[2]) );
+  sky130_fd_sc_hd__dfrtp_1 debug_mode_q_reg ( .D(n168), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(debug_mode_o) );
+  sky130_fd_sc_hd__dfrtp_1 do_single_step_q_reg ( .D(do_single_step_d), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(do_single_step_q) );
+  sky130_fd_sc_hd__dfrtp_1 enter_debug_mode_prio_q_reg ( .D(
+        enter_debug_mode_prio_d), .CLK(clk_i), .RESET_B(rst_ni), .Q(
+        enter_debug_mode_prio_q) );
+  sky130_fd_sc_hd__dfrtp_1 illegal_insn_q_reg ( .D(illegal_insn_d), .CLK(clk_i), .RESET_B(rst_ni), .Q(illegal_insn_q) );
+  sky130_fd_sc_hd__dfrtp_1 ctrl_fsm_cs_reg_3_ ( .D(n169), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(ctrl_fsm_cs[3]) );
+  opentitan_soc_top_ibex_controller_1_0_DW01_add_J20_0_0 add_x_10 ( .A({
+        pc_id_i[31:1], n175}), .B({n175, n175, n175, n175, n175, n175, n175, 
+        n175, n175, n175, n175, n175, n175, n175, n175, n175, n175, n175, n175, 
+        n175, n175, n175, n175, n175, n175, n175, n175, n175, n175, n175, 
+        instr_fetch_err_plus2_i, n175}), .CI(n175), .SUM({N285, N284, N283, 
+        N282, N281, N280, N279, N278, N277, N276, N275, N274, N273, N272, N271, 
+        N270, N269, N268, N267, N266, N265, N264, N263, N262, N261, N260, N259, 
+        N258, N257, N256, N255, SYNOPSYS_UNCONNECTED_1}) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(pc_mux_o[2]), .X(csr_restore_dret_id_o) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n175) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(mret_insn_i), .B(instr_valid_i), .X(n107) );
+  sky130_fd_sc_hd__clkinv_1 U6 ( .A(ctrl_fsm_cs[3]), .Y(n27) );
+  sky130_fd_sc_hd__nand2_1 U7 ( .A(ctrl_fsm_cs[2]), .B(n27), .Y(n97) );
+  sky130_fd_sc_hd__clkinv_1 U8 ( .A(ctrl_fsm_cs[1]), .Y(n103) );
+  sky130_fd_sc_hd__nor3_1 U9 ( .A(ctrl_fsm_cs[0]), .B(n97), .C(n103), .Y(n120)
+         );
+  sky130_fd_sc_hd__nor2_1 U10 ( .A(load_err_q), .B(store_err_q), .Y(n140) );
+  sky130_fd_sc_hd__clkinv_1 U11 ( .A(n140), .Y(n84) );
+  sky130_fd_sc_hd__nor2_1 U12 ( .A(exc_req_q), .B(n84), .Y(n153) );
+  sky130_fd_sc_hd__nand2_1 U13 ( .A(n120), .B(n153), .Y(n129) );
+  sky130_fd_sc_hd__nand2_1 U14 ( .A(instr_valid_i), .B(dret_insn_i), .Y(n122)
+         );
+  sky130_fd_sc_hd__nor3_1 U15 ( .A(n107), .B(n129), .C(n122), .Y(pc_mux_o[2])
+         );
+  sky130_fd_sc_hd__clkinv_1 U16 ( .A(instr_valid_i), .Y(n113) );
+  sky130_fd_sc_hd__nand2_1 U17 ( .A(do_single_step_q), .B(n113), .Y(n112) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(debug_mode_o), .Y(n124) );
+  sky130_fd_sc_hd__nand3_1 U19 ( .A(instr_valid_i), .B(debug_single_step_i), 
+        .C(n124), .Y(n111) );
+  sky130_fd_sc_hd__o21ai_1 U20 ( .A1(debug_mode_o), .A2(n112), .B1(n111), .Y(
+        enter_debug_mode_prio_d) );
+  sky130_fd_sc_hd__a31oi_1 U21 ( .A1(instr_valid_i), .A2(dret_insn_i), .A3(
+        n124), .B1(illegal_insn_i), .Y(n29) );
+  sky130_fd_sc_hd__nand2_1 U22 ( .A(instr_valid_i), .B(wfi_insn_i), .Y(n142)
+         );
+  sky130_fd_sc_hd__clkinv_1 U23 ( .A(n142), .Y(n154) );
+  sky130_fd_sc_hd__nand2_1 U24 ( .A(priv_mode_i[1]), .B(priv_mode_i[0]), .Y(
+        n89) );
+  sky130_fd_sc_hd__a32oi_1 U25 ( .A1(n154), .A2(n89), .A3(csr_mstatus_tw_i), 
+        .B1(n107), .B2(n89), .Y(n28) );
+  sky130_fd_sc_hd__o31ai_1 U26 ( .A1(ecall_insn_i), .A2(ebrk_insn_i), .A3(
+        instr_fetch_err_i), .B1(instr_valid_i), .Y(n19) );
+  sky130_fd_sc_hd__a31oi_1 U27 ( .A1(n29), .A2(n28), .A3(n19), .B1(n120), .Y(
+        exc_req_d) );
+  sky130_fd_sc_hd__nand2b_1 U28 ( .A_N(n97), .B(ctrl_fsm_cs[0]), .Y(n102) );
+  sky130_fd_sc_hd__nor2_1 U29 ( .A(ctrl_fsm_cs[1]), .B(n102), .Y(
+        controller_run_o) );
+  sky130_fd_sc_hd__clkinv_1 U30 ( .A(enter_debug_mode_prio_d), .Y(n132) );
+  sky130_fd_sc_hd__nand3_1 U31 ( .A(irq_pending_i), .B(csr_mstatus_mie_i), .C(
+        n124), .Y(n148) );
+  sky130_fd_sc_hd__a211oi_1 U32 ( .A1(n132), .A2(n148), .B1(ctrl_fsm_cs[1]), 
+        .C1(n97), .Y(n22) );
+  sky130_fd_sc_hd__nor3_1 U33 ( .A(ctrl_fsm_cs[3]), .B(ctrl_fsm_cs[2]), .C(
+        n103), .Y(n137) );
+  sky130_fd_sc_hd__nor4_1 U34 ( .A(n154), .B(load_err_i), .C(store_err_i), .D(
+        exc_req_d), .Y(n20) );
+  sky130_fd_sc_hd__nand2_1 U35 ( .A(instr_valid_i), .B(csr_pipe_flush_i), .Y(
+        n143) );
+  sky130_fd_sc_hd__o21ai_1 U36 ( .A1(mret_insn_i), .A2(dret_insn_i), .B1(
+        instr_valid_i), .Y(n152) );
+  sky130_fd_sc_hd__nand3_1 U37 ( .A(n20), .B(n143), .C(n152), .Y(n144) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(n144), .Y(n21) );
+  sky130_fd_sc_hd__clkinv_1 U39 ( .A(controller_run_o), .Y(n127) );
+  sky130_fd_sc_hd__nor2_1 U40 ( .A(stall_id_i), .B(stall_wb_i), .Y(n114) );
+  sky130_fd_sc_hd__o21ai_1 U41 ( .A1(n21), .A2(n127), .B1(n114), .Y(n31) );
+  sky130_fd_sc_hd__nor4_1 U42 ( .A(n120), .B(n22), .C(n137), .D(n31), .Y(
+        id_in_ready_o) );
+  sky130_fd_sc_hd__nor3_1 U43 ( .A(n148), .B(n103), .C(n102), .Y(
+        exc_cause_o[5]) );
+  sky130_fd_sc_hd__and2_0 U44 ( .A(jump_set_i), .B(controller_run_o), .X(
+        perf_jump_o0) );
+  sky130_fd_sc_hd__nor2_1 U45 ( .A(ctrl_fsm_cs[2]), .B(ctrl_fsm_cs[1]), .Y(
+        n110) );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(n89), .Y(n24) );
+  sky130_fd_sc_hd__nor2_1 U47 ( .A(priv_mode_i[1]), .B(priv_mode_i[0]), .Y(n23) );
+  sky130_fd_sc_hd__a22oi_1 U48 ( .A1(n24), .A2(debug_ebreakm_i), .B1(
+        debug_ebreaku_i), .B2(n23), .Y(n117) );
+  sky130_fd_sc_hd__nor2_1 U49 ( .A(ecall_insn_i), .B(instr_fetch_err_i), .Y(
+        n25) );
+  sky130_fd_sc_hd__nor3_1 U50 ( .A(illegal_insn_q), .B(n84), .C(n113), .Y(n26)
+         );
+  sky130_fd_sc_hd__nand3_1 U51 ( .A(ebrk_insn_i), .B(n25), .C(n26), .Y(n116)
+         );
+  sky130_fd_sc_hd__a21oi_1 U52 ( .A1(n117), .A2(n124), .B1(n116), .Y(n118) );
+  sky130_fd_sc_hd__clkinv_1 U53 ( .A(n120), .Y(n151) );
+  sky130_fd_sc_hd__nor2_1 U54 ( .A(n153), .B(n151), .Y(n119) );
+  sky130_fd_sc_hd__clkinv_1 U55 ( .A(n119), .Y(n108) );
+  sky130_fd_sc_hd__or2_0 U56 ( .A(n118), .B(n108), .X(n85) );
+  sky130_fd_sc_hd__o21ai_1 U57 ( .A1(n129), .A2(n152), .B1(n85), .Y(n131) );
+  sky130_fd_sc_hd__nor4_1 U58 ( .A(n110), .B(exc_cause_o[5]), .C(perf_jump_o0), 
+        .D(n131), .Y(n101) );
+  sky130_fd_sc_hd__nand2_1 U59 ( .A(controller_run_o), .B(branch_set_i), .Y(
+        n99) );
+  sky130_fd_sc_hd__nand2_1 U60 ( .A(n101), .B(n99), .Y(pc_set_o) );
+  sky130_fd_sc_hd__nand3b_1 U61 ( .A_N(instr_fetch_err_i), .B(ecall_insn_i), 
+        .C(n26), .Y(n88) );
+  sky130_fd_sc_hd__o2bb2ai_1 U62 ( .B1(n108), .B2(n88), .A1_N(exc_cause_o[5]), 
+        .A2_N(irqs_i[15]), .Y(exc_cause_o[3]) );
+  sky130_fd_sc_hd__or2_0 U63 ( .A(n140), .B(n151), .X(n95) );
+  sky130_fd_sc_hd__clkinv_1 U64 ( .A(n95), .Y(csr_save_wb_o) );
+  sky130_fd_sc_hd__clkinv_1 U65 ( .A(exc_cause_o[5]), .Y(n96) );
+  sky130_fd_sc_hd__clkinv_1 U66 ( .A(ctrl_fsm_cs[0]), .Y(n138) );
+  sky130_fd_sc_hd__nor3_1 U67 ( .A(ctrl_fsm_cs[2]), .B(ctrl_fsm_cs[1]), .C(n27), .Y(n104) );
+  sky130_fd_sc_hd__clkinv_1 U68 ( .A(n104), .Y(n98) );
+  sky130_fd_sc_hd__or4_1 U69 ( .A(debug_mode_o), .B(n117), .C(n138), .D(n98), 
+        .X(n83) );
+  sky130_fd_sc_hd__nand2_1 U70 ( .A(n104), .B(n138), .Y(n86) );
+  sky130_fd_sc_hd__nand4_1 U71 ( .A(n85), .B(n96), .C(n83), .D(n86), .Y(
+        csr_save_cause_o) );
+  sky130_fd_sc_hd__nor2b_1 U72 ( .B_N(debug_single_step_i), .A(n86), .Y(
+        debug_cause_o[2]) );
+  sky130_fd_sc_hd__nand2_1 U73 ( .A(n83), .B(n86), .Y(debug_csr_save_o) );
+  sky130_fd_sc_hd__a21oi_1 U74 ( .A1(n29), .A2(n28), .B1(n120), .Y(
+        illegal_insn_d) );
+  sky130_fd_sc_hd__clkinv_1 U75 ( .A(n129), .Y(n106) );
+  sky130_fd_sc_hd__nor3_1 U76 ( .A(n137), .B(n104), .C(n106), .Y(n30) );
+  sky130_fd_sc_hd__o21ai_1 U77 ( .A1(n118), .A2(n151), .B1(n30), .Y(flush_id_o) );
+  sky130_fd_sc_hd__nand2b_1 U78 ( .A_N(flush_id_o), .B(n31), .Y(
+        instr_valid_clear_o) );
+  sky130_fd_sc_hd__nand2_1 U79 ( .A(instr_valid_i), .B(instr_fetch_err_i), .Y(
+        n33) );
+  sky130_fd_sc_hd__nand4_1 U80 ( .A(illegal_insn_q), .B(n140), .C(n119), .D(
+        n33), .Y(n93) );
+  sky130_fd_sc_hd__nor2_1 U81 ( .A(instr_is_compressed_i), .B(n93), .Y(n81) );
+  sky130_fd_sc_hd__nor2b_1 U82 ( .B_N(instr_is_compressed_i), .A(n93), .Y(n62)
+         );
+  sky130_fd_sc_hd__a222oi_1 U83 ( .A1(n81), .A2(instr_i[0]), .B1(csr_save_wb_o), .B2(lsu_addr_last_i[0]), .C1(n62), .C2(instr_compressed_i[0]), .Y(n32) );
+  sky130_fd_sc_hd__clkinv_1 U84 ( .A(n32), .Y(csr_mtval_o[0]) );
+  sky130_fd_sc_hd__a22oi_1 U85 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[1]), 
+        .B1(n62), .B2(instr_compressed_i[1]), .Y(n35) );
+  sky130_fd_sc_hd__nor3_1 U86 ( .A(n84), .B(n108), .C(n33), .Y(n73) );
+  sky130_fd_sc_hd__a22oi_1 U87 ( .A1(n81), .A2(instr_i[1]), .B1(n73), .B2(N255), .Y(n34) );
+  sky130_fd_sc_hd__nand2_1 U88 ( .A(n35), .B(n34), .Y(csr_mtval_o[1]) );
+  sky130_fd_sc_hd__a22oi_1 U89 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[2]), 
+        .B1(n62), .B2(instr_compressed_i[2]), .Y(n37) );
+  sky130_fd_sc_hd__a22oi_1 U90 ( .A1(n81), .A2(instr_i[2]), .B1(n73), .B2(N256), .Y(n36) );
+  sky130_fd_sc_hd__nand2_1 U91 ( .A(n37), .B(n36), .Y(csr_mtval_o[2]) );
+  sky130_fd_sc_hd__a22oi_1 U92 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[3]), 
+        .B1(n62), .B2(instr_compressed_i[3]), .Y(n39) );
+  sky130_fd_sc_hd__clkbuf_1 U93 ( .A(n73), .X(n91) );
+  sky130_fd_sc_hd__a22oi_1 U94 ( .A1(n81), .A2(instr_i[3]), .B1(n91), .B2(N257), .Y(n38) );
+  sky130_fd_sc_hd__nand2_1 U95 ( .A(n39), .B(n38), .Y(csr_mtval_o[3]) );
+  sky130_fd_sc_hd__a22oi_1 U96 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[4]), 
+        .B1(n62), .B2(instr_compressed_i[4]), .Y(n41) );
+  sky130_fd_sc_hd__a22oi_1 U97 ( .A1(n81), .A2(instr_i[4]), .B1(n73), .B2(N258), .Y(n40) );
+  sky130_fd_sc_hd__nand2_1 U98 ( .A(n41), .B(n40), .Y(csr_mtval_o[4]) );
+  sky130_fd_sc_hd__a22oi_1 U99 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[5]), 
+        .B1(n62), .B2(instr_compressed_i[5]), .Y(n43) );
+  sky130_fd_sc_hd__a22oi_1 U100 ( .A1(n81), .A2(instr_i[5]), .B1(n73), .B2(
+        N259), .Y(n42) );
+  sky130_fd_sc_hd__nand2_1 U101 ( .A(n43), .B(n42), .Y(csr_mtval_o[5]) );
+  sky130_fd_sc_hd__a22oi_1 U102 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[6]), 
+        .B1(n62), .B2(instr_compressed_i[6]), .Y(n45) );
+  sky130_fd_sc_hd__a22oi_1 U103 ( .A1(n81), .A2(instr_i[6]), .B1(n73), .B2(
+        N260), .Y(n44) );
+  sky130_fd_sc_hd__nand2_1 U104 ( .A(n45), .B(n44), .Y(csr_mtval_o[6]) );
+  sky130_fd_sc_hd__a22oi_1 U105 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[7]), 
+        .B1(n62), .B2(instr_compressed_i[7]), .Y(n47) );
+  sky130_fd_sc_hd__a22oi_1 U106 ( .A1(n81), .A2(instr_i[7]), .B1(n91), .B2(
+        N261), .Y(n46) );
+  sky130_fd_sc_hd__nand2_1 U107 ( .A(n47), .B(n46), .Y(csr_mtval_o[7]) );
+  sky130_fd_sc_hd__a22oi_1 U108 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[8]), 
+        .B1(n62), .B2(instr_compressed_i[8]), .Y(n49) );
+  sky130_fd_sc_hd__a22oi_1 U109 ( .A1(n81), .A2(instr_i[8]), .B1(n73), .B2(
+        N262), .Y(n48) );
+  sky130_fd_sc_hd__nand2_1 U110 ( .A(n49), .B(n48), .Y(csr_mtval_o[8]) );
+  sky130_fd_sc_hd__a22oi_1 U111 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[9]), 
+        .B1(n62), .B2(instr_compressed_i[9]), .Y(n51) );
+  sky130_fd_sc_hd__a22oi_1 U112 ( .A1(n81), .A2(instr_i[9]), .B1(n73), .B2(
+        N263), .Y(n50) );
+  sky130_fd_sc_hd__nand2_1 U113 ( .A(n51), .B(n50), .Y(csr_mtval_o[9]) );
+  sky130_fd_sc_hd__a22oi_1 U114 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[10]), 
+        .B1(n62), .B2(instr_compressed_i[10]), .Y(n53) );
+  sky130_fd_sc_hd__a22oi_1 U115 ( .A1(n81), .A2(instr_i[10]), .B1(n73), .B2(
+        N264), .Y(n52) );
+  sky130_fd_sc_hd__nand2_1 U116 ( .A(n53), .B(n52), .Y(csr_mtval_o[10]) );
+  sky130_fd_sc_hd__a22oi_1 U117 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[11]), 
+        .B1(n62), .B2(instr_compressed_i[11]), .Y(n55) );
+  sky130_fd_sc_hd__a22oi_1 U118 ( .A1(n81), .A2(instr_i[11]), .B1(n73), .B2(
+        N265), .Y(n54) );
+  sky130_fd_sc_hd__nand2_1 U119 ( .A(n55), .B(n54), .Y(csr_mtval_o[11]) );
+  sky130_fd_sc_hd__a22oi_1 U120 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[12]), 
+        .B1(n62), .B2(instr_compressed_i[12]), .Y(n57) );
+  sky130_fd_sc_hd__a22oi_1 U121 ( .A1(n81), .A2(instr_i[12]), .B1(n73), .B2(
+        N266), .Y(n56) );
+  sky130_fd_sc_hd__nand2_1 U122 ( .A(n57), .B(n56), .Y(csr_mtval_o[12]) );
+  sky130_fd_sc_hd__a22oi_1 U123 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[13]), 
+        .B1(n62), .B2(instr_compressed_i[13]), .Y(n59) );
+  sky130_fd_sc_hd__a22oi_1 U124 ( .A1(n81), .A2(instr_i[13]), .B1(n73), .B2(
+        N267), .Y(n58) );
+  sky130_fd_sc_hd__nand2_1 U125 ( .A(n59), .B(n58), .Y(csr_mtval_o[13]) );
+  sky130_fd_sc_hd__a22oi_1 U126 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[14]), 
+        .B1(n62), .B2(instr_compressed_i[14]), .Y(n61) );
+  sky130_fd_sc_hd__a22oi_1 U127 ( .A1(n81), .A2(instr_i[14]), .B1(n73), .B2(
+        N268), .Y(n60) );
+  sky130_fd_sc_hd__nand2_1 U128 ( .A(n61), .B(n60), .Y(csr_mtval_o[14]) );
+  sky130_fd_sc_hd__a22oi_1 U129 ( .A1(csr_save_wb_o), .A2(lsu_addr_last_i[15]), 
+        .B1(n62), .B2(instr_compressed_i[15]), .Y(n64) );
+  sky130_fd_sc_hd__a22oi_1 U130 ( .A1(n81), .A2(instr_i[15]), .B1(n73), .B2(
+        N269), .Y(n63) );
+  sky130_fd_sc_hd__nand2_1 U131 ( .A(n64), .B(n63), .Y(csr_mtval_o[15]) );
+  sky130_fd_sc_hd__a222oi_1 U132 ( .A1(n81), .A2(instr_i[16]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[16]), .C1(n73), .C2(N270), .Y(n65)
+         );
+  sky130_fd_sc_hd__clkinv_1 U133 ( .A(n65), .Y(csr_mtval_o[16]) );
+  sky130_fd_sc_hd__a222oi_1 U134 ( .A1(n81), .A2(instr_i[17]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[17]), .C1(n73), .C2(N271), .Y(n66)
+         );
+  sky130_fd_sc_hd__clkinv_1 U135 ( .A(n66), .Y(csr_mtval_o[17]) );
+  sky130_fd_sc_hd__a222oi_1 U136 ( .A1(n81), .A2(instr_i[18]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[18]), .C1(n73), .C2(N272), .Y(n67)
+         );
+  sky130_fd_sc_hd__clkinv_1 U137 ( .A(n67), .Y(csr_mtval_o[18]) );
+  sky130_fd_sc_hd__a222oi_1 U138 ( .A1(n81), .A2(instr_i[19]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[19]), .C1(n73), .C2(N273), .Y(n68)
+         );
+  sky130_fd_sc_hd__clkinv_1 U139 ( .A(n68), .Y(csr_mtval_o[19]) );
+  sky130_fd_sc_hd__a222oi_1 U140 ( .A1(n81), .A2(instr_i[20]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[20]), .C1(n91), .C2(N274), .Y(n69)
+         );
+  sky130_fd_sc_hd__clkinv_1 U141 ( .A(n69), .Y(csr_mtval_o[20]) );
+  sky130_fd_sc_hd__a222oi_1 U142 ( .A1(n81), .A2(instr_i[21]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[21]), .C1(n73), .C2(N275), .Y(n70)
+         );
+  sky130_fd_sc_hd__clkinv_1 U143 ( .A(n70), .Y(csr_mtval_o[21]) );
+  sky130_fd_sc_hd__a222oi_1 U144 ( .A1(n81), .A2(instr_i[22]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[22]), .C1(n73), .C2(N276), .Y(n71)
+         );
+  sky130_fd_sc_hd__clkinv_1 U145 ( .A(n71), .Y(csr_mtval_o[22]) );
+  sky130_fd_sc_hd__a222oi_1 U146 ( .A1(n81), .A2(instr_i[23]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[23]), .C1(n73), .C2(N277), .Y(n72)
+         );
+  sky130_fd_sc_hd__clkinv_1 U147 ( .A(n72), .Y(csr_mtval_o[23]) );
+  sky130_fd_sc_hd__a222oi_1 U148 ( .A1(n81), .A2(instr_i[24]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[24]), .C1(n73), .C2(N278), .Y(n74)
+         );
+  sky130_fd_sc_hd__clkinv_1 U149 ( .A(n74), .Y(csr_mtval_o[24]) );
+  sky130_fd_sc_hd__a222oi_1 U150 ( .A1(n81), .A2(instr_i[25]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[25]), .C1(n91), .C2(N279), .Y(n75)
+         );
+  sky130_fd_sc_hd__clkinv_1 U151 ( .A(n75), .Y(csr_mtval_o[25]) );
+  sky130_fd_sc_hd__a222oi_1 U152 ( .A1(n81), .A2(instr_i[26]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[26]), .C1(n91), .C2(N280), .Y(n76)
+         );
+  sky130_fd_sc_hd__clkinv_1 U153 ( .A(n76), .Y(csr_mtval_o[26]) );
+  sky130_fd_sc_hd__a222oi_1 U154 ( .A1(n81), .A2(instr_i[27]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[27]), .C1(n91), .C2(N281), .Y(n77)
+         );
+  sky130_fd_sc_hd__clkinv_1 U155 ( .A(n77), .Y(csr_mtval_o[27]) );
+  sky130_fd_sc_hd__a222oi_1 U156 ( .A1(n81), .A2(instr_i[28]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[28]), .C1(n91), .C2(N282), .Y(n78)
+         );
+  sky130_fd_sc_hd__clkinv_1 U157 ( .A(n78), .Y(csr_mtval_o[28]) );
+  sky130_fd_sc_hd__a222oi_1 U158 ( .A1(n81), .A2(instr_i[29]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[29]), .C1(n91), .C2(N283), .Y(n79)
+         );
+  sky130_fd_sc_hd__clkinv_1 U159 ( .A(n79), .Y(csr_mtval_o[29]) );
+  sky130_fd_sc_hd__a222oi_1 U160 ( .A1(n81), .A2(instr_i[30]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[30]), .C1(n91), .C2(N284), .Y(n80)
+         );
+  sky130_fd_sc_hd__clkinv_1 U161 ( .A(n80), .Y(csr_mtval_o[30]) );
+  sky130_fd_sc_hd__a222oi_1 U162 ( .A1(n81), .A2(instr_i[31]), .B1(
+        csr_save_wb_o), .B2(lsu_addr_last_i[31]), .C1(n91), .C2(N285), .Y(n82)
+         );
+  sky130_fd_sc_hd__clkinv_1 U163 ( .A(n82), .Y(csr_mtval_o[31]) );
+  sky130_fd_sc_hd__o21ai_1 U164 ( .A1(n85), .A2(n84), .B1(n83), .Y(
+        csr_save_id_o) );
+  sky130_fd_sc_hd__nor2_1 U165 ( .A(debug_single_step_i), .B(n86), .Y(
+        debug_cause_o[1]) );
+  sky130_fd_sc_hd__clkinv_1 U166 ( .A(debug_cause_o[2]), .Y(debug_cause_o[0])
+         );
+  sky130_fd_sc_hd__nand2_1 U167 ( .A(n96), .B(n86), .Y(csr_save_if_o) );
+  sky130_fd_sc_hd__nand2_1 U168 ( .A(n117), .B(n124), .Y(n87) );
+  sky130_fd_sc_hd__o22ai_1 U169 ( .A1(n89), .A2(n88), .B1(n116), .B2(n87), .Y(
+        n90) );
+  sky130_fd_sc_hd__a21oi_1 U170 ( .A1(n119), .A2(n90), .B1(exc_cause_o[5]), 
+        .Y(n92) );
+  sky130_fd_sc_hd__nand3b_1 U171 ( .A_N(n91), .B(n92), .C(n95), .Y(
+        exc_cause_o[0]) );
+  sky130_fd_sc_hd__nand2_1 U172 ( .A(store_err_q), .B(n120), .Y(n94) );
+  sky130_fd_sc_hd__nand3_1 U173 ( .A(n94), .B(n93), .C(n92), .Y(exc_cause_o[1]) );
+  sky130_fd_sc_hd__o21ai_1 U174 ( .A1(irqs_i[15]), .A2(n96), .B1(n95), .Y(
+        exc_cause_o[2]) );
+  sky130_fd_sc_hd__nor3_1 U175 ( .A(ctrl_fsm_cs[0]), .B(ctrl_fsm_cs[1]), .C(
+        n97), .Y(n163) );
+  sky130_fd_sc_hd__nand2_1 U176 ( .A(n97), .B(n98), .Y(n109) );
+  sky130_fd_sc_hd__nand3b_1 U177 ( .A_N(n163), .B(n102), .C(n109), .Y(n123) );
+  sky130_fd_sc_hd__a211o_1 U178 ( .A1(n120), .A2(debug_mode_o), .B1(n106), 
+        .C1(n123), .X(exc_pc_mux_o[0]) );
+  sky130_fd_sc_hd__o21ai_1 U179 ( .A1(n124), .A2(n108), .B1(n98), .Y(
+        exc_pc_mux_o[1]) );
+  sky130_fd_sc_hd__nand2_1 U181 ( .A(controller_run_o), .B(branch_set_spec_i), 
+        .Y(n100) );
+  sky130_fd_sc_hd__nand2_1 U182 ( .A(n101), .B(n100), .Y(pc_set_spec_o) );
+  sky130_fd_sc_hd__nor2_1 U183 ( .A(n103), .B(n102), .Y(n105) );
+  sky130_fd_sc_hd__nor2_1 U184 ( .A(n105), .B(n104), .Y(n155) );
+  sky130_fd_sc_hd__nand2_1 U185 ( .A(n107), .B(n106), .Y(n126) );
+  sky130_fd_sc_hd__nand3_1 U186 ( .A(n155), .B(n108), .C(n126), .Y(pc_mux_o[1]) );
+  sky130_fd_sc_hd__a21o_1 U187 ( .A1(ctrl_fsm_cs[0]), .A2(n110), .B1(n109), 
+        .X(instr_req_o) );
+  sky130_fd_sc_hd__nand2_1 U188 ( .A(n112), .B(n111), .Y(do_single_step_d) );
+  sky130_fd_sc_hd__nand3_1 U189 ( .A(n114), .B(ready_wb_i), .C(n113), .Y(n133)
+         );
+  sky130_fd_sc_hd__nor2_1 U190 ( .A(n144), .B(n133), .Y(n115) );
+  sky130_fd_sc_hd__a21oi_1 U191 ( .A1(n115), .A2(controller_run_o), .B1(n163), 
+        .Y(n149) );
+  sky130_fd_sc_hd__o21ai_1 U192 ( .A1(n117), .A2(n116), .B1(
+        enter_debug_mode_prio_q), .Y(n159) );
+  sky130_fd_sc_hd__clkinv_1 U193 ( .A(n159), .Y(n130) );
+  sky130_fd_sc_hd__a22oi_1 U194 ( .A1(n120), .A2(n130), .B1(n119), .B2(n118), 
+        .Y(n121) );
+  sky130_fd_sc_hd__o21ai_1 U195 ( .A1(n132), .A2(n149), .B1(n121), .Y(n169) );
+  sky130_fd_sc_hd__clkinv_1 U196 ( .A(n126), .Y(csr_restore_mret_id_o) );
+  sky130_fd_sc_hd__nor3_1 U197 ( .A(csr_restore_mret_id_o), .B(n123), .C(n122), 
+        .Y(n125) );
+  sky130_fd_sc_hd__o21bai_1 U198 ( .A1(n125), .A2(n124), .B1_N(exc_pc_mux_o[1]), .Y(n168) );
+  sky130_fd_sc_hd__nand2_1 U199 ( .A(n127), .B(n126), .Y(pc_mux_o[0]) );
+  sky130_fd_sc_hd__or2_0 U200 ( .A(ctrl_fsm_cs[2]), .B(ctrl_fsm_cs[3]), .X(
+        n156) );
+  sky130_fd_sc_hd__o21ai_1 U201 ( .A1(n138), .A2(n156), .B1(n155), .Y(n128) );
+  sky130_fd_sc_hd__a21oi_1 U202 ( .A1(n132), .A2(n163), .B1(n128), .Y(n136) );
+  sky130_fd_sc_hd__nor2_1 U203 ( .A(n130), .B(n129), .Y(n147) );
+  sky130_fd_sc_hd__a22oi_1 U204 ( .A1(n147), .A2(n142), .B1(n159), .B2(n131), 
+        .Y(n135) );
+  sky130_fd_sc_hd__o31ai_1 U205 ( .A1(n144), .A2(n133), .A3(n132), .B1(
+        controller_run_o), .Y(n160) );
+  sky130_fd_sc_hd__nor3_1 U206 ( .A(debug_mode_o), .B(debug_single_step_i), 
+        .C(irq_pending_i), .Y(n139) );
+  sky130_fd_sc_hd__nand2_1 U207 ( .A(n137), .B(n139), .Y(n164) );
+  sky130_fd_sc_hd__clkinv_1 U208 ( .A(n164), .Y(n134) );
+  sky130_fd_sc_hd__a31oi_1 U209 ( .A1(n136), .A2(n135), .A3(n160), .B1(n134), 
+        .Y(n167) );
+  sky130_fd_sc_hd__o21ai_1 U210 ( .A1(n139), .A2(n138), .B1(n137), .Y(
+        ctrl_busy_o0) );
+  sky130_fd_sc_hd__nor2_1 U211 ( .A(load_err_i), .B(store_err_i), .Y(n141) );
+  sky130_fd_sc_hd__nand2_1 U212 ( .A(n141), .B(n140), .Y(wb_exception_o) );
+  sky130_fd_sc_hd__o21ai_1 U213 ( .A1(n148), .A2(n143), .B1(n142), .Y(n146) );
+  sky130_fd_sc_hd__o21ai_1 U214 ( .A1(ready_wb_i), .A2(wb_exception_o), .B1(
+        n144), .Y(n161) );
+  sky130_fd_sc_hd__o21ai_1 U215 ( .A1(n160), .A2(n161), .B1(ctrl_busy_o0), .Y(
+        n145) );
+  sky130_fd_sc_hd__a31oi_1 U216 ( .A1(n147), .A2(n152), .A3(n146), .B1(n145), 
+        .Y(n150) );
+  sky130_fd_sc_hd__or3_1 U217 ( .A(n149), .B(n148), .C(enter_debug_mode_prio_d), .X(n170) );
+  sky130_fd_sc_hd__nand2_1 U218 ( .A(n150), .B(n170), .Y(n166) );
+  sky130_fd_sc_hd__a31oi_1 U219 ( .A1(n154), .A2(n153), .A3(n152), .B1(n151), 
+        .Y(n158) );
+  sky130_fd_sc_hd__o21ai_1 U220 ( .A1(ctrl_fsm_cs[0]), .A2(n156), .B1(n155), 
+        .Y(n157) );
+  sky130_fd_sc_hd__a21oi_1 U221 ( .A1(n159), .A2(n158), .B1(n157), .Y(n172) );
+  sky130_fd_sc_hd__clkinv_1 U222 ( .A(n160), .Y(n162) );
+  sky130_fd_sc_hd__a22oi_1 U223 ( .A1(n163), .A2(id_in_ready_o), .B1(n162), 
+        .B2(n161), .Y(n171) );
+  sky130_fd_sc_hd__nand4_1 U224 ( .A(n172), .B(n171), .C(n170), .D(n164), .Y(
+        n165) );
+endmodule
+
+
+module opentitan_soc_top_ibex_id_stage_0_2_0_0_0_0_1_0_0 ( clk_i, rst_ni, 
+        ctrl_busy_o, illegal_insn_o, instr_valid_i, instr_rdata_i, 
+        instr_rdata_alu_i, instr_rdata_c_i, instr_is_compressed_i, 
+        instr_bp_taken_i, instr_req_o, instr_first_cycle_id_o, 
+        instr_valid_clear_o, id_in_ready_o, icache_inval_o, branch_decision_i, 
+        pc_set_o, pc_set_spec_o, pc_mux_o, nt_branch_mispredict_o, 
+        exc_pc_mux_o, exc_cause_o, illegal_c_insn_i, instr_fetch_err_i, 
+        instr_fetch_err_plus2_i, pc_id_i, ex_valid_i, lsu_resp_valid_i, 
+        alu_operator_ex_o, alu_operand_a_ex_o, alu_operand_b_ex_o, 
+        imd_val_we_ex_i, imd_val_d_ex_i, imd_val_q_ex_o, bt_a_operand_o, 
+        bt_b_operand_o, mult_en_ex_o, div_en_ex_o, mult_sel_ex_o, div_sel_ex_o, 
+        multdiv_operator_ex_o, multdiv_signed_mode_ex_o, 
+        multdiv_operand_a_ex_o, multdiv_operand_b_ex_o, multdiv_ready_id_o, 
+        csr_access_o, csr_op_o, csr_op_en_o, csr_save_if_o, csr_save_id_o, 
+        csr_save_wb_o, csr_restore_mret_id_o, csr_restore_dret_id_o, 
+        csr_save_cause_o, csr_mtval_o, priv_mode_i, csr_mstatus_tw_i, 
+        illegal_csr_insn_i, data_ind_timing_i, lsu_req_o, lsu_we_o, lsu_type_o, 
+        lsu_sign_ext_o, lsu_wdata_o, lsu_req_done_i, lsu_addr_incr_req_i, 
+        lsu_addr_last_i, csr_mstatus_mie_i, irq_pending_i, irqs_i, irq_nm_i, 
+        nmi_mode_o, lsu_load_err_i, lsu_store_err_i, debug_mode_o, 
+        debug_cause_o, debug_csr_save_o, debug_req_i, debug_single_step_i, 
+        debug_ebreakm_i, debug_ebreaku_i, trigger_match_i, result_ex_i, 
+        csr_rdata_i, rf_raddr_a_o, rf_rdata_a_i, rf_raddr_b_o, rf_rdata_b_i, 
+        rf_ren_a_o, rf_ren_b_o, rf_waddr_id_o, rf_wdata_id_o, rf_we_id_o, 
+        rf_rd_a_wb_match_o, rf_rd_b_wb_match_o, rf_waddr_wb_i, 
+        rf_wdata_fwd_wb_i, rf_write_wb_i, en_wb_o, instr_type_wb_o, 
+        instr_perf_count_id_o, ready_wb_i, outstanding_load_wb_i, 
+        outstanding_store_wb_i, perf_jump_o, perf_branch_o, perf_tbranch_o, 
+        perf_dside_wait_o, perf_mul_wait_o, perf_div_wait_o, instr_id_done_o
+ );
+  input [31:0] instr_rdata_i;
+  input [31:0] instr_rdata_alu_i;
+  input [15:0] instr_rdata_c_i;
+  output [2:0] pc_mux_o;
+  output [1:0] exc_pc_mux_o;
+  output [5:0] exc_cause_o;
+  input [31:0] pc_id_i;
+  output [5:0] alu_operator_ex_o;
+  output [31:0] alu_operand_a_ex_o;
+  output [31:0] alu_operand_b_ex_o;
+  input [1:0] imd_val_we_ex_i;
+  input [67:0] imd_val_d_ex_i;
+  output [67:0] imd_val_q_ex_o;
+  output [31:0] bt_a_operand_o;
+  output [31:0] bt_b_operand_o;
+  output [1:0] multdiv_operator_ex_o;
+  output [1:0] multdiv_signed_mode_ex_o;
+  output [31:0] multdiv_operand_a_ex_o;
+  output [31:0] multdiv_operand_b_ex_o;
+  output [1:0] csr_op_o;
+  output [31:0] csr_mtval_o;
+  input [1:0] priv_mode_i;
+  output [1:0] lsu_type_o;
+  output [31:0] lsu_wdata_o;
+  input [31:0] lsu_addr_last_i;
+  input [17:0] irqs_i;
+  output [2:0] debug_cause_o;
+  input [31:0] result_ex_i;
+  input [31:0] csr_rdata_i;
+  output [4:0] rf_raddr_a_o;
+  input [31:0] rf_rdata_a_i;
+  output [4:0] rf_raddr_b_o;
+  input [31:0] rf_rdata_b_i;
+  output [4:0] rf_waddr_id_o;
+  output [31:0] rf_wdata_id_o;
+  input [4:0] rf_waddr_wb_i;
+  input [31:0] rf_wdata_fwd_wb_i;
+  output [1:0] instr_type_wb_o;
+  input clk_i, rst_ni, instr_valid_i, instr_is_compressed_i, instr_bp_taken_i,
+         branch_decision_i, illegal_c_insn_i, instr_fetch_err_i,
+         instr_fetch_err_plus2_i, ex_valid_i, lsu_resp_valid_i,
+         csr_mstatus_tw_i, illegal_csr_insn_i, data_ind_timing_i,
+         lsu_req_done_i, lsu_addr_incr_req_i, csr_mstatus_mie_i, irq_pending_i,
+         irq_nm_i, lsu_load_err_i, lsu_store_err_i, debug_req_i,
+         debug_single_step_i, debug_ebreakm_i, debug_ebreaku_i,
+         trigger_match_i, rf_write_wb_i, ready_wb_i, outstanding_load_wb_i,
+         outstanding_store_wb_i;
+  output ctrl_busy_o, illegal_insn_o, instr_req_o, instr_first_cycle_id_o,
+         instr_valid_clear_o, id_in_ready_o, icache_inval_o, pc_set_o,
+         pc_set_spec_o, nt_branch_mispredict_o, mult_en_ex_o, div_en_ex_o,
+         mult_sel_ex_o, div_sel_ex_o, multdiv_ready_id_o, csr_access_o,
+         csr_op_en_o, csr_save_if_o, csr_save_id_o, csr_save_wb_o,
+         csr_restore_mret_id_o, csr_restore_dret_id_o, csr_save_cause_o,
+         lsu_req_o, lsu_we_o, lsu_sign_ext_o, nmi_mode_o, debug_mode_o,
+         debug_csr_save_o, rf_ren_a_o, rf_ren_b_o, rf_we_id_o,
+         rf_rd_a_wb_match_o, rf_rd_b_wb_match_o, en_wb_o,
+         instr_perf_count_id_o, perf_jump_o, perf_branch_o, perf_tbranch_o,
+         perf_dside_wait_o, perf_mul_wait_o, perf_div_wait_o, instr_id_done_o;
+  wire   illegal_insn_o0, rf_rd_a_wb_match_o0, rf_rd_b_wb_match_o0,
+         instr_id_done_o0, rf_ren_a_dec, rf_ren_b_dec, alu_op_b_mux_sel_dec,
+         imm_a_mux_sel, rf_wdata_sel, illegal_insn_dec, ebrk_insn,
+         mret_insn_dec, dret_insn_dec, ecall_insn_dec, wfi_insn_dec,
+         jump_set_dec, rf_we_dec, mult_en_dec, div_en_dec, lsu_req_dec,
+         jump_in_dec, branch_in_dec, csr_pipe_flush, controller_run,
+         wb_exception, branch_set_spec, jump_set, stall_id, stall_wb, flush_id,
+         g_branch_set_flop_branch_set_raw_q, branch_jump_set_done_q,
+         branch_jump_set_done_d, id_fsm_q, N130, n3, n4, n5, n6, n7, n8, n9,
+         n10, n11, n12, n13, n14, n15, n16, n17, n18, n19, n20, n21, n22, n23,
+         n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37,
+         n38, n39, n40, n41, n42, n43, n44, n45, n46, n47, n48, n49, n50, n51,
+         n52, n53, n54, n55, n56, n57, n58, n59, n60, n61, n62, n63, n64, n65,
+         n66, n67, n68, n69, n294, n301, n302, n70, n71, n72, n73, n74, n75,
+         n76, n77, n78, n79, n80, n81, n82, n83, n84, n85, n86, n87, n88, n89,
+         n90, n91, n92, n93, n94, n95, n96, n97, n98, n99, n100, n101, n102,
+         n103, n104, n105, n106, n107, n108, n109, n110, n111, n112, n113,
+         n114, n115, n116, n117, n118, n119, n120, n121, n122, n123, n124,
+         n125, n126, n127, n128, n129, n1300, n131, n132, n133, n134, n135,
+         n136, n137, n138, n139, n140, n141, n142, n143, n144, n145, n146,
+         n147, n148, n149, n150, n151, n152, n153, n154, n155, n156, n157,
+         n158, n159, n161, n162, n163, n164, n165, n166, n167, n168, n169,
+         n170, n171, n172, n173, n174, n175, n176, n177, n178, n179, n180,
+         n181, n182, n183, n184, n185, n186, n187, n188, n189, n190, n191,
+         n192, n193, n194, n195, n196, n197, n198, n199, n200, n201, n202,
+         n203, n204, n205, n206, n207, n208, n209, n210, n211, n212, n213,
+         n214, n215, n216, n217, n218, n219, n220, n221, n222, n223, n224,
+         n225, n226, n227, n228, n229, n230, n231, n232, n233, n234, n235,
+         n236, n237, n238, n239, n240, n241, n242, n243, n244, n245, n246,
+         n247, n248, n249, n250, n251, n252, n253, n254, n255, n256, n257,
+         n258, n259, n260, n261, n262, n263, n264, n265, n266, n267, n268,
+         n269, n270, n271, n272, n273, n274, n275, n276, n277, n278, n279,
+         n280, n281, n282, n283, n284, n285, n286, n287, n288, n289, n290,
+         n291, n292, n293, n295, n296, n297, n298, n299, n300, n303, n304,
+         n305, n306, n307, n308, n309, n310, n311, n312, n313, n314, n348,
+         n350, SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47;
+  wire   [1:0] alu_op_a_mux_sel_dec;
+  wire   [2:0] imm_b_mux_sel_dec;
+  wire   [4:0] zimm_rs1_type;
+  wire   [31:0] imm_i_type;
+  wire   [31:0] imm_s_type;
+  wire   [31:1] imm_b_type;
+  wire   [31:12] imm_u_type;
+  wire   [31:1] imm_j_type;
+
+  opentitan_soc_top_ibex_decoder_0_2_0_0_0 decoder_i ( .clk_i(1'b0), .rst_ni(
+        1'b0), .illegal_insn_o(illegal_insn_dec), .ebrk_insn_o(ebrk_insn), 
+        .mret_insn_o(mret_insn_dec), .dret_insn_o(dret_insn_dec), 
+        .ecall_insn_o(ecall_insn_dec), .wfi_insn_o(wfi_insn_dec), .jump_set_o(
+        jump_set_dec), .branch_taken_i(n302), .instr_first_cycle_i(
+        instr_first_cycle_id_o), .instr_rdata_i(instr_rdata_i), 
+        .instr_rdata_alu_i({instr_rdata_alu_i[31:25], 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, instr_rdata_alu_i[14:12], 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, instr_rdata_alu_i[6:0]}), .illegal_c_insn_i(
+        illegal_c_insn_i), .imm_a_mux_sel_o(imm_a_mux_sel), .imm_b_mux_sel_o(
+        imm_b_mux_sel_dec), .bt_a_mux_sel_o({SYNOPSYS_UNCONNECTED_1, 
+        SYNOPSYS_UNCONNECTED_2}), .bt_b_mux_sel_o({SYNOPSYS_UNCONNECTED_3, 
+        SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5}), .imm_i_type_o(
+        imm_i_type), .imm_s_type_o(imm_s_type), .imm_b_type_o({imm_b_type, 
+        SYNOPSYS_UNCONNECTED_6}), .imm_u_type_o({imm_u_type, 
+        SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18}), .imm_j_type_o({imm_j_type, 
+        SYNOPSYS_UNCONNECTED_19}), .zimm_rs1_type_o({SYNOPSYS_UNCONNECTED_20, 
+        SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22, 
+        SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24, 
+        SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32, 
+        SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34, 
+        SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36, 
+        SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38, 
+        SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40, 
+        SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42, 
+        SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44, 
+        SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46, zimm_rs1_type}), 
+        .rf_wdata_sel_o(rf_wdata_sel), .rf_we_o(rf_we_dec), .rf_raddr_a_o(
+        rf_raddr_a_o), .rf_raddr_b_o(rf_raddr_b_o), .rf_waddr_o(rf_waddr_id_o), 
+        .rf_ren_a_o(rf_ren_a_dec), .rf_ren_b_o(rf_ren_b_dec), .alu_operator_o(
+        alu_operator_ex_o), .alu_op_a_mux_sel_o(alu_op_a_mux_sel_dec), 
+        .alu_op_b_mux_sel_o(alu_op_b_mux_sel_dec), .mult_en_o(mult_en_dec), 
+        .div_en_o(div_en_dec), .mult_sel_o(mult_sel_ex_o), .div_sel_o(
+        div_sel_ex_o), .multdiv_operator_o(multdiv_operator_ex_o), 
+        .multdiv_signed_mode_o(multdiv_signed_mode_ex_o), .csr_access_o(
+        csr_access_o), .csr_op_o(csr_op_o), .data_req_o(lsu_req_dec), 
+        .data_we_o(lsu_we_o), .data_type_o(lsu_type_o), 
+        .data_sign_extension_o(lsu_sign_ext_o), .jump_in_dec_o(jump_in_dec), 
+        .branch_in_dec_o(branch_in_dec) );
+  opentitan_soc_top_ibex_controller_1_0_0 controller_i ( .clk_i(n70), .rst_ni(
+        n348), .illegal_insn_i(illegal_insn_o0), .ecall_insn_i(ecall_insn_dec), 
+        .mret_insn_i(mret_insn_dec), .dret_insn_i(dret_insn_dec), .wfi_insn_i(
+        wfi_insn_dec), .ebrk_insn_i(ebrk_insn), .csr_pipe_flush_i(
+        csr_pipe_flush), .instr_valid_i(instr_valid_i), .instr_i(instr_rdata_i), .instr_compressed_i(instr_rdata_c_i), .instr_is_compressed_i(
+        instr_is_compressed_i), .instr_bp_taken_i(n350), .instr_fetch_err_i(
+        instr_fetch_err_i), .instr_fetch_err_plus2_i(instr_fetch_err_plus2_i), 
+        .pc_id_i({pc_id_i[31:1], n350}), .instr_valid_clear_o(
+        instr_valid_clear_o), .id_in_ready_o(id_in_ready_o), 
+        .controller_run_o(controller_run), .instr_req_o(instr_req_o), 
+        .pc_set_o(pc_set_o), .pc_set_spec_o(pc_set_spec_o), .pc_mux_o(pc_mux_o), .exc_pc_mux_o(exc_pc_mux_o), .exc_cause_o({exc_cause_o[5], 
+        SYNOPSYS_UNCONNECTED_47, exc_cause_o[3:0]}), .lsu_addr_last_i(
+        lsu_addr_last_i), .load_err_i(lsu_load_err_i), .store_err_i(
+        lsu_store_err_i), .wb_exception_o(wb_exception), .branch_set_i(
+        branch_set_spec), .branch_set_spec_i(branch_set_spec), 
+        .branch_not_set_i(n350), .jump_set_i(jump_set), .csr_mstatus_mie_i(
+        csr_mstatus_mie_i), .irq_pending_i(irq_pending_i), .irqs_i({n350, n350, 
+        irqs_i[15], n350, n350, n350, n350, n350, n350, n350, n350, n350, n350, 
+        n350, n350, n350, n350, n350}), .irq_nm_i(n350), .debug_req_i(n350), 
+        .debug_cause_o(debug_cause_o), .debug_csr_save_o(debug_csr_save_o), 
+        .debug_mode_o(debug_mode_o), .debug_single_step_i(debug_single_step_i), 
+        .debug_ebreakm_i(debug_ebreakm_i), .debug_ebreaku_i(debug_ebreaku_i), 
+        .trigger_match_i(n350), .csr_save_if_o(csr_save_if_o), .csr_save_id_o(
+        csr_save_id_o), .csr_save_wb_o(csr_save_wb_o), .csr_restore_mret_id_o(
+        csr_restore_mret_id_o), .csr_restore_dret_id_o(csr_restore_dret_id_o), 
+        .csr_save_cause_o(csr_save_cause_o), .csr_mtval_o(csr_mtval_o), 
+        .priv_mode_i(priv_mode_i), .csr_mstatus_tw_i(csr_mstatus_tw_i), 
+        .stall_id_i(stall_id), .stall_wb_i(stall_wb), .flush_id_o(flush_id), 
+        .ready_wb_i(ready_wb_i) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_67_ ( .D(n69), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[67]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_66_ ( .D(n68), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[66]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_65_ ( .D(n67), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[65]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_64_ ( .D(n66), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[64]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_63_ ( .D(n65), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[63]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_62_ ( .D(n64), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[62]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_61_ ( .D(n63), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[61]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_60_ ( .D(n62), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[60]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_59_ ( .D(n61), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[59]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_58_ ( .D(n60), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[58]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_57_ ( .D(n59), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[57]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_56_ ( .D(n58), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[56]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_55_ ( .D(n57), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[55]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_54_ ( .D(n56), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[54]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_53_ ( .D(n55), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[53]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_52_ ( .D(n54), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[52]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_51_ ( .D(n53), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[51]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_50_ ( .D(n52), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[50]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_49_ ( .D(n51), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[49]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_48_ ( .D(n50), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[48]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_47_ ( .D(n49), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[47]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_46_ ( .D(n48), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[46]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_45_ ( .D(n47), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[45]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_44_ ( .D(n46), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[44]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_43_ ( .D(n45), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[43]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_42_ ( .D(n44), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[42]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_41_ ( .D(n43), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[41]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_40_ ( .D(n42), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[40]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_39_ ( .D(n41), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[39]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_38_ ( .D(n40), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[38]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_37_ ( .D(n39), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[37]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_36_ ( .D(n38), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[36]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_35_ ( .D(n37), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[35]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_34_ ( .D(n36), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[34]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_31_ ( .D(n35), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_30_ ( .D(n34), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_29_ ( .D(n33), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_28_ ( .D(n32), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_27_ ( .D(n31), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_26_ ( .D(n30), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_25_ ( .D(n29), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_24_ ( .D(n28), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_23_ ( .D(n27), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_22_ ( .D(n26), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_21_ ( .D(n25), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_20_ ( .D(n24), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_19_ ( .D(n23), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_18_ ( .D(n22), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_17_ ( .D(n21), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_16_ ( .D(n20), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_15_ ( .D(n19), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_14_ ( .D(n18), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_13_ ( .D(n17), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_12_ ( .D(n16), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_11_ ( .D(n15), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_10_ ( .D(n14), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_9_ ( .D(n13), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_8_ ( .D(n12), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_7_ ( .D(n11), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_6_ ( .D(n10), .CLK(n70), .RESET_B(
+        n348), .Q(imd_val_q_ex_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_5_ ( .D(n9), .CLK(n70), .RESET_B(n348), .Q(imd_val_q_ex_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_4_ ( .D(n8), .CLK(n70), .RESET_B(n348), .Q(imd_val_q_ex_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_3_ ( .D(n7), .CLK(n70), .RESET_B(n348), .Q(imd_val_q_ex_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_2_ ( .D(n6), .CLK(n70), .RESET_B(n348), .Q(imd_val_q_ex_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_1_ ( .D(n5), .CLK(n70), .RESET_B(n348), .Q(imd_val_q_ex_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 imd_val_q_reg_0_ ( .D(n4), .CLK(n70), .RESET_B(n348), .Q(imd_val_q_ex_o[0]) );
+  sky130_fd_sc_hd__dfrtp_1 id_fsm_q_reg ( .D(n301), .CLK(n70), .RESET_B(n348), 
+        .Q(id_fsm_q) );
+  sky130_fd_sc_hd__dfrtp_1 g_branch_set_flop_branch_set_raw_q_reg ( .D(n3), 
+        .CLK(n70), .RESET_B(n348), .Q(g_branch_set_flop_branch_set_raw_q) );
+  sky130_fd_sc_hd__dfrtp_1 branch_jump_set_done_q_reg ( .D(
+        branch_jump_set_done_d), .CLK(n70), .RESET_B(n348), .Q(
+        branch_jump_set_done_q) );
+  sky130_fd_sc_hd__and2_0 U71 ( .A(N130), .B(n294), .X(n3) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(rst_ni), .Y(n145) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(n71), .Y(n70) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(clk_i), .Y(n71) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(alu_op_a_mux_sel_dec[1]), .B(n116), .X(n197)
+         );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(n192), .Y(n193) );
+  sky130_fd_sc_hd__clkinv_1 U8 ( .A(n85), .Y(n84) );
+  sky130_fd_sc_hd__clkinv_1 U9 ( .A(imd_val_we_ex_i[0]), .Y(n314) );
+  sky130_fd_sc_hd__clkinv_1 U10 ( .A(imd_val_we_ex_i[1]), .Y(n313) );
+  sky130_fd_sc_hd__clkinv_1 U11 ( .A(rf_wdata_sel), .Y(n209) );
+  sky130_fd_sc_hd__clkinv_1 U12 ( .A(imm_b_mux_sel_dec[0]), .Y(n1300) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(multdiv_operand_b_ex_o[24]), .X(
+        lsu_wdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(multdiv_operand_b_ex_o[20]), .X(
+        lsu_wdata_o[20]) );
+  sky130_fd_sc_hd__clkinv_1 U15 ( .A(n176), .Y(alu_operand_a_ex_o[13]) );
+  sky130_fd_sc_hd__conb_1 U16 ( .LO(n350), .HI(n302) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(ready_wb_i), .X(multdiv_ready_id_o) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(rf_raddr_b_o[0]), .Y(n74) );
+  sky130_fd_sc_hd__clkinv_1 U19 ( .A(rf_waddr_wb_i[3]), .Y(n72) );
+  sky130_fd_sc_hd__o22ai_1 U20 ( .A1(n72), .A2(rf_raddr_b_o[3]), .B1(n74), 
+        .B2(rf_waddr_wb_i[0]), .Y(n73) );
+  sky130_fd_sc_hd__a21oi_1 U21 ( .A1(n74), .A2(rf_waddr_wb_i[0]), .B1(n73), 
+        .Y(n83) );
+  sky130_fd_sc_hd__clkinv_1 U22 ( .A(rf_waddr_wb_i[1]), .Y(n86) );
+  sky130_fd_sc_hd__clkinv_1 U23 ( .A(rf_raddr_b_o[2]), .Y(n76) );
+  sky130_fd_sc_hd__o22ai_1 U24 ( .A1(n86), .A2(rf_raddr_b_o[1]), .B1(n76), 
+        .B2(rf_waddr_wb_i[2]), .Y(n75) );
+  sky130_fd_sc_hd__a221oi_1 U25 ( .A1(n86), .A2(rf_raddr_b_o[1]), .B1(
+        rf_waddr_wb_i[2]), .B2(n76), .C1(n75), .Y(n82) );
+  sky130_fd_sc_hd__clkinv_1 U26 ( .A(rf_raddr_b_o[4]), .Y(n80) );
+  sky130_fd_sc_hd__nor4_1 U27 ( .A(rf_raddr_b_o[4]), .B(rf_raddr_b_o[0]), .C(
+        rf_raddr_b_o[2]), .D(rf_raddr_b_o[1]), .Y(n77) );
+  sky130_fd_sc_hd__nor2_1 U28 ( .A(rf_raddr_b_o[3]), .B(n77), .Y(n78) );
+  sky130_fd_sc_hd__o22ai_1 U29 ( .A1(rf_waddr_wb_i[4]), .A2(n80), .B1(
+        rf_waddr_wb_i[3]), .B2(n78), .Y(n79) );
+  sky130_fd_sc_hd__a21oi_1 U30 ( .A1(rf_waddr_wb_i[4]), .A2(n80), .B1(n79), 
+        .Y(n81) );
+  sky130_fd_sc_hd__and3_1 U31 ( .A(n83), .B(n82), .C(n81), .X(
+        rf_rd_b_wb_match_o0) );
+  sky130_fd_sc_hd__nand2_1 U32 ( .A(rf_rd_b_wb_match_o0), .B(rf_write_wb_i), 
+        .Y(n85) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[0]), .B1(n85), 
+        .B2(rf_rdata_b_i[0]), .X(multdiv_operand_b_ex_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(multdiv_operand_b_ex_o[0]), .X(
+        lsu_wdata_o[0]) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[1]), .B1(n85), 
+        .B2(rf_rdata_b_i[1]), .X(multdiv_operand_b_ex_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(multdiv_operand_b_ex_o[1]), .X(
+        lsu_wdata_o[1]) );
+  sky130_fd_sc_hd__a22o_1 U37 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[2]), .B1(n85), 
+        .B2(rf_rdata_b_i[2]), .X(multdiv_operand_b_ex_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(multdiv_operand_b_ex_o[2]), .X(
+        lsu_wdata_o[2]) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[3]), .B1(n85), 
+        .B2(rf_rdata_b_i[3]), .X(multdiv_operand_b_ex_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(multdiv_operand_b_ex_o[3]), .X(
+        lsu_wdata_o[3]) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[4]), .B1(n85), 
+        .B2(rf_rdata_b_i[4]), .X(multdiv_operand_b_ex_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(multdiv_operand_b_ex_o[4]), .X(
+        lsu_wdata_o[4]) );
+  sky130_fd_sc_hd__a22o_1 U43 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[5]), .B1(n85), 
+        .B2(rf_rdata_b_i[5]), .X(multdiv_operand_b_ex_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(multdiv_operand_b_ex_o[5]), .X(
+        lsu_wdata_o[5]) );
+  sky130_fd_sc_hd__a22o_1 U45 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[6]), .B1(n85), 
+        .B2(rf_rdata_b_i[6]), .X(multdiv_operand_b_ex_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(multdiv_operand_b_ex_o[6]), .X(
+        lsu_wdata_o[6]) );
+  sky130_fd_sc_hd__a22o_1 U47 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[7]), .B1(n85), 
+        .B2(rf_rdata_b_i[7]), .X(multdiv_operand_b_ex_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U48 ( .A(multdiv_operand_b_ex_o[7]), .X(
+        lsu_wdata_o[7]) );
+  sky130_fd_sc_hd__a22o_1 U49 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[8]), .B1(n85), 
+        .B2(rf_rdata_b_i[8]), .X(multdiv_operand_b_ex_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U50 ( .A(multdiv_operand_b_ex_o[8]), .X(
+        lsu_wdata_o[8]) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[9]), .B1(n85), 
+        .B2(rf_rdata_b_i[9]), .X(multdiv_operand_b_ex_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U52 ( .A(multdiv_operand_b_ex_o[9]), .X(
+        lsu_wdata_o[9]) );
+  sky130_fd_sc_hd__a22o_1 U53 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[10]), .B1(n85), 
+        .B2(rf_rdata_b_i[10]), .X(multdiv_operand_b_ex_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U54 ( .A(multdiv_operand_b_ex_o[10]), .X(
+        lsu_wdata_o[10]) );
+  sky130_fd_sc_hd__a22o_1 U55 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[11]), .B1(n85), 
+        .B2(rf_rdata_b_i[11]), .X(multdiv_operand_b_ex_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U56 ( .A(multdiv_operand_b_ex_o[11]), .X(
+        lsu_wdata_o[11]) );
+  sky130_fd_sc_hd__a22o_1 U57 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[12]), .B1(n85), 
+        .B2(rf_rdata_b_i[12]), .X(multdiv_operand_b_ex_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U58 ( .A(multdiv_operand_b_ex_o[12]), .X(
+        lsu_wdata_o[12]) );
+  sky130_fd_sc_hd__a22o_1 U59 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[13]), .B1(n85), 
+        .B2(rf_rdata_b_i[13]), .X(multdiv_operand_b_ex_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U60 ( .A(multdiv_operand_b_ex_o[13]), .X(
+        lsu_wdata_o[13]) );
+  sky130_fd_sc_hd__a22o_1 U61 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[14]), .B1(n85), 
+        .B2(rf_rdata_b_i[14]), .X(multdiv_operand_b_ex_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U62 ( .A(multdiv_operand_b_ex_o[14]), .X(
+        lsu_wdata_o[14]) );
+  sky130_fd_sc_hd__a22o_1 U63 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[15]), .B1(n85), 
+        .B2(rf_rdata_b_i[15]), .X(multdiv_operand_b_ex_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U64 ( .A(multdiv_operand_b_ex_o[15]), .X(
+        lsu_wdata_o[15]) );
+  sky130_fd_sc_hd__a22o_1 U65 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[16]), .B1(n85), 
+        .B2(rf_rdata_b_i[16]), .X(multdiv_operand_b_ex_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U66 ( .A(multdiv_operand_b_ex_o[16]), .X(
+        lsu_wdata_o[16]) );
+  sky130_fd_sc_hd__a22o_1 U67 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[17]), .B1(n85), 
+        .B2(rf_rdata_b_i[17]), .X(multdiv_operand_b_ex_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U68 ( .A(multdiv_operand_b_ex_o[17]), .X(
+        lsu_wdata_o[17]) );
+  sky130_fd_sc_hd__a22o_1 U69 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[18]), .B1(n85), 
+        .B2(rf_rdata_b_i[18]), .X(multdiv_operand_b_ex_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U70 ( .A(multdiv_operand_b_ex_o[18]), .X(
+        lsu_wdata_o[18]) );
+  sky130_fd_sc_hd__a22o_1 U72 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[19]), .B1(n85), 
+        .B2(rf_rdata_b_i[19]), .X(multdiv_operand_b_ex_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U73 ( .A(multdiv_operand_b_ex_o[19]), .X(
+        lsu_wdata_o[19]) );
+  sky130_fd_sc_hd__a22o_1 U74 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[20]), .B1(n85), 
+        .B2(rf_rdata_b_i[20]), .X(multdiv_operand_b_ex_o[20]) );
+  sky130_fd_sc_hd__a22o_1 U75 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[21]), .B1(n85), 
+        .B2(rf_rdata_b_i[21]), .X(multdiv_operand_b_ex_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U76 ( .A(multdiv_operand_b_ex_o[21]), .X(
+        lsu_wdata_o[21]) );
+  sky130_fd_sc_hd__a22o_1 U77 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[22]), .B1(n85), 
+        .B2(rf_rdata_b_i[22]), .X(multdiv_operand_b_ex_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U78 ( .A(multdiv_operand_b_ex_o[22]), .X(
+        lsu_wdata_o[22]) );
+  sky130_fd_sc_hd__a22o_1 U79 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[23]), .B1(n85), 
+        .B2(rf_rdata_b_i[23]), .X(multdiv_operand_b_ex_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U80 ( .A(multdiv_operand_b_ex_o[23]), .X(
+        lsu_wdata_o[23]) );
+  sky130_fd_sc_hd__a22o_1 U81 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[24]), .B1(n85), 
+        .B2(rf_rdata_b_i[24]), .X(multdiv_operand_b_ex_o[24]) );
+  sky130_fd_sc_hd__a22o_1 U82 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[25]), .B1(n85), 
+        .B2(rf_rdata_b_i[25]), .X(multdiv_operand_b_ex_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U83 ( .A(multdiv_operand_b_ex_o[25]), .X(
+        lsu_wdata_o[25]) );
+  sky130_fd_sc_hd__a22o_1 U84 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[26]), .B1(n85), 
+        .B2(rf_rdata_b_i[26]), .X(multdiv_operand_b_ex_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U85 ( .A(multdiv_operand_b_ex_o[26]), .X(
+        lsu_wdata_o[26]) );
+  sky130_fd_sc_hd__a22o_1 U86 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[27]), .B1(n85), 
+        .B2(rf_rdata_b_i[27]), .X(multdiv_operand_b_ex_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U87 ( .A(multdiv_operand_b_ex_o[27]), .X(
+        lsu_wdata_o[27]) );
+  sky130_fd_sc_hd__a22o_1 U88 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[28]), .B1(n85), 
+        .B2(rf_rdata_b_i[28]), .X(multdiv_operand_b_ex_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U89 ( .A(multdiv_operand_b_ex_o[28]), .X(
+        lsu_wdata_o[28]) );
+  sky130_fd_sc_hd__a22o_1 U90 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[29]), .B1(n85), 
+        .B2(rf_rdata_b_i[29]), .X(multdiv_operand_b_ex_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U91 ( .A(multdiv_operand_b_ex_o[29]), .X(
+        lsu_wdata_o[29]) );
+  sky130_fd_sc_hd__a22o_1 U92 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[30]), .B1(n85), 
+        .B2(rf_rdata_b_i[30]), .X(multdiv_operand_b_ex_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U93 ( .A(multdiv_operand_b_ex_o[30]), .X(
+        lsu_wdata_o[30]) );
+  sky130_fd_sc_hd__a22o_1 U94 ( .A1(n84), .A2(rf_wdata_fwd_wb_i[31]), .B1(n85), 
+        .B2(rf_rdata_b_i[31]), .X(multdiv_operand_b_ex_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U95 ( .A(multdiv_operand_b_ex_o[31]), .X(
+        lsu_wdata_o[31]) );
+  sky130_fd_sc_hd__clkinv_1 U96 ( .A(rf_raddr_a_o[4]), .Y(n88) );
+  sky130_fd_sc_hd__o22ai_1 U97 ( .A1(rf_waddr_wb_i[4]), .A2(n88), .B1(n86), 
+        .B2(rf_raddr_a_o[1]), .Y(n87) );
+  sky130_fd_sc_hd__a21oi_1 U98 ( .A1(rf_waddr_wb_i[4]), .A2(n88), .B1(n87), 
+        .Y(n98) );
+  sky130_fd_sc_hd__clkinv_1 U99 ( .A(rf_raddr_a_o[2]), .Y(n91) );
+  sky130_fd_sc_hd__clkinv_1 U100 ( .A(rf_raddr_a_o[3]), .Y(n90) );
+  sky130_fd_sc_hd__o22ai_1 U101 ( .A1(n91), .A2(rf_waddr_wb_i[2]), .B1(n90), 
+        .B2(rf_waddr_wb_i[3]), .Y(n89) );
+  sky130_fd_sc_hd__a221oi_1 U102 ( .A1(n91), .A2(rf_waddr_wb_i[2]), .B1(
+        rf_waddr_wb_i[3]), .B2(n90), .C1(n89), .Y(n97) );
+  sky130_fd_sc_hd__clkinv_1 U103 ( .A(rf_raddr_a_o[0]), .Y(n95) );
+  sky130_fd_sc_hd__nor4_1 U104 ( .A(rf_raddr_a_o[0]), .B(rf_raddr_a_o[4]), .C(
+        rf_raddr_a_o[2]), .D(rf_raddr_a_o[3]), .Y(n92) );
+  sky130_fd_sc_hd__nor2_1 U105 ( .A(rf_raddr_a_o[1]), .B(n92), .Y(n93) );
+  sky130_fd_sc_hd__o22ai_1 U106 ( .A1(rf_waddr_wb_i[1]), .A2(n93), .B1(n95), 
+        .B2(rf_waddr_wb_i[0]), .Y(n94) );
+  sky130_fd_sc_hd__a21oi_1 U107 ( .A1(n95), .A2(rf_waddr_wb_i[0]), .B1(n94), 
+        .Y(n96) );
+  sky130_fd_sc_hd__and3_1 U108 ( .A(n98), .B(n97), .C(n96), .X(
+        rf_rd_a_wb_match_o0) );
+  sky130_fd_sc_hd__a22oi_1 U109 ( .A1(rf_ren_a_dec), .A2(rf_rd_a_wb_match_o0), 
+        .B1(rf_ren_b_dec), .B2(rf_rd_b_wb_match_o0), .Y(n99) );
+  sky130_fd_sc_hd__nor3_1 U110 ( .A(instr_fetch_err_i), .B(illegal_insn_dec), 
+        .C(illegal_csr_insn_i), .Y(n158) );
+  sky130_fd_sc_hd__nand2_1 U111 ( .A(instr_valid_i), .B(n158), .Y(n147) );
+  sky130_fd_sc_hd__nor3b_1 U112 ( .C_N(outstanding_load_wb_i), .A(n99), .B(
+        n147), .Y(n109) );
+  sky130_fd_sc_hd__nand3b_1 U113 ( .A_N(instr_fetch_err_i), .B(instr_valid_i), 
+        .C(controller_run), .Y(n156) );
+  sky130_fd_sc_hd__nor2_1 U114 ( .A(n109), .B(n156), .Y(n294) );
+  sky130_fd_sc_hd__clkinv_1 U115 ( .A(lsu_req_dec), .Y(instr_type_wb_o[1]) );
+  sky130_fd_sc_hd__nor3_1 U116 ( .A(id_fsm_q), .B(mult_en_dec), .C(div_en_dec), 
+        .Y(n101) );
+  sky130_fd_sc_hd__nand3_1 U117 ( .A(n101), .B(branch_in_dec), .C(
+        instr_type_wb_o[1]), .Y(n148) );
+  sky130_fd_sc_hd__nor2b_1 U118 ( .B_N(branch_decision_i), .A(n148), .Y(N130)
+         );
+  sky130_fd_sc_hd__o21bai_1 U119 ( .A1(outstanding_load_wb_i), .A2(
+        outstanding_store_wb_i), .B1_N(lsu_resp_valid_i), .Y(n110) );
+  sky130_fd_sc_hd__a21oi_1 U120 ( .A1(lsu_req_done_i), .A2(n110), .B1(
+        instr_type_wb_o[1]), .Y(n100) );
+  sky130_fd_sc_hd__nor2_1 U121 ( .A(lsu_req_dec), .B(ex_valid_i), .Y(n103) );
+  sky130_fd_sc_hd__clkinv_1 U122 ( .A(ready_wb_i), .Y(n146) );
+  sky130_fd_sc_hd__nor3_1 U123 ( .A(n100), .B(n103), .C(n146), .Y(n304) );
+  sky130_fd_sc_hd__o21ai_1 U124 ( .A1(jump_in_dec), .A2(branch_in_dec), .B1(
+        id_fsm_q), .Y(n102) );
+  sky130_fd_sc_hd__nor4bb_1 U125 ( .C_N(n101), .D_N(jump_in_dec), .A(
+        lsu_req_dec), .B(branch_in_dec), .Y(n152) );
+  sky130_fd_sc_hd__nor2_1 U126 ( .A(n152), .B(N130), .Y(n307) );
+  sky130_fd_sc_hd__o21ai_1 U127 ( .A1(n304), .A2(n102), .B1(n307), .Y(n105) );
+  sky130_fd_sc_hd__nor2_1 U128 ( .A(mult_en_dec), .B(div_en_dec), .Y(n149) );
+  sky130_fd_sc_hd__o21ai_1 U129 ( .A1(id_fsm_q), .A2(n103), .B1(n294), .Y(n104) );
+  sky130_fd_sc_hd__nor3_1 U130 ( .A(n149), .B(n304), .C(n104), .Y(n159) );
+  sky130_fd_sc_hd__a21oi_1 U131 ( .A1(n294), .A2(n105), .B1(n159), .Y(n108) );
+  sky130_fd_sc_hd__o21ai_1 U132 ( .A1(lsu_req_done_i), .A2(instr_type_wb_o[1]), 
+        .B1(n110), .Y(n106) );
+  sky130_fd_sc_hd__a21oi_1 U133 ( .A1(n106), .A2(instr_valid_i), .B1(n109), 
+        .Y(n107) );
+  sky130_fd_sc_hd__nand2_1 U134 ( .A(n108), .B(n107), .Y(stall_id) );
+  sky130_fd_sc_hd__clkinv_1 U135 ( .A(instr_valid_i), .Y(n300) );
+  sky130_fd_sc_hd__nor2_1 U136 ( .A(id_fsm_q), .B(n300), .Y(
+        instr_first_cycle_id_o) );
+  sky130_fd_sc_hd__nor2_1 U137 ( .A(wb_exception), .B(n156), .Y(n111) );
+  sky130_fd_sc_hd__nor2b_1 U138 ( .B_N(n110), .A(n109), .Y(n157) );
+  sky130_fd_sc_hd__nand2_1 U139 ( .A(n111), .B(n157), .Y(n306) );
+  sky130_fd_sc_hd__nor2_1 U140 ( .A(n306), .B(instr_type_wb_o[1]), .Y(
+        lsu_req_o) );
+  sky130_fd_sc_hd__nor2_1 U141 ( .A(lsu_addr_incr_req_i), .B(
+        alu_op_b_mux_sel_dec), .Y(n296) );
+  sky130_fd_sc_hd__nor2_1 U142 ( .A(imm_b_mux_sel_dec[2]), .B(
+        lsu_addr_incr_req_i), .Y(n132) );
+  sky130_fd_sc_hd__clkinv_1 U143 ( .A(n132), .Y(n122) );
+  sky130_fd_sc_hd__nor2_1 U144 ( .A(lsu_addr_incr_req_i), .B(
+        imm_b_mux_sel_dec[1]), .Y(n232) );
+  sky130_fd_sc_hd__nor2_1 U145 ( .A(n296), .B(imm_b_mux_sel_dec[0]), .Y(n135)
+         );
+  sky130_fd_sc_hd__nand2_1 U146 ( .A(n232), .B(n135), .Y(n119) );
+  sky130_fd_sc_hd__nor2_1 U147 ( .A(n122), .B(n119), .Y(n295) );
+  sky130_fd_sc_hd__clkinv_1 U148 ( .A(n232), .Y(n134) );
+  sky130_fd_sc_hd__or3_1 U149 ( .A(n122), .B(n1300), .C(n296), .X(n231) );
+  sky130_fd_sc_hd__nor2_1 U150 ( .A(n134), .B(n231), .Y(n293) );
+  sky130_fd_sc_hd__a222oi_1 U151 ( .A1(multdiv_operand_b_ex_o[0]), .A2(n296), 
+        .B1(n295), .B2(imm_i_type[0]), .C1(imm_s_type[0]), .C2(n293), .Y(n112)
+         );
+  sky130_fd_sc_hd__clkinv_1 U152 ( .A(n112), .Y(alu_operand_b_ex_o[0]) );
+  sky130_fd_sc_hd__nand2_1 U153 ( .A(rf_rd_a_wb_match_o0), .B(rf_write_wb_i), 
+        .Y(n192) );
+  sky130_fd_sc_hd__a22o_1 U154 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[30]), .B1(
+        n192), .B2(rf_rdata_a_i[30]), .X(multdiv_operand_a_ex_o[30]) );
+  sky130_fd_sc_hd__nor3_1 U155 ( .A(alu_op_a_mux_sel_dec[1]), .B(
+        lsu_addr_incr_req_i), .C(alu_op_a_mux_sel_dec[0]), .Y(n198) );
+  sky130_fd_sc_hd__clkbuf_1 U156 ( .A(n198), .X(n194) );
+  sky130_fd_sc_hd__nor2_1 U157 ( .A(lsu_addr_incr_req_i), .B(
+        alu_op_a_mux_sel_dec[0]), .Y(n116) );
+  sky130_fd_sc_hd__clkinv_1 U158 ( .A(lsu_addr_incr_req_i), .Y(n114) );
+  sky130_fd_sc_hd__a21oi_1 U159 ( .A1(alu_op_a_mux_sel_dec[1]), .A2(n114), 
+        .B1(n116), .Y(n196) );
+  sky130_fd_sc_hd__a222oi_1 U160 ( .A1(multdiv_operand_a_ex_o[30]), .A2(n194), 
+        .B1(n197), .B2(pc_id_i[30]), .C1(n196), .C2(lsu_addr_last_i[30]), .Y(
+        n113) );
+  sky130_fd_sc_hd__clkinv_1 U161 ( .A(n113), .Y(alu_operand_a_ex_o[30]) );
+  sky130_fd_sc_hd__a22o_1 U162 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[1]), .B1(
+        n192), .B2(rf_rdata_a_i[1]), .X(multdiv_operand_a_ex_o[1]) );
+  sky130_fd_sc_hd__nand2_1 U163 ( .A(alu_op_a_mux_sel_dec[1]), .B(n114), .Y(
+        n115) );
+  sky130_fd_sc_hd__nor3_1 U164 ( .A(n116), .B(imm_a_mux_sel), .C(n115), .Y(
+        n165) );
+  sky130_fd_sc_hd__a22oi_1 U165 ( .A1(n165), .A2(zimm_rs1_type[1]), .B1(n197), 
+        .B2(pc_id_i[1]), .Y(n118) );
+  sky130_fd_sc_hd__a22oi_1 U166 ( .A1(n194), .A2(multdiv_operand_a_ex_o[1]), 
+        .B1(n196), .B2(lsu_addr_last_i[1]), .Y(n117) );
+  sky130_fd_sc_hd__nand2_1 U167 ( .A(n118), .B(n117), .Y(alu_operand_a_ex_o[1]) );
+  sky130_fd_sc_hd__nor2_1 U168 ( .A(n132), .B(n119), .Y(n290) );
+  sky130_fd_sc_hd__a22oi_1 U169 ( .A1(n293), .A2(imm_s_type[1]), .B1(n290), 
+        .B2(imm_j_type[1]), .Y(n126) );
+  sky130_fd_sc_hd__a22oi_1 U170 ( .A1(n296), .A2(multdiv_operand_b_ex_o[1]), 
+        .B1(n295), .B2(imm_i_type[1]), .Y(n125) );
+  sky130_fd_sc_hd__nor2_1 U171 ( .A(n296), .B(n1300), .Y(n120) );
+  sky130_fd_sc_hd__nand4_1 U172 ( .A(n232), .B(n120), .C(instr_is_compressed_i), .D(n122), .Y(n124) );
+  sky130_fd_sc_hd__nand2_1 U173 ( .A(n135), .B(n134), .Y(n121) );
+  sky130_fd_sc_hd__nor2_1 U174 ( .A(n122), .B(n121), .Y(n291) );
+  sky130_fd_sc_hd__nand2_1 U175 ( .A(n291), .B(imm_b_type[1]), .Y(n123) );
+  sky130_fd_sc_hd__nand4_1 U176 ( .A(n126), .B(n125), .C(n124), .D(n123), .Y(
+        alu_operand_b_ex_o[1]) );
+  sky130_fd_sc_hd__a22o_1 U177 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[2]), .B1(
+        n192), .B2(rf_rdata_a_i[2]), .X(multdiv_operand_a_ex_o[2]) );
+  sky130_fd_sc_hd__a22oi_1 U178 ( .A1(n165), .A2(zimm_rs1_type[2]), .B1(n197), 
+        .B2(pc_id_i[2]), .Y(n128) );
+  sky130_fd_sc_hd__a22oi_1 U179 ( .A1(n194), .A2(multdiv_operand_a_ex_o[2]), 
+        .B1(n196), .B2(lsu_addr_last_i[2]), .Y(n127) );
+  sky130_fd_sc_hd__nand2_1 U180 ( .A(n128), .B(n127), .Y(alu_operand_a_ex_o[2]) );
+  sky130_fd_sc_hd__nand2_1 U181 ( .A(n296), .B(multdiv_operand_b_ex_o[2]), .Y(
+        n138) );
+  sky130_fd_sc_hd__o21ai_1 U182 ( .A1(instr_is_compressed_i), .A2(n1300), .B1(
+        n232), .Y(n129) );
+  sky130_fd_sc_hd__a21oi_1 U183 ( .A1(n1300), .A2(imm_j_type[2]), .B1(n129), 
+        .Y(n131) );
+  sky130_fd_sc_hd__nor3_1 U184 ( .A(n296), .B(n132), .C(n131), .Y(n133) );
+  sky130_fd_sc_hd__a31oi_1 U185 ( .A1(n135), .A2(imm_b_type[2]), .A3(n134), 
+        .B1(n133), .Y(n137) );
+  sky130_fd_sc_hd__a22oi_1 U186 ( .A1(n293), .A2(imm_s_type[2]), .B1(n295), 
+        .B2(imm_i_type[2]), .Y(n136) );
+  sky130_fd_sc_hd__nand3_1 U187 ( .A(n138), .B(n137), .C(n136), .Y(
+        alu_operand_b_ex_o[2]) );
+  sky130_fd_sc_hd__a22oi_1 U188 ( .A1(n293), .A2(imm_s_type[3]), .B1(n291), 
+        .B2(imm_b_type[3]), .Y(n141) );
+  sky130_fd_sc_hd__a22oi_1 U189 ( .A1(n295), .A2(imm_i_type[3]), .B1(n290), 
+        .B2(imm_j_type[3]), .Y(n140) );
+  sky130_fd_sc_hd__nand2_1 U190 ( .A(n296), .B(multdiv_operand_b_ex_o[3]), .Y(
+        n139) );
+  sky130_fd_sc_hd__nand3_1 U191 ( .A(n141), .B(n140), .C(n139), .Y(
+        alu_operand_b_ex_o[3]) );
+  sky130_fd_sc_hd__a22oi_1 U192 ( .A1(n293), .A2(imm_s_type[4]), .B1(n291), 
+        .B2(imm_b_type[4]), .Y(n144) );
+  sky130_fd_sc_hd__a22oi_1 U193 ( .A1(n295), .A2(imm_i_type[4]), .B1(n290), 
+        .B2(imm_j_type[4]), .Y(n143) );
+  sky130_fd_sc_hd__nand2_1 U194 ( .A(n296), .B(multdiv_operand_b_ex_o[4]), .Y(
+        n142) );
+  sky130_fd_sc_hd__nand3_1 U195 ( .A(n144), .B(n143), .C(n142), .Y(
+        alu_operand_b_ex_o[4]) );
+  sky130_fd_sc_hd__inv_2 U196 ( .A(n145), .Y(n348) );
+  sky130_fd_sc_hd__clkinv_1 U197 ( .A(div_en_dec), .Y(n161) );
+  sky130_fd_sc_hd__nor2_1 U198 ( .A(n306), .B(n161), .Y(div_en_ex_o) );
+  sky130_fd_sc_hd__or3_1 U199 ( .A(flush_id), .B(stall_id), .C(n306), .X(n155)
+         );
+  sky130_fd_sc_hd__inv_2 U200 ( .A(n155), .Y(en_wb_o) );
+  sky130_fd_sc_hd__nor2b_1 U201 ( .B_N(g_branch_set_flop_branch_set_raw_q), 
+        .A(branch_jump_set_done_q), .Y(branch_set_spec) );
+  sky130_fd_sc_hd__nor2_1 U202 ( .A(n146), .B(n155), .Y(instr_id_done_o0) );
+  sky130_fd_sc_hd__and2_0 U203 ( .A(csr_access_o), .B(instr_id_done_o0), .X(
+        csr_op_en_o) );
+  sky130_fd_sc_hd__a22o_1 U204 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[31]), .B1(
+        n192), .B2(rf_rdata_a_i[31]), .X(multdiv_operand_a_ex_o[31]) );
+  sky130_fd_sc_hd__clkinv_1 U208 ( .A(lsu_req_o), .Y(n312) );
+  sky130_fd_sc_hd__nor2_1 U209 ( .A(n149), .B(ex_valid_i), .Y(n305) );
+  sky130_fd_sc_hd__o22ai_1 U210 ( .A1(id_fsm_q), .A2(n312), .B1(n305), .B2(
+        n306), .Y(n150) );
+  sky130_fd_sc_hd__nand2_1 U211 ( .A(rf_we_dec), .B(n150), .Y(n151) );
+  sky130_fd_sc_hd__nor2_1 U212 ( .A(illegal_csr_insn_i), .B(n151), .Y(
+        rf_we_id_o) );
+  sky130_fd_sc_hd__nor2_1 U213 ( .A(branch_jump_set_done_q), .B(
+        g_branch_set_flop_branch_set_raw_q), .Y(n153) );
+  sky130_fd_sc_hd__nand3_1 U214 ( .A(n152), .B(n294), .C(jump_set_dec), .Y(
+        n154) );
+  sky130_fd_sc_hd__a21oi_1 U215 ( .A1(n153), .A2(n154), .B1(
+        instr_valid_clear_o), .Y(branch_jump_set_done_d) );
+  sky130_fd_sc_hd__nor2_1 U216 ( .A(branch_jump_set_done_q), .B(n154), .Y(
+        jump_set) );
+  sky130_fd_sc_hd__nor2_1 U217 ( .A(ready_wb_i), .B(n155), .Y(stall_wb) );
+  sky130_fd_sc_hd__nor3b_1 U219 ( .C_N(n158), .A(ebrk_insn), .B(ecall_insn_dec), .Y(instr_perf_count_id_o) );
+  sky130_fd_sc_hd__clkinv_1 U220 ( .A(mult_en_dec), .Y(n200) );
+  sky130_fd_sc_hd__nor2b_1 U224 ( .B_N(lsu_we_o), .A(instr_type_wb_o[1]), .Y(
+        instr_type_wb_o[0]) );
+  sky130_fd_sc_hd__a22o_1 U225 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[0]), .B1(
+        n192), .B2(rf_rdata_a_i[0]), .X(multdiv_operand_a_ex_o[0]) );
+  sky130_fd_sc_hd__a222oi_1 U226 ( .A1(multdiv_operand_a_ex_o[0]), .A2(n194), 
+        .B1(n196), .B2(lsu_addr_last_i[0]), .C1(zimm_rs1_type[0]), .C2(n165), 
+        .Y(n162) );
+  sky130_fd_sc_hd__clkinv_1 U227 ( .A(n162), .Y(alu_operand_a_ex_o[0]) );
+  sky130_fd_sc_hd__a22o_1 U228 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[3]), .B1(
+        n192), .B2(rf_rdata_a_i[3]), .X(multdiv_operand_a_ex_o[3]) );
+  sky130_fd_sc_hd__a22oi_1 U229 ( .A1(n165), .A2(zimm_rs1_type[3]), .B1(n197), 
+        .B2(pc_id_i[3]), .Y(n164) );
+  sky130_fd_sc_hd__a22oi_1 U230 ( .A1(n194), .A2(multdiv_operand_a_ex_o[3]), 
+        .B1(n196), .B2(lsu_addr_last_i[3]), .Y(n163) );
+  sky130_fd_sc_hd__nand2_1 U231 ( .A(n164), .B(n163), .Y(alu_operand_a_ex_o[3]) );
+  sky130_fd_sc_hd__a22o_1 U232 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[4]), .B1(
+        n192), .B2(rf_rdata_a_i[4]), .X(multdiv_operand_a_ex_o[4]) );
+  sky130_fd_sc_hd__a22oi_1 U233 ( .A1(n165), .A2(zimm_rs1_type[4]), .B1(n197), 
+        .B2(pc_id_i[4]), .Y(n167) );
+  sky130_fd_sc_hd__a22oi_1 U234 ( .A1(n194), .A2(multdiv_operand_a_ex_o[4]), 
+        .B1(n196), .B2(lsu_addr_last_i[4]), .Y(n166) );
+  sky130_fd_sc_hd__nand2_1 U235 ( .A(n167), .B(n166), .Y(alu_operand_a_ex_o[4]) );
+  sky130_fd_sc_hd__a22o_1 U236 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[5]), .B1(
+        n192), .B2(rf_rdata_a_i[5]), .X(multdiv_operand_a_ex_o[5]) );
+  sky130_fd_sc_hd__a222oi_1 U237 ( .A1(multdiv_operand_a_ex_o[5]), .A2(n194), 
+        .B1(n197), .B2(pc_id_i[5]), .C1(n196), .C2(lsu_addr_last_i[5]), .Y(
+        n168) );
+  sky130_fd_sc_hd__clkinv_1 U238 ( .A(n168), .Y(alu_operand_a_ex_o[5]) );
+  sky130_fd_sc_hd__a22o_1 U239 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[6]), .B1(
+        n192), .B2(rf_rdata_a_i[6]), .X(multdiv_operand_a_ex_o[6]) );
+  sky130_fd_sc_hd__a222oi_1 U240 ( .A1(multdiv_operand_a_ex_o[6]), .A2(n194), 
+        .B1(n197), .B2(pc_id_i[6]), .C1(n196), .C2(lsu_addr_last_i[6]), .Y(
+        n169) );
+  sky130_fd_sc_hd__clkinv_1 U241 ( .A(n169), .Y(alu_operand_a_ex_o[6]) );
+  sky130_fd_sc_hd__a22o_1 U242 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[7]), .B1(
+        n192), .B2(rf_rdata_a_i[7]), .X(multdiv_operand_a_ex_o[7]) );
+  sky130_fd_sc_hd__a222oi_1 U243 ( .A1(multdiv_operand_a_ex_o[7]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[7]), .C1(n196), .C2(lsu_addr_last_i[7]), .Y(
+        n170) );
+  sky130_fd_sc_hd__clkinv_1 U244 ( .A(n170), .Y(alu_operand_a_ex_o[7]) );
+  sky130_fd_sc_hd__a22o_1 U245 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[8]), .B1(
+        n192), .B2(rf_rdata_a_i[8]), .X(multdiv_operand_a_ex_o[8]) );
+  sky130_fd_sc_hd__a222oi_1 U246 ( .A1(multdiv_operand_a_ex_o[8]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[8]), .C1(n196), .C2(lsu_addr_last_i[8]), .Y(
+        n171) );
+  sky130_fd_sc_hd__clkinv_1 U247 ( .A(n171), .Y(alu_operand_a_ex_o[8]) );
+  sky130_fd_sc_hd__a22o_1 U248 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[9]), .B1(
+        n192), .B2(rf_rdata_a_i[9]), .X(multdiv_operand_a_ex_o[9]) );
+  sky130_fd_sc_hd__a222oi_1 U249 ( .A1(multdiv_operand_a_ex_o[9]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[9]), .C1(n196), .C2(lsu_addr_last_i[9]), .Y(
+        n172) );
+  sky130_fd_sc_hd__clkinv_1 U250 ( .A(n172), .Y(alu_operand_a_ex_o[9]) );
+  sky130_fd_sc_hd__a22o_1 U251 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[10]), .B1(
+        n192), .B2(rf_rdata_a_i[10]), .X(multdiv_operand_a_ex_o[10]) );
+  sky130_fd_sc_hd__a222oi_1 U252 ( .A1(multdiv_operand_a_ex_o[10]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[10]), .C1(n196), .C2(lsu_addr_last_i[10]), .Y(
+        n173) );
+  sky130_fd_sc_hd__clkinv_1 U253 ( .A(n173), .Y(alu_operand_a_ex_o[10]) );
+  sky130_fd_sc_hd__a22o_1 U254 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[11]), .B1(
+        n192), .B2(rf_rdata_a_i[11]), .X(multdiv_operand_a_ex_o[11]) );
+  sky130_fd_sc_hd__a222oi_1 U255 ( .A1(multdiv_operand_a_ex_o[11]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[11]), .C1(n196), .C2(lsu_addr_last_i[11]), .Y(
+        n174) );
+  sky130_fd_sc_hd__clkinv_1 U256 ( .A(n174), .Y(alu_operand_a_ex_o[11]) );
+  sky130_fd_sc_hd__a22o_1 U257 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[12]), .B1(
+        n192), .B2(rf_rdata_a_i[12]), .X(multdiv_operand_a_ex_o[12]) );
+  sky130_fd_sc_hd__a222oi_1 U258 ( .A1(multdiv_operand_a_ex_o[12]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[12]), .C1(n196), .C2(lsu_addr_last_i[12]), .Y(
+        n175) );
+  sky130_fd_sc_hd__clkinv_1 U259 ( .A(n175), .Y(alu_operand_a_ex_o[12]) );
+  sky130_fd_sc_hd__a22o_1 U260 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[13]), .B1(
+        n192), .B2(rf_rdata_a_i[13]), .X(multdiv_operand_a_ex_o[13]) );
+  sky130_fd_sc_hd__a222oi_1 U261 ( .A1(multdiv_operand_a_ex_o[13]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[13]), .C1(n196), .C2(lsu_addr_last_i[13]), .Y(
+        n176) );
+  sky130_fd_sc_hd__a22o_1 U262 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[14]), .B1(
+        n192), .B2(rf_rdata_a_i[14]), .X(multdiv_operand_a_ex_o[14]) );
+  sky130_fd_sc_hd__a222oi_1 U263 ( .A1(multdiv_operand_a_ex_o[14]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[14]), .C1(n196), .C2(lsu_addr_last_i[14]), .Y(
+        n177) );
+  sky130_fd_sc_hd__clkinv_1 U264 ( .A(n177), .Y(alu_operand_a_ex_o[14]) );
+  sky130_fd_sc_hd__a22o_1 U265 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[15]), .B1(
+        n192), .B2(rf_rdata_a_i[15]), .X(multdiv_operand_a_ex_o[15]) );
+  sky130_fd_sc_hd__a222oi_1 U266 ( .A1(multdiv_operand_a_ex_o[15]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[15]), .C1(n196), .C2(lsu_addr_last_i[15]), .Y(
+        n178) );
+  sky130_fd_sc_hd__clkinv_1 U267 ( .A(n178), .Y(alu_operand_a_ex_o[15]) );
+  sky130_fd_sc_hd__a22o_1 U268 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[16]), .B1(
+        n192), .B2(rf_rdata_a_i[16]), .X(multdiv_operand_a_ex_o[16]) );
+  sky130_fd_sc_hd__a222oi_1 U269 ( .A1(multdiv_operand_a_ex_o[16]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[16]), .C1(n196), .C2(lsu_addr_last_i[16]), .Y(
+        n179) );
+  sky130_fd_sc_hd__clkinv_1 U270 ( .A(n179), .Y(alu_operand_a_ex_o[16]) );
+  sky130_fd_sc_hd__a22o_1 U271 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[17]), .B1(
+        n192), .B2(rf_rdata_a_i[17]), .X(multdiv_operand_a_ex_o[17]) );
+  sky130_fd_sc_hd__a222oi_1 U272 ( .A1(multdiv_operand_a_ex_o[17]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[17]), .C1(n196), .C2(lsu_addr_last_i[17]), .Y(
+        n180) );
+  sky130_fd_sc_hd__clkinv_1 U273 ( .A(n180), .Y(alu_operand_a_ex_o[17]) );
+  sky130_fd_sc_hd__a22o_1 U274 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[18]), .B1(
+        n192), .B2(rf_rdata_a_i[18]), .X(multdiv_operand_a_ex_o[18]) );
+  sky130_fd_sc_hd__a222oi_1 U275 ( .A1(multdiv_operand_a_ex_o[18]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[18]), .C1(n196), .C2(lsu_addr_last_i[18]), .Y(
+        n181) );
+  sky130_fd_sc_hd__clkinv_1 U276 ( .A(n181), .Y(alu_operand_a_ex_o[18]) );
+  sky130_fd_sc_hd__a22o_1 U277 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[19]), .B1(
+        n192), .B2(rf_rdata_a_i[19]), .X(multdiv_operand_a_ex_o[19]) );
+  sky130_fd_sc_hd__a222oi_1 U278 ( .A1(multdiv_operand_a_ex_o[19]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[19]), .C1(n196), .C2(lsu_addr_last_i[19]), .Y(
+        n182) );
+  sky130_fd_sc_hd__clkinv_1 U279 ( .A(n182), .Y(alu_operand_a_ex_o[19]) );
+  sky130_fd_sc_hd__a22o_1 U280 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[20]), .B1(
+        n192), .B2(rf_rdata_a_i[20]), .X(multdiv_operand_a_ex_o[20]) );
+  sky130_fd_sc_hd__a222oi_1 U281 ( .A1(multdiv_operand_a_ex_o[20]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[20]), .C1(n196), .C2(lsu_addr_last_i[20]), .Y(
+        n183) );
+  sky130_fd_sc_hd__clkinv_1 U282 ( .A(n183), .Y(alu_operand_a_ex_o[20]) );
+  sky130_fd_sc_hd__a22o_1 U283 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[21]), .B1(
+        n192), .B2(rf_rdata_a_i[21]), .X(multdiv_operand_a_ex_o[21]) );
+  sky130_fd_sc_hd__a222oi_1 U284 ( .A1(multdiv_operand_a_ex_o[21]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[21]), .C1(n196), .C2(lsu_addr_last_i[21]), .Y(
+        n184) );
+  sky130_fd_sc_hd__clkinv_1 U285 ( .A(n184), .Y(alu_operand_a_ex_o[21]) );
+  sky130_fd_sc_hd__a22o_1 U286 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[22]), .B1(
+        n192), .B2(rf_rdata_a_i[22]), .X(multdiv_operand_a_ex_o[22]) );
+  sky130_fd_sc_hd__a222oi_1 U287 ( .A1(multdiv_operand_a_ex_o[22]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[22]), .C1(n196), .C2(lsu_addr_last_i[22]), .Y(
+        n185) );
+  sky130_fd_sc_hd__clkinv_1 U288 ( .A(n185), .Y(alu_operand_a_ex_o[22]) );
+  sky130_fd_sc_hd__a22o_1 U289 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[23]), .B1(
+        n192), .B2(rf_rdata_a_i[23]), .X(multdiv_operand_a_ex_o[23]) );
+  sky130_fd_sc_hd__a222oi_1 U290 ( .A1(multdiv_operand_a_ex_o[23]), .A2(n194), 
+        .B1(n197), .B2(pc_id_i[23]), .C1(n196), .C2(lsu_addr_last_i[23]), .Y(
+        n186) );
+  sky130_fd_sc_hd__clkinv_1 U291 ( .A(n186), .Y(alu_operand_a_ex_o[23]) );
+  sky130_fd_sc_hd__a22o_1 U292 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[24]), .B1(
+        n192), .B2(rf_rdata_a_i[24]), .X(multdiv_operand_a_ex_o[24]) );
+  sky130_fd_sc_hd__a222oi_1 U293 ( .A1(multdiv_operand_a_ex_o[24]), .A2(n194), 
+        .B1(n197), .B2(pc_id_i[24]), .C1(n196), .C2(lsu_addr_last_i[24]), .Y(
+        n187) );
+  sky130_fd_sc_hd__clkinv_1 U294 ( .A(n187), .Y(alu_operand_a_ex_o[24]) );
+  sky130_fd_sc_hd__a22o_1 U295 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[25]), .B1(
+        n192), .B2(rf_rdata_a_i[25]), .X(multdiv_operand_a_ex_o[25]) );
+  sky130_fd_sc_hd__a222oi_1 U296 ( .A1(multdiv_operand_a_ex_o[25]), .A2(n194), 
+        .B1(n197), .B2(pc_id_i[25]), .C1(n196), .C2(lsu_addr_last_i[25]), .Y(
+        n188) );
+  sky130_fd_sc_hd__clkinv_1 U297 ( .A(n188), .Y(alu_operand_a_ex_o[25]) );
+  sky130_fd_sc_hd__a22o_1 U298 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[26]), .B1(
+        n192), .B2(rf_rdata_a_i[26]), .X(multdiv_operand_a_ex_o[26]) );
+  sky130_fd_sc_hd__a222oi_1 U299 ( .A1(multdiv_operand_a_ex_o[26]), .A2(n194), 
+        .B1(n197), .B2(pc_id_i[26]), .C1(n196), .C2(lsu_addr_last_i[26]), .Y(
+        n189) );
+  sky130_fd_sc_hd__clkinv_1 U300 ( .A(n189), .Y(alu_operand_a_ex_o[26]) );
+  sky130_fd_sc_hd__a22o_1 U301 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[27]), .B1(
+        n192), .B2(rf_rdata_a_i[27]), .X(multdiv_operand_a_ex_o[27]) );
+  sky130_fd_sc_hd__a222oi_1 U302 ( .A1(multdiv_operand_a_ex_o[27]), .A2(n194), 
+        .B1(n197), .B2(pc_id_i[27]), .C1(n196), .C2(lsu_addr_last_i[27]), .Y(
+        n190) );
+  sky130_fd_sc_hd__clkinv_1 U303 ( .A(n190), .Y(alu_operand_a_ex_o[27]) );
+  sky130_fd_sc_hd__a22o_1 U304 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[28]), .B1(
+        n192), .B2(rf_rdata_a_i[28]), .X(multdiv_operand_a_ex_o[28]) );
+  sky130_fd_sc_hd__a222oi_1 U305 ( .A1(multdiv_operand_a_ex_o[28]), .A2(n194), 
+        .B1(n197), .B2(pc_id_i[28]), .C1(n196), .C2(lsu_addr_last_i[28]), .Y(
+        n191) );
+  sky130_fd_sc_hd__clkinv_1 U306 ( .A(n191), .Y(alu_operand_a_ex_o[28]) );
+  sky130_fd_sc_hd__a22o_1 U307 ( .A1(n193), .A2(rf_wdata_fwd_wb_i[29]), .B1(
+        n192), .B2(rf_rdata_a_i[29]), .X(multdiv_operand_a_ex_o[29]) );
+  sky130_fd_sc_hd__a222oi_1 U308 ( .A1(multdiv_operand_a_ex_o[29]), .A2(n194), 
+        .B1(n197), .B2(pc_id_i[29]), .C1(n196), .C2(lsu_addr_last_i[29]), .Y(
+        n195) );
+  sky130_fd_sc_hd__clkinv_1 U309 ( .A(n195), .Y(alu_operand_a_ex_o[29]) );
+  sky130_fd_sc_hd__a222oi_1 U310 ( .A1(multdiv_operand_a_ex_o[31]), .A2(n198), 
+        .B1(n197), .B2(pc_id_i[31]), .C1(n196), .C2(lsu_addr_last_i[31]), .Y(
+        n199) );
+  sky130_fd_sc_hd__clkinv_1 U311 ( .A(n199), .Y(alu_operand_a_ex_o[31]) );
+  sky130_fd_sc_hd__nor2_1 U312 ( .A(n306), .B(n200), .Y(mult_en_ex_o) );
+  sky130_fd_sc_hd__a211oi_1 U313 ( .A1(csr_op_o[0]), .A2(csr_op_o[1]), .B1(
+        instr_rdata_i[25]), .C1(instr_rdata_i[30]), .Y(n201) );
+  sky130_fd_sc_hd__o21ai_1 U314 ( .A1(csr_op_o[0]), .A2(csr_op_o[1]), .B1(n201), .Y(n202) );
+  sky130_fd_sc_hd__nor4_1 U315 ( .A(instr_rdata_i[24]), .B(instr_rdata_i[21]), 
+        .C(instr_rdata_i[20]), .D(n202), .Y(n206) );
+  sky130_fd_sc_hd__clkinv_1 U316 ( .A(instr_rdata_i[27]), .Y(n205) );
+  sky130_fd_sc_hd__nand4_1 U317 ( .A(csr_op_o[1]), .B(instr_rdata_i[30]), .C(
+        instr_rdata_i[25]), .D(instr_rdata_i[24]), .Y(n203) );
+  sky130_fd_sc_hd__nor3b_1 U318 ( .C_N(csr_op_o[0]), .A(instr_rdata_i[22]), 
+        .B(n203), .Y(n204) );
+  sky130_fd_sc_hd__o221ai_1 U319 ( .A1(instr_rdata_i[27]), .A2(n206), .B1(n205), .B2(n204), .C1(csr_op_en_o), .Y(n207) );
+  sky130_fd_sc_hd__nor4_1 U320 ( .A(instr_rdata_i[23]), .B(instr_rdata_i[26]), 
+        .C(instr_rdata_i[31]), .D(n207), .Y(n208) );
+  sky130_fd_sc_hd__and3_1 U321 ( .A(instr_rdata_i[28]), .B(instr_rdata_i[29]), 
+        .C(n208), .X(csr_pipe_flush) );
+  sky130_fd_sc_hd__a22o_1 U322 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[0]), .B1(
+        n209), .B2(result_ex_i[0]), .X(rf_wdata_id_o[0]) );
+  sky130_fd_sc_hd__a22o_1 U323 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[1]), .B1(
+        n209), .B2(result_ex_i[1]), .X(rf_wdata_id_o[1]) );
+  sky130_fd_sc_hd__a22o_1 U324 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[2]), .B1(
+        n209), .B2(result_ex_i[2]), .X(rf_wdata_id_o[2]) );
+  sky130_fd_sc_hd__a22o_1 U325 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[3]), .B1(
+        n209), .B2(result_ex_i[3]), .X(rf_wdata_id_o[3]) );
+  sky130_fd_sc_hd__a22o_1 U326 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[4]), .B1(
+        n209), .B2(result_ex_i[4]), .X(rf_wdata_id_o[4]) );
+  sky130_fd_sc_hd__a22o_1 U327 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[5]), .B1(
+        n209), .B2(result_ex_i[5]), .X(rf_wdata_id_o[5]) );
+  sky130_fd_sc_hd__a22o_1 U328 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[6]), .B1(
+        n209), .B2(result_ex_i[6]), .X(rf_wdata_id_o[6]) );
+  sky130_fd_sc_hd__a22o_1 U329 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[7]), .B1(
+        n209), .B2(result_ex_i[7]), .X(rf_wdata_id_o[7]) );
+  sky130_fd_sc_hd__a22o_1 U330 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[8]), .B1(
+        n209), .B2(result_ex_i[8]), .X(rf_wdata_id_o[8]) );
+  sky130_fd_sc_hd__a22o_1 U331 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[9]), .B1(
+        n209), .B2(result_ex_i[9]), .X(rf_wdata_id_o[9]) );
+  sky130_fd_sc_hd__a22o_1 U332 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[10]), .B1(
+        n209), .B2(result_ex_i[10]), .X(rf_wdata_id_o[10]) );
+  sky130_fd_sc_hd__a22o_1 U333 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[11]), .B1(
+        n209), .B2(result_ex_i[11]), .X(rf_wdata_id_o[11]) );
+  sky130_fd_sc_hd__a22o_1 U334 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[12]), .B1(
+        n209), .B2(result_ex_i[12]), .X(rf_wdata_id_o[12]) );
+  sky130_fd_sc_hd__a22o_1 U335 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[13]), .B1(
+        n209), .B2(result_ex_i[13]), .X(rf_wdata_id_o[13]) );
+  sky130_fd_sc_hd__a22o_1 U336 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[14]), .B1(
+        n209), .B2(result_ex_i[14]), .X(rf_wdata_id_o[14]) );
+  sky130_fd_sc_hd__a22o_1 U337 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[15]), .B1(
+        n209), .B2(result_ex_i[15]), .X(rf_wdata_id_o[15]) );
+  sky130_fd_sc_hd__a22o_1 U338 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[16]), .B1(
+        n209), .B2(result_ex_i[16]), .X(rf_wdata_id_o[16]) );
+  sky130_fd_sc_hd__a22o_1 U339 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[17]), .B1(
+        n209), .B2(result_ex_i[17]), .X(rf_wdata_id_o[17]) );
+  sky130_fd_sc_hd__a22o_1 U340 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[18]), .B1(
+        n209), .B2(result_ex_i[18]), .X(rf_wdata_id_o[18]) );
+  sky130_fd_sc_hd__a22o_1 U341 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[19]), .B1(
+        n209), .B2(result_ex_i[19]), .X(rf_wdata_id_o[19]) );
+  sky130_fd_sc_hd__a22o_1 U342 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[20]), .B1(
+        n209), .B2(result_ex_i[20]), .X(rf_wdata_id_o[20]) );
+  sky130_fd_sc_hd__a22o_1 U343 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[21]), .B1(
+        n209), .B2(result_ex_i[21]), .X(rf_wdata_id_o[21]) );
+  sky130_fd_sc_hd__a22o_1 U344 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[22]), .B1(
+        n209), .B2(result_ex_i[22]), .X(rf_wdata_id_o[22]) );
+  sky130_fd_sc_hd__a22o_1 U345 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[23]), .B1(
+        n209), .B2(result_ex_i[23]), .X(rf_wdata_id_o[23]) );
+  sky130_fd_sc_hd__a22o_1 U346 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[24]), .B1(
+        n209), .B2(result_ex_i[24]), .X(rf_wdata_id_o[24]) );
+  sky130_fd_sc_hd__a22o_1 U347 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[25]), .B1(
+        n209), .B2(result_ex_i[25]), .X(rf_wdata_id_o[25]) );
+  sky130_fd_sc_hd__a22o_1 U348 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[26]), .B1(
+        n209), .B2(result_ex_i[26]), .X(rf_wdata_id_o[26]) );
+  sky130_fd_sc_hd__a22o_1 U349 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[27]), .B1(
+        n209), .B2(result_ex_i[27]), .X(rf_wdata_id_o[27]) );
+  sky130_fd_sc_hd__a22o_1 U350 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[28]), .B1(
+        n209), .B2(result_ex_i[28]), .X(rf_wdata_id_o[28]) );
+  sky130_fd_sc_hd__a22o_1 U351 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[29]), .B1(
+        n209), .B2(result_ex_i[29]), .X(rf_wdata_id_o[29]) );
+  sky130_fd_sc_hd__a22o_1 U352 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[30]), .B1(
+        n209), .B2(result_ex_i[30]), .X(rf_wdata_id_o[30]) );
+  sky130_fd_sc_hd__a22o_1 U353 ( .A1(rf_wdata_sel), .A2(csr_rdata_i[31]), .B1(
+        n209), .B2(result_ex_i[31]), .X(rf_wdata_id_o[31]) );
+  sky130_fd_sc_hd__a22oi_1 U354 ( .A1(n293), .A2(imm_s_type[5]), .B1(n291), 
+        .B2(imm_b_type[5]), .Y(n212) );
+  sky130_fd_sc_hd__a22oi_1 U355 ( .A1(n295), .A2(imm_i_type[5]), .B1(n290), 
+        .B2(imm_j_type[5]), .Y(n211) );
+  sky130_fd_sc_hd__nand2_1 U356 ( .A(n296), .B(multdiv_operand_b_ex_o[5]), .Y(
+        n210) );
+  sky130_fd_sc_hd__nand3_1 U357 ( .A(n212), .B(n211), .C(n210), .Y(
+        alu_operand_b_ex_o[5]) );
+  sky130_fd_sc_hd__a22oi_1 U358 ( .A1(n293), .A2(imm_s_type[6]), .B1(n291), 
+        .B2(imm_b_type[6]), .Y(n215) );
+  sky130_fd_sc_hd__a22oi_1 U359 ( .A1(n295), .A2(imm_i_type[6]), .B1(n290), 
+        .B2(imm_j_type[6]), .Y(n214) );
+  sky130_fd_sc_hd__nand2_1 U360 ( .A(n296), .B(multdiv_operand_b_ex_o[6]), .Y(
+        n213) );
+  sky130_fd_sc_hd__nand3_1 U361 ( .A(n215), .B(n214), .C(n213), .Y(
+        alu_operand_b_ex_o[6]) );
+  sky130_fd_sc_hd__a22oi_1 U362 ( .A1(n293), .A2(imm_s_type[7]), .B1(n291), 
+        .B2(imm_b_type[7]), .Y(n218) );
+  sky130_fd_sc_hd__a22oi_1 U363 ( .A1(n295), .A2(imm_i_type[7]), .B1(n290), 
+        .B2(imm_j_type[7]), .Y(n217) );
+  sky130_fd_sc_hd__nand2_1 U364 ( .A(n296), .B(multdiv_operand_b_ex_o[7]), .Y(
+        n216) );
+  sky130_fd_sc_hd__nand3_1 U365 ( .A(n218), .B(n217), .C(n216), .Y(
+        alu_operand_b_ex_o[7]) );
+  sky130_fd_sc_hd__a22oi_1 U366 ( .A1(n293), .A2(imm_s_type[8]), .B1(n291), 
+        .B2(imm_b_type[8]), .Y(n221) );
+  sky130_fd_sc_hd__a22oi_1 U367 ( .A1(n295), .A2(imm_i_type[8]), .B1(n290), 
+        .B2(imm_j_type[8]), .Y(n220) );
+  sky130_fd_sc_hd__nand2_1 U368 ( .A(n296), .B(multdiv_operand_b_ex_o[8]), .Y(
+        n219) );
+  sky130_fd_sc_hd__nand3_1 U369 ( .A(n221), .B(n220), .C(n219), .Y(
+        alu_operand_b_ex_o[8]) );
+  sky130_fd_sc_hd__a22oi_1 U370 ( .A1(n293), .A2(imm_s_type[9]), .B1(n291), 
+        .B2(imm_b_type[9]), .Y(n224) );
+  sky130_fd_sc_hd__a22oi_1 U371 ( .A1(n295), .A2(imm_i_type[9]), .B1(n290), 
+        .B2(imm_j_type[9]), .Y(n223) );
+  sky130_fd_sc_hd__nand2_1 U372 ( .A(n296), .B(multdiv_operand_b_ex_o[9]), .Y(
+        n222) );
+  sky130_fd_sc_hd__nand3_1 U373 ( .A(n224), .B(n223), .C(n222), .Y(
+        alu_operand_b_ex_o[9]) );
+  sky130_fd_sc_hd__a22oi_1 U374 ( .A1(n293), .A2(imm_s_type[10]), .B1(n291), 
+        .B2(imm_b_type[10]), .Y(n227) );
+  sky130_fd_sc_hd__a22oi_1 U375 ( .A1(n295), .A2(imm_i_type[10]), .B1(n290), 
+        .B2(imm_j_type[10]), .Y(n226) );
+  sky130_fd_sc_hd__nand2_1 U376 ( .A(n296), .B(multdiv_operand_b_ex_o[10]), 
+        .Y(n225) );
+  sky130_fd_sc_hd__nand3_1 U377 ( .A(n227), .B(n226), .C(n225), .Y(
+        alu_operand_b_ex_o[10]) );
+  sky130_fd_sc_hd__a22oi_1 U378 ( .A1(n293), .A2(imm_s_type[11]), .B1(n291), 
+        .B2(imm_b_type[11]), .Y(n230) );
+  sky130_fd_sc_hd__a22oi_1 U379 ( .A1(n295), .A2(imm_i_type[11]), .B1(n290), 
+        .B2(imm_j_type[11]), .Y(n229) );
+  sky130_fd_sc_hd__nand2_1 U380 ( .A(n296), .B(multdiv_operand_b_ex_o[11]), 
+        .Y(n228) );
+  sky130_fd_sc_hd__nand3_1 U381 ( .A(n230), .B(n229), .C(n228), .Y(
+        alu_operand_b_ex_o[11]) );
+  sky130_fd_sc_hd__a22oi_1 U382 ( .A1(n291), .A2(imm_b_type[12]), .B1(n290), 
+        .B2(imm_j_type[12]), .Y(n235) );
+  sky130_fd_sc_hd__nor2_1 U383 ( .A(n232), .B(n231), .Y(n292) );
+  sky130_fd_sc_hd__a22oi_1 U384 ( .A1(n293), .A2(imm_s_type[12]), .B1(n292), 
+        .B2(imm_u_type[12]), .Y(n234) );
+  sky130_fd_sc_hd__a22oi_1 U385 ( .A1(n296), .A2(multdiv_operand_b_ex_o[12]), 
+        .B1(n295), .B2(imm_i_type[12]), .Y(n233) );
+  sky130_fd_sc_hd__nand3_1 U386 ( .A(n235), .B(n234), .C(n233), .Y(
+        alu_operand_b_ex_o[12]) );
+  sky130_fd_sc_hd__a22oi_1 U387 ( .A1(n291), .A2(imm_b_type[13]), .B1(n290), 
+        .B2(imm_j_type[13]), .Y(n238) );
+  sky130_fd_sc_hd__a22oi_1 U388 ( .A1(n293), .A2(imm_s_type[13]), .B1(n292), 
+        .B2(imm_u_type[13]), .Y(n237) );
+  sky130_fd_sc_hd__a22oi_1 U389 ( .A1(n296), .A2(multdiv_operand_b_ex_o[13]), 
+        .B1(n295), .B2(imm_i_type[13]), .Y(n236) );
+  sky130_fd_sc_hd__nand3_1 U390 ( .A(n238), .B(n237), .C(n236), .Y(
+        alu_operand_b_ex_o[13]) );
+  sky130_fd_sc_hd__a22oi_1 U391 ( .A1(n291), .A2(imm_b_type[14]), .B1(n290), 
+        .B2(imm_j_type[14]), .Y(n241) );
+  sky130_fd_sc_hd__a22oi_1 U392 ( .A1(n293), .A2(imm_s_type[14]), .B1(n292), 
+        .B2(imm_u_type[14]), .Y(n240) );
+  sky130_fd_sc_hd__a22oi_1 U393 ( .A1(n296), .A2(multdiv_operand_b_ex_o[14]), 
+        .B1(n295), .B2(imm_i_type[14]), .Y(n239) );
+  sky130_fd_sc_hd__nand3_1 U394 ( .A(n241), .B(n240), .C(n239), .Y(
+        alu_operand_b_ex_o[14]) );
+  sky130_fd_sc_hd__a22oi_1 U395 ( .A1(n291), .A2(imm_b_type[15]), .B1(n290), 
+        .B2(imm_j_type[15]), .Y(n244) );
+  sky130_fd_sc_hd__a22oi_1 U396 ( .A1(n293), .A2(imm_s_type[15]), .B1(n292), 
+        .B2(imm_u_type[15]), .Y(n243) );
+  sky130_fd_sc_hd__a22oi_1 U397 ( .A1(n296), .A2(multdiv_operand_b_ex_o[15]), 
+        .B1(n295), .B2(imm_i_type[15]), .Y(n242) );
+  sky130_fd_sc_hd__nand3_1 U398 ( .A(n244), .B(n243), .C(n242), .Y(
+        alu_operand_b_ex_o[15]) );
+  sky130_fd_sc_hd__a22oi_1 U399 ( .A1(n291), .A2(imm_b_type[16]), .B1(n290), 
+        .B2(imm_j_type[16]), .Y(n247) );
+  sky130_fd_sc_hd__a22oi_1 U400 ( .A1(n293), .A2(imm_s_type[16]), .B1(n292), 
+        .B2(imm_u_type[16]), .Y(n246) );
+  sky130_fd_sc_hd__a22oi_1 U401 ( .A1(n296), .A2(multdiv_operand_b_ex_o[16]), 
+        .B1(n295), .B2(imm_i_type[16]), .Y(n245) );
+  sky130_fd_sc_hd__nand3_1 U402 ( .A(n247), .B(n246), .C(n245), .Y(
+        alu_operand_b_ex_o[16]) );
+  sky130_fd_sc_hd__a22oi_1 U403 ( .A1(n291), .A2(imm_b_type[17]), .B1(n290), 
+        .B2(imm_j_type[17]), .Y(n250) );
+  sky130_fd_sc_hd__a22oi_1 U404 ( .A1(n293), .A2(imm_s_type[17]), .B1(n292), 
+        .B2(imm_u_type[17]), .Y(n249) );
+  sky130_fd_sc_hd__a22oi_1 U405 ( .A1(n296), .A2(multdiv_operand_b_ex_o[17]), 
+        .B1(n295), .B2(imm_i_type[17]), .Y(n248) );
+  sky130_fd_sc_hd__nand3_1 U406 ( .A(n250), .B(n249), .C(n248), .Y(
+        alu_operand_b_ex_o[17]) );
+  sky130_fd_sc_hd__a22oi_1 U407 ( .A1(n291), .A2(imm_b_type[18]), .B1(n290), 
+        .B2(imm_j_type[18]), .Y(n253) );
+  sky130_fd_sc_hd__a22oi_1 U408 ( .A1(n293), .A2(imm_s_type[18]), .B1(n292), 
+        .B2(imm_u_type[18]), .Y(n252) );
+  sky130_fd_sc_hd__a22oi_1 U409 ( .A1(n296), .A2(multdiv_operand_b_ex_o[18]), 
+        .B1(n295), .B2(imm_i_type[18]), .Y(n251) );
+  sky130_fd_sc_hd__nand3_1 U410 ( .A(n253), .B(n252), .C(n251), .Y(
+        alu_operand_b_ex_o[18]) );
+  sky130_fd_sc_hd__a22oi_1 U411 ( .A1(n291), .A2(imm_b_type[19]), .B1(n290), 
+        .B2(imm_j_type[19]), .Y(n256) );
+  sky130_fd_sc_hd__a22oi_1 U412 ( .A1(n293), .A2(imm_s_type[19]), .B1(n292), 
+        .B2(imm_u_type[19]), .Y(n255) );
+  sky130_fd_sc_hd__a22oi_1 U413 ( .A1(n296), .A2(multdiv_operand_b_ex_o[19]), 
+        .B1(n295), .B2(imm_i_type[19]), .Y(n254) );
+  sky130_fd_sc_hd__nand3_1 U414 ( .A(n256), .B(n255), .C(n254), .Y(
+        alu_operand_b_ex_o[19]) );
+  sky130_fd_sc_hd__a22oi_1 U415 ( .A1(n291), .A2(imm_b_type[20]), .B1(n290), 
+        .B2(imm_j_type[20]), .Y(n259) );
+  sky130_fd_sc_hd__a22oi_1 U416 ( .A1(n293), .A2(imm_s_type[20]), .B1(n292), 
+        .B2(imm_u_type[20]), .Y(n258) );
+  sky130_fd_sc_hd__a22oi_1 U417 ( .A1(n296), .A2(multdiv_operand_b_ex_o[20]), 
+        .B1(n295), .B2(imm_i_type[20]), .Y(n257) );
+  sky130_fd_sc_hd__nand3_1 U418 ( .A(n259), .B(n258), .C(n257), .Y(
+        alu_operand_b_ex_o[20]) );
+  sky130_fd_sc_hd__a22oi_1 U419 ( .A1(n291), .A2(imm_b_type[21]), .B1(n290), 
+        .B2(imm_j_type[21]), .Y(n262) );
+  sky130_fd_sc_hd__a22oi_1 U420 ( .A1(n293), .A2(imm_s_type[21]), .B1(n292), 
+        .B2(imm_u_type[21]), .Y(n261) );
+  sky130_fd_sc_hd__a22oi_1 U421 ( .A1(n296), .A2(multdiv_operand_b_ex_o[21]), 
+        .B1(n295), .B2(imm_i_type[21]), .Y(n260) );
+  sky130_fd_sc_hd__nand3_1 U422 ( .A(n262), .B(n261), .C(n260), .Y(
+        alu_operand_b_ex_o[21]) );
+  sky130_fd_sc_hd__a22oi_1 U423 ( .A1(n291), .A2(imm_b_type[22]), .B1(n290), 
+        .B2(imm_j_type[22]), .Y(n265) );
+  sky130_fd_sc_hd__a22oi_1 U424 ( .A1(n293), .A2(imm_s_type[22]), .B1(n292), 
+        .B2(imm_u_type[22]), .Y(n264) );
+  sky130_fd_sc_hd__a22oi_1 U425 ( .A1(n296), .A2(multdiv_operand_b_ex_o[22]), 
+        .B1(n295), .B2(imm_i_type[22]), .Y(n263) );
+  sky130_fd_sc_hd__nand3_1 U426 ( .A(n265), .B(n264), .C(n263), .Y(
+        alu_operand_b_ex_o[22]) );
+  sky130_fd_sc_hd__a22oi_1 U427 ( .A1(n291), .A2(imm_b_type[23]), .B1(n290), 
+        .B2(imm_j_type[23]), .Y(n268) );
+  sky130_fd_sc_hd__a22oi_1 U428 ( .A1(n293), .A2(imm_s_type[23]), .B1(n292), 
+        .B2(imm_u_type[23]), .Y(n267) );
+  sky130_fd_sc_hd__a22oi_1 U429 ( .A1(n296), .A2(multdiv_operand_b_ex_o[23]), 
+        .B1(n295), .B2(imm_i_type[23]), .Y(n266) );
+  sky130_fd_sc_hd__nand3_1 U430 ( .A(n268), .B(n267), .C(n266), .Y(
+        alu_operand_b_ex_o[23]) );
+  sky130_fd_sc_hd__a22oi_1 U431 ( .A1(n291), .A2(imm_b_type[24]), .B1(n290), 
+        .B2(imm_j_type[24]), .Y(n271) );
+  sky130_fd_sc_hd__a22oi_1 U432 ( .A1(n293), .A2(imm_s_type[24]), .B1(n292), 
+        .B2(imm_u_type[24]), .Y(n270) );
+  sky130_fd_sc_hd__a22oi_1 U433 ( .A1(n296), .A2(multdiv_operand_b_ex_o[24]), 
+        .B1(n295), .B2(imm_i_type[24]), .Y(n269) );
+  sky130_fd_sc_hd__nand3_1 U434 ( .A(n271), .B(n270), .C(n269), .Y(
+        alu_operand_b_ex_o[24]) );
+  sky130_fd_sc_hd__a22oi_1 U435 ( .A1(n291), .A2(imm_b_type[25]), .B1(n290), 
+        .B2(imm_j_type[25]), .Y(n274) );
+  sky130_fd_sc_hd__a22oi_1 U436 ( .A1(n293), .A2(imm_s_type[25]), .B1(n292), 
+        .B2(imm_u_type[25]), .Y(n273) );
+  sky130_fd_sc_hd__a22oi_1 U437 ( .A1(n296), .A2(multdiv_operand_b_ex_o[25]), 
+        .B1(n295), .B2(imm_i_type[25]), .Y(n272) );
+  sky130_fd_sc_hd__nand3_1 U438 ( .A(n274), .B(n273), .C(n272), .Y(
+        alu_operand_b_ex_o[25]) );
+  sky130_fd_sc_hd__a22oi_1 U439 ( .A1(n291), .A2(imm_b_type[26]), .B1(n290), 
+        .B2(imm_j_type[26]), .Y(n277) );
+  sky130_fd_sc_hd__a22oi_1 U440 ( .A1(n293), .A2(imm_s_type[26]), .B1(n292), 
+        .B2(imm_u_type[26]), .Y(n276) );
+  sky130_fd_sc_hd__a22oi_1 U441 ( .A1(n296), .A2(multdiv_operand_b_ex_o[26]), 
+        .B1(n295), .B2(imm_i_type[26]), .Y(n275) );
+  sky130_fd_sc_hd__nand3_1 U442 ( .A(n277), .B(n276), .C(n275), .Y(
+        alu_operand_b_ex_o[26]) );
+  sky130_fd_sc_hd__a22oi_1 U443 ( .A1(n291), .A2(imm_b_type[27]), .B1(n290), 
+        .B2(imm_j_type[27]), .Y(n280) );
+  sky130_fd_sc_hd__a22oi_1 U444 ( .A1(n293), .A2(imm_s_type[27]), .B1(n292), 
+        .B2(imm_u_type[27]), .Y(n279) );
+  sky130_fd_sc_hd__a22oi_1 U445 ( .A1(n296), .A2(multdiv_operand_b_ex_o[27]), 
+        .B1(n295), .B2(imm_i_type[27]), .Y(n278) );
+  sky130_fd_sc_hd__nand3_1 U446 ( .A(n280), .B(n279), .C(n278), .Y(
+        alu_operand_b_ex_o[27]) );
+  sky130_fd_sc_hd__a22oi_1 U447 ( .A1(n291), .A2(imm_b_type[28]), .B1(n290), 
+        .B2(imm_j_type[28]), .Y(n283) );
+  sky130_fd_sc_hd__a22oi_1 U448 ( .A1(n293), .A2(imm_s_type[28]), .B1(n292), 
+        .B2(imm_u_type[28]), .Y(n282) );
+  sky130_fd_sc_hd__a22oi_1 U449 ( .A1(n296), .A2(multdiv_operand_b_ex_o[28]), 
+        .B1(n295), .B2(imm_i_type[28]), .Y(n281) );
+  sky130_fd_sc_hd__nand3_1 U450 ( .A(n283), .B(n282), .C(n281), .Y(
+        alu_operand_b_ex_o[28]) );
+  sky130_fd_sc_hd__a22oi_1 U451 ( .A1(n291), .A2(imm_b_type[29]), .B1(n290), 
+        .B2(imm_j_type[29]), .Y(n286) );
+  sky130_fd_sc_hd__a22oi_1 U452 ( .A1(n293), .A2(imm_s_type[29]), .B1(n292), 
+        .B2(imm_u_type[29]), .Y(n285) );
+  sky130_fd_sc_hd__a22oi_1 U453 ( .A1(n296), .A2(multdiv_operand_b_ex_o[29]), 
+        .B1(n295), .B2(imm_i_type[29]), .Y(n284) );
+  sky130_fd_sc_hd__nand3_1 U454 ( .A(n286), .B(n285), .C(n284), .Y(
+        alu_operand_b_ex_o[29]) );
+  sky130_fd_sc_hd__a22oi_1 U455 ( .A1(n291), .A2(imm_b_type[30]), .B1(n290), 
+        .B2(imm_j_type[30]), .Y(n289) );
+  sky130_fd_sc_hd__a22oi_1 U456 ( .A1(n293), .A2(imm_s_type[30]), .B1(n292), 
+        .B2(imm_u_type[30]), .Y(n288) );
+  sky130_fd_sc_hd__a22oi_1 U457 ( .A1(n296), .A2(multdiv_operand_b_ex_o[30]), 
+        .B1(n295), .B2(imm_i_type[30]), .Y(n287) );
+  sky130_fd_sc_hd__nand3_1 U458 ( .A(n289), .B(n288), .C(n287), .Y(
+        alu_operand_b_ex_o[30]) );
+  sky130_fd_sc_hd__a22oi_1 U459 ( .A1(n291), .A2(imm_b_type[31]), .B1(n290), 
+        .B2(imm_j_type[31]), .Y(n299) );
+  sky130_fd_sc_hd__a22oi_1 U460 ( .A1(n293), .A2(imm_s_type[31]), .B1(n292), 
+        .B2(imm_u_type[31]), .Y(n298) );
+  sky130_fd_sc_hd__a22oi_1 U461 ( .A1(n296), .A2(multdiv_operand_b_ex_o[31]), 
+        .B1(n295), .B2(imm_i_type[31]), .Y(n297) );
+  sky130_fd_sc_hd__nand3_1 U462 ( .A(n299), .B(n298), .C(n297), .Y(
+        alu_operand_b_ex_o[31]) );
+  sky130_fd_sc_hd__nor2_1 U463 ( .A(illegal_insn_dec), .B(illegal_csr_insn_i), 
+        .Y(n303) );
+  sky130_fd_sc_hd__nor2_1 U464 ( .A(n303), .B(n300), .Y(illegal_insn_o0) );
+  sky130_fd_sc_hd__nand2b_1 U465 ( .A_N(n306), .B(n304), .Y(n310) );
+  sky130_fd_sc_hd__nand2_1 U466 ( .A(n305), .B(instr_type_wb_o[1]), .Y(n308)
+         );
+  sky130_fd_sc_hd__a21oi_1 U467 ( .A1(n308), .A2(n307), .B1(n306), .Y(n309) );
+  sky130_fd_sc_hd__a21oi_1 U468 ( .A1(n310), .A2(id_fsm_q), .B1(n309), .Y(n311) );
+  sky130_fd_sc_hd__o21ai_1 U469 ( .A1(lsu_req_done_i), .A2(n312), .B1(n311), 
+        .Y(n301) );
+  sky130_fd_sc_hd__a22o_1 U470 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[0]), .B1(n313), .B2(imd_val_q_ex_o[0]), .X(n4) );
+  sky130_fd_sc_hd__a22o_1 U471 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[1]), .B1(n313), .B2(imd_val_q_ex_o[1]), .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U472 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[2]), .B1(n313), .B2(imd_val_q_ex_o[2]), .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U473 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[3]), .B1(n313), .B2(imd_val_q_ex_o[3]), .X(n7) );
+  sky130_fd_sc_hd__a22o_1 U474 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[4]), .B1(n313), .B2(imd_val_q_ex_o[4]), .X(n8) );
+  sky130_fd_sc_hd__a22o_1 U475 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[5]), .B1(n313), .B2(imd_val_q_ex_o[5]), .X(n9) );
+  sky130_fd_sc_hd__a22o_1 U476 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[6]), .B1(n313), .B2(imd_val_q_ex_o[6]), .X(n10) );
+  sky130_fd_sc_hd__a22o_1 U477 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[7]), .B1(n313), .B2(imd_val_q_ex_o[7]), .X(n11) );
+  sky130_fd_sc_hd__a22o_1 U478 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[8]), .B1(n313), .B2(imd_val_q_ex_o[8]), .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U479 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[9]), .B1(n313), .B2(imd_val_q_ex_o[9]), .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U480 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[10]), .B1(n313), .B2(imd_val_q_ex_o[10]), .X(n14) );
+  sky130_fd_sc_hd__a22o_1 U481 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[11]), .B1(n313), .B2(imd_val_q_ex_o[11]), .X(n15) );
+  sky130_fd_sc_hd__a22o_1 U482 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[12]), .B1(n313), .B2(imd_val_q_ex_o[12]), .X(n16) );
+  sky130_fd_sc_hd__a22o_1 U483 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[13]), .B1(n313), .B2(imd_val_q_ex_o[13]), .X(n17) );
+  sky130_fd_sc_hd__a22o_1 U484 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[14]), .B1(n313), .B2(imd_val_q_ex_o[14]), .X(n18) );
+  sky130_fd_sc_hd__a22o_1 U485 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[15]), .B1(n313), .B2(imd_val_q_ex_o[15]), .X(n19) );
+  sky130_fd_sc_hd__a22o_1 U486 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[16]), .B1(n313), .B2(imd_val_q_ex_o[16]), .X(n20) );
+  sky130_fd_sc_hd__a22o_1 U487 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[17]), .B1(n313), .B2(imd_val_q_ex_o[17]), .X(n21) );
+  sky130_fd_sc_hd__a22o_1 U488 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[18]), .B1(n313), .B2(imd_val_q_ex_o[18]), .X(n22) );
+  sky130_fd_sc_hd__a22o_1 U489 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[19]), .B1(n313), .B2(imd_val_q_ex_o[19]), .X(n23) );
+  sky130_fd_sc_hd__a22o_1 U490 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[20]), .B1(n313), .B2(imd_val_q_ex_o[20]), .X(n24) );
+  sky130_fd_sc_hd__a22o_1 U491 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[21]), .B1(n313), .B2(imd_val_q_ex_o[21]), .X(n25) );
+  sky130_fd_sc_hd__a22o_1 U492 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[22]), .B1(n313), .B2(imd_val_q_ex_o[22]), .X(n26) );
+  sky130_fd_sc_hd__a22o_1 U493 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[23]), .B1(n313), .B2(imd_val_q_ex_o[23]), .X(n27) );
+  sky130_fd_sc_hd__a22o_1 U494 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[24]), .B1(n313), .B2(imd_val_q_ex_o[24]), .X(n28) );
+  sky130_fd_sc_hd__a22o_1 U495 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[25]), .B1(n313), .B2(imd_val_q_ex_o[25]), .X(n29) );
+  sky130_fd_sc_hd__a22o_1 U496 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[26]), .B1(n313), .B2(imd_val_q_ex_o[26]), .X(n30) );
+  sky130_fd_sc_hd__a22o_1 U497 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[27]), .B1(n313), .B2(imd_val_q_ex_o[27]), .X(n31) );
+  sky130_fd_sc_hd__a22o_1 U498 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[28]), .B1(n313), .B2(imd_val_q_ex_o[28]), .X(n32) );
+  sky130_fd_sc_hd__a22o_1 U499 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[29]), .B1(n313), .B2(imd_val_q_ex_o[29]), .X(n33) );
+  sky130_fd_sc_hd__a22o_1 U500 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[30]), .B1(n313), .B2(imd_val_q_ex_o[30]), .X(n34) );
+  sky130_fd_sc_hd__a22o_1 U501 ( .A1(imd_val_we_ex_i[1]), .A2(
+        imd_val_d_ex_i[31]), .B1(n313), .B2(imd_val_q_ex_o[31]), .X(n35) );
+  sky130_fd_sc_hd__a22o_1 U502 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[34]), .B1(n314), .B2(imd_val_q_ex_o[34]), .X(n36) );
+  sky130_fd_sc_hd__a22o_1 U503 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[35]), .B1(n314), .B2(imd_val_q_ex_o[35]), .X(n37) );
+  sky130_fd_sc_hd__a22o_1 U504 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[36]), .B1(n314), .B2(imd_val_q_ex_o[36]), .X(n38) );
+  sky130_fd_sc_hd__a22o_1 U505 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[37]), .B1(n314), .B2(imd_val_q_ex_o[37]), .X(n39) );
+  sky130_fd_sc_hd__a22o_1 U506 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[38]), .B1(n314), .B2(imd_val_q_ex_o[38]), .X(n40) );
+  sky130_fd_sc_hd__a22o_1 U507 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[39]), .B1(n314), .B2(imd_val_q_ex_o[39]), .X(n41) );
+  sky130_fd_sc_hd__a22o_1 U508 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[40]), .B1(n314), .B2(imd_val_q_ex_o[40]), .X(n42) );
+  sky130_fd_sc_hd__a22o_1 U509 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[41]), .B1(n314), .B2(imd_val_q_ex_o[41]), .X(n43) );
+  sky130_fd_sc_hd__a22o_1 U510 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[42]), .B1(n314), .B2(imd_val_q_ex_o[42]), .X(n44) );
+  sky130_fd_sc_hd__a22o_1 U511 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[43]), .B1(n314), .B2(imd_val_q_ex_o[43]), .X(n45) );
+  sky130_fd_sc_hd__a22o_1 U512 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[44]), .B1(n314), .B2(imd_val_q_ex_o[44]), .X(n46) );
+  sky130_fd_sc_hd__a22o_1 U513 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[45]), .B1(n314), .B2(imd_val_q_ex_o[45]), .X(n47) );
+  sky130_fd_sc_hd__a22o_1 U514 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[46]), .B1(n314), .B2(imd_val_q_ex_o[46]), .X(n48) );
+  sky130_fd_sc_hd__a22o_1 U515 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[47]), .B1(n314), .B2(imd_val_q_ex_o[47]), .X(n49) );
+  sky130_fd_sc_hd__a22o_1 U516 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[48]), .B1(n314), .B2(imd_val_q_ex_o[48]), .X(n50) );
+  sky130_fd_sc_hd__a22o_1 U517 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[49]), .B1(n314), .B2(imd_val_q_ex_o[49]), .X(n51) );
+  sky130_fd_sc_hd__a22o_1 U518 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[50]), .B1(n314), .B2(imd_val_q_ex_o[50]), .X(n52) );
+  sky130_fd_sc_hd__a22o_1 U519 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[51]), .B1(n314), .B2(imd_val_q_ex_o[51]), .X(n53) );
+  sky130_fd_sc_hd__a22o_1 U520 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[52]), .B1(n314), .B2(imd_val_q_ex_o[52]), .X(n54) );
+  sky130_fd_sc_hd__a22o_1 U521 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[53]), .B1(n314), .B2(imd_val_q_ex_o[53]), .X(n55) );
+  sky130_fd_sc_hd__a22o_1 U522 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[54]), .B1(n314), .B2(imd_val_q_ex_o[54]), .X(n56) );
+  sky130_fd_sc_hd__a22o_1 U523 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[55]), .B1(n314), .B2(imd_val_q_ex_o[55]), .X(n57) );
+  sky130_fd_sc_hd__a22o_1 U524 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[56]), .B1(n314), .B2(imd_val_q_ex_o[56]), .X(n58) );
+  sky130_fd_sc_hd__a22o_1 U525 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[57]), .B1(n314), .B2(imd_val_q_ex_o[57]), .X(n59) );
+  sky130_fd_sc_hd__a22o_1 U526 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[58]), .B1(n314), .B2(imd_val_q_ex_o[58]), .X(n60) );
+  sky130_fd_sc_hd__a22o_1 U527 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[59]), .B1(n314), .B2(imd_val_q_ex_o[59]), .X(n61) );
+  sky130_fd_sc_hd__a22o_1 U528 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[60]), .B1(n314), .B2(imd_val_q_ex_o[60]), .X(n62) );
+  sky130_fd_sc_hd__a22o_1 U529 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[61]), .B1(n314), .B2(imd_val_q_ex_o[61]), .X(n63) );
+  sky130_fd_sc_hd__a22o_1 U530 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[62]), .B1(n314), .B2(imd_val_q_ex_o[62]), .X(n64) );
+  sky130_fd_sc_hd__a22o_1 U531 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[63]), .B1(n314), .B2(imd_val_q_ex_o[63]), .X(n65) );
+  sky130_fd_sc_hd__a22o_1 U532 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[64]), .B1(n314), .B2(imd_val_q_ex_o[64]), .X(n66) );
+  sky130_fd_sc_hd__a22o_1 U533 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[65]), .B1(n314), .B2(imd_val_q_ex_o[65]), .X(n67) );
+  sky130_fd_sc_hd__a22o_1 U534 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[66]), .B1(n314), .B2(imd_val_q_ex_o[66]), .X(n68) );
+  sky130_fd_sc_hd__a22o_1 U535 ( .A1(imd_val_we_ex_i[0]), .A2(
+        imd_val_d_ex_i[67]), .B1(n314), .B2(imd_val_q_ex_o[67]), .X(n69) );
+endmodule
+
+
+module opentitan_soc_top_ibex_alu_RV32B0_DW01_add_J10_0_0 ( A, B, CI, SUM, CO
+ );
+  input [33:0] A;
+  input [33:0] B;
+  output [33:0] SUM;
+  input CI;
+  output CO;
+  wire   SUM_33_, n142, n143, n144, n145, n146, n147, n148, n149, n150, n151,
+         n152, n153, n154, n155, n156, n157, n158, n159, n160, n161, n162,
+         n163, n164, n165, n166, n167, n168, n169, n170, n171, n172, SUM_0_;
+
+  sky130_fd_sc_hd__fa_1 U39 ( .A(B[20]), .B(A[20]), .CIN(n159), .COUT(n165), 
+        .SUM(SUM[20]) );
+  sky130_fd_sc_hd__fa_1 U40 ( .A(B[17]), .B(A[17]), .CIN(n152), .COUT(n151), 
+        .SUM(SUM[17]) );
+  sky130_fd_sc_hd__fa_1 U41 ( .A(B[8]), .B(A[8]), .CIN(n142), .COUT(n145), 
+        .SUM(SUM[8]) );
+  sky130_fd_sc_hd__fa_1 U42 ( .A(B[13]), .B(A[13]), .CIN(n143), .COUT(n144), 
+        .SUM(SUM[13]) );
+  sky130_fd_sc_hd__fa_1 U43 ( .A(B[14]), .B(A[14]), .CIN(n144), .COUT(n149), 
+        .SUM(SUM[14]) );
+  sky130_fd_sc_hd__fa_1 U44 ( .A(B[9]), .B(A[9]), .CIN(n145), .COUT(n146), 
+        .SUM(SUM[9]) );
+  sky130_fd_sc_hd__fa_1 U45 ( .A(B[10]), .B(A[10]), .CIN(n146), .COUT(n147), 
+        .SUM(SUM[10]) );
+  sky130_fd_sc_hd__fa_1 U46 ( .A(B[11]), .B(A[11]), .CIN(n147), .COUT(n148), 
+        .SUM(SUM[11]) );
+  sky130_fd_sc_hd__fa_1 U47 ( .A(B[12]), .B(A[12]), .CIN(n148), .COUT(n143), 
+        .SUM(SUM[12]) );
+  sky130_fd_sc_hd__fa_1 U48 ( .A(B[15]), .B(A[15]), .CIN(n149), .COUT(n150), 
+        .SUM(SUM[15]) );
+  sky130_fd_sc_hd__fa_1 U49 ( .A(B[16]), .B(A[16]), .CIN(n150), .COUT(n152), 
+        .SUM(SUM[16]) );
+  sky130_fd_sc_hd__fa_1 U50 ( .A(B[18]), .B(A[18]), .CIN(n151), .COUT(n158), 
+        .SUM(SUM[18]) );
+  sky130_fd_sc_hd__fa_1 U51 ( .A(B[5]), .B(A[5]), .CIN(n153), .COUT(n154), 
+        .SUM(SUM[5]) );
+  sky130_fd_sc_hd__fa_1 U52 ( .A(B[6]), .B(A[6]), .CIN(n154), .COUT(n155), 
+        .SUM(SUM[6]) );
+  sky130_fd_sc_hd__fa_1 U53 ( .A(B[7]), .B(A[7]), .CIN(n155), .COUT(n142), 
+        .SUM(SUM[7]) );
+  sky130_fd_sc_hd__fa_1 U54 ( .A(B[3]), .B(A[3]), .CIN(n156), .COUT(n157), 
+        .SUM(SUM[3]) );
+  sky130_fd_sc_hd__fa_1 U55 ( .A(B[4]), .B(A[4]), .CIN(n157), .COUT(n153), 
+        .SUM(SUM[4]) );
+  sky130_fd_sc_hd__fa_1 U56 ( .A(B[19]), .B(A[19]), .CIN(n158), .COUT(n159), 
+        .SUM(SUM[19]) );
+  sky130_fd_sc_hd__fa_1 U57 ( .A(B[22]), .B(A[22]), .CIN(n160), .COUT(n161), 
+        .SUM(SUM[22]) );
+  sky130_fd_sc_hd__fa_1 U58 ( .A(B[23]), .B(A[23]), .CIN(n161), .COUT(n163), 
+        .SUM(SUM[23]) );
+  sky130_fd_sc_hd__fa_1 U59 ( .A(B[25]), .B(A[25]), .CIN(n162), .COUT(n164), 
+        .SUM(SUM[25]) );
+  sky130_fd_sc_hd__fa_1 U60 ( .A(B[24]), .B(A[24]), .CIN(n163), .COUT(n162), 
+        .SUM(SUM[24]) );
+  sky130_fd_sc_hd__fa_1 U61 ( .A(B[26]), .B(A[26]), .CIN(n164), .COUT(n167), 
+        .SUM(SUM[26]) );
+  sky130_fd_sc_hd__fa_1 U62 ( .A(B[21]), .B(A[21]), .CIN(n165), .COUT(n160), 
+        .SUM(SUM[21]) );
+  sky130_fd_sc_hd__fa_1 U63 ( .A(B[2]), .B(A[2]), .CIN(n166), .COUT(n156), 
+        .SUM(SUM[2]) );
+  sky130_fd_sc_hd__fa_1 U64 ( .A(B[27]), .B(A[27]), .CIN(n167), .COUT(n168), 
+        .SUM(SUM[27]) );
+  sky130_fd_sc_hd__fa_1 U65 ( .A(B[28]), .B(A[28]), .CIN(n168), .COUT(n169), 
+        .SUM(SUM[28]) );
+  sky130_fd_sc_hd__fa_1 U66 ( .A(B[29]), .B(A[29]), .CIN(n169), .COUT(n170), 
+        .SUM(SUM[29]) );
+  sky130_fd_sc_hd__fa_1 U67 ( .A(B[30]), .B(A[30]), .CIN(n170), .COUT(n171), 
+        .SUM(SUM[30]) );
+  sky130_fd_sc_hd__fa_1 U68 ( .A(B[31]), .B(A[31]), .CIN(n171), .COUT(n172), 
+        .SUM(SUM[31]) );
+  sky130_fd_sc_hd__fa_1 U69 ( .A(B[32]), .B(A[32]), .CIN(n172), .COUT(SUM_33_), 
+        .SUM(SUM[32]) );
+  sky130_fd_sc_hd__fa_1 U70 ( .A(B[1]), .B(A[1]), .CIN(B[0]), .COUT(n166), 
+        .SUM(SUM[1]) );
+endmodule
+
+
+module opentitan_soc_top_ibex_alu_RV32B0_0 ( operator_i, operand_a_i, 
+        operand_b_i, instr_first_cycle_i, multdiv_operand_a_i, 
+        multdiv_operand_b_i, multdiv_sel_i, imd_val_q_i, imd_val_d_o, 
+        imd_val_we_o, adder_result_o, adder_result_ext_o, result_o, 
+        comparison_result_o, is_equal_result_o );
+  input [5:0] operator_i;
+  input [31:0] operand_a_i;
+  input [31:0] operand_b_i;
+  input [32:0] multdiv_operand_a_i;
+  input [32:0] multdiv_operand_b_i;
+  input [63:0] imd_val_q_i;
+  output [63:0] imd_val_d_o;
+  output [1:0] imd_val_we_o;
+  output [31:0] adder_result_o;
+  output [33:0] adder_result_ext_o;
+  output [31:0] result_o;
+  input instr_first_cycle_i, multdiv_sel_i;
+  output comparison_result_o, is_equal_result_o;
+  wire   n_Logic0_, n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15,
+         n16, n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29,
+         n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42, n43,
+         n44, n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57,
+         n58, n59, n60, n61, n62, n63, n64, n65, n66, n67, n68, n69, n70, n71,
+         n72, n73, n74, n75, n76, n77, n78, n79, n80, n81, n82, n83, n84, n85,
+         n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96, n97, n98, n99,
+         n100, n101, n102, n103, n104, n105, n106, n107, n108, n109, n110,
+         n111, n112, n113, n114, n115, n116, n117, n118, n119, n120, n121,
+         n122, n123, n124, n125, n126, n127, n128, n129, n130, n131, n132,
+         n133, n134, n135, n136, n137, n138, n139, n140, n141, n142, n143,
+         n144, n145, n146, n147, n148, n149, n150, n151, n152, n153, n154,
+         n155, n156, n157, n158, n159, n160, n161, n162, n163, n164, n165,
+         n166, n167, n168, n169, n170, n171, n172, n173, n174, n175, n176,
+         n177, n178, n179, n180, n181, n182, n183, n184, n185, n186, n187,
+         n188, n189, n190, n191, n192, n193, n194, n195, n196, n197, n198,
+         n199, n200, n201, n202, n203, n204, n205, n206, n207, n208, n209,
+         n210, n211, n212, n213, n214, n215, n216, n217, n218, n219, n220,
+         n221, n222, n223, n224, n225, n226, n227, n228, n229, n230, n231,
+         n232, n233, n234, n235, n236, n237, n238, n239, n240, n241, n242,
+         n243, n244, n245, n246, n247, n248, n249, n250, n251, n252, n253,
+         n254, n255, n256, n257, n258, n259, n260, n261, n262, n263, n264,
+         n265, n266, n267, n268, n269, n270, n271, n272, n273, n274, n275,
+         n276, n277, n278, n279, n280, n281, n282, n283, n284, n285, n286,
+         n287, n288, n289, n290, n291, n292, n293, n294, n295, n296, n297,
+         n298, n299, n300, n301, n302, n303, n304, n305, n306, n307, n308,
+         n309, n310, n311, n312, n313, n314, n315, n316, n317, n318, n319,
+         n320, n321, n322, n323, n324, n325, n326, n327, n328, n329, n330,
+         n331, n332, n333, n334, n335, n336, n337, n338, n339, n340, n341,
+         n342, n343, n344, n345, n346, n347, n348, n349, n350, n351, n352,
+         n353, n354, n355, n356, n357, n358, n359, n360, n361, n362, n363,
+         n364, n365, n366, n367, n368, n369, n370, n371, n372, n373, n374,
+         n375, n376, n377, n378, n379, n380, n381, n382, n383, n384, n385,
+         n386, n387, n388, n389, n390, n391, n392, n393, n394, n395, n396,
+         n397, n398, n399, n400, n401, n402, n403, n404, n405, n406, n407,
+         n408, n409, n410, n411, n412, n413, n414, n415, n416, n417, n418,
+         n419, n420, n421, n422, n423, n424, n425, n426, n427, n428, n429,
+         n430, n431, n432, n433, n434, n435, n436, n437, n438, n439, n440,
+         n441, n442, n443, n444, n445, n446, n447, n448, n449, n450, n451,
+         n452, n453, n454, n455, n456, n457, n458, n459, n460, n461, n462,
+         n463, n464, n465, n466, n467, n468, n469, n470, n471, n472, n473,
+         n474, n475, n476, n477, n478, n479, n480, n481, n482, n483, n484,
+         n485, n486, n487, n488, n489, n490, n491, n492, n493, n494, n495,
+         n496, n497, n498, n499, n500, n501, n502, n503, n504, n505, n506,
+         n507, n508, n509, n510, n511, n512, n513, n514, n515, n516, n517,
+         n518, n519, n520, n521, n522, n523, n524, n525, n526, n527, n528,
+         n529, n530, n531, n532, n533, n534, n535, n536, n537, n538, n539,
+         n540, n541, n542, n543, n544, n545, n546, n547, n548, n549, n550,
+         n551, n552, n553, n554, n555, n556, n557, n558, n559, n560, n561,
+         n562, n563, n564, n565, n566, n567, n568, n569, n570, n571, n572,
+         n573, n574, n575, n576, n577, n578, n579, n580, n581, n582, n583,
+         n584, n585, n586, n587, n588, n589, n590, n591, n592, n593, n594,
+         n595, n596, n597, n598, n599, n600, n601, n602, n603, n604, n605,
+         n606, n607, n608, n609, n610, n611, n612, n613, n614, n615, n616,
+         n617, n618, n619, n620, n621, n622, n623, n624, n625, n626, n627,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2;
+  wire   [32:1] adder_in_a;
+  wire   [32:0] adder_in_b;
+
+  opentitan_soc_top_ibex_alu_RV32B0_DW01_add_J10_0_0 add_x_3 ( .A({n_Logic0_, 
+        adder_in_a, n3}), .B({n_Logic0_, adder_in_b}), .CI(n_Logic0_), .SUM({
+        SYNOPSYS_UNCONNECTED_1, adder_result_o, SYNOPSYS_UNCONNECTED_2}) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(n542), .Y(n534) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(adder_result_o[2]), .X(
+        adder_result_ext_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(adder_result_o[14]), .X(
+        adder_result_ext_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(adder_result_o[23]), .X(
+        adder_result_ext_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(adder_result_o[1]), .X(
+        adder_result_ext_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(adder_result_o[17]), .X(
+        adder_result_ext_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(adder_result_o[21]), .X(
+        adder_result_ext_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(adder_result_o[25]), .X(
+        adder_result_ext_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(adder_result_o[29]), .X(
+        adder_result_ext_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(adder_result_o[31]), .X(
+        adder_result_ext_o[32]) );
+  sky130_fd_sc_hd__conb_1 U15 ( .LO(n_Logic0_), .HI(n3) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(adder_result_o[0]), .X(
+        adder_result_ext_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(adder_result_o[3]), .X(
+        adder_result_ext_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(adder_result_o[4]), .X(
+        adder_result_ext_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(adder_result_o[5]), .X(
+        adder_result_ext_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(adder_result_o[6]), .X(
+        adder_result_ext_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(adder_result_o[7]), .X(
+        adder_result_ext_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(adder_result_o[8]), .X(
+        adder_result_ext_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(adder_result_o[9]), .X(
+        adder_result_ext_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(adder_result_o[10]), .X(
+        adder_result_ext_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(adder_result_o[11]), .X(
+        adder_result_ext_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(adder_result_o[12]), .X(
+        adder_result_ext_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(adder_result_o[13]), .X(
+        adder_result_ext_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(adder_result_o[15]), .X(
+        adder_result_ext_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(adder_result_o[16]), .X(
+        adder_result_ext_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(adder_result_o[18]), .X(
+        adder_result_ext_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(adder_result_o[19]), .X(
+        adder_result_ext_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(adder_result_o[20]), .X(
+        adder_result_ext_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(adder_result_o[22]), .X(
+        adder_result_ext_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(adder_result_o[24]), .X(
+        adder_result_ext_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(adder_result_o[26]), .X(
+        adder_result_ext_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(adder_result_o[27]), .X(
+        adder_result_ext_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(adder_result_o[28]), .X(
+        adder_result_ext_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(adder_result_o[30]), .X(
+        adder_result_ext_o[31]) );
+  sky130_fd_sc_hd__nor2b_1 U39 ( .B_N(operator_i[4]), .A(operator_i[5]), .Y(
+        n62) );
+  sky130_fd_sc_hd__nand2_1 U40 ( .A(operator_i[3]), .B(n62), .Y(n4) );
+  sky130_fd_sc_hd__nor2_1 U41 ( .A(operator_i[2]), .B(n4), .Y(n68) );
+  sky130_fd_sc_hd__clkinv_1 U42 ( .A(operator_i[2]), .Y(n85) );
+  sky130_fd_sc_hd__nand2_1 U43 ( .A(operator_i[0]), .B(operator_i[1]), .Y(n58)
+         );
+  sky130_fd_sc_hd__nand2_1 U44 ( .A(n85), .B(n58), .Y(n51) );
+  sky130_fd_sc_hd__nor2_1 U45 ( .A(operator_i[0]), .B(operator_i[1]), .Y(n6)
+         );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(n6), .Y(n88) );
+  sky130_fd_sc_hd__nand2_1 U47 ( .A(n88), .B(n58), .Y(n72) );
+  sky130_fd_sc_hd__nor4b_1 U48 ( .D_N(operator_i[5]), .A(operator_i[4]), .B(
+        n85), .C(n72), .Y(n60) );
+  sky130_fd_sc_hd__a21oi_1 U49 ( .A1(n62), .A2(n51), .B1(n60), .Y(n5) );
+  sky130_fd_sc_hd__o2bb2ai_1 U50 ( .B1(operator_i[3]), .B2(n5), .A1_N(n68), 
+        .A2_N(n6), .Y(n138) );
+  sky130_fd_sc_hd__clkinv_1 U51 ( .A(operator_i[0]), .Y(n75) );
+  sky130_fd_sc_hd__nor4_1 U52 ( .A(operator_i[2]), .B(operator_i[3]), .C(
+        operator_i[5]), .D(operator_i[4]), .Y(n74) );
+  sky130_fd_sc_hd__clkinv_1 U53 ( .A(operator_i[1]), .Y(n86) );
+  sky130_fd_sc_hd__nand2_1 U54 ( .A(n74), .B(n86), .Y(n553) );
+  sky130_fd_sc_hd__nor2_1 U55 ( .A(n6), .B(n85), .Y(n53) );
+  sky130_fd_sc_hd__clkinv_1 U56 ( .A(n53), .Y(n135) );
+  sky130_fd_sc_hd__nand4_1 U57 ( .A(n62), .B(operator_i[3]), .C(n51), .D(n135), 
+        .Y(n64) );
+  sky130_fd_sc_hd__o21ai_1 U58 ( .A1(n75), .A2(n553), .B1(n64), .Y(n7) );
+  sky130_fd_sc_hd__nor3_1 U59 ( .A(n68), .B(n138), .C(n7), .Y(n25) );
+  sky130_fd_sc_hd__nand2b_1 U60 ( .A_N(multdiv_sel_i), .B(n25), .Y(
+        adder_in_b[0]) );
+  sky130_fd_sc_hd__nor4_1 U61 ( .A(adder_result_o[11]), .B(adder_result_o[10]), 
+        .C(adder_result_o[9]), .D(adder_result_o[8]), .Y(n11) );
+  sky130_fd_sc_hd__nor4_1 U62 ( .A(adder_result_o[15]), .B(adder_result_o[14]), 
+        .C(adder_result_o[13]), .D(adder_result_o[12]), .Y(n10) );
+  sky130_fd_sc_hd__nor4_1 U63 ( .A(adder_result_o[3]), .B(adder_result_o[2]), 
+        .C(adder_result_o[1]), .D(adder_result_o[0]), .Y(n9) );
+  sky130_fd_sc_hd__nor4_1 U64 ( .A(adder_result_o[7]), .B(adder_result_o[6]), 
+        .C(adder_result_o[5]), .D(adder_result_o[4]), .Y(n8) );
+  sky130_fd_sc_hd__nand4_1 U65 ( .A(n11), .B(n10), .C(n9), .D(n8), .Y(n17) );
+  sky130_fd_sc_hd__nor4_1 U66 ( .A(adder_result_o[27]), .B(adder_result_o[26]), 
+        .C(adder_result_o[25]), .D(adder_result_o[24]), .Y(n15) );
+  sky130_fd_sc_hd__nor4_1 U67 ( .A(adder_result_o[31]), .B(adder_result_o[30]), 
+        .C(adder_result_o[29]), .D(adder_result_o[28]), .Y(n14) );
+  sky130_fd_sc_hd__nor4_1 U68 ( .A(adder_result_o[19]), .B(adder_result_o[18]), 
+        .C(adder_result_o[17]), .D(adder_result_o[16]), .Y(n13) );
+  sky130_fd_sc_hd__nor4_1 U69 ( .A(adder_result_o[23]), .B(adder_result_o[22]), 
+        .C(adder_result_o[21]), .D(adder_result_o[20]), .Y(n12) );
+  sky130_fd_sc_hd__nand4_1 U70 ( .A(n15), .B(n14), .C(n13), .D(n12), .Y(n16)
+         );
+  sky130_fd_sc_hd__nor2_1 U71 ( .A(n17), .B(n16), .Y(is_equal_result_o) );
+  sky130_fd_sc_hd__clkinv_1 U72 ( .A(operand_a_i[0]), .Y(n77) );
+  sky130_fd_sc_hd__buf_2 U73 ( .A(multdiv_sel_i), .X(n626) );
+  sky130_fd_sc_hd__nand2_1 U74 ( .A(n626), .B(multdiv_operand_a_i[1]), .Y(n18)
+         );
+  sky130_fd_sc_hd__o21ai_1 U75 ( .A1(n77), .A2(n626), .B1(n18), .Y(
+        adder_in_a[1]) );
+  sky130_fd_sc_hd__clkinv_1 U76 ( .A(operand_a_i[1]), .Y(n193) );
+  sky130_fd_sc_hd__nand2_1 U77 ( .A(n626), .B(multdiv_operand_a_i[2]), .Y(n19)
+         );
+  sky130_fd_sc_hd__o21ai_1 U78 ( .A1(n193), .A2(n626), .B1(n19), .Y(
+        adder_in_a[2]) );
+  sky130_fd_sc_hd__clkinv_1 U79 ( .A(operand_a_i[16]), .Y(n442) );
+  sky130_fd_sc_hd__nand2_1 U80 ( .A(n626), .B(multdiv_operand_a_i[17]), .Y(n20) );
+  sky130_fd_sc_hd__o21ai_1 U81 ( .A1(n442), .A2(n626), .B1(n20), .Y(
+        adder_in_a[17]) );
+  sky130_fd_sc_hd__clkinv_1 U82 ( .A(operand_a_i[2]), .Y(n218) );
+  sky130_fd_sc_hd__nand2_1 U83 ( .A(n626), .B(multdiv_operand_a_i[3]), .Y(n21)
+         );
+  sky130_fd_sc_hd__o21ai_1 U84 ( .A1(n218), .A2(n626), .B1(n21), .Y(
+        adder_in_a[3]) );
+  sky130_fd_sc_hd__clkinv_1 U85 ( .A(operand_a_i[3]), .Y(n242) );
+  sky130_fd_sc_hd__nand2_1 U86 ( .A(n626), .B(multdiv_operand_a_i[4]), .Y(n22)
+         );
+  sky130_fd_sc_hd__o21ai_1 U87 ( .A1(n242), .A2(n626), .B1(n22), .Y(
+        adder_in_a[4]) );
+  sky130_fd_sc_hd__clkinv_1 U88 ( .A(operand_a_i[4]), .Y(n260) );
+  sky130_fd_sc_hd__nand2_1 U89 ( .A(n626), .B(multdiv_operand_a_i[5]), .Y(n23)
+         );
+  sky130_fd_sc_hd__o21ai_1 U90 ( .A1(n260), .A2(n626), .B1(n23), .Y(
+        adder_in_a[5]) );
+  sky130_fd_sc_hd__clkinv_1 U91 ( .A(operand_a_i[5]), .Y(n279) );
+  sky130_fd_sc_hd__nand2_1 U92 ( .A(n626), .B(multdiv_operand_a_i[6]), .Y(n24)
+         );
+  sky130_fd_sc_hd__o21ai_1 U93 ( .A1(n279), .A2(n626), .B1(n24), .Y(
+        adder_in_a[6]) );
+  sky130_fd_sc_hd__clkinv_1 U94 ( .A(adder_in_b[0]), .Y(n593) );
+  sky130_fd_sc_hd__a22oi_1 U95 ( .A1(operand_b_i[16]), .A2(n593), .B1(n626), 
+        .B2(multdiv_operand_b_i[17]), .Y(n26) );
+  sky130_fd_sc_hd__o21ai_1 U96 ( .A1(operand_b_i[16]), .A2(n595), .B1(n26), 
+        .Y(adder_in_b[17]) );
+  sky130_fd_sc_hd__clkinv_1 U97 ( .A(operand_a_i[27]), .Y(n520) );
+  sky130_fd_sc_hd__nand2_1 U98 ( .A(n626), .B(multdiv_operand_a_i[28]), .Y(n27) );
+  sky130_fd_sc_hd__o21ai_1 U99 ( .A1(n520), .A2(n626), .B1(n27), .Y(
+        adder_in_a[28]) );
+  sky130_fd_sc_hd__clkinv_1 U100 ( .A(operand_a_i[28]), .Y(n527) );
+  sky130_fd_sc_hd__nand2_1 U101 ( .A(n626), .B(multdiv_operand_a_i[29]), .Y(
+        n28) );
+  sky130_fd_sc_hd__o21ai_1 U102 ( .A1(n527), .A2(n626), .B1(n28), .Y(
+        adder_in_a[29]) );
+  sky130_fd_sc_hd__clkinv_1 U103 ( .A(operand_a_i[29]), .Y(n540) );
+  sky130_fd_sc_hd__nand2_1 U104 ( .A(n626), .B(multdiv_operand_a_i[30]), .Y(
+        n29) );
+  sky130_fd_sc_hd__o21ai_1 U105 ( .A1(n540), .A2(n626), .B1(n29), .Y(
+        adder_in_a[30]) );
+  sky130_fd_sc_hd__clkinv_1 U106 ( .A(operand_a_i[30]), .Y(n543) );
+  sky130_fd_sc_hd__nand2_1 U107 ( .A(n626), .B(multdiv_operand_a_i[31]), .Y(
+        n30) );
+  sky130_fd_sc_hd__o21ai_1 U108 ( .A1(n543), .A2(n626), .B1(n30), .Y(
+        adder_in_a[31]) );
+  sky130_fd_sc_hd__clkinv_1 U109 ( .A(operand_a_i[17]), .Y(n449) );
+  sky130_fd_sc_hd__nand2_1 U110 ( .A(n626), .B(multdiv_operand_a_i[18]), .Y(
+        n31) );
+  sky130_fd_sc_hd__o21ai_1 U111 ( .A1(n449), .A2(n626), .B1(n31), .Y(
+        adder_in_a[18]) );
+  sky130_fd_sc_hd__clkinv_1 U112 ( .A(operand_a_i[18]), .Y(n461) );
+  sky130_fd_sc_hd__nand2_1 U113 ( .A(n626), .B(multdiv_operand_a_i[19]), .Y(
+        n32) );
+  sky130_fd_sc_hd__o21ai_1 U114 ( .A1(n461), .A2(n626), .B1(n32), .Y(
+        adder_in_a[19]) );
+  sky130_fd_sc_hd__clkinv_1 U115 ( .A(operand_a_i[19]), .Y(n464) );
+  sky130_fd_sc_hd__nand2_1 U116 ( .A(n626), .B(multdiv_operand_a_i[20]), .Y(
+        n33) );
+  sky130_fd_sc_hd__o21ai_1 U117 ( .A1(n464), .A2(n626), .B1(n33), .Y(
+        adder_in_a[20]) );
+  sky130_fd_sc_hd__clkinv_1 U118 ( .A(operand_b_i[1]), .Y(n143) );
+  sky130_fd_sc_hd__a22oi_1 U119 ( .A1(multdiv_operand_b_i[2]), .A2(
+        multdiv_sel_i), .B1(n602), .B2(n143), .Y(n34) );
+  sky130_fd_sc_hd__o21ai_1 U120 ( .A1(n143), .A2(adder_in_b[0]), .B1(n34), .Y(
+        adder_in_b[2]) );
+  sky130_fd_sc_hd__clkinv_1 U121 ( .A(operand_b_i[2]), .Y(n198) );
+  sky130_fd_sc_hd__a22oi_1 U122 ( .A1(multdiv_operand_b_i[3]), .A2(
+        multdiv_sel_i), .B1(n602), .B2(n198), .Y(n35) );
+  sky130_fd_sc_hd__o21ai_1 U123 ( .A1(n198), .A2(adder_in_b[0]), .B1(n35), .Y(
+        adder_in_b[3]) );
+  sky130_fd_sc_hd__clkinv_1 U124 ( .A(operand_b_i[3]), .Y(n220) );
+  sky130_fd_sc_hd__a22oi_1 U125 ( .A1(multdiv_operand_b_i[4]), .A2(
+        multdiv_sel_i), .B1(n602), .B2(n220), .Y(n36) );
+  sky130_fd_sc_hd__o21ai_1 U126 ( .A1(n220), .A2(adder_in_b[0]), .B1(n36), .Y(
+        adder_in_b[4]) );
+  sky130_fd_sc_hd__clkinv_1 U127 ( .A(operand_b_i[4]), .Y(n257) );
+  sky130_fd_sc_hd__a22oi_1 U128 ( .A1(multdiv_operand_b_i[5]), .A2(
+        multdiv_sel_i), .B1(n602), .B2(n257), .Y(n37) );
+  sky130_fd_sc_hd__o21ai_1 U129 ( .A1(n257), .A2(adder_in_b[0]), .B1(n37), .Y(
+        adder_in_b[5]) );
+  sky130_fd_sc_hd__clkinv_1 U130 ( .A(operand_b_i[5]), .Y(n264) );
+  sky130_fd_sc_hd__a22oi_1 U131 ( .A1(multdiv_operand_b_i[6]), .A2(
+        multdiv_sel_i), .B1(n602), .B2(n264), .Y(n38) );
+  sky130_fd_sc_hd__o21ai_1 U132 ( .A1(n264), .A2(adder_in_b[0]), .B1(n38), .Y(
+        adder_in_b[6]) );
+  sky130_fd_sc_hd__clkinv_1 U133 ( .A(operand_a_i[6]), .Y(n308) );
+  sky130_fd_sc_hd__nand2_1 U134 ( .A(n626), .B(multdiv_operand_a_i[7]), .Y(n39) );
+  sky130_fd_sc_hd__o21ai_1 U135 ( .A1(n308), .A2(n626), .B1(n39), .Y(
+        adder_in_a[7]) );
+  sky130_fd_sc_hd__clkinv_1 U136 ( .A(operand_a_i[7]), .Y(n344) );
+  sky130_fd_sc_hd__nand2_1 U137 ( .A(n626), .B(multdiv_operand_a_i[8]), .Y(n40) );
+  sky130_fd_sc_hd__o21ai_1 U138 ( .A1(n344), .A2(n626), .B1(n40), .Y(
+        adder_in_a[8]) );
+  sky130_fd_sc_hd__clkinv_1 U139 ( .A(operand_a_i[8]), .Y(n352) );
+  sky130_fd_sc_hd__nand2_1 U140 ( .A(n626), .B(multdiv_operand_a_i[9]), .Y(n41) );
+  sky130_fd_sc_hd__o21ai_1 U141 ( .A1(n352), .A2(n626), .B1(n41), .Y(
+        adder_in_a[9]) );
+  sky130_fd_sc_hd__clkinv_1 U142 ( .A(operand_a_i[12]), .Y(n391) );
+  sky130_fd_sc_hd__nand2_1 U143 ( .A(n626), .B(multdiv_operand_a_i[13]), .Y(
+        n42) );
+  sky130_fd_sc_hd__o21ai_1 U144 ( .A1(n391), .A2(n626), .B1(n42), .Y(
+        adder_in_a[13]) );
+  sky130_fd_sc_hd__clkinv_1 U145 ( .A(operand_a_i[13]), .Y(n396) );
+  sky130_fd_sc_hd__nand2_1 U146 ( .A(n626), .B(multdiv_operand_a_i[14]), .Y(
+        n43) );
+  sky130_fd_sc_hd__o21ai_1 U147 ( .A1(n396), .A2(n626), .B1(n43), .Y(
+        adder_in_a[14]) );
+  sky130_fd_sc_hd__clkinv_1 U148 ( .A(operand_a_i[14]), .Y(n419) );
+  sky130_fd_sc_hd__nand2_1 U149 ( .A(n626), .B(multdiv_operand_a_i[15]), .Y(
+        n44) );
+  sky130_fd_sc_hd__o21ai_1 U150 ( .A1(n419), .A2(n626), .B1(n44), .Y(
+        adder_in_a[15]) );
+  sky130_fd_sc_hd__clkinv_1 U151 ( .A(operand_a_i[15]), .Y(n437) );
+  sky130_fd_sc_hd__nand2_1 U152 ( .A(n626), .B(multdiv_operand_a_i[16]), .Y(
+        n45) );
+  sky130_fd_sc_hd__o21ai_1 U153 ( .A1(n437), .A2(n626), .B1(n45), .Y(
+        adder_in_a[16]) );
+  sky130_fd_sc_hd__clkinv_1 U154 ( .A(operand_b_i[18]), .Y(n455) );
+  sky130_fd_sc_hd__a22oi_1 U155 ( .A1(multdiv_operand_b_i[19]), .A2(n626), 
+        .B1(n602), .B2(n455), .Y(n46) );
+  sky130_fd_sc_hd__o21ai_1 U156 ( .A1(n455), .A2(adder_in_b[0]), .B1(n46), .Y(
+        adder_in_b[19]) );
+  sky130_fd_sc_hd__clkinv_1 U157 ( .A(operand_b_i[19]), .Y(n463) );
+  sky130_fd_sc_hd__a22oi_1 U158 ( .A1(multdiv_operand_b_i[20]), .A2(n626), 
+        .B1(n602), .B2(n463), .Y(n47) );
+  sky130_fd_sc_hd__o21ai_1 U159 ( .A1(n463), .A2(adder_in_b[0]), .B1(n47), .Y(
+        adder_in_b[20]) );
+  sky130_fd_sc_hd__clkinv_1 U160 ( .A(operand_b_i[0]), .Y(n91) );
+  sky130_fd_sc_hd__a22oi_1 U161 ( .A1(multdiv_operand_b_i[1]), .A2(n626), .B1(
+        n602), .B2(n91), .Y(n48) );
+  sky130_fd_sc_hd__o21ai_1 U162 ( .A1(n91), .A2(adder_in_b[0]), .B1(n48), .Y(
+        adder_in_b[1]) );
+  sky130_fd_sc_hd__clkinv_1 U163 ( .A(operand_b_i[6]), .Y(n283) );
+  sky130_fd_sc_hd__a22oi_1 U164 ( .A1(multdiv_operand_b_i[7]), .A2(
+        multdiv_sel_i), .B1(n602), .B2(n283), .Y(n49) );
+  sky130_fd_sc_hd__o21ai_1 U165 ( .A1(n283), .A2(adder_in_b[0]), .B1(n49), .Y(
+        adder_in_b[7]) );
+  sky130_fd_sc_hd__clkinv_1 U166 ( .A(operand_b_i[7]), .Y(n313) );
+  sky130_fd_sc_hd__a22oi_1 U167 ( .A1(multdiv_operand_b_i[8]), .A2(
+        multdiv_sel_i), .B1(n602), .B2(n313), .Y(n50) );
+  sky130_fd_sc_hd__o21ai_1 U168 ( .A1(n313), .A2(adder_in_b[0]), .B1(n50), .Y(
+        adder_in_b[8]) );
+  sky130_fd_sc_hd__o22ai_1 U169 ( .A1(n62), .A2(n60), .B1(operator_i[3]), .B2(
+        n51), .Y(n52) );
+  sky130_fd_sc_hd__a21oi_1 U170 ( .A1(operator_i[3]), .A2(n53), .B1(n52), .Y(
+        n71) );
+  sky130_fd_sc_hd__clkinv_1 U171 ( .A(is_equal_result_o), .Y(n70) );
+  sky130_fd_sc_hd__clkinv_1 U172 ( .A(operand_b_i[31]), .Y(n604) );
+  sky130_fd_sc_hd__clkinv_1 U173 ( .A(operand_a_i[31]), .Y(n627) );
+  sky130_fd_sc_hd__nand2_1 U174 ( .A(operand_b_i[31]), .B(n627), .Y(n54) );
+  sky130_fd_sc_hd__o22ai_1 U175 ( .A1(operand_a_i[31]), .A2(n604), .B1(n627), 
+        .B2(operand_b_i[31]), .Y(n562) );
+  sky130_fd_sc_hd__clkinv_1 U176 ( .A(adder_result_o[31]), .Y(n554) );
+  sky130_fd_sc_hd__o22ai_1 U177 ( .A1(operator_i[0]), .A2(n54), .B1(n562), 
+        .B2(n554), .Y(n55) );
+  sky130_fd_sc_hd__a31oi_1 U178 ( .A1(operator_i[0]), .A2(operand_a_i[31]), 
+        .A3(n604), .B1(n55), .Y(n59) );
+  sky130_fd_sc_hd__o22ai_1 U179 ( .A1(n59), .A2(n72), .B1(is_equal_result_o), 
+        .B2(n88), .Y(n67) );
+  sky130_fd_sc_hd__o22ai_1 U180 ( .A1(n88), .A2(n59), .B1(n58), .B2(n70), .Y(
+        n56) );
+  sky130_fd_sc_hd__a31oi_1 U181 ( .A1(n88), .A2(n59), .A3(n58), .B1(n56), .Y(
+        n57) );
+  sky130_fd_sc_hd__o32ai_1 U182 ( .A1(operator_i[2]), .A2(n59), .A3(n58), .B1(
+        n57), .B2(n85), .Y(n61) );
+  sky130_fd_sc_hd__clkinv_1 U183 ( .A(n59), .Y(n63) );
+  sky130_fd_sc_hd__a22oi_1 U184 ( .A1(n62), .A2(n61), .B1(n60), .B2(n63), .Y(
+        n65) );
+  sky130_fd_sc_hd__o22ai_1 U185 ( .A1(operator_i[3]), .A2(n65), .B1(n64), .B2(
+        n63), .Y(n66) );
+  sky130_fd_sc_hd__a21oi_1 U186 ( .A1(n68), .A2(n67), .B1(n66), .Y(n69) );
+  sky130_fd_sc_hd__o21ai_1 U187 ( .A1(n71), .A2(n70), .B1(n69), .Y(
+        comparison_result_o) );
+  sky130_fd_sc_hd__clkinv_1 U188 ( .A(adder_result_o[0]), .Y(n141) );
+  sky130_fd_sc_hd__nor3_1 U189 ( .A(operator_i[3]), .B(operator_i[5]), .C(
+        operator_i[4]), .Y(n73) );
+  sky130_fd_sc_hd__and2_0 U190 ( .A(n73), .B(operator_i[2]), .X(n76) );
+  sky130_fd_sc_hd__nand2_1 U191 ( .A(n72), .B(n76), .Y(n519) );
+  sky130_fd_sc_hd__o211ai_1 U192 ( .A1(operator_i[1]), .A2(operator_i[2]), 
+        .B1(n519), .C1(n73), .Y(n542) );
+  sky130_fd_sc_hd__o221ai_1 U193 ( .A1(operator_i[0]), .A2(n76), .B1(n75), 
+        .B2(n74), .C1(operator_i[1]), .Y(n518) );
+  sky130_fd_sc_hd__o221ai_1 U194 ( .A1(operand_a_i[0]), .A2(n542), .B1(n77), 
+        .B2(n519), .C1(n518), .Y(n78) );
+  sky130_fd_sc_hd__a32oi_1 U195 ( .A1(operand_a_i[0]), .A2(n91), .A3(n534), 
+        .B1(operand_b_i[0]), .B2(n78), .Y(n140) );
+  sky130_fd_sc_hd__nor3_1 U196 ( .A(operand_b_i[2]), .B(operand_b_i[1]), .C(
+        operand_b_i[0]), .Y(n81) );
+  sky130_fd_sc_hd__a21oi_1 U197 ( .A1(n81), .A2(n220), .B1(instr_first_cycle_i), .Y(n79) );
+  sky130_fd_sc_hd__xor2_1 U198 ( .A(operand_b_i[4]), .B(n79), .X(n412) );
+  sky130_fd_sc_hd__clkinv_1 U199 ( .A(n412), .Y(n433) );
+  sky130_fd_sc_hd__o21ai_1 U200 ( .A1(instr_first_cycle_i), .A2(n81), .B1(
+        operand_b_i[3]), .Y(n80) );
+  sky130_fd_sc_hd__o31ai_1 U201 ( .A1(instr_first_cycle_i), .A2(operand_b_i[3]), .A3(n81), .B1(n80), .Y(n330) );
+  sky130_fd_sc_hd__nor2_1 U202 ( .A(operand_b_i[1]), .B(operand_b_i[0]), .Y(
+        n82) );
+  sky130_fd_sc_hd__nor2_1 U203 ( .A(instr_first_cycle_i), .B(n82), .Y(n83) );
+  sky130_fd_sc_hd__xor2_1 U204 ( .A(operand_b_i[2]), .B(n83), .X(n234) );
+  sky130_fd_sc_hd__clkinv_1 U205 ( .A(n234), .Y(n213) );
+  sky130_fd_sc_hd__o21ai_1 U206 ( .A1(instr_first_cycle_i), .A2(n91), .B1(
+        operand_b_i[1]), .Y(n84) );
+  sky130_fd_sc_hd__o31ai_1 U207 ( .A1(instr_first_cycle_i), .A2(operand_b_i[1]), .A3(n91), .B1(n84), .Y(n181) );
+  sky130_fd_sc_hd__nor3b_1 U208 ( .C_N(operator_i[3]), .A(operator_i[5]), .B(
+        operator_i[4]), .Y(n136) );
+  sky130_fd_sc_hd__nand2_1 U209 ( .A(n136), .B(n85), .Y(n87) );
+  sky130_fd_sc_hd__nor3_1 U210 ( .A(operator_i[0]), .B(n86), .C(n87), .Y(n523)
+         );
+  sky130_fd_sc_hd__clkinv_1 U211 ( .A(n523), .Y(n556) );
+  sky130_fd_sc_hd__o22ai_1 U212 ( .A1(n523), .A2(operand_a_i[31]), .B1(n556), 
+        .B2(operand_a_i[0]), .Y(n89) );
+  sky130_fd_sc_hd__nor3_1 U213 ( .A(n89), .B(n88), .C(n87), .Y(n90) );
+  sky130_fd_sc_hd__clkinv_1 U214 ( .A(n89), .Y(n106) );
+  sky130_fd_sc_hd__o21ai_1 U215 ( .A1(n90), .A2(n91), .B1(n106), .Y(n179) );
+  sky130_fd_sc_hd__nand2_1 U216 ( .A(n181), .B(n90), .Y(n205) );
+  sky130_fd_sc_hd__o21ai_1 U217 ( .A1(n181), .A2(n179), .B1(n205), .Y(n233) );
+  sky130_fd_sc_hd__clkinv_1 U218 ( .A(n90), .Y(n224) );
+  sky130_fd_sc_hd__nor2_1 U219 ( .A(n213), .B(n224), .Y(n223) );
+  sky130_fd_sc_hd__a21oi_1 U220 ( .A1(n213), .A2(n233), .B1(n223), .Y(n328) );
+  sky130_fd_sc_hd__nand2_1 U221 ( .A(n330), .B(n90), .Y(n349) );
+  sky130_fd_sc_hd__o21ai_1 U222 ( .A1(n330), .A2(n328), .B1(n349), .Y(n432) );
+  sky130_fd_sc_hd__nor2_1 U223 ( .A(n433), .B(n224), .Y(n416) );
+  sky130_fd_sc_hd__a21oi_1 U224 ( .A1(n433), .A2(n432), .B1(n416), .Y(n559) );
+  sky130_fd_sc_hd__nor2_1 U225 ( .A(n330), .B(n433), .Y(n387) );
+  sky130_fd_sc_hd__nor2_1 U226 ( .A(n523), .B(n91), .Y(n178) );
+  sky130_fd_sc_hd__nand2_1 U227 ( .A(n523), .B(operand_b_i[0]), .Y(n186) );
+  sky130_fd_sc_hd__nor2_1 U228 ( .A(n523), .B(operand_b_i[0]), .Y(n126) );
+  sky130_fd_sc_hd__nor2_1 U229 ( .A(operand_b_i[0]), .B(n556), .Y(n174) );
+  sky130_fd_sc_hd__a22oi_1 U230 ( .A1(n126), .A2(operand_a_i[22]), .B1(n174), 
+        .B2(operand_a_i[9]), .Y(n92) );
+  sky130_fd_sc_hd__o21ai_1 U231 ( .A1(n186), .A2(n352), .B1(n92), .Y(n93) );
+  sky130_fd_sc_hd__a21oi_1 U232 ( .A1(n178), .A2(operand_a_i[23]), .B1(n93), 
+        .Y(n298) );
+  sky130_fd_sc_hd__nand2_1 U233 ( .A(n234), .B(n181), .Y(n339) );
+  sky130_fd_sc_hd__nor2_1 U234 ( .A(n181), .B(n213), .Y(n274) );
+  sky130_fd_sc_hd__clkinv_1 U235 ( .A(n178), .Y(n187) );
+  sky130_fd_sc_hd__clkinv_1 U236 ( .A(operand_a_i[21]), .Y(n614) );
+  sky130_fd_sc_hd__clkinv_1 U237 ( .A(operand_a_i[10]), .Y(n608) );
+  sky130_fd_sc_hd__clkinv_1 U238 ( .A(n174), .Y(n184) );
+  sky130_fd_sc_hd__clkinv_1 U239 ( .A(operand_a_i[11]), .Y(n610) );
+  sky130_fd_sc_hd__o22ai_1 U240 ( .A1(n186), .A2(n608), .B1(n184), .B2(n610), 
+        .Y(n94) );
+  sky130_fd_sc_hd__a21oi_1 U241 ( .A1(n126), .A2(operand_a_i[20]), .B1(n94), 
+        .Y(n95) );
+  sky130_fd_sc_hd__o21ai_1 U242 ( .A1(n187), .A2(n614), .B1(n95), .Y(n247) );
+  sky130_fd_sc_hd__clkinv_1 U243 ( .A(n186), .Y(n175) );
+  sky130_fd_sc_hd__a22oi_1 U244 ( .A1(n175), .A2(operand_a_i[12]), .B1(n126), 
+        .B2(operand_a_i[18]), .Y(n96) );
+  sky130_fd_sc_hd__o21ai_1 U245 ( .A1(n184), .A2(n396), .B1(n96), .Y(n97) );
+  sky130_fd_sc_hd__a21oi_1 U246 ( .A1(n178), .A2(operand_a_i[19]), .B1(n97), 
+        .Y(n286) );
+  sky130_fd_sc_hd__nand2_1 U247 ( .A(n181), .B(n213), .Y(n322) );
+  sky130_fd_sc_hd__a22oi_1 U248 ( .A1(n126), .A2(operand_a_i[16]), .B1(n174), 
+        .B2(operand_a_i[15]), .Y(n98) );
+  sky130_fd_sc_hd__o21ai_1 U249 ( .A1(n187), .A2(n449), .B1(n98), .Y(n99) );
+  sky130_fd_sc_hd__a21oi_1 U250 ( .A1(n175), .A2(operand_a_i[14]), .B1(n99), 
+        .Y(n284) );
+  sky130_fd_sc_hd__clkinv_1 U251 ( .A(n181), .Y(n180) );
+  sky130_fd_sc_hd__o22ai_1 U254 ( .A1(n286), .A2(n322), .B1(n284), .B2(n331), 
+        .Y(n100) );
+  sky130_fd_sc_hd__a21oi_1 U255 ( .A1(n274), .A2(n247), .B1(n100), .Y(n101) );
+  sky130_fd_sc_hd__o21ai_1 U256 ( .A1(n298), .A2(n339), .B1(n101), .Y(n427) );
+  sky130_fd_sc_hd__a22oi_1 U257 ( .A1(n126), .A2(operand_a_i[26]), .B1(n174), 
+        .B2(operand_a_i[5]), .Y(n102) );
+  sky130_fd_sc_hd__o21ai_1 U258 ( .A1(n187), .A2(n520), .B1(n102), .Y(n103) );
+  sky130_fd_sc_hd__a21oi_1 U259 ( .A1(n175), .A2(operand_a_i[4]), .B1(n103), 
+        .Y(n299) );
+  sky130_fd_sc_hd__a22oi_1 U260 ( .A1(n126), .A2(operand_a_i[24]), .B1(n174), 
+        .B2(operand_a_i[7]), .Y(n104) );
+  sky130_fd_sc_hd__o21ai_1 U261 ( .A1(n186), .A2(n308), .B1(n104), .Y(n105) );
+  sky130_fd_sc_hd__a21oi_1 U262 ( .A1(n178), .A2(operand_a_i[25]), .B1(n105), 
+        .Y(n297) );
+  sky130_fd_sc_hd__o22ai_1 U263 ( .A1(n299), .A2(n322), .B1(n297), .B2(n331), 
+        .Y(n110) );
+  sky130_fd_sc_hd__a222oi_1 U264 ( .A1(n106), .A2(operand_b_i[0]), .B1(
+        operand_a_i[1]), .B2(n174), .C1(operand_a_i[30]), .C2(n126), .Y(n221)
+         );
+  sky130_fd_sc_hd__clkinv_1 U265 ( .A(n126), .Y(n185) );
+  sky130_fd_sc_hd__a22oi_1 U266 ( .A1(n175), .A2(operand_a_i[2]), .B1(n174), 
+        .B2(operand_a_i[3]), .Y(n107) );
+  sky130_fd_sc_hd__o21ai_1 U267 ( .A1(n185), .A2(n527), .B1(n107), .Y(n108) );
+  sky130_fd_sc_hd__a21oi_1 U268 ( .A1(n178), .A2(operand_a_i[29]), .B1(n108), 
+        .Y(n300) );
+  sky130_fd_sc_hd__clkinv_1 U269 ( .A(n274), .Y(n333) );
+  sky130_fd_sc_hd__o22ai_1 U270 ( .A1(n221), .A2(n339), .B1(n300), .B2(n333), 
+        .Y(n109) );
+  sky130_fd_sc_hd__nor2_1 U271 ( .A(n110), .B(n109), .Y(n425) );
+  sky130_fd_sc_hd__nand2_1 U272 ( .A(n412), .B(n330), .Y(n303) );
+  sky130_fd_sc_hd__clkinv_1 U273 ( .A(n339), .Y(n318) );
+  sky130_fd_sc_hd__clkinv_1 U274 ( .A(operand_a_i[24]), .Y(n620) );
+  sky130_fd_sc_hd__a22oi_1 U275 ( .A1(n126), .A2(operand_a_i[6]), .B1(n174), 
+        .B2(operand_a_i[25]), .Y(n111) );
+  sky130_fd_sc_hd__o21ai_1 U276 ( .A1(n186), .A2(n620), .B1(n111), .Y(n112) );
+  sky130_fd_sc_hd__a21oi_1 U277 ( .A1(n178), .A2(operand_a_i[7]), .B1(n112), 
+        .Y(n291) );
+  sky130_fd_sc_hd__clkinv_1 U278 ( .A(operand_a_i[26]), .Y(n624) );
+  sky130_fd_sc_hd__o22ai_1 U279 ( .A1(n186), .A2(n624), .B1(n184), .B2(n520), 
+        .Y(n113) );
+  sky130_fd_sc_hd__a21oi_1 U280 ( .A1(n126), .A2(operand_a_i[4]), .B1(n113), 
+        .Y(n114) );
+  sky130_fd_sc_hd__o21ai_1 U281 ( .A1(n187), .A2(n279), .B1(n114), .Y(n245) );
+  sky130_fd_sc_hd__o22ai_1 U282 ( .A1(n186), .A2(n527), .B1(n184), .B2(n540), 
+        .Y(n115) );
+  sky130_fd_sc_hd__a21oi_1 U283 ( .A1(n126), .A2(operand_a_i[2]), .B1(n115), 
+        .Y(n116) );
+  sky130_fd_sc_hd__o21ai_1 U284 ( .A1(n187), .A2(n242), .B1(n116), .Y(n202) );
+  sky130_fd_sc_hd__o22ai_1 U285 ( .A1(n333), .A2(n245), .B1(n322), .B2(n202), 
+        .Y(n117) );
+  sky130_fd_sc_hd__a21oi_1 U286 ( .A1(n318), .A2(n291), .B1(n117), .Y(n132) );
+  sky130_fd_sc_hd__clkinv_1 U287 ( .A(n330), .Y(n329) );
+  sky130_fd_sc_hd__o22ai_1 U288 ( .A1(operand_a_i[0]), .A2(n185), .B1(
+        operand_a_i[31]), .B2(n184), .Y(n119) );
+  sky130_fd_sc_hd__o22ai_1 U289 ( .A1(operand_a_i[30]), .A2(n186), .B1(
+        operand_a_i[1]), .B2(n187), .Y(n118) );
+  sky130_fd_sc_hd__o21ai_1 U290 ( .A1(n119), .A2(n118), .B1(n270), .Y(n131) );
+  sky130_fd_sc_hd__a22oi_1 U291 ( .A1(n175), .A2(operand_a_i[16]), .B1(n126), 
+        .B2(operand_a_i[14]), .Y(n120) );
+  sky130_fd_sc_hd__o21ai_1 U292 ( .A1(n184), .A2(n449), .B1(n120), .Y(n121) );
+  sky130_fd_sc_hd__a21oi_1 U293 ( .A1(n178), .A2(operand_a_i[15]), .B1(n121), 
+        .Y(n285) );
+  sky130_fd_sc_hd__o22ai_1 U294 ( .A1(n186), .A2(n461), .B1(n184), .B2(n464), 
+        .Y(n122) );
+  sky130_fd_sc_hd__a21oi_1 U295 ( .A1(n126), .A2(operand_a_i[12]), .B1(n122), 
+        .Y(n123) );
+  sky130_fd_sc_hd__o21ai_1 U296 ( .A1(n187), .A2(n396), .B1(n123), .Y(n208) );
+  sky130_fd_sc_hd__clkinv_1 U297 ( .A(operand_a_i[20]), .Y(n612) );
+  sky130_fd_sc_hd__a22oi_1 U298 ( .A1(n126), .A2(operand_a_i[10]), .B1(n174), 
+        .B2(operand_a_i[21]), .Y(n124) );
+  sky130_fd_sc_hd__o21ai_1 U299 ( .A1(n186), .A2(n612), .B1(n124), .Y(n125) );
+  sky130_fd_sc_hd__a21oi_1 U300 ( .A1(n178), .A2(operand_a_i[11]), .B1(n125), 
+        .Y(n292) );
+  sky130_fd_sc_hd__clkinv_1 U301 ( .A(operand_a_i[22]), .Y(n616) );
+  sky130_fd_sc_hd__a22oi_1 U302 ( .A1(n126), .A2(operand_a_i[8]), .B1(n174), 
+        .B2(operand_a_i[23]), .Y(n127) );
+  sky130_fd_sc_hd__o21ai_1 U303 ( .A1(n186), .A2(n616), .B1(n127), .Y(n128) );
+  sky130_fd_sc_hd__a21oi_1 U304 ( .A1(n178), .A2(operand_a_i[9]), .B1(n128), 
+        .Y(n290) );
+  sky130_fd_sc_hd__o22ai_1 U305 ( .A1(n292), .A2(n322), .B1(n290), .B2(n331), 
+        .Y(n129) );
+  sky130_fd_sc_hd__a21oi_1 U306 ( .A1(n274), .A2(n208), .B1(n129), .Y(n130) );
+  sky130_fd_sc_hd__o21ai_1 U307 ( .A1(n285), .A2(n339), .B1(n130), .Y(n351) );
+  sky130_fd_sc_hd__a32oi_1 U308 ( .A1(n132), .A2(n329), .A3(n131), .B1(n330), 
+        .B2(n351), .Y(n133) );
+  sky130_fd_sc_hd__o22ai_1 U309 ( .A1(n425), .A2(n303), .B1(n412), .B2(n133), 
+        .Y(n134) );
+  sky130_fd_sc_hd__a21oi_1 U310 ( .A1(n387), .A2(n427), .B1(n134), .Y(n557) );
+  sky130_fd_sc_hd__nand3_1 U311 ( .A(n136), .B(n556), .C(n135), .Y(n558) );
+  sky130_fd_sc_hd__o22ai_1 U312 ( .A1(n559), .A2(n556), .B1(n557), .B2(n558), 
+        .Y(n137) );
+  sky130_fd_sc_hd__a21oi_1 U313 ( .A1(n138), .A2(comparison_result_o), .B1(
+        n137), .Y(n139) );
+  sky130_fd_sc_hd__o211ai_1 U314 ( .A1(n141), .A2(n553), .B1(n140), .C1(n139), 
+        .Y(result_o[0]) );
+  sky130_fd_sc_hd__o21ai_1 U315 ( .A1(n221), .A2(n331), .B1(n205), .Y(n142) );
+  sky130_fd_sc_hd__nor2_1 U316 ( .A(n223), .B(n142), .Y(n357) );
+  sky130_fd_sc_hd__o21ai_1 U317 ( .A1(n330), .A2(n357), .B1(n349), .Y(n408) );
+  sky130_fd_sc_hd__a21oi_1 U318 ( .A1(n433), .A2(n408), .B1(n416), .Y(n550) );
+  sky130_fd_sc_hd__clkinv_1 U319 ( .A(n553), .Y(n548) );
+  sky130_fd_sc_hd__nor3_1 U320 ( .A(operand_a_i[1]), .B(n143), .C(n542), .Y(
+        n196) );
+  sky130_fd_sc_hd__clkinv_1 U321 ( .A(n519), .Y(n552) );
+  sky130_fd_sc_hd__clkinv_1 U322 ( .A(n518), .Y(n551) );
+  sky130_fd_sc_hd__a221oi_1 U323 ( .A1(n552), .A2(operand_b_i[1]), .B1(n534), 
+        .B2(n143), .C1(n551), .Y(n194) );
+  sky130_fd_sc_hd__clkinv_1 U324 ( .A(operand_a_i[23]), .Y(n618) );
+  sky130_fd_sc_hd__o22ai_1 U325 ( .A1(n185), .A2(n618), .B1(n184), .B2(n352), 
+        .Y(n145) );
+  sky130_fd_sc_hd__o22ai_1 U326 ( .A1(n187), .A2(n620), .B1(n186), .B2(n344), 
+        .Y(n144) );
+  sky130_fd_sc_hd__nor2_1 U327 ( .A(n145), .B(n144), .Y(n321) );
+  sky130_fd_sc_hd__o22ai_1 U328 ( .A1(n186), .A2(n396), .B1(n185), .B2(n449), 
+        .Y(n146) );
+  sky130_fd_sc_hd__a21oi_1 U329 ( .A1(n174), .A2(operand_a_i[14]), .B1(n146), 
+        .Y(n147) );
+  sky130_fd_sc_hd__o21ai_1 U330 ( .A1(n187), .A2(n461), .B1(n147), .Y(n336) );
+  sky130_fd_sc_hd__o22ai_1 U331 ( .A1(n185), .A2(n614), .B1(n184), .B2(n608), 
+        .Y(n149) );
+  sky130_fd_sc_hd__clkinv_1 U332 ( .A(operand_a_i[9]), .Y(n606) );
+  sky130_fd_sc_hd__o22ai_1 U333 ( .A1(n187), .A2(n616), .B1(n186), .B2(n606), 
+        .Y(n148) );
+  sky130_fd_sc_hd__nor2_1 U334 ( .A(n149), .B(n148), .Y(n340) );
+  sky130_fd_sc_hd__o22ai_1 U335 ( .A1(n185), .A2(n464), .B1(n184), .B2(n391), 
+        .Y(n151) );
+  sky130_fd_sc_hd__o22ai_1 U336 ( .A1(n187), .A2(n612), .B1(n186), .B2(n610), 
+        .Y(n150) );
+  sky130_fd_sc_hd__nor2_1 U337 ( .A(n151), .B(n150), .Y(n334) );
+  sky130_fd_sc_hd__o22ai_1 U338 ( .A1(n340), .A2(n333), .B1(n334), .B2(n322), 
+        .Y(n152) );
+  sky130_fd_sc_hd__a21oi_1 U339 ( .A1(n270), .A2(n336), .B1(n152), .Y(n153) );
+  sky130_fd_sc_hd__o21ai_1 U340 ( .A1(n321), .A2(n339), .B1(n153), .Y(n359) );
+  sky130_fd_sc_hd__o22ai_1 U341 ( .A1(n185), .A2(n344), .B1(n184), .B2(n620), 
+        .Y(n155) );
+  sky130_fd_sc_hd__o22ai_1 U342 ( .A1(n187), .A2(n352), .B1(n186), .B2(n618), 
+        .Y(n154) );
+  sky130_fd_sc_hd__nor2_1 U343 ( .A(n155), .B(n154), .Y(n314) );
+  sky130_fd_sc_hd__o22ai_1 U344 ( .A1(n185), .A2(n279), .B1(n184), .B2(n624), 
+        .Y(n156) );
+  sky130_fd_sc_hd__a21oi_1 U345 ( .A1(n175), .A2(operand_a_i[25]), .B1(n156), 
+        .Y(n157) );
+  sky130_fd_sc_hd__o21ai_1 U346 ( .A1(n187), .A2(n308), .B1(n157), .Y(n269) );
+  sky130_fd_sc_hd__o22ai_1 U347 ( .A1(n185), .A2(n242), .B1(n184), .B2(n527), 
+        .Y(n158) );
+  sky130_fd_sc_hd__a21oi_1 U348 ( .A1(n175), .A2(operand_a_i[27]), .B1(n158), 
+        .Y(n159) );
+  sky130_fd_sc_hd__o21ai_1 U349 ( .A1(n187), .A2(n260), .B1(n159), .Y(n229) );
+  sky130_fd_sc_hd__o22ai_1 U350 ( .A1(n333), .A2(n269), .B1(n322), .B2(n229), 
+        .Y(n160) );
+  sky130_fd_sc_hd__a21oi_1 U351 ( .A1(n318), .A2(n314), .B1(n160), .Y(n173) );
+  sky130_fd_sc_hd__o22ai_1 U352 ( .A1(operand_a_i[30]), .A2(n184), .B1(
+        operand_a_i[1]), .B2(n185), .Y(n162) );
+  sky130_fd_sc_hd__o22ai_1 U353 ( .A1(operand_a_i[2]), .A2(n187), .B1(
+        operand_a_i[29]), .B2(n186), .Y(n161) );
+  sky130_fd_sc_hd__o21ai_1 U354 ( .A1(n162), .A2(n161), .B1(n270), .Y(n172) );
+  sky130_fd_sc_hd__nand2_1 U355 ( .A(n187), .B(n184), .Y(n163) );
+  sky130_fd_sc_hd__o2bb2ai_1 U356 ( .B1(n163), .B2(operand_a_i[15]), .A1_N(
+        n163), .A2_N(n442), .Y(n332) );
+  sky130_fd_sc_hd__o22ai_1 U357 ( .A1(n185), .A2(n396), .B1(n184), .B2(n461), 
+        .Y(n165) );
+  sky130_fd_sc_hd__o22ai_1 U358 ( .A1(n187), .A2(n419), .B1(n186), .B2(n449), 
+        .Y(n164) );
+  sky130_fd_sc_hd__nor2_1 U359 ( .A(n165), .B(n164), .Y(n272) );
+  sky130_fd_sc_hd__clkinv_1 U360 ( .A(n272), .Y(n317) );
+  sky130_fd_sc_hd__o22ai_1 U361 ( .A1(n185), .A2(n610), .B1(n184), .B2(n612), 
+        .Y(n167) );
+  sky130_fd_sc_hd__o22ai_1 U362 ( .A1(n187), .A2(n391), .B1(n186), .B2(n464), 
+        .Y(n166) );
+  sky130_fd_sc_hd__nor2_1 U363 ( .A(n167), .B(n166), .Y(n320) );
+  sky130_fd_sc_hd__o22ai_1 U364 ( .A1(n185), .A2(n606), .B1(n184), .B2(n616), 
+        .Y(n169) );
+  sky130_fd_sc_hd__o22ai_1 U365 ( .A1(n187), .A2(n608), .B1(n186), .B2(n614), 
+        .Y(n168) );
+  sky130_fd_sc_hd__nor2_1 U366 ( .A(n169), .B(n168), .Y(n315) );
+  sky130_fd_sc_hd__o22ai_1 U367 ( .A1(n320), .A2(n322), .B1(n315), .B2(n331), 
+        .Y(n170) );
+  sky130_fd_sc_hd__a21oi_1 U368 ( .A1(n274), .A2(n317), .B1(n170), .Y(n171) );
+  sky130_fd_sc_hd__o21ai_1 U369 ( .A1(n332), .A2(n339), .B1(n171), .Y(n361) );
+  sky130_fd_sc_hd__a32oi_1 U370 ( .A1(n173), .A2(n329), .A3(n172), .B1(n330), 
+        .B2(n361), .Y(n191) );
+  sky130_fd_sc_hd__a22oi_1 U371 ( .A1(operand_a_i[1]), .A2(n175), .B1(n174), 
+        .B2(operand_a_i[2]), .Y(n176) );
+  sky130_fd_sc_hd__o21ai_1 U372 ( .A1(n185), .A2(n540), .B1(n176), .Y(n177) );
+  sky130_fd_sc_hd__a21oi_1 U373 ( .A1(n178), .A2(operand_a_i[30]), .B1(n177), 
+        .Y(n325) );
+  sky130_fd_sc_hd__o22ai_1 U374 ( .A1(n181), .A2(n325), .B1(n180), .B2(n179), 
+        .Y(n214) );
+  sky130_fd_sc_hd__o22ai_1 U375 ( .A1(n185), .A2(n520), .B1(n184), .B2(n260), 
+        .Y(n183) );
+  sky130_fd_sc_hd__o22ai_1 U376 ( .A1(n187), .A2(n527), .B1(n186), .B2(n242), 
+        .Y(n182) );
+  sky130_fd_sc_hd__nor2_1 U377 ( .A(n183), .B(n182), .Y(n324) );
+  sky130_fd_sc_hd__clkinv_1 U378 ( .A(operand_a_i[25]), .Y(n622) );
+  sky130_fd_sc_hd__o22ai_1 U379 ( .A1(n185), .A2(n622), .B1(n184), .B2(n308), 
+        .Y(n189) );
+  sky130_fd_sc_hd__o22ai_1 U380 ( .A1(n187), .A2(n624), .B1(n186), .B2(n279), 
+        .Y(n188) );
+  sky130_fd_sc_hd__nor2_1 U381 ( .A(n189), .B(n188), .Y(n323) );
+  sky130_fd_sc_hd__o22ai_1 U382 ( .A1(n324), .A2(n322), .B1(n323), .B2(n331), 
+        .Y(n190) );
+  sky130_fd_sc_hd__a21oi_1 U383 ( .A1(n234), .A2(n214), .B1(n190), .Y(n413) );
+  sky130_fd_sc_hd__o22ai_1 U384 ( .A1(n412), .A2(n191), .B1(n413), .B2(n303), 
+        .Y(n192) );
+  sky130_fd_sc_hd__a21oi_1 U385 ( .A1(n387), .A2(n359), .B1(n192), .Y(n545) );
+  sky130_fd_sc_hd__o22ai_1 U386 ( .A1(n194), .A2(n193), .B1(n545), .B2(n558), 
+        .Y(n195) );
+  sky130_fd_sc_hd__a211oi_1 U387 ( .A1(n548), .A2(adder_result_o[1]), .B1(n196), .C1(n195), .Y(n197) );
+  sky130_fd_sc_hd__o21ai_1 U388 ( .A1(n550), .A2(n556), .B1(n197), .Y(
+        result_o[1]) );
+  sky130_fd_sc_hd__a221oi_1 U389 ( .A1(n552), .A2(operand_b_i[2]), .B1(n534), 
+        .B2(n198), .C1(n551), .Y(n219) );
+  sky130_fd_sc_hd__nor3_1 U390 ( .A(operand_a_i[2]), .B(n198), .C(n542), .Y(
+        n216) );
+  sky130_fd_sc_hd__clkinv_1 U391 ( .A(n322), .Y(n337) );
+  sky130_fd_sc_hd__o22ai_1 U392 ( .A1(n298), .A2(n333), .B1(n286), .B2(n331), 
+        .Y(n199) );
+  sky130_fd_sc_hd__a21oi_1 U393 ( .A1(n337), .A2(n247), .B1(n199), .Y(n200) );
+  sky130_fd_sc_hd__o21ai_1 U394 ( .A1(n297), .A2(n339), .B1(n200), .Y(n399) );
+  sky130_fd_sc_hd__o22ai_1 U395 ( .A1(n291), .A2(n333), .B1(n290), .B2(n339), 
+        .Y(n201) );
+  sky130_fd_sc_hd__a21oi_1 U396 ( .A1(n270), .A2(n202), .B1(n201), .Y(n204) );
+  sky130_fd_sc_hd__nand2_1 U397 ( .A(n337), .B(n245), .Y(n203) );
+  sky130_fd_sc_hd__nand2_1 U398 ( .A(n433), .B(n329), .Y(n431) );
+  sky130_fd_sc_hd__a21oi_1 U399 ( .A1(n204), .A2(n203), .B1(n431), .Y(n212) );
+  sky130_fd_sc_hd__o22ai_1 U400 ( .A1(n299), .A2(n331), .B1(n213), .B2(n205), 
+        .Y(n207) );
+  sky130_fd_sc_hd__o22ai_1 U401 ( .A1(n221), .A2(n333), .B1(n300), .B2(n322), 
+        .Y(n206) );
+  sky130_fd_sc_hd__nor2_1 U402 ( .A(n207), .B(n206), .Y(n397) );
+  sky130_fd_sc_hd__clkinv_1 U403 ( .A(n208), .Y(n293) );
+  sky130_fd_sc_hd__o22ai_1 U404 ( .A1(n293), .A2(n322), .B1(n292), .B2(n331), 
+        .Y(n210) );
+  sky130_fd_sc_hd__o22ai_1 U405 ( .A1(n285), .A2(n333), .B1(n284), .B2(n339), 
+        .Y(n209) );
+  sky130_fd_sc_hd__nor2_1 U406 ( .A(n210), .B(n209), .Y(n366) );
+  sky130_fd_sc_hd__nand2_1 U407 ( .A(n330), .B(n433), .Y(n424) );
+  sky130_fd_sc_hd__o22ai_1 U408 ( .A1(n397), .A2(n303), .B1(n366), .B2(n424), 
+        .Y(n211) );
+  sky130_fd_sc_hd__a211oi_1 U409 ( .A1(n387), .A2(n399), .B1(n212), .C1(n211), 
+        .Y(n536) );
+  sky130_fd_sc_hd__a21oi_1 U410 ( .A1(n214), .A2(n213), .B1(n223), .Y(n267) );
+  sky130_fd_sc_hd__o21ai_1 U411 ( .A1(n330), .A2(n267), .B1(n349), .Y(n403) );
+  sky130_fd_sc_hd__a21oi_1 U412 ( .A1(n433), .A2(n403), .B1(n416), .Y(n535) );
+  sky130_fd_sc_hd__o22ai_1 U413 ( .A1(n536), .A2(n558), .B1(n535), .B2(n556), 
+        .Y(n215) );
+  sky130_fd_sc_hd__a211oi_1 U414 ( .A1(n548), .A2(adder_result_o[2]), .B1(n216), .C1(n215), .Y(n217) );
+  sky130_fd_sc_hd__o21ai_1 U415 ( .A1(n219), .A2(n218), .B1(n217), .Y(
+        result_o[2]) );
+  sky130_fd_sc_hd__a221oi_1 U416 ( .A1(n552), .A2(operand_b_i[3]), .B1(n534), 
+        .B2(n220), .C1(n551), .Y(n243) );
+  sky130_fd_sc_hd__nor3_1 U417 ( .A(operand_a_i[3]), .B(n220), .C(n542), .Y(
+        n240) );
+  sky130_fd_sc_hd__o22ai_1 U418 ( .A1(n221), .A2(n322), .B1(n300), .B2(n331), 
+        .Y(n222) );
+  sky130_fd_sc_hd__nor2_1 U419 ( .A(n223), .B(n222), .Y(n381) );
+  sky130_fd_sc_hd__nand2_1 U420 ( .A(n381), .B(n349), .Y(n225) );
+  sky130_fd_sc_hd__a21oi_1 U421 ( .A1(n330), .A2(n224), .B1(n412), .Y(n255) );
+  sky130_fd_sc_hd__a21oi_1 U422 ( .A1(n225), .A2(n255), .B1(n416), .Y(n529) );
+  sky130_fd_sc_hd__clkinv_1 U423 ( .A(n424), .Y(n436) );
+  sky130_fd_sc_hd__o22ai_1 U424 ( .A1(n320), .A2(n331), .B1(n272), .B2(n322), 
+        .Y(n226) );
+  sky130_fd_sc_hd__a21oi_1 U425 ( .A1(n318), .A2(n336), .B1(n226), .Y(n227) );
+  sky130_fd_sc_hd__o21ai_1 U426 ( .A1(n332), .A2(n333), .B1(n227), .Y(n376) );
+  sky130_fd_sc_hd__o22ai_1 U427 ( .A1(n315), .A2(n339), .B1(n314), .B2(n333), 
+        .Y(n228) );
+  sky130_fd_sc_hd__a21oi_1 U428 ( .A1(n270), .A2(n229), .B1(n228), .Y(n231) );
+  sky130_fd_sc_hd__nand2_1 U429 ( .A(n337), .B(n269), .Y(n230) );
+  sky130_fd_sc_hd__a21oi_1 U430 ( .A1(n231), .A2(n230), .B1(n431), .Y(n238) );
+  sky130_fd_sc_hd__o22ai_1 U431 ( .A1(n325), .A2(n322), .B1(n324), .B2(n331), 
+        .Y(n232) );
+  sky130_fd_sc_hd__a21oi_1 U432 ( .A1(n234), .A2(n233), .B1(n232), .Y(n389) );
+  sky130_fd_sc_hd__o22ai_1 U433 ( .A1(n340), .A2(n322), .B1(n334), .B2(n331), 
+        .Y(n236) );
+  sky130_fd_sc_hd__o22ai_1 U434 ( .A1(n323), .A2(n339), .B1(n321), .B2(n333), 
+        .Y(n235) );
+  sky130_fd_sc_hd__nor2_1 U435 ( .A(n236), .B(n235), .Y(n388) );
+  sky130_fd_sc_hd__clkinv_1 U436 ( .A(n387), .Y(n374) );
+  sky130_fd_sc_hd__o22ai_1 U437 ( .A1(n389), .A2(n303), .B1(n388), .B2(n374), 
+        .Y(n237) );
+  sky130_fd_sc_hd__a211oi_1 U438 ( .A1(n436), .A2(n376), .B1(n238), .C1(n237), 
+        .Y(n528) );
+  sky130_fd_sc_hd__o22ai_1 U439 ( .A1(n529), .A2(n556), .B1(n528), .B2(n558), 
+        .Y(n239) );
+  sky130_fd_sc_hd__a211oi_1 U440 ( .A1(n548), .A2(adder_result_o[3]), .B1(n240), .C1(n239), .Y(n241) );
+  sky130_fd_sc_hd__o21ai_1 U441 ( .A1(n243), .A2(n242), .B1(n241), .Y(
+        result_o[3]) );
+  sky130_fd_sc_hd__clkinv_1 U442 ( .A(adder_result_o[4]), .Y(n263) );
+  sky130_fd_sc_hd__clkinv_1 U443 ( .A(n558), .Y(n513) );
+  sky130_fd_sc_hd__clkinv_1 U444 ( .A(n431), .Y(n428) );
+  sky130_fd_sc_hd__o22ai_1 U445 ( .A1(n291), .A2(n322), .B1(n290), .B2(n333), 
+        .Y(n244) );
+  sky130_fd_sc_hd__a21oi_1 U446 ( .A1(n270), .A2(n245), .B1(n244), .Y(n246) );
+  sky130_fd_sc_hd__o21ai_1 U447 ( .A1(n292), .A2(n339), .B1(n246), .Y(n253) );
+  sky130_fd_sc_hd__clkinv_1 U448 ( .A(n247), .Y(n287) );
+  sky130_fd_sc_hd__o22ai_1 U449 ( .A1(n298), .A2(n322), .B1(n287), .B2(n331), 
+        .Y(n249) );
+  sky130_fd_sc_hd__o22ai_1 U450 ( .A1(n299), .A2(n339), .B1(n297), .B2(n333), 
+        .Y(n248) );
+  sky130_fd_sc_hd__nor2_1 U451 ( .A(n249), .B(n248), .Y(n383) );
+  sky130_fd_sc_hd__o22ai_1 U452 ( .A1(n285), .A2(n322), .B1(n293), .B2(n331), 
+        .Y(n251) );
+  sky130_fd_sc_hd__o22ai_1 U453 ( .A1(n286), .A2(n339), .B1(n284), .B2(n333), 
+        .Y(n250) );
+  sky130_fd_sc_hd__nor2_1 U454 ( .A(n251), .B(n250), .Y(n382) );
+  sky130_fd_sc_hd__o22ai_1 U455 ( .A1(n383), .A2(n374), .B1(n382), .B2(n424), 
+        .Y(n252) );
+  sky130_fd_sc_hd__a21oi_1 U456 ( .A1(n428), .A2(n253), .B1(n252), .Y(n254) );
+  sky130_fd_sc_hd__o21ai_1 U457 ( .A1(n381), .A2(n303), .B1(n254), .Y(n522) );
+  sky130_fd_sc_hd__nand2_1 U458 ( .A(n389), .B(n349), .Y(n256) );
+  sky130_fd_sc_hd__a21oi_1 U459 ( .A1(n256), .A2(n255), .B1(n416), .Y(n526) );
+  sky130_fd_sc_hd__a221oi_1 U460 ( .A1(n552), .A2(operand_b_i[4]), .B1(n534), 
+        .B2(n257), .C1(n551), .Y(n258) );
+  sky130_fd_sc_hd__o22ai_1 U461 ( .A1(n526), .A2(n556), .B1(n258), .B2(n260), 
+        .Y(n259) );
+  sky130_fd_sc_hd__a21oi_1 U462 ( .A1(n513), .A2(n522), .B1(n259), .Y(n262) );
+  sky130_fd_sc_hd__nand3_1 U463 ( .A(operand_b_i[4]), .B(n534), .C(n260), .Y(
+        n261) );
+  sky130_fd_sc_hd__o211ai_1 U464 ( .A1(n553), .A2(n263), .B1(n262), .C1(n261), 
+        .Y(result_o[4]) );
+  sky130_fd_sc_hd__clkinv_1 U465 ( .A(adder_result_o[5]), .Y(n282) );
+  sky130_fd_sc_hd__clkinv_1 U466 ( .A(n416), .Y(n423) );
+  sky130_fd_sc_hd__and2_0 U467 ( .A(n349), .B(n423), .X(n312) );
+  sky130_fd_sc_hd__o21ai_1 U468 ( .A1(n397), .A2(n431), .B1(n312), .Y(n512) );
+  sky130_fd_sc_hd__a221oi_1 U469 ( .A1(n534), .A2(n264), .B1(n552), .B2(
+        operand_b_i[5]), .C1(n551), .Y(n277) );
+  sky130_fd_sc_hd__o22ai_1 U470 ( .A1(n321), .A2(n322), .B1(n340), .B2(n331), 
+        .Y(n266) );
+  sky130_fd_sc_hd__o22ai_1 U471 ( .A1(n324), .A2(n339), .B1(n323), .B2(n333), 
+        .Y(n265) );
+  sky130_fd_sc_hd__nor2_1 U472 ( .A(n266), .B(n265), .Y(n400) );
+  sky130_fd_sc_hd__o22ai_1 U473 ( .A1(n330), .A2(n400), .B1(n329), .B2(n267), 
+        .Y(n368) );
+  sky130_fd_sc_hd__o22ai_1 U474 ( .A1(n315), .A2(n333), .B1(n314), .B2(n322), 
+        .Y(n268) );
+  sky130_fd_sc_hd__a21oi_1 U475 ( .A1(n270), .A2(n269), .B1(n268), .Y(n271) );
+  sky130_fd_sc_hd__o21ai_1 U476 ( .A1(n320), .A2(n339), .B1(n271), .Y(n276) );
+  sky130_fd_sc_hd__o22ai_1 U477 ( .A1(n332), .A2(n322), .B1(n272), .B2(n331), 
+        .Y(n273) );
+  sky130_fd_sc_hd__a21oi_1 U478 ( .A1(n274), .A2(n336), .B1(n273), .Y(n275) );
+  sky130_fd_sc_hd__o21ai_1 U479 ( .A1(n334), .A2(n339), .B1(n275), .Y(n402) );
+  sky130_fd_sc_hd__a222oi_1 U480 ( .A1(n368), .A2(n412), .B1(n276), .B2(n428), 
+        .C1(n402), .C2(n436), .Y(n510) );
+  sky130_fd_sc_hd__o22ai_1 U481 ( .A1(n277), .A2(n279), .B1(n510), .B2(n558), 
+        .Y(n278) );
+  sky130_fd_sc_hd__a21oi_1 U482 ( .A1(n523), .A2(n512), .B1(n278), .Y(n281) );
+  sky130_fd_sc_hd__nand3_1 U483 ( .A(n534), .B(operand_b_i[5]), .C(n279), .Y(
+        n280) );
+  sky130_fd_sc_hd__o211ai_1 U484 ( .A1(n553), .A2(n282), .B1(n281), .C1(n280), 
+        .Y(result_o[5]) );
+  sky130_fd_sc_hd__clkinv_1 U485 ( .A(adder_result_o[6]), .Y(n311) );
+  sky130_fd_sc_hd__o21ai_1 U486 ( .A1(n413), .A2(n431), .B1(n312), .Y(n505) );
+  sky130_fd_sc_hd__a221oi_1 U487 ( .A1(n534), .A2(n283), .B1(n552), .B2(
+        operand_b_i[6]), .C1(n551), .Y(n306) );
+  sky130_fd_sc_hd__o22ai_1 U488 ( .A1(n285), .A2(n331), .B1(n284), .B2(n322), 
+        .Y(n289) );
+  sky130_fd_sc_hd__o22ai_1 U489 ( .A1(n287), .A2(n339), .B1(n286), .B2(n333), 
+        .Y(n288) );
+  sky130_fd_sc_hd__nor2_1 U490 ( .A(n289), .B(n288), .Y(n410) );
+  sky130_fd_sc_hd__o22ai_1 U491 ( .A1(n291), .A2(n331), .B1(n290), .B2(n322), 
+        .Y(n295) );
+  sky130_fd_sc_hd__o22ai_1 U492 ( .A1(n293), .A2(n339), .B1(n292), .B2(n333), 
+        .Y(n294) );
+  sky130_fd_sc_hd__o21ai_1 U493 ( .A1(n295), .A2(n294), .B1(n428), .Y(n296) );
+  sky130_fd_sc_hd__o21ai_1 U494 ( .A1(n410), .A2(n424), .B1(n296), .Y(n305) );
+  sky130_fd_sc_hd__o22ai_1 U495 ( .A1(n298), .A2(n331), .B1(n297), .B2(n322), 
+        .Y(n302) );
+  sky130_fd_sc_hd__o22ai_1 U496 ( .A1(n300), .A2(n339), .B1(n299), .B2(n333), 
+        .Y(n301) );
+  sky130_fd_sc_hd__nor2_1 U497 ( .A(n302), .B(n301), .Y(n409) );
+  sky130_fd_sc_hd__o22ai_1 U498 ( .A1(n357), .A2(n303), .B1(n409), .B2(n374), 
+        .Y(n304) );
+  sky130_fd_sc_hd__nor2_1 U499 ( .A(n305), .B(n304), .Y(n503) );
+  sky130_fd_sc_hd__o22ai_1 U500 ( .A1(n306), .A2(n308), .B1(n503), .B2(n558), 
+        .Y(n307) );
+  sky130_fd_sc_hd__a21oi_1 U501 ( .A1(n523), .A2(n505), .B1(n307), .Y(n310) );
+  sky130_fd_sc_hd__nand3_1 U502 ( .A(n534), .B(operand_b_i[6]), .C(n308), .Y(
+        n309) );
+  sky130_fd_sc_hd__o211ai_1 U503 ( .A1(n553), .A2(n311), .B1(n310), .C1(n309), 
+        .Y(result_o[6]) );
+  sky130_fd_sc_hd__clkinv_1 U504 ( .A(adder_result_o[7]), .Y(n347) );
+  sky130_fd_sc_hd__o21ai_1 U505 ( .A1(n425), .A2(n431), .B1(n312), .Y(n498) );
+  sky130_fd_sc_hd__a221oi_1 U506 ( .A1(n534), .A2(n313), .B1(n552), .B2(
+        operand_b_i[7]), .C1(n551), .Y(n342) );
+  sky130_fd_sc_hd__o22ai_1 U507 ( .A1(n315), .A2(n322), .B1(n314), .B2(n331), 
+        .Y(n316) );
+  sky130_fd_sc_hd__a21oi_1 U508 ( .A1(n318), .A2(n317), .B1(n316), .Y(n319) );
+  sky130_fd_sc_hd__o21ai_1 U509 ( .A1(n320), .A2(n333), .B1(n319), .Y(n341) );
+  sky130_fd_sc_hd__o22ai_1 U510 ( .A1(n323), .A2(n322), .B1(n321), .B2(n331), 
+        .Y(n327) );
+  sky130_fd_sc_hd__o22ai_1 U511 ( .A1(n325), .A2(n339), .B1(n324), .B2(n333), 
+        .Y(n326) );
+  sky130_fd_sc_hd__nor2_1 U512 ( .A(n327), .B(n326), .Y(n435) );
+  sky130_fd_sc_hd__o22ai_1 U513 ( .A1(n330), .A2(n435), .B1(n329), .B2(n328), 
+        .Y(n348) );
+  sky130_fd_sc_hd__o22ai_1 U514 ( .A1(n334), .A2(n333), .B1(n332), .B2(n331), 
+        .Y(n335) );
+  sky130_fd_sc_hd__a21oi_1 U515 ( .A1(n337), .A2(n336), .B1(n335), .Y(n338) );
+  sky130_fd_sc_hd__o21ai_1 U516 ( .A1(n340), .A2(n339), .B1(n338), .Y(n430) );
+  sky130_fd_sc_hd__a222oi_1 U517 ( .A1(n341), .A2(n428), .B1(n412), .B2(n348), 
+        .C1(n430), .C2(n436), .Y(n496) );
+  sky130_fd_sc_hd__o22ai_1 U518 ( .A1(n342), .A2(n344), .B1(n496), .B2(n558), 
+        .Y(n343) );
+  sky130_fd_sc_hd__a21oi_1 U519 ( .A1(n523), .A2(n498), .B1(n343), .Y(n346) );
+  sky130_fd_sc_hd__nand3_1 U520 ( .A(n534), .B(operand_b_i[7]), .C(n344), .Y(
+        n345) );
+  sky130_fd_sc_hd__o211ai_1 U521 ( .A1(n553), .A2(n347), .B1(n346), .C1(n345), 
+        .Y(result_o[7]) );
+  sky130_fd_sc_hd__a21oi_1 U522 ( .A1(n348), .A2(n433), .B1(n416), .Y(n494) );
+  sky130_fd_sc_hd__clkinv_1 U523 ( .A(operand_b_i[8]), .Y(n564) );
+  sky130_fd_sc_hd__nor3_1 U524 ( .A(operand_a_i[8]), .B(n542), .C(n564), .Y(
+        n355) );
+  sky130_fd_sc_hd__a221oi_1 U525 ( .A1(n534), .A2(n564), .B1(n552), .B2(
+        operand_b_i[8]), .C1(n551), .Y(n353) );
+  sky130_fd_sc_hd__nor2_1 U526 ( .A(n433), .B(n349), .Y(n385) );
+  sky130_fd_sc_hd__o2bb2ai_1 U527 ( .B1(n425), .B2(n374), .A1_N(n427), .A2_N(
+        n436), .Y(n350) );
+  sky130_fd_sc_hd__a211oi_1 U528 ( .A1(n428), .A2(n351), .B1(n385), .C1(n350), 
+        .Y(n490) );
+  sky130_fd_sc_hd__o22ai_1 U529 ( .A1(n353), .A2(n352), .B1(n490), .B2(n558), 
+        .Y(n354) );
+  sky130_fd_sc_hd__a211oi_1 U530 ( .A1(n548), .A2(adder_result_o[8]), .B1(n355), .C1(n354), .Y(n356) );
+  sky130_fd_sc_hd__o21ai_1 U531 ( .A1(n494), .A2(n556), .B1(n356), .Y(
+        result_o[8]) );
+  sky130_fd_sc_hd__clkinv_1 U532 ( .A(operand_b_i[9]), .Y(n566) );
+  sky130_fd_sc_hd__a221oi_1 U533 ( .A1(n534), .A2(n566), .B1(n552), .B2(
+        operand_b_i[9]), .C1(n551), .Y(n365) );
+  sky130_fd_sc_hd__nor3_1 U534 ( .A(operand_a_i[9]), .B(n542), .C(n566), .Y(
+        n363) );
+  sky130_fd_sc_hd__o22ai_1 U535 ( .A1(n357), .A2(n424), .B1(n409), .B2(n431), 
+        .Y(n358) );
+  sky130_fd_sc_hd__nor2_1 U536 ( .A(n416), .B(n358), .Y(n484) );
+  sky130_fd_sc_hd__clkinv_1 U537 ( .A(n359), .Y(n414) );
+  sky130_fd_sc_hd__o22ai_1 U538 ( .A1(n414), .A2(n424), .B1(n413), .B2(n374), 
+        .Y(n360) );
+  sky130_fd_sc_hd__a211oi_1 U539 ( .A1(n428), .A2(n361), .B1(n385), .C1(n360), 
+        .Y(n483) );
+  sky130_fd_sc_hd__o22ai_1 U540 ( .A1(n484), .A2(n556), .B1(n483), .B2(n558), 
+        .Y(n362) );
+  sky130_fd_sc_hd__a211oi_1 U541 ( .A1(n548), .A2(adder_result_o[9]), .B1(n363), .C1(n362), .Y(n364) );
+  sky130_fd_sc_hd__o21ai_1 U542 ( .A1(n365), .A2(n606), .B1(n364), .Y(
+        result_o[9]) );
+  sky130_fd_sc_hd__clkinv_1 U543 ( .A(operand_b_i[10]), .Y(n568) );
+  sky130_fd_sc_hd__a221oi_1 U544 ( .A1(n534), .A2(n568), .B1(n552), .B2(
+        operand_b_i[10]), .C1(n551), .Y(n372) );
+  sky130_fd_sc_hd__nor3_1 U545 ( .A(operand_a_i[10]), .B(n542), .C(n568), .Y(
+        n370) );
+  sky130_fd_sc_hd__o22ai_1 U546 ( .A1(n397), .A2(n374), .B1(n366), .B2(n431), 
+        .Y(n367) );
+  sky130_fd_sc_hd__a211oi_1 U547 ( .A1(n436), .A2(n399), .B1(n385), .C1(n367), 
+        .Y(n478) );
+  sky130_fd_sc_hd__a21oi_1 U548 ( .A1(n433), .A2(n368), .B1(n416), .Y(n477) );
+  sky130_fd_sc_hd__o22ai_1 U549 ( .A1(n478), .A2(n558), .B1(n477), .B2(n556), 
+        .Y(n369) );
+  sky130_fd_sc_hd__a211oi_1 U550 ( .A1(n548), .A2(adder_result_o[10]), .B1(
+        n370), .C1(n369), .Y(n371) );
+  sky130_fd_sc_hd__o21ai_1 U551 ( .A1(n372), .A2(n608), .B1(n371), .Y(
+        result_o[10]) );
+  sky130_fd_sc_hd__clkinv_1 U552 ( .A(operand_b_i[11]), .Y(n570) );
+  sky130_fd_sc_hd__a221oi_1 U553 ( .A1(n534), .A2(n570), .B1(n552), .B2(
+        operand_b_i[11]), .C1(n551), .Y(n380) );
+  sky130_fd_sc_hd__nor3_1 U554 ( .A(operand_a_i[11]), .B(n542), .C(n570), .Y(
+        n378) );
+  sky130_fd_sc_hd__o22ai_1 U555 ( .A1(n381), .A2(n424), .B1(n383), .B2(n431), 
+        .Y(n373) );
+  sky130_fd_sc_hd__nor2_1 U556 ( .A(n416), .B(n373), .Y(n472) );
+  sky130_fd_sc_hd__o22ai_1 U557 ( .A1(n389), .A2(n374), .B1(n388), .B2(n424), 
+        .Y(n375) );
+  sky130_fd_sc_hd__a211oi_1 U558 ( .A1(n428), .A2(n376), .B1(n385), .C1(n375), 
+        .Y(n471) );
+  sky130_fd_sc_hd__o22ai_1 U559 ( .A1(n472), .A2(n556), .B1(n471), .B2(n558), 
+        .Y(n377) );
+  sky130_fd_sc_hd__a211oi_1 U560 ( .A1(n548), .A2(adder_result_o[11]), .B1(
+        n378), .C1(n377), .Y(n379) );
+  sky130_fd_sc_hd__o21ai_1 U561 ( .A1(n380), .A2(n610), .B1(n379), .Y(
+        result_o[11]) );
+  sky130_fd_sc_hd__clkinv_1 U562 ( .A(n381), .Y(n386) );
+  sky130_fd_sc_hd__o22ai_1 U563 ( .A1(n383), .A2(n424), .B1(n382), .B2(n431), 
+        .Y(n384) );
+  sky130_fd_sc_hd__a211oi_1 U564 ( .A1(n387), .A2(n386), .B1(n385), .C1(n384), 
+        .Y(n470) );
+  sky130_fd_sc_hd__clkinv_1 U565 ( .A(operand_b_i[12]), .Y(n572) );
+  sky130_fd_sc_hd__nor3_1 U566 ( .A(operand_a_i[12]), .B(n542), .C(n572), .Y(
+        n394) );
+  sky130_fd_sc_hd__o22ai_1 U567 ( .A1(n389), .A2(n424), .B1(n388), .B2(n431), 
+        .Y(n390) );
+  sky130_fd_sc_hd__nor2_1 U568 ( .A(n416), .B(n390), .Y(n466) );
+  sky130_fd_sc_hd__a221oi_1 U569 ( .A1(n534), .A2(n572), .B1(n552), .B2(
+        operand_b_i[12]), .C1(n551), .Y(n392) );
+  sky130_fd_sc_hd__o22ai_1 U570 ( .A1(n466), .A2(n556), .B1(n392), .B2(n391), 
+        .Y(n393) );
+  sky130_fd_sc_hd__a211oi_1 U571 ( .A1(n548), .A2(adder_result_o[12]), .B1(
+        n394), .C1(n393), .Y(n395) );
+  sky130_fd_sc_hd__o21ai_1 U572 ( .A1(n470), .A2(n558), .B1(n395), .Y(
+        result_o[12]) );
+  sky130_fd_sc_hd__a221oi_1 U573 ( .A1(n552), .A2(operand_a_i[13]), .B1(n534), 
+        .B2(n396), .C1(n551), .Y(n407) );
+  sky130_fd_sc_hd__clkinv_1 U574 ( .A(operand_b_i[13]), .Y(n574) );
+  sky130_fd_sc_hd__nor3_1 U575 ( .A(operand_b_i[13]), .B(n542), .C(n396), .Y(
+        n405) );
+  sky130_fd_sc_hd__o21ai_1 U576 ( .A1(n397), .A2(n424), .B1(n423), .Y(n398) );
+  sky130_fd_sc_hd__a21oi_1 U577 ( .A1(n428), .A2(n399), .B1(n398), .Y(n457) );
+  sky130_fd_sc_hd__clkinv_1 U578 ( .A(n400), .Y(n401) );
+  sky130_fd_sc_hd__a222oi_1 U579 ( .A1(n403), .A2(n412), .B1(n402), .B2(n428), 
+        .C1(n401), .C2(n436), .Y(n456) );
+  sky130_fd_sc_hd__o22ai_1 U580 ( .A1(n457), .A2(n556), .B1(n456), .B2(n558), 
+        .Y(n404) );
+  sky130_fd_sc_hd__a211oi_1 U581 ( .A1(n548), .A2(adder_result_o[13]), .B1(
+        n405), .C1(n404), .Y(n406) );
+  sky130_fd_sc_hd__o21ai_1 U582 ( .A1(n407), .A2(n574), .B1(n406), .Y(
+        result_o[13]) );
+  sky130_fd_sc_hd__clkinv_1 U583 ( .A(adder_result_o[14]), .Y(n422) );
+  sky130_fd_sc_hd__clkinv_1 U584 ( .A(n408), .Y(n411) );
+  sky130_fd_sc_hd__a222oi_1 U585 ( .A1(n412), .A2(n411), .B1(n428), .B2(n410), 
+        .C1(n436), .C2(n409), .Y(n451) );
+  sky130_fd_sc_hd__o22ai_1 U586 ( .A1(n414), .A2(n431), .B1(n413), .B2(n424), 
+        .Y(n415) );
+  sky130_fd_sc_hd__nor2_1 U587 ( .A(n416), .B(n415), .Y(n454) );
+  sky130_fd_sc_hd__clkinv_1 U588 ( .A(operand_b_i[14]), .Y(n576) );
+  sky130_fd_sc_hd__a221oi_1 U589 ( .A1(n534), .A2(n576), .B1(n552), .B2(
+        operand_b_i[14]), .C1(n551), .Y(n417) );
+  sky130_fd_sc_hd__o22ai_1 U590 ( .A1(n454), .A2(n556), .B1(n417), .B2(n419), 
+        .Y(n418) );
+  sky130_fd_sc_hd__a21oi_1 U591 ( .A1(n513), .A2(n451), .B1(n418), .Y(n421) );
+  sky130_fd_sc_hd__nand3_1 U592 ( .A(n534), .B(operand_b_i[14]), .C(n419), .Y(
+        n420) );
+  sky130_fd_sc_hd__o211ai_1 U593 ( .A1(n553), .A2(n422), .B1(n421), .C1(n420), 
+        .Y(result_o[14]) );
+  sky130_fd_sc_hd__o21ai_1 U594 ( .A1(n425), .A2(n424), .B1(n423), .Y(n426) );
+  sky130_fd_sc_hd__a21oi_1 U595 ( .A1(n428), .A2(n427), .B1(n426), .Y(n447) );
+  sky130_fd_sc_hd__nor3_1 U596 ( .A(operand_b_i[15]), .B(n542), .C(n437), .Y(
+        n429) );
+  sky130_fd_sc_hd__a21oi_1 U597 ( .A1(n548), .A2(adder_result_o[15]), .B1(n429), .Y(n440) );
+  sky130_fd_sc_hd__o22ai_1 U598 ( .A1(n433), .A2(n432), .B1(n431), .B2(n430), 
+        .Y(n434) );
+  sky130_fd_sc_hd__a21oi_1 U599 ( .A1(n436), .A2(n435), .B1(n434), .Y(n444) );
+  sky130_fd_sc_hd__o221ai_1 U600 ( .A1(operand_a_i[15]), .A2(n542), .B1(n437), 
+        .B2(n519), .C1(n518), .Y(n438) );
+  sky130_fd_sc_hd__a22oi_1 U601 ( .A1(n513), .A2(n444), .B1(operand_b_i[15]), 
+        .B2(n438), .Y(n439) );
+  sky130_fd_sc_hd__o211ai_1 U602 ( .A1(n447), .A2(n556), .B1(n440), .C1(n439), 
+        .Y(result_o[15]) );
+  sky130_fd_sc_hd__nor3_1 U603 ( .A(operand_b_i[16]), .B(n542), .C(n442), .Y(
+        n441) );
+  sky130_fd_sc_hd__a21oi_1 U604 ( .A1(n548), .A2(adder_result_o[16]), .B1(n441), .Y(n446) );
+  sky130_fd_sc_hd__o221ai_1 U605 ( .A1(operand_a_i[16]), .A2(n542), .B1(n442), 
+        .B2(n519), .C1(n518), .Y(n443) );
+  sky130_fd_sc_hd__a22oi_1 U606 ( .A1(n523), .A2(n444), .B1(operand_b_i[16]), 
+        .B2(n443), .Y(n445) );
+  sky130_fd_sc_hd__o211ai_1 U607 ( .A1(n447), .A2(n558), .B1(n446), .C1(n445), 
+        .Y(result_o[16]) );
+  sky130_fd_sc_hd__nor3_1 U608 ( .A(operand_b_i[17]), .B(n542), .C(n449), .Y(
+        n448) );
+  sky130_fd_sc_hd__a21oi_1 U609 ( .A1(n548), .A2(adder_result_o[17]), .B1(n448), .Y(n453) );
+  sky130_fd_sc_hd__o221ai_1 U610 ( .A1(operand_a_i[17]), .A2(n542), .B1(n449), 
+        .B2(n519), .C1(n518), .Y(n450) );
+  sky130_fd_sc_hd__a22oi_1 U611 ( .A1(n523), .A2(n451), .B1(operand_b_i[17]), 
+        .B2(n450), .Y(n452) );
+  sky130_fd_sc_hd__o211ai_1 U612 ( .A1(n454), .A2(n558), .B1(n453), .C1(n452), 
+        .Y(result_o[17]) );
+  sky130_fd_sc_hd__a221oi_1 U613 ( .A1(n534), .A2(n455), .B1(n552), .B2(
+        operand_b_i[18]), .C1(n551), .Y(n462) );
+  sky130_fd_sc_hd__nor3_1 U614 ( .A(operand_a_i[18]), .B(n542), .C(n455), .Y(
+        n459) );
+  sky130_fd_sc_hd__o22ai_1 U615 ( .A1(n457), .A2(n558), .B1(n456), .B2(n556), 
+        .Y(n458) );
+  sky130_fd_sc_hd__a211oi_1 U616 ( .A1(n548), .A2(adder_result_o[18]), .B1(
+        n459), .C1(n458), .Y(n460) );
+  sky130_fd_sc_hd__o21ai_1 U617 ( .A1(n462), .A2(n461), .B1(n460), .Y(
+        result_o[18]) );
+  sky130_fd_sc_hd__nor3_1 U618 ( .A(operand_a_i[19]), .B(n542), .C(n463), .Y(
+        n468) );
+  sky130_fd_sc_hd__a221oi_1 U619 ( .A1(n534), .A2(n463), .B1(n552), .B2(
+        operand_b_i[19]), .C1(n551), .Y(n465) );
+  sky130_fd_sc_hd__o22ai_1 U620 ( .A1(n466), .A2(n558), .B1(n465), .B2(n464), 
+        .Y(n467) );
+  sky130_fd_sc_hd__a211oi_1 U621 ( .A1(n548), .A2(adder_result_o[19]), .B1(
+        n468), .C1(n467), .Y(n469) );
+  sky130_fd_sc_hd__o21ai_1 U622 ( .A1(n470), .A2(n556), .B1(n469), .Y(
+        result_o[19]) );
+  sky130_fd_sc_hd__clkinv_1 U623 ( .A(operand_b_i[20]), .Y(n580) );
+  sky130_fd_sc_hd__a221oi_1 U624 ( .A1(n534), .A2(n580), .B1(n552), .B2(
+        operand_b_i[20]), .C1(n551), .Y(n476) );
+  sky130_fd_sc_hd__nor3_1 U625 ( .A(operand_a_i[20]), .B(n542), .C(n580), .Y(
+        n474) );
+  sky130_fd_sc_hd__o22ai_1 U626 ( .A1(n472), .A2(n558), .B1(n471), .B2(n556), 
+        .Y(n473) );
+  sky130_fd_sc_hd__a211oi_1 U627 ( .A1(n548), .A2(adder_result_o[20]), .B1(
+        n474), .C1(n473), .Y(n475) );
+  sky130_fd_sc_hd__o21ai_1 U628 ( .A1(n476), .A2(n612), .B1(n475), .Y(
+        result_o[20]) );
+  sky130_fd_sc_hd__clkinv_1 U629 ( .A(operand_b_i[21]), .Y(n582) );
+  sky130_fd_sc_hd__a221oi_1 U630 ( .A1(n534), .A2(n582), .B1(n552), .B2(
+        operand_b_i[21]), .C1(n551), .Y(n482) );
+  sky130_fd_sc_hd__nor3_1 U631 ( .A(operand_a_i[21]), .B(n542), .C(n582), .Y(
+        n480) );
+  sky130_fd_sc_hd__o22ai_1 U632 ( .A1(n478), .A2(n556), .B1(n477), .B2(n558), 
+        .Y(n479) );
+  sky130_fd_sc_hd__a211oi_1 U633 ( .A1(n548), .A2(adder_result_o[21]), .B1(
+        n480), .C1(n479), .Y(n481) );
+  sky130_fd_sc_hd__o21ai_1 U634 ( .A1(n482), .A2(n614), .B1(n481), .Y(
+        result_o[21]) );
+  sky130_fd_sc_hd__clkinv_1 U635 ( .A(operand_b_i[22]), .Y(n584) );
+  sky130_fd_sc_hd__a221oi_1 U636 ( .A1(n534), .A2(n584), .B1(n552), .B2(
+        operand_b_i[22]), .C1(n551), .Y(n488) );
+  sky130_fd_sc_hd__nor3_1 U637 ( .A(operand_a_i[22]), .B(n542), .C(n584), .Y(
+        n486) );
+  sky130_fd_sc_hd__o22ai_1 U638 ( .A1(n484), .A2(n558), .B1(n483), .B2(n556), 
+        .Y(n485) );
+  sky130_fd_sc_hd__a211oi_1 U639 ( .A1(n548), .A2(adder_result_o[22]), .B1(
+        n486), .C1(n485), .Y(n487) );
+  sky130_fd_sc_hd__o21ai_1 U640 ( .A1(n488), .A2(n616), .B1(n487), .Y(
+        result_o[22]) );
+  sky130_fd_sc_hd__clkinv_1 U641 ( .A(operand_b_i[23]), .Y(n586) );
+  sky130_fd_sc_hd__nor3_1 U642 ( .A(operand_a_i[23]), .B(n542), .C(n586), .Y(
+        n492) );
+  sky130_fd_sc_hd__a221oi_1 U643 ( .A1(n534), .A2(n586), .B1(n552), .B2(
+        operand_b_i[23]), .C1(n551), .Y(n489) );
+  sky130_fd_sc_hd__o22ai_1 U644 ( .A1(n490), .A2(n556), .B1(n489), .B2(n618), 
+        .Y(n491) );
+  sky130_fd_sc_hd__a211oi_1 U645 ( .A1(n548), .A2(adder_result_o[23]), .B1(
+        n492), .C1(n491), .Y(n493) );
+  sky130_fd_sc_hd__o21ai_1 U646 ( .A1(n494), .A2(n558), .B1(n493), .Y(
+        result_o[23]) );
+  sky130_fd_sc_hd__clkinv_1 U647 ( .A(adder_result_o[24]), .Y(n501) );
+  sky130_fd_sc_hd__clkinv_1 U648 ( .A(operand_b_i[24]), .Y(n588) );
+  sky130_fd_sc_hd__a221oi_1 U649 ( .A1(n534), .A2(n588), .B1(n552), .B2(
+        operand_b_i[24]), .C1(n551), .Y(n495) );
+  sky130_fd_sc_hd__o22ai_1 U650 ( .A1(n496), .A2(n556), .B1(n495), .B2(n620), 
+        .Y(n497) );
+  sky130_fd_sc_hd__a21oi_1 U651 ( .A1(n513), .A2(n498), .B1(n497), .Y(n500) );
+  sky130_fd_sc_hd__nand3_1 U652 ( .A(n534), .B(operand_b_i[24]), .C(n620), .Y(
+        n499) );
+  sky130_fd_sc_hd__o211ai_1 U653 ( .A1(n553), .A2(n501), .B1(n500), .C1(n499), 
+        .Y(result_o[24]) );
+  sky130_fd_sc_hd__clkinv_1 U654 ( .A(adder_result_o[25]), .Y(n508) );
+  sky130_fd_sc_hd__clkinv_1 U655 ( .A(operand_b_i[25]), .Y(n590) );
+  sky130_fd_sc_hd__a221oi_1 U656 ( .A1(n534), .A2(n590), .B1(n552), .B2(
+        operand_b_i[25]), .C1(n551), .Y(n502) );
+  sky130_fd_sc_hd__o22ai_1 U657 ( .A1(n503), .A2(n556), .B1(n502), .B2(n622), 
+        .Y(n504) );
+  sky130_fd_sc_hd__a21oi_1 U658 ( .A1(n513), .A2(n505), .B1(n504), .Y(n507) );
+  sky130_fd_sc_hd__nand3_1 U659 ( .A(n534), .B(operand_b_i[25]), .C(n622), .Y(
+        n506) );
+  sky130_fd_sc_hd__o211ai_1 U660 ( .A1(n553), .A2(n508), .B1(n507), .C1(n506), 
+        .Y(result_o[25]) );
+  sky130_fd_sc_hd__clkinv_1 U661 ( .A(adder_result_o[26]), .Y(n516) );
+  sky130_fd_sc_hd__clkinv_1 U662 ( .A(operand_b_i[26]), .Y(n592) );
+  sky130_fd_sc_hd__a221oi_1 U663 ( .A1(n534), .A2(n592), .B1(n552), .B2(
+        operand_b_i[26]), .C1(n551), .Y(n509) );
+  sky130_fd_sc_hd__o22ai_1 U664 ( .A1(n510), .A2(n556), .B1(n509), .B2(n624), 
+        .Y(n511) );
+  sky130_fd_sc_hd__a21oi_1 U665 ( .A1(n513), .A2(n512), .B1(n511), .Y(n515) );
+  sky130_fd_sc_hd__nand3_1 U666 ( .A(n534), .B(operand_b_i[26]), .C(n624), .Y(
+        n514) );
+  sky130_fd_sc_hd__o211ai_1 U667 ( .A1(n553), .A2(n516), .B1(n515), .C1(n514), 
+        .Y(result_o[26]) );
+  sky130_fd_sc_hd__nor3_1 U668 ( .A(operand_b_i[27]), .B(n542), .C(n520), .Y(
+        n517) );
+  sky130_fd_sc_hd__a21oi_1 U669 ( .A1(n548), .A2(adder_result_o[27]), .B1(n517), .Y(n525) );
+  sky130_fd_sc_hd__o221ai_1 U670 ( .A1(operand_a_i[27]), .A2(n542), .B1(n520), 
+        .B2(n519), .C1(n518), .Y(n521) );
+  sky130_fd_sc_hd__a22oi_1 U671 ( .A1(n523), .A2(n522), .B1(operand_b_i[27]), 
+        .B2(n521), .Y(n524) );
+  sky130_fd_sc_hd__o211ai_1 U672 ( .A1(n526), .A2(n558), .B1(n525), .C1(n524), 
+        .Y(result_o[27]) );
+  sky130_fd_sc_hd__a221oi_1 U673 ( .A1(n552), .A2(operand_a_i[28]), .B1(n534), 
+        .B2(n527), .C1(n551), .Y(n533) );
+  sky130_fd_sc_hd__clkinv_1 U674 ( .A(operand_b_i[28]), .Y(n597) );
+  sky130_fd_sc_hd__nor3_1 U675 ( .A(operand_b_i[28]), .B(n542), .C(n527), .Y(
+        n531) );
+  sky130_fd_sc_hd__o22ai_1 U676 ( .A1(n529), .A2(n558), .B1(n528), .B2(n556), 
+        .Y(n530) );
+  sky130_fd_sc_hd__a211oi_1 U677 ( .A1(n548), .A2(adder_result_o[28]), .B1(
+        n531), .C1(n530), .Y(n532) );
+  sky130_fd_sc_hd__o21ai_1 U678 ( .A1(n533), .A2(n597), .B1(n532), .Y(
+        result_o[28]) );
+  sky130_fd_sc_hd__clkinv_1 U679 ( .A(operand_b_i[29]), .Y(n599) );
+  sky130_fd_sc_hd__a221oi_1 U680 ( .A1(n534), .A2(n599), .B1(n552), .B2(
+        operand_b_i[29]), .C1(n551), .Y(n541) );
+  sky130_fd_sc_hd__nor3_1 U681 ( .A(operand_a_i[29]), .B(n542), .C(n599), .Y(
+        n538) );
+  sky130_fd_sc_hd__o22ai_1 U682 ( .A1(n536), .A2(n556), .B1(n535), .B2(n558), 
+        .Y(n537) );
+  sky130_fd_sc_hd__a211oi_1 U683 ( .A1(n548), .A2(adder_result_o[29]), .B1(
+        n538), .C1(n537), .Y(n539) );
+  sky130_fd_sc_hd__o21ai_1 U684 ( .A1(n541), .A2(n540), .B1(n539), .Y(
+        result_o[29]) );
+  sky130_fd_sc_hd__clkinv_1 U685 ( .A(operand_b_i[30]), .Y(n601) );
+  sky130_fd_sc_hd__nor3_1 U686 ( .A(operand_a_i[30]), .B(n542), .C(n601), .Y(
+        n547) );
+  sky130_fd_sc_hd__a221oi_1 U687 ( .A1(n534), .A2(n601), .B1(n552), .B2(
+        operand_b_i[30]), .C1(n551), .Y(n544) );
+  sky130_fd_sc_hd__o22ai_1 U688 ( .A1(n545), .A2(n556), .B1(n544), .B2(n543), 
+        .Y(n546) );
+  sky130_fd_sc_hd__a211oi_1 U689 ( .A1(n548), .A2(adder_result_o[30]), .B1(
+        n547), .C1(n546), .Y(n549) );
+  sky130_fd_sc_hd__o21ai_1 U690 ( .A1(n550), .A2(n558), .B1(n549), .Y(
+        result_o[30]) );
+  sky130_fd_sc_hd__a21oi_1 U691 ( .A1(operand_b_i[31]), .A2(n552), .B1(n551), 
+        .Y(n555) );
+  sky130_fd_sc_hd__o22ai_1 U692 ( .A1(n555), .A2(n627), .B1(n554), .B2(n553), 
+        .Y(n561) );
+  sky130_fd_sc_hd__o22ai_1 U693 ( .A1(n559), .A2(n558), .B1(n557), .B2(n556), 
+        .Y(n560) );
+  sky130_fd_sc_hd__a211o_1 U694 ( .A1(n534), .A2(n562), .B1(n561), .C1(n560), 
+        .X(result_o[31]) );
+  sky130_fd_sc_hd__a22oi_1 U695 ( .A1(multdiv_operand_b_i[9]), .A2(n626), .B1(
+        n602), .B2(n564), .Y(n563) );
+  sky130_fd_sc_hd__o21ai_1 U696 ( .A1(n564), .A2(adder_in_b[0]), .B1(n563), 
+        .Y(adder_in_b[9]) );
+  sky130_fd_sc_hd__a22oi_1 U697 ( .A1(multdiv_operand_b_i[10]), .A2(
+        multdiv_sel_i), .B1(n602), .B2(n566), .Y(n565) );
+  sky130_fd_sc_hd__o21ai_1 U698 ( .A1(n566), .A2(adder_in_b[0]), .B1(n565), 
+        .Y(adder_in_b[10]) );
+  sky130_fd_sc_hd__a22oi_1 U699 ( .A1(multdiv_operand_b_i[11]), .A2(
+        multdiv_sel_i), .B1(n602), .B2(n568), .Y(n567) );
+  sky130_fd_sc_hd__o21ai_1 U700 ( .A1(n568), .A2(adder_in_b[0]), .B1(n567), 
+        .Y(adder_in_b[11]) );
+  sky130_fd_sc_hd__a22oi_1 U701 ( .A1(multdiv_operand_b_i[12]), .A2(n626), 
+        .B1(n602), .B2(n570), .Y(n569) );
+  sky130_fd_sc_hd__o21ai_1 U702 ( .A1(n570), .A2(adder_in_b[0]), .B1(n569), 
+        .Y(adder_in_b[12]) );
+  sky130_fd_sc_hd__a22oi_1 U703 ( .A1(multdiv_operand_b_i[13]), .A2(n626), 
+        .B1(n602), .B2(n572), .Y(n571) );
+  sky130_fd_sc_hd__o21ai_1 U704 ( .A1(n572), .A2(adder_in_b[0]), .B1(n571), 
+        .Y(adder_in_b[13]) );
+  sky130_fd_sc_hd__a22oi_1 U705 ( .A1(multdiv_operand_b_i[14]), .A2(n626), 
+        .B1(n602), .B2(n574), .Y(n573) );
+  sky130_fd_sc_hd__o21ai_1 U706 ( .A1(n574), .A2(adder_in_b[0]), .B1(n573), 
+        .Y(adder_in_b[14]) );
+  sky130_fd_sc_hd__a22oi_1 U707 ( .A1(multdiv_operand_b_i[15]), .A2(n626), 
+        .B1(n602), .B2(n576), .Y(n575) );
+  sky130_fd_sc_hd__o21ai_1 U708 ( .A1(n576), .A2(adder_in_b[0]), .B1(n575), 
+        .Y(adder_in_b[15]) );
+  sky130_fd_sc_hd__a22oi_1 U709 ( .A1(operand_b_i[15]), .A2(n593), .B1(n626), 
+        .B2(multdiv_operand_b_i[16]), .Y(n577) );
+  sky130_fd_sc_hd__o21ai_1 U710 ( .A1(operand_b_i[15]), .A2(n595), .B1(n577), 
+        .Y(adder_in_b[16]) );
+  sky130_fd_sc_hd__a22oi_1 U711 ( .A1(operand_b_i[17]), .A2(n593), .B1(
+        multdiv_sel_i), .B2(multdiv_operand_b_i[18]), .Y(n578) );
+  sky130_fd_sc_hd__o21ai_1 U712 ( .A1(operand_b_i[17]), .A2(n595), .B1(n578), 
+        .Y(adder_in_b[18]) );
+  sky130_fd_sc_hd__a22oi_1 U713 ( .A1(multdiv_operand_b_i[21]), .A2(n626), 
+        .B1(n602), .B2(n580), .Y(n579) );
+  sky130_fd_sc_hd__o21ai_1 U714 ( .A1(n580), .A2(adder_in_b[0]), .B1(n579), 
+        .Y(adder_in_b[21]) );
+  sky130_fd_sc_hd__a22oi_1 U715 ( .A1(multdiv_operand_b_i[22]), .A2(n626), 
+        .B1(n602), .B2(n582), .Y(n581) );
+  sky130_fd_sc_hd__o21ai_1 U716 ( .A1(n582), .A2(adder_in_b[0]), .B1(n581), 
+        .Y(adder_in_b[22]) );
+  sky130_fd_sc_hd__a22oi_1 U717 ( .A1(multdiv_operand_b_i[23]), .A2(n626), 
+        .B1(n602), .B2(n584), .Y(n583) );
+  sky130_fd_sc_hd__o21ai_1 U718 ( .A1(n584), .A2(adder_in_b[0]), .B1(n583), 
+        .Y(adder_in_b[23]) );
+  sky130_fd_sc_hd__a22oi_1 U719 ( .A1(multdiv_operand_b_i[24]), .A2(n626), 
+        .B1(n602), .B2(n586), .Y(n585) );
+  sky130_fd_sc_hd__o21ai_1 U720 ( .A1(n586), .A2(adder_in_b[0]), .B1(n585), 
+        .Y(adder_in_b[24]) );
+  sky130_fd_sc_hd__a22oi_1 U721 ( .A1(multdiv_operand_b_i[25]), .A2(n626), 
+        .B1(n602), .B2(n588), .Y(n587) );
+  sky130_fd_sc_hd__o21ai_1 U722 ( .A1(n588), .A2(adder_in_b[0]), .B1(n587), 
+        .Y(adder_in_b[25]) );
+  sky130_fd_sc_hd__a22oi_1 U723 ( .A1(multdiv_operand_b_i[26]), .A2(n626), 
+        .B1(n602), .B2(n590), .Y(n589) );
+  sky130_fd_sc_hd__o21ai_1 U724 ( .A1(n590), .A2(adder_in_b[0]), .B1(n589), 
+        .Y(adder_in_b[26]) );
+  sky130_fd_sc_hd__a22oi_1 U725 ( .A1(multdiv_operand_b_i[27]), .A2(n626), 
+        .B1(n602), .B2(n592), .Y(n591) );
+  sky130_fd_sc_hd__o21ai_1 U726 ( .A1(n592), .A2(adder_in_b[0]), .B1(n591), 
+        .Y(adder_in_b[27]) );
+  sky130_fd_sc_hd__a22oi_1 U727 ( .A1(operand_b_i[27]), .A2(n593), .B1(
+        multdiv_sel_i), .B2(multdiv_operand_b_i[28]), .Y(n594) );
+  sky130_fd_sc_hd__o21ai_1 U728 ( .A1(operand_b_i[27]), .A2(n595), .B1(n594), 
+        .Y(adder_in_b[28]) );
+  sky130_fd_sc_hd__a22oi_1 U729 ( .A1(multdiv_operand_b_i[29]), .A2(n626), 
+        .B1(n602), .B2(n597), .Y(n596) );
+  sky130_fd_sc_hd__o21ai_1 U730 ( .A1(n597), .A2(adder_in_b[0]), .B1(n596), 
+        .Y(adder_in_b[29]) );
+  sky130_fd_sc_hd__a22oi_1 U731 ( .A1(multdiv_operand_b_i[30]), .A2(n626), 
+        .B1(n602), .B2(n599), .Y(n598) );
+  sky130_fd_sc_hd__o21ai_1 U732 ( .A1(n599), .A2(adder_in_b[0]), .B1(n598), 
+        .Y(adder_in_b[30]) );
+  sky130_fd_sc_hd__a22oi_1 U733 ( .A1(multdiv_operand_b_i[31]), .A2(n626), 
+        .B1(n602), .B2(n601), .Y(n600) );
+  sky130_fd_sc_hd__o21ai_1 U734 ( .A1(n601), .A2(adder_in_b[0]), .B1(n600), 
+        .Y(adder_in_b[31]) );
+  sky130_fd_sc_hd__a22oi_1 U735 ( .A1(multdiv_operand_b_i[32]), .A2(n626), 
+        .B1(n602), .B2(n604), .Y(n603) );
+  sky130_fd_sc_hd__o21ai_1 U736 ( .A1(n604), .A2(adder_in_b[0]), .B1(n603), 
+        .Y(adder_in_b[32]) );
+  sky130_fd_sc_hd__nand2_1 U737 ( .A(n626), .B(multdiv_operand_a_i[10]), .Y(
+        n605) );
+  sky130_fd_sc_hd__o21ai_1 U738 ( .A1(n606), .A2(n626), .B1(n605), .Y(
+        adder_in_a[10]) );
+  sky130_fd_sc_hd__nand2_1 U739 ( .A(n626), .B(multdiv_operand_a_i[11]), .Y(
+        n607) );
+  sky130_fd_sc_hd__o21ai_1 U740 ( .A1(n608), .A2(n626), .B1(n607), .Y(
+        adder_in_a[11]) );
+  sky130_fd_sc_hd__nand2_1 U741 ( .A(n626), .B(multdiv_operand_a_i[12]), .Y(
+        n609) );
+  sky130_fd_sc_hd__o21ai_1 U742 ( .A1(n610), .A2(n626), .B1(n609), .Y(
+        adder_in_a[12]) );
+  sky130_fd_sc_hd__nand2_1 U743 ( .A(n626), .B(multdiv_operand_a_i[21]), .Y(
+        n611) );
+  sky130_fd_sc_hd__o21ai_1 U744 ( .A1(n612), .A2(n626), .B1(n611), .Y(
+        adder_in_a[21]) );
+  sky130_fd_sc_hd__nand2_1 U745 ( .A(n626), .B(multdiv_operand_a_i[22]), .Y(
+        n613) );
+  sky130_fd_sc_hd__o21ai_1 U746 ( .A1(n614), .A2(n626), .B1(n613), .Y(
+        adder_in_a[22]) );
+  sky130_fd_sc_hd__nand2_1 U747 ( .A(n626), .B(multdiv_operand_a_i[23]), .Y(
+        n615) );
+  sky130_fd_sc_hd__o21ai_1 U748 ( .A1(n616), .A2(n626), .B1(n615), .Y(
+        adder_in_a[23]) );
+  sky130_fd_sc_hd__nand2_1 U749 ( .A(n626), .B(multdiv_operand_a_i[24]), .Y(
+        n617) );
+  sky130_fd_sc_hd__o21ai_1 U750 ( .A1(n618), .A2(n626), .B1(n617), .Y(
+        adder_in_a[24]) );
+  sky130_fd_sc_hd__nand2_1 U751 ( .A(n626), .B(multdiv_operand_a_i[25]), .Y(
+        n619) );
+  sky130_fd_sc_hd__o21ai_1 U752 ( .A1(n620), .A2(n626), .B1(n619), .Y(
+        adder_in_a[25]) );
+  sky130_fd_sc_hd__nand2_1 U753 ( .A(n626), .B(multdiv_operand_a_i[26]), .Y(
+        n621) );
+  sky130_fd_sc_hd__o21ai_1 U754 ( .A1(n622), .A2(n626), .B1(n621), .Y(
+        adder_in_a[26]) );
+  sky130_fd_sc_hd__nand2_1 U755 ( .A(n626), .B(multdiv_operand_a_i[27]), .Y(
+        n623) );
+  sky130_fd_sc_hd__o21ai_1 U756 ( .A1(n624), .A2(n626), .B1(n623), .Y(
+        adder_in_a[27]) );
+  sky130_fd_sc_hd__nand2_1 U757 ( .A(n626), .B(multdiv_operand_a_i[32]), .Y(
+        n625) );
+  sky130_fd_sc_hd__o21ai_1 U758 ( .A1(n627), .A2(n626), .B1(n625), .Y(
+        adder_in_a[32]) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(n331), .Y(n270) );
+  sky130_fd_sc_hd__nand2_1 U4 ( .A(n180), .B(n213), .Y(n331) );
+  sky130_fd_sc_hd__clkinvlp_2 U252 ( .A(n602), .Y(n595) );
+  sky130_fd_sc_hd__nor2_1 U253 ( .A(n25), .B(multdiv_sel_i), .Y(n602) );
+endmodule
+
+
+
+    module opentitan_soc_top_ibex_multdiv_fast_RV32M2_DP_OP_75J3_122_6124_J3_0_0 ( 
+        I1, I2, I3, O1 );
+  input [16:0] I1;
+  input [16:0] I2;
+  input [33:0] I3;
+  output [33:0] O1;
+  wire   n886, n887, n888, n889, n890, n891, n892, n893, n894, n895, n896,
+         n897, n898, n899, n900, n901, n902, n903, n904, n905, n906, n907,
+         n908, n909, n910, n911, n912, n913, n914, n915, n916, n917, n918,
+         n919, n920, n921, n922, n923, n924, n925, n926, n927, n928, n929,
+         n930, n931, n932, n933, n934, n935, n936, n937, n938, n939, n940,
+         n941, n942, n943, n944, n945, n946, n947, n948, n949, n950, n951,
+         n952, n953, n954, n955, n956, n957, n958, n959, n960, n961, n962,
+         n963, n964, n965, n966, n967, n968, n969, n970, n971, n972, n973,
+         n974, n975, n976, n977, n978, n979, n980, n981, n982, n983, n984,
+         n985, n986, n987, n988, n989, n990, n991, n992, n993, n994, n995,
+         n996, n997, n998, n999, n1000, n1001, n1002, n1003, n1004, n1005,
+         n1006, n1007, n1008, n1009, n1010, n1011, n1012, n1013, n1014, n1015,
+         n1016, n1017, n1018, n1019, n1020, n1021, n1022, n1023, n1024, n1025,
+         n1026, n1027, n1028, n1029, n1030, n1031, n1032, n1033, n1034, n1035,
+         n1036, n1037, n1038, n1039, n1040, n1041, n1042, n1043, n1044, n1045,
+         n1046, n1047, n1048, n1049, n1050, n1051, n1052, n1053, n1054, n1055,
+         n1056, n1057, n1058, n1059, n1060, n1061, n1062, n1063, n1064, n1065,
+         n1066, n1067, n1068, n1069, n1070, n1071, n1072, n1073, n1074, n1075,
+         n1076, n1077, n1078, n1079, n1080, n1081, n1082, n1083, n1084, n1085,
+         n1086, n1087, n1088, n1089, n1090, n1091, n1092, n1093, n1094, n1095,
+         n1096, n1097, n1098, n1099, n1100, n1101, n1102, n1103, n1104, n1105,
+         n1106, n1107, n1108, n1109, n1110, n1111, n1112, n1113, n1114, n1115,
+         n1116, n1117, n1118, n1119, n1120, n1121, n1122, n1123, n1124, n1125,
+         n1126, n1127, n1128, n1129, n1130, n1131, n1132, n1133, n1134, n1135,
+         n1136, n1137, n1138, n1139, n1140, n1141, n1142, n1143, n1144, n1145,
+         n1146, n1147, n1148, n1149, n1150, n1151, n1152, n1153, n1154, n1155,
+         n1156, n1157, n1158, n1159, n1160, n1161, n1162, n1163, n1164, n1165,
+         n1166, n1167, n1168, n1169, n1170, n1171, n1172, n1173, n1174, n1175,
+         n1176, n1177, n1178, n1179, n1180, n1181, n1182, n1183, n1184, n1185,
+         n1186, n1187, n1188, n1189, n1190, n1191, n1192, n1193, n1194, n1195,
+         n1196, n1197, n1198, n1199, n1200, n1201, n1202, n1203, n1204, n1205,
+         n1206, n1207, n1208, n1209, n1210, n1211, n1212, n1213, n1214, n1215,
+         n1216, n1217, n1218, n1219, n1220, n1221, n1222, n1223, n1224, n1225,
+         n1226, n1227, n1228, n1229, n1230, n1231, n1232, n1233, n1234, n1235,
+         n1236, n1237, n1238, n1239, n1240, n1241, n1242, n1243, n1244, n1245,
+         n1246, n1247, n1248, n1249, n1250, n1251, n1252, n1253, n1254, n1255,
+         n1256, n1257, n1258, n1259, n1260, n1261, n1262, n1263, n1264, n1265,
+         n1266, n1267, n1268, n1269, n1270, n1271, n1272, n1273, n1274, n1275,
+         n1276, n1277, n1278, n1279, n1280, n1281, n1282, n1283, n1284, n1285,
+         n1286, n1287, n1288, n1289, n1290, n1291, n1292, n1293, n1294, n1295,
+         n1296, n1297, n1298, n1299, n1300, n1301, n1302, n1303, n1304, n1305,
+         n1306, n1307, n1308, n1309, n1310, n1311, n1312, n1313, n1314, n1315,
+         n1316, n1317, n1318, n1319, n1320, n1321, n1322, n1323, n1324, n1325,
+         n1326, n1327, n1328, n1329, n1330, n1331, n1332, n1333, n1334, n1335,
+         n1336, n1337, n1338, n1339, n1340, n1341, n1342, n1343, n1344, n1345,
+         n1346, n1347, n1348, n1349, n1350, n1351, n1352, n1353, n1354, n1355,
+         n1356, n1357, n1358, n1359, n1360, n1361, n1362, n1363, n1364, n1365,
+         n1366, n1367, n1368, n1369, n1370, n1371, n1372, n1373, n1374, n1375,
+         n1376, n1377, n1378, n1379, n1380, n1381, n1382, n1383, n1384, n1385,
+         n1386, n1387, n1388, n1389, n1390, n1391, n1392, n1393, n1394, n1395,
+         n1396, n1397, n1398, n1399, n1400, n1401, n1402, n1403, n1404, n1405,
+         n1406, n1407, n1408, n1409, n1410, n1411, n1412, n1413, n1414, n1415,
+         n1416, n1417, n1418, n1419, n1420, n1421, n1422, n1423, n1424, n1425,
+         n1426, n1427, n1428, n1429, n1430, n1431, n1432, n1433, n1434, n1435,
+         n1436, n1437, n1438, n1439, n1440, n1441, n1442, n1443, n1444, n1445,
+         n1446, n1447, n1448, n1449, n1450, n1451, n1452, n1453, n1454, n1455,
+         n1456, n1457, n1458, n1459, n1460, n1461, n1462, n1463, n1464, n1465,
+         n1466, n1467, n1468, n1469, n1470, n1471, n1472, n1473, n1474, n1475,
+         n1476, n1477, n1478, n1479, n1480, n1481, n1482, n1483, n1484, n1485,
+         n1486, n1487, n1488, n1489, n1490, n1491, n1492, n1493, n1494, n1495,
+         n1496, n1497, n1498, n1499, n1500, n1501, n1502, n1503, n1504, n1505,
+         n1506, n1507, n1508, n1509, n1510, n1511, n1512, n1513, n1514, n1515,
+         n1516, n1517, n1518, n1519, n1520, n1521, n1522, n1523, n1524, n1525,
+         n1526, n1527, n1528, n1529, n1530, n1531, n1532, n1533, n1534, n1535,
+         n1536, n1537, n1538, n1539, n1540, n1541, n1542, n1543, n1544, n1545,
+         n1546, n1547, n1548, n1549, n1550, n1551, n1552, n1553, n1554, n1555,
+         n1556, n1557, n1558, n1559, n1560, n1561, n1562, n1563, n1564, n1565,
+         n1566, n1567, n1568, n1569, n1570, n1571, n1572, n1573, n1574, n1575,
+         n1576, n1577, n1578, n1579, n1580, n1581, n1582, n1583, n1584, n1585,
+         n1586, n1587, n1588, n1589, n1590, n1591, n1592, n1593, n1594, n1595,
+         n1596, n1597, n1598, n1599, n1600, n1601, n1602, n1603, n1604, n1605,
+         n1606, n1607, n1608, n1609, n1610, n1611, n1612, n1613, n1614, n1615,
+         n1616;
+
+  sky130_fd_sc_hd__clkbuf_1 U673 ( .A(I1[16]), .X(n886) );
+  sky130_fd_sc_hd__clkinv_1 U674 ( .A(I1[14]), .Y(n1122) );
+  sky130_fd_sc_hd__o2bb2ai_1 U675 ( .B1(n1122), .B2(I1[15]), .A1_N(n1122), 
+        .A2_N(I1[15]), .Y(n1028) );
+  sky130_fd_sc_hd__clkinv_1 U676 ( .A(n1028), .Y(n1026) );
+  sky130_fd_sc_hd__o21ai_1 U677 ( .A1(I1[15]), .A2(n886), .B1(n1026), .Y(n887)
+         );
+  sky130_fd_sc_hd__a21oi_1 U678 ( .A1(I1[15]), .A2(n886), .B1(n887), .Y(n892)
+         );
+  sky130_fd_sc_hd__o21ai_1 U679 ( .A1(n1028), .A2(n892), .B1(I2[16]), .Y(n889)
+         );
+  sky130_fd_sc_hd__clkinv_1 U680 ( .A(n889), .Y(n1521) );
+  sky130_fd_sc_hd__clkinv_1 U681 ( .A(I3[31]), .Y(n902) );
+  sky130_fd_sc_hd__o2bb2ai_1 U682 ( .B1(n886), .B2(n902), .A1_N(n886), .A2_N(
+        n902), .Y(n888) );
+  sky130_fd_sc_hd__xnor2_1 U683 ( .A(I3[33]), .B(n888), .Y(n1519) );
+  sky130_fd_sc_hd__xnor2_1 U684 ( .A(I1[16]), .B(n889), .Y(n894) );
+  sky130_fd_sc_hd__a2bb2oi_1 U685 ( .B1(I1[11]), .B2(I1[12]), .A1_N(I1[11]), 
+        .A2_N(I1[12]), .Y(n1128) );
+  sky130_fd_sc_hd__clkinv_1 U686 ( .A(n1128), .Y(n910) );
+  sky130_fd_sc_hd__o2bb2ai_1 U687 ( .B1(n1122), .B2(I1[13]), .A1_N(n1122), 
+        .A2_N(I1[13]), .Y(n909) );
+  sky130_fd_sc_hd__xnor2_1 U688 ( .A(I1[13]), .B(I1[12]), .Y(n890) );
+  sky130_fd_sc_hd__nand3_1 U689 ( .A(n909), .B(n910), .C(n890), .Y(n1118) );
+  sky130_fd_sc_hd__nor2_1 U690 ( .A(n890), .B(n1128), .Y(n1125) );
+  sky130_fd_sc_hd__clkinv_1 U691 ( .A(n1125), .Y(n1117) );
+  sky130_fd_sc_hd__clkinv_1 U692 ( .A(I2[16]), .Y(n1394) );
+  sky130_fd_sc_hd__a31oi_1 U693 ( .A1(n910), .A2(n1118), .A3(n1117), .B1(n1394), .Y(n891) );
+  sky130_fd_sc_hd__xnor2_1 U694 ( .A(I1[14]), .B(n891), .Y(n895) );
+  sky130_fd_sc_hd__clkinv_1 U695 ( .A(I2[15]), .Y(n1405) );
+  sky130_fd_sc_hd__clkinv_1 U696 ( .A(n892), .Y(n1025) );
+  sky130_fd_sc_hd__o22ai_1 U697 ( .A1(n1394), .A2(n1026), .B1(n1405), .B2(
+        n1025), .Y(n893) );
+  sky130_fd_sc_hd__xor2_1 U698 ( .A(n886), .B(n893), .X(n900) );
+  sky130_fd_sc_hd__fa_1 U699 ( .A(I3[32]), .B(n902), .CIN(n894), .COUT(n1517), 
+        .SUM(n1615) );
+  sky130_fd_sc_hd__fa_1 U700 ( .A(I3[29]), .B(I3[30]), .CIN(n895), .COUT(n901), 
+        .SUM(n905) );
+  sky130_fd_sc_hd__clkinv_1 U701 ( .A(I2[14]), .Y(n1413) );
+  sky130_fd_sc_hd__o22ai_1 U702 ( .A1(n1405), .A2(n1026), .B1(n1413), .B2(
+        n1025), .Y(n896) );
+  sky130_fd_sc_hd__xor2_1 U703 ( .A(I1[16]), .B(n896), .X(n904) );
+  sky130_fd_sc_hd__clkinv_1 U704 ( .A(I3[29]), .Y(n908) );
+  sky130_fd_sc_hd__clkinv_1 U705 ( .A(I3[28]), .Y(n920) );
+  sky130_fd_sc_hd__a2bb2oi_1 U706 ( .B1(I1[8]), .B2(I1[9]), .A1_N(I1[8]), 
+        .A2_N(I1[9]), .Y(n1188) );
+  sky130_fd_sc_hd__clkinv_1 U707 ( .A(n1188), .Y(n965) );
+  sky130_fd_sc_hd__clkinv_1 U708 ( .A(I1[11]), .Y(n1182) );
+  sky130_fd_sc_hd__o2bb2ai_1 U709 ( .B1(n1182), .B2(I1[10]), .A1_N(n1182), 
+        .A2_N(I1[10]), .Y(n964) );
+  sky130_fd_sc_hd__xnor2_1 U710 ( .A(I1[10]), .B(I1[9]), .Y(n897) );
+  sky130_fd_sc_hd__nand3_1 U711 ( .A(n964), .B(n965), .C(n897), .Y(n1177) );
+  sky130_fd_sc_hd__nor2_1 U712 ( .A(n897), .B(n1188), .Y(n1185) );
+  sky130_fd_sc_hd__clkinv_1 U713 ( .A(n1185), .Y(n1178) );
+  sky130_fd_sc_hd__a31oi_1 U714 ( .A1(n965), .A2(n1177), .A3(n1178), .B1(n1394), .Y(n898) );
+  sky130_fd_sc_hd__xnor2_1 U715 ( .A(I1[11]), .B(n898), .Y(n915) );
+  sky130_fd_sc_hd__clkinv_1 U716 ( .A(I2[13]), .Y(n1421) );
+  sky130_fd_sc_hd__clkinv_1 U717 ( .A(I2[12]), .Y(n1429) );
+  sky130_fd_sc_hd__o22ai_1 U718 ( .A1(n1421), .A2(n1026), .B1(n1429), .B2(
+        n1025), .Y(n899) );
+  sky130_fd_sc_hd__xor2_1 U719 ( .A(I1[16]), .B(n899), .X(n918) );
+  sky130_fd_sc_hd__fa_1 U720 ( .A(n902), .B(n901), .CIN(n900), .COUT(n1616), 
+        .SUM(n1612) );
+  sky130_fd_sc_hd__fa_1 U721 ( .A(n905), .B(n904), .CIN(n903), .COUT(n1613), 
+        .SUM(n1610) );
+  sky130_fd_sc_hd__o22ai_1 U722 ( .A1(n1413), .A2(n1026), .B1(n1421), .B2(
+        n1025), .Y(n906) );
+  sky130_fd_sc_hd__xor2_1 U723 ( .A(I1[16]), .B(n906), .X(n927) );
+  sky130_fd_sc_hd__fa_1 U724 ( .A(I3[28]), .B(n908), .CIN(n907), .COUT(n903), 
+        .SUM(n926) );
+  sky130_fd_sc_hd__clkinv_1 U725 ( .A(n909), .Y(n911) );
+  sky130_fd_sc_hd__nor2_1 U726 ( .A(n911), .B(n910), .Y(n1124) );
+  sky130_fd_sc_hd__nand2_1 U727 ( .A(n1128), .B(n911), .Y(n1123) );
+  sky130_fd_sc_hd__a21oi_1 U728 ( .A1(n1123), .A2(n1117), .B1(n1394), .Y(n912)
+         );
+  sky130_fd_sc_hd__a21oi_1 U729 ( .A1(n1124), .A2(n1385), .B1(n912), .Y(n913)
+         );
+  sky130_fd_sc_hd__o21ai_1 U730 ( .A1(n1118), .A2(n1405), .B1(n913), .Y(n914)
+         );
+  sky130_fd_sc_hd__xnor2_1 U731 ( .A(n1122), .B(n914), .Y(n925) );
+  sky130_fd_sc_hd__fa_1 U732 ( .A(I3[25]), .B(I3[27]), .CIN(n915), .COUT(n919), 
+        .SUM(n930) );
+  sky130_fd_sc_hd__clkinv_1 U733 ( .A(I3[25]), .Y(n946) );
+  sky130_fd_sc_hd__clkinv_1 U734 ( .A(I2[11]), .Y(n1437) );
+  sky130_fd_sc_hd__clkinv_1 U735 ( .A(I2[10]), .Y(n1445) );
+  sky130_fd_sc_hd__o22ai_1 U736 ( .A1(n1437), .A2(n1026), .B1(n1445), .B2(
+        n1025), .Y(n916) );
+  sky130_fd_sc_hd__xor2_1 U737 ( .A(I1[16]), .B(n916), .X(n938) );
+  sky130_fd_sc_hd__o22ai_1 U738 ( .A1(n1429), .A2(n1026), .B1(n1437), .B2(
+        n1025), .Y(n917) );
+  sky130_fd_sc_hd__xor2_1 U739 ( .A(I1[16]), .B(n917), .X(n928) );
+  sky130_fd_sc_hd__fa_1 U740 ( .A(n920), .B(n919), .CIN(n918), .COUT(n907), 
+        .SUM(n952) );
+  sky130_fd_sc_hd__fa_1 U741 ( .A(I2[16]), .B(I2[15]), .CIN(n921), .COUT(n1385), .SUM(n1397) );
+  sky130_fd_sc_hd__nor2_1 U742 ( .A(n1394), .B(n1123), .Y(n923) );
+  sky130_fd_sc_hd__o22ai_1 U743 ( .A1(n1118), .A2(n1413), .B1(n1117), .B2(
+        n1405), .Y(n922) );
+  sky130_fd_sc_hd__a211oi_1 U744 ( .A1(n1124), .A2(n1397), .B1(n923), .C1(n922), .Y(n924) );
+  sky130_fd_sc_hd__xnor2_1 U745 ( .A(I1[14]), .B(n924), .Y(n951) );
+  sky130_fd_sc_hd__fa_1 U746 ( .A(n927), .B(n926), .CIN(n925), .COUT(n1609), 
+        .SUM(n1606) );
+  sky130_fd_sc_hd__fa_1 U747 ( .A(n930), .B(n929), .CIN(n928), .COUT(n953), 
+        .SUM(n956) );
+  sky130_fd_sc_hd__fa_1 U748 ( .A(I2[15]), .B(I2[14]), .CIN(n931), .COUT(n921), 
+        .SUM(n1403) );
+  sky130_fd_sc_hd__o22ai_1 U749 ( .A1(n1118), .A2(n1421), .B1(n1117), .B2(
+        n1413), .Y(n932) );
+  sky130_fd_sc_hd__a21oi_1 U750 ( .A1(n1124), .A2(n1403), .B1(n932), .Y(n933)
+         );
+  sky130_fd_sc_hd__o21ai_1 U751 ( .A1(n1123), .A2(n1405), .B1(n933), .Y(n934)
+         );
+  sky130_fd_sc_hd__xnor2_1 U752 ( .A(n1122), .B(n934), .Y(n955) );
+  sky130_fd_sc_hd__a2bb2oi_1 U753 ( .B1(I1[5]), .B2(I1[6]), .A1_N(I1[5]), 
+        .A2_N(I1[6]), .Y(n1287) );
+  sky130_fd_sc_hd__clkinv_1 U754 ( .A(n1287), .Y(n1056) );
+  sky130_fd_sc_hd__clkinv_1 U755 ( .A(I1[8]), .Y(n1281) );
+  sky130_fd_sc_hd__o2bb2ai_1 U756 ( .B1(n1281), .B2(I1[7]), .A1_N(n1281), 
+        .A2_N(I1[7]), .Y(n1055) );
+  sky130_fd_sc_hd__xnor2_1 U757 ( .A(I1[7]), .B(I1[6]), .Y(n935) );
+  sky130_fd_sc_hd__nand3_1 U758 ( .A(n1055), .B(n1056), .C(n935), .Y(n1276) );
+  sky130_fd_sc_hd__nor2_1 U759 ( .A(n935), .B(n1287), .Y(n1284) );
+  sky130_fd_sc_hd__clkinv_1 U760 ( .A(n1284), .Y(n1277) );
+  sky130_fd_sc_hd__a31oi_1 U761 ( .A1(n1056), .A2(n1276), .A3(n1277), .B1(
+        n1394), .Y(n936) );
+  sky130_fd_sc_hd__xnor2_1 U762 ( .A(I1[8]), .B(n936), .Y(n939) );
+  sky130_fd_sc_hd__clkinv_1 U763 ( .A(I2[9]), .Y(n1453) );
+  sky130_fd_sc_hd__o22ai_1 U764 ( .A1(n1445), .A2(n1026), .B1(n1453), .B2(
+        n1025), .Y(n937) );
+  sky130_fd_sc_hd__xor2_1 U765 ( .A(I1[16]), .B(n937), .X(n944) );
+  sky130_fd_sc_hd__fa_1 U766 ( .A(I3[26]), .B(n946), .CIN(n938), .COUT(n929), 
+        .SUM(n962) );
+  sky130_fd_sc_hd__fa_1 U767 ( .A(I3[24]), .B(I3[23]), .CIN(n939), .COUT(n945), 
+        .SUM(n972) );
+  sky130_fd_sc_hd__clkinv_1 U768 ( .A(I2[8]), .Y(n1461) );
+  sky130_fd_sc_hd__o22ai_1 U769 ( .A1(n1453), .A2(n1026), .B1(n1461), .B2(
+        n1025), .Y(n940) );
+  sky130_fd_sc_hd__xor2_1 U770 ( .A(I1[16]), .B(n940), .X(n971) );
+  sky130_fd_sc_hd__clkinv_1 U771 ( .A(I3[23]), .Y(n975) );
+  sky130_fd_sc_hd__clkinv_1 U772 ( .A(I3[22]), .Y(n1004) );
+  sky130_fd_sc_hd__a2bb2oi_1 U773 ( .B1(I1[2]), .B2(I1[3]), .A1_N(I1[2]), 
+        .A2_N(I1[3]), .Y(n1377) );
+  sky130_fd_sc_hd__clkinv_1 U774 ( .A(n1377), .Y(n1209) );
+  sky130_fd_sc_hd__clkinv_1 U775 ( .A(I1[5]), .Y(n1371) );
+  sky130_fd_sc_hd__o2bb2ai_1 U776 ( .B1(n1371), .B2(I1[4]), .A1_N(n1371), 
+        .A2_N(I1[4]), .Y(n1208) );
+  sky130_fd_sc_hd__xnor2_1 U777 ( .A(I1[4]), .B(I1[3]), .Y(n941) );
+  sky130_fd_sc_hd__nand3_1 U778 ( .A(n1208), .B(n1209), .C(n941), .Y(n1366) );
+  sky130_fd_sc_hd__nor2_1 U779 ( .A(n941), .B(n1377), .Y(n1374) );
+  sky130_fd_sc_hd__clkinv_1 U780 ( .A(n1374), .Y(n1367) );
+  sky130_fd_sc_hd__a31oi_1 U781 ( .A1(n1209), .A2(n1366), .A3(n1367), .B1(
+        n1394), .Y(n942) );
+  sky130_fd_sc_hd__xnor2_1 U782 ( .A(I1[5]), .B(n942), .Y(n999) );
+  sky130_fd_sc_hd__clkinv_1 U783 ( .A(I2[7]), .Y(n1469) );
+  sky130_fd_sc_hd__clkinv_1 U784 ( .A(I2[6]), .Y(n1477) );
+  sky130_fd_sc_hd__o22ai_1 U785 ( .A1(n1469), .A2(n1026), .B1(n1477), .B2(
+        n1025), .Y(n943) );
+  sky130_fd_sc_hd__xor2_1 U786 ( .A(I1[16]), .B(n943), .X(n1002) );
+  sky130_fd_sc_hd__fa_1 U787 ( .A(n946), .B(n945), .CIN(n944), .COUT(n963), 
+        .SUM(n985) );
+  sky130_fd_sc_hd__fa_1 U788 ( .A(I2[13]), .B(I2[12]), .CIN(n947), .COUT(n957), 
+        .SUM(n1419) );
+  sky130_fd_sc_hd__o22ai_1 U789 ( .A1(n1118), .A2(n1437), .B1(n1117), .B2(
+        n1429), .Y(n948) );
+  sky130_fd_sc_hd__a21oi_1 U790 ( .A1(n1124), .A2(n1419), .B1(n948), .Y(n949)
+         );
+  sky130_fd_sc_hd__o21ai_1 U791 ( .A1(n1123), .A2(n1421), .B1(n949), .Y(n950)
+         );
+  sky130_fd_sc_hd__xnor2_1 U792 ( .A(n1122), .B(n950), .Y(n984) );
+  sky130_fd_sc_hd__fa_1 U793 ( .A(n953), .B(n952), .CIN(n951), .COUT(n1607), 
+        .SUM(n1603) );
+  sky130_fd_sc_hd__fa_1 U794 ( .A(n956), .B(n955), .CIN(n954), .COUT(n1604), 
+        .SUM(n1601) );
+  sky130_fd_sc_hd__fa_1 U795 ( .A(I2[14]), .B(I2[13]), .CIN(n957), .COUT(n931), 
+        .SUM(n1411) );
+  sky130_fd_sc_hd__o22ai_1 U796 ( .A1(n1118), .A2(n1429), .B1(n1117), .B2(
+        n1421), .Y(n958) );
+  sky130_fd_sc_hd__a21oi_1 U797 ( .A1(n1124), .A2(n1411), .B1(n958), .Y(n959)
+         );
+  sky130_fd_sc_hd__o21ai_1 U798 ( .A1(n1123), .A2(n1413), .B1(n959), .Y(n960)
+         );
+  sky130_fd_sc_hd__xnor2_1 U799 ( .A(n1122), .B(n960), .Y(n992) );
+  sky130_fd_sc_hd__fa_1 U800 ( .A(n963), .B(n962), .CIN(n961), .COUT(n954), 
+        .SUM(n991) );
+  sky130_fd_sc_hd__clkinv_1 U801 ( .A(n964), .Y(n966) );
+  sky130_fd_sc_hd__nor2_1 U802 ( .A(n966), .B(n965), .Y(n1184) );
+  sky130_fd_sc_hd__nand2_1 U803 ( .A(n1188), .B(n966), .Y(n1183) );
+  sky130_fd_sc_hd__a21oi_1 U804 ( .A1(n1183), .A2(n1178), .B1(n1394), .Y(n967)
+         );
+  sky130_fd_sc_hd__a21oi_1 U805 ( .A1(n1385), .A2(n1184), .B1(n967), .Y(n968)
+         );
+  sky130_fd_sc_hd__o21ai_1 U806 ( .A1(n1405), .A2(n1177), .B1(n968), .Y(n969)
+         );
+  sky130_fd_sc_hd__xnor2_1 U807 ( .A(n1182), .B(n969), .Y(n990) );
+  sky130_fd_sc_hd__fa_1 U808 ( .A(n972), .B(n971), .CIN(n970), .COUT(n986), 
+        .SUM(n995) );
+  sky130_fd_sc_hd__o22ai_1 U809 ( .A1(n1461), .A2(n1026), .B1(n1469), .B2(
+        n1025), .Y(n973) );
+  sky130_fd_sc_hd__xor2_1 U810 ( .A(I1[16]), .B(n973), .X(n1011) );
+  sky130_fd_sc_hd__fa_1 U811 ( .A(I3[22]), .B(n975), .CIN(n974), .COUT(n970), 
+        .SUM(n1010) );
+  sky130_fd_sc_hd__fa_1 U812 ( .A(I2[11]), .B(I2[10]), .CIN(n976), .COUT(n980), 
+        .SUM(n1435) );
+  sky130_fd_sc_hd__o22ai_1 U813 ( .A1(n1118), .A2(n1453), .B1(n1117), .B2(
+        n1445), .Y(n977) );
+  sky130_fd_sc_hd__a21oi_1 U814 ( .A1(n1124), .A2(n1435), .B1(n977), .Y(n978)
+         );
+  sky130_fd_sc_hd__o21ai_1 U815 ( .A1(n1123), .A2(n1437), .B1(n978), .Y(n979)
+         );
+  sky130_fd_sc_hd__xnor2_1 U816 ( .A(n1122), .B(n979), .Y(n1009) );
+  sky130_fd_sc_hd__fa_1 U817 ( .A(I2[12]), .B(I2[11]), .CIN(n980), .COUT(n947), 
+        .SUM(n1427) );
+  sky130_fd_sc_hd__o22ai_1 U818 ( .A1(n1118), .A2(n1445), .B1(n1117), .B2(
+        n1437), .Y(n981) );
+  sky130_fd_sc_hd__a21oi_1 U819 ( .A1(n1124), .A2(n1427), .B1(n981), .Y(n982)
+         );
+  sky130_fd_sc_hd__o21ai_1 U820 ( .A1(n1123), .A2(n1429), .B1(n982), .Y(n983)
+         );
+  sky130_fd_sc_hd__xnor2_1 U821 ( .A(n1122), .B(n983), .Y(n993) );
+  sky130_fd_sc_hd__fa_1 U822 ( .A(n986), .B(n985), .CIN(n984), .COUT(n961), 
+        .SUM(n1044) );
+  sky130_fd_sc_hd__nor2_1 U823 ( .A(n1394), .B(n1183), .Y(n988) );
+  sky130_fd_sc_hd__o22ai_1 U824 ( .A1(n1405), .A2(n1178), .B1(n1413), .B2(
+        n1177), .Y(n987) );
+  sky130_fd_sc_hd__a211oi_1 U825 ( .A1(n1184), .A2(n1397), .B1(n988), .C1(n987), .Y(n989) );
+  sky130_fd_sc_hd__xnor2_1 U826 ( .A(I1[11]), .B(n989), .Y(n1043) );
+  sky130_fd_sc_hd__fa_1 U827 ( .A(n992), .B(n991), .CIN(n990), .COUT(n1600), 
+        .SUM(n1597) );
+  sky130_fd_sc_hd__fa_1 U828 ( .A(n995), .B(n994), .CIN(n993), .COUT(n1045), 
+        .SUM(n1048) );
+  sky130_fd_sc_hd__o22ai_1 U829 ( .A1(n1413), .A2(n1178), .B1(n1421), .B2(
+        n1177), .Y(n996) );
+  sky130_fd_sc_hd__a21oi_1 U830 ( .A1(n1403), .A2(n1184), .B1(n996), .Y(n997)
+         );
+  sky130_fd_sc_hd__o21ai_1 U831 ( .A1(n1405), .A2(n1183), .B1(n997), .Y(n998)
+         );
+  sky130_fd_sc_hd__xnor2_1 U832 ( .A(n1182), .B(n998), .Y(n1047) );
+  sky130_fd_sc_hd__fa_1 U833 ( .A(I3[18]), .B(I3[21]), .CIN(n999), .COUT(n1003), .SUM(n1014) );
+  sky130_fd_sc_hd__clkinv_1 U834 ( .A(I2[5]), .Y(n1484) );
+  sky130_fd_sc_hd__o22ai_1 U835 ( .A1(n1477), .A2(n1026), .B1(n1484), .B2(
+        n1025), .Y(n1000) );
+  sky130_fd_sc_hd__xor2_1 U836 ( .A(I1[16]), .B(n1000), .X(n1013) );
+  sky130_fd_sc_hd__clkinv_1 U837 ( .A(I3[18]), .Y(n1032) );
+  sky130_fd_sc_hd__clkinv_1 U838 ( .A(I2[4]), .Y(n1491) );
+  sky130_fd_sc_hd__o22ai_1 U839 ( .A1(n1484), .A2(n1026), .B1(n1491), .B2(
+        n1025), .Y(n1001) );
+  sky130_fd_sc_hd__xor2_1 U840 ( .A(I1[16]), .B(n1001), .X(n1020) );
+  sky130_fd_sc_hd__fa_1 U841 ( .A(n1004), .B(n1003), .CIN(n1002), .COUT(n974), 
+        .SUM(n1038) );
+  sky130_fd_sc_hd__fa_1 U842 ( .A(I2[10]), .B(I2[9]), .CIN(n1005), .COUT(n976), 
+        .SUM(n1443) );
+  sky130_fd_sc_hd__o22ai_1 U843 ( .A1(n1118), .A2(n1461), .B1(n1117), .B2(
+        n1453), .Y(n1006) );
+  sky130_fd_sc_hd__a21oi_1 U844 ( .A1(n1124), .A2(n1443), .B1(n1006), .Y(n1007) );
+  sky130_fd_sc_hd__o21ai_1 U845 ( .A1(n1123), .A2(n1445), .B1(n1007), .Y(n1008) );
+  sky130_fd_sc_hd__xnor2_1 U846 ( .A(n1122), .B(n1008), .Y(n1037) );
+  sky130_fd_sc_hd__fa_1 U847 ( .A(n1011), .B(n1010), .CIN(n1009), .COUT(n994), 
+        .SUM(n1053) );
+  sky130_fd_sc_hd__fa_1 U848 ( .A(n1014), .B(n1013), .CIN(n1012), .COUT(n1039), 
+        .SUM(n1063) );
+  sky130_fd_sc_hd__fa_1 U849 ( .A(I2[9]), .B(I2[8]), .CIN(n1015), .COUT(n1005), 
+        .SUM(n1451) );
+  sky130_fd_sc_hd__o22ai_1 U850 ( .A1(n1118), .A2(n1469), .B1(n1117), .B2(
+        n1461), .Y(n1016) );
+  sky130_fd_sc_hd__a21oi_1 U851 ( .A1(n1124), .A2(n1451), .B1(n1016), .Y(n1017) );
+  sky130_fd_sc_hd__o21ai_1 U852 ( .A1(n1123), .A2(n1453), .B1(n1017), .Y(n1018) );
+  sky130_fd_sc_hd__xnor2_1 U853 ( .A(n1122), .B(n1018), .Y(n1062) );
+  sky130_fd_sc_hd__clkinv_1 U854 ( .A(I2[3]), .Y(n1497) );
+  sky130_fd_sc_hd__o22ai_1 U855 ( .A1(n1491), .A2(n1026), .B1(n1497), .B2(
+        n1025), .Y(n1019) );
+  sky130_fd_sc_hd__xor2_1 U856 ( .A(I1[16]), .B(n1019), .X(n1023) );
+  sky130_fd_sc_hd__fa_1 U857 ( .A(I3[20]), .B(n1032), .CIN(n1020), .COUT(n1012), .SUM(n1069) );
+  sky130_fd_sc_hd__clkinv_1 U858 ( .A(I1[2]), .Y(n1507) );
+  sky130_fd_sc_hd__o21ai_1 U859 ( .A1(I1[1]), .A2(I1[0]), .B1(I2[16]), .Y(
+        n1021) );
+  sky130_fd_sc_hd__o2bb2ai_1 U860 ( .B1(n1507), .B2(n1394), .A1_N(n1507), 
+        .A2_N(n1021), .Y(n1031) );
+  sky130_fd_sc_hd__clkinv_1 U861 ( .A(I2[2]), .Y(n1505) );
+  sky130_fd_sc_hd__o22ai_1 U862 ( .A1(n1497), .A2(n1026), .B1(n1505), .B2(
+        n1025), .Y(n1022) );
+  sky130_fd_sc_hd__xor2_1 U863 ( .A(I1[16]), .B(n1022), .X(n1030) );
+  sky130_fd_sc_hd__fa_1 U864 ( .A(I3[19]), .B(n1032), .CIN(n1023), .COUT(n1070), .SUM(n1097) );
+  sky130_fd_sc_hd__clkinv_1 U865 ( .A(I2[1]), .Y(n1501) );
+  sky130_fd_sc_hd__o22ai_1 U866 ( .A1(n1505), .A2(n1026), .B1(n1501), .B2(
+        n1025), .Y(n1024) );
+  sky130_fd_sc_hd__xor2_1 U867 ( .A(I1[16]), .B(n1024), .X(n1100) );
+  sky130_fd_sc_hd__clkinv_1 U868 ( .A(I2[0]), .Y(n1500) );
+  sky130_fd_sc_hd__o22ai_1 U869 ( .A1(n1501), .A2(n1026), .B1(n1500), .B2(
+        n1025), .Y(n1027) );
+  sky130_fd_sc_hd__xor2_1 U870 ( .A(I1[16]), .B(n1027), .X(n1106) );
+  sky130_fd_sc_hd__nand2_1 U871 ( .A(n1028), .B(I2[0]), .Y(n1029) );
+  sky130_fd_sc_hd__o2bb2ai_1 U872 ( .B1(n886), .B2(n1029), .A1_N(n1029), 
+        .A2_N(n886), .Y(n1111) );
+  sky130_fd_sc_hd__fa_1 U873 ( .A(n1032), .B(n1031), .CIN(n1030), .COUT(n1098), 
+        .SUM(n1131) );
+  sky130_fd_sc_hd__fa_1 U874 ( .A(I2[6]), .B(I2[5]), .CIN(n1033), .COUT(n1092), 
+        .SUM(n1475) );
+  sky130_fd_sc_hd__o22ai_1 U875 ( .A1(n1118), .A2(n1491), .B1(n1117), .B2(
+        n1484), .Y(n1034) );
+  sky130_fd_sc_hd__a21oi_1 U876 ( .A1(n1124), .A2(n1475), .B1(n1034), .Y(n1035) );
+  sky130_fd_sc_hd__o21ai_1 U877 ( .A1(n1123), .A2(n1477), .B1(n1035), .Y(n1036) );
+  sky130_fd_sc_hd__xnor2_1 U878 ( .A(n1122), .B(n1036), .Y(n1130) );
+  sky130_fd_sc_hd__fa_1 U879 ( .A(n1039), .B(n1038), .CIN(n1037), .COUT(n1054), 
+        .SUM(n1078) );
+  sky130_fd_sc_hd__o22ai_1 U880 ( .A1(n1429), .A2(n1178), .B1(n1437), .B2(
+        n1177), .Y(n1040) );
+  sky130_fd_sc_hd__a21oi_1 U881 ( .A1(n1419), .A2(n1184), .B1(n1040), .Y(n1041) );
+  sky130_fd_sc_hd__o21ai_1 U882 ( .A1(n1421), .A2(n1183), .B1(n1041), .Y(n1042) );
+  sky130_fd_sc_hd__xnor2_1 U883 ( .A(n1182), .B(n1042), .Y(n1077) );
+  sky130_fd_sc_hd__fa_1 U884 ( .A(n1045), .B(n1044), .CIN(n1043), .COUT(n1598), 
+        .SUM(n1594) );
+  sky130_fd_sc_hd__fa_1 U885 ( .A(n1048), .B(n1047), .CIN(n1046), .COUT(n1595), 
+        .SUM(n1592) );
+  sky130_fd_sc_hd__o22ai_1 U886 ( .A1(n1421), .A2(n1178), .B1(n1429), .B2(
+        n1177), .Y(n1049) );
+  sky130_fd_sc_hd__a21oi_1 U887 ( .A1(n1411), .A2(n1184), .B1(n1049), .Y(n1050) );
+  sky130_fd_sc_hd__o21ai_1 U888 ( .A1(n1413), .A2(n1183), .B1(n1050), .Y(n1051) );
+  sky130_fd_sc_hd__xnor2_1 U889 ( .A(n1182), .B(n1051), .Y(n1085) );
+  sky130_fd_sc_hd__fa_1 U890 ( .A(n1054), .B(n1053), .CIN(n1052), .COUT(n1046), 
+        .SUM(n1084) );
+  sky130_fd_sc_hd__clkinv_1 U891 ( .A(n1055), .Y(n1057) );
+  sky130_fd_sc_hd__nor2_1 U892 ( .A(n1057), .B(n1056), .Y(n1283) );
+  sky130_fd_sc_hd__nand2_1 U893 ( .A(n1287), .B(n1057), .Y(n1282) );
+  sky130_fd_sc_hd__a21oi_1 U894 ( .A1(n1282), .A2(n1277), .B1(n1394), .Y(n1058) );
+  sky130_fd_sc_hd__a21oi_1 U895 ( .A1(n1385), .A2(n1283), .B1(n1058), .Y(n1059) );
+  sky130_fd_sc_hd__o21ai_1 U896 ( .A1(n1405), .A2(n1276), .B1(n1059), .Y(n1060) );
+  sky130_fd_sc_hd__xnor2_1 U897 ( .A(n1281), .B(n1060), .Y(n1083) );
+  sky130_fd_sc_hd__fa_1 U898 ( .A(n1063), .B(n1062), .CIN(n1061), .COUT(n1079), 
+        .SUM(n1088) );
+  sky130_fd_sc_hd__fa_1 U899 ( .A(I2[8]), .B(I2[7]), .CIN(n1064), .COUT(n1015), 
+        .SUM(n1459) );
+  sky130_fd_sc_hd__o22ai_1 U900 ( .A1(n1118), .A2(n1477), .B1(n1117), .B2(
+        n1469), .Y(n1065) );
+  sky130_fd_sc_hd__a21oi_1 U901 ( .A1(n1124), .A2(n1459), .B1(n1065), .Y(n1066) );
+  sky130_fd_sc_hd__o21ai_1 U902 ( .A1(n1123), .A2(n1461), .B1(n1066), .Y(n1067) );
+  sky130_fd_sc_hd__xnor2_1 U903 ( .A(n1122), .B(n1067), .Y(n1138) );
+  sky130_fd_sc_hd__fa_1 U904 ( .A(n1070), .B(n1069), .CIN(n1068), .COUT(n1061), 
+        .SUM(n1137) );
+  sky130_fd_sc_hd__o22ai_1 U905 ( .A1(n1445), .A2(n1178), .B1(n1453), .B2(
+        n1177), .Y(n1071) );
+  sky130_fd_sc_hd__a21oi_1 U906 ( .A1(n1435), .A2(n1184), .B1(n1071), .Y(n1072) );
+  sky130_fd_sc_hd__o21ai_1 U907 ( .A1(n1437), .A2(n1183), .B1(n1072), .Y(n1073) );
+  sky130_fd_sc_hd__xnor2_1 U908 ( .A(n1182), .B(n1073), .Y(n1136) );
+  sky130_fd_sc_hd__o22ai_1 U909 ( .A1(n1437), .A2(n1178), .B1(n1445), .B2(
+        n1177), .Y(n1074) );
+  sky130_fd_sc_hd__a21oi_1 U910 ( .A1(n1427), .A2(n1184), .B1(n1074), .Y(n1075) );
+  sky130_fd_sc_hd__o21ai_1 U911 ( .A1(n1429), .A2(n1183), .B1(n1075), .Y(n1076) );
+  sky130_fd_sc_hd__xnor2_1 U912 ( .A(n1182), .B(n1076), .Y(n1086) );
+  sky130_fd_sc_hd__fa_1 U913 ( .A(n1079), .B(n1078), .CIN(n1077), .COUT(n1052), 
+        .SUM(n1197) );
+  sky130_fd_sc_hd__nor2_1 U914 ( .A(n1394), .B(n1282), .Y(n1081) );
+  sky130_fd_sc_hd__o22ai_1 U915 ( .A1(n1405), .A2(n1277), .B1(n1413), .B2(
+        n1276), .Y(n1080) );
+  sky130_fd_sc_hd__a211oi_1 U916 ( .A1(n1283), .A2(n1397), .B1(n1081), .C1(
+        n1080), .Y(n1082) );
+  sky130_fd_sc_hd__xnor2_1 U917 ( .A(I1[8]), .B(n1082), .Y(n1196) );
+  sky130_fd_sc_hd__fa_1 U918 ( .A(n1085), .B(n1084), .CIN(n1083), .COUT(n1591), 
+        .SUM(n1588) );
+  sky130_fd_sc_hd__fa_1 U919 ( .A(n1088), .B(n1087), .CIN(n1086), .COUT(n1198), 
+        .SUM(n1201) );
+  sky130_fd_sc_hd__o22ai_1 U920 ( .A1(n1413), .A2(n1277), .B1(n1421), .B2(
+        n1276), .Y(n1089) );
+  sky130_fd_sc_hd__a21oi_1 U921 ( .A1(n1403), .A2(n1283), .B1(n1089), .Y(n1090) );
+  sky130_fd_sc_hd__o21ai_1 U922 ( .A1(n1405), .A2(n1282), .B1(n1090), .Y(n1091) );
+  sky130_fd_sc_hd__xnor2_1 U923 ( .A(n1281), .B(n1091), .Y(n1200) );
+  sky130_fd_sc_hd__fa_1 U924 ( .A(I2[7]), .B(I2[6]), .CIN(n1092), .COUT(n1064), 
+        .SUM(n1467) );
+  sky130_fd_sc_hd__o22ai_1 U925 ( .A1(n1118), .A2(n1484), .B1(n1117), .B2(
+        n1477), .Y(n1093) );
+  sky130_fd_sc_hd__a21oi_1 U926 ( .A1(n1124), .A2(n1467), .B1(n1093), .Y(n1094) );
+  sky130_fd_sc_hd__o21ai_1 U927 ( .A1(n1123), .A2(n1469), .B1(n1094), .Y(n1095) );
+  sky130_fd_sc_hd__xnor2_1 U928 ( .A(n1122), .B(n1095), .Y(n1144) );
+  sky130_fd_sc_hd__fa_1 U929 ( .A(n1098), .B(n1097), .CIN(n1096), .COUT(n1068), 
+        .SUM(n1143) );
+  sky130_fd_sc_hd__fa_1 U930 ( .A(I3[17]), .B(n1100), .CIN(n1099), .COUT(n1132), .SUM(n1147) );
+  sky130_fd_sc_hd__fa_1 U931 ( .A(I2[5]), .B(I2[4]), .CIN(n1101), .COUT(n1033), 
+        .SUM(n1482) );
+  sky130_fd_sc_hd__o22ai_1 U932 ( .A1(n1118), .A2(n1497), .B1(n1117), .B2(
+        n1491), .Y(n1102) );
+  sky130_fd_sc_hd__a21oi_1 U933 ( .A1(n1124), .A2(n1482), .B1(n1102), .Y(n1103) );
+  sky130_fd_sc_hd__o21ai_1 U934 ( .A1(n1123), .A2(n1484), .B1(n1103), .Y(n1104) );
+  sky130_fd_sc_hd__xnor2_1 U935 ( .A(n1122), .B(n1104), .Y(n1146) );
+  sky130_fd_sc_hd__fa_1 U936 ( .A(I3[16]), .B(n1106), .CIN(n1105), .COUT(n1099), .SUM(n1153) );
+  sky130_fd_sc_hd__fa_1 U937 ( .A(I2[4]), .B(I2[3]), .CIN(n1107), .COUT(n1101), 
+        .SUM(n1489) );
+  sky130_fd_sc_hd__o22ai_1 U938 ( .A1(n1118), .A2(n1505), .B1(n1117), .B2(
+        n1497), .Y(n1108) );
+  sky130_fd_sc_hd__a21oi_1 U939 ( .A1(n1124), .A2(n1489), .B1(n1108), .Y(n1109) );
+  sky130_fd_sc_hd__o21ai_1 U940 ( .A1(n1123), .A2(n1491), .B1(n1109), .Y(n1110) );
+  sky130_fd_sc_hd__xnor2_1 U941 ( .A(n1122), .B(n1110), .Y(n1152) );
+  sky130_fd_sc_hd__fa_1 U942 ( .A(I3[15]), .B(n886), .CIN(n1111), .COUT(n1105), 
+        .SUM(n1159) );
+  sky130_fd_sc_hd__fa_1 U943 ( .A(I2[3]), .B(I2[2]), .CIN(n1112), .COUT(n1107), 
+        .SUM(n1495) );
+  sky130_fd_sc_hd__o22ai_1 U944 ( .A1(n1118), .A2(n1501), .B1(n1117), .B2(
+        n1505), .Y(n1113) );
+  sky130_fd_sc_hd__a21oi_1 U945 ( .A1(n1124), .A2(n1495), .B1(n1113), .Y(n1114) );
+  sky130_fd_sc_hd__o21ai_1 U946 ( .A1(n1123), .A2(n1497), .B1(n1114), .Y(n1115) );
+  sky130_fd_sc_hd__xnor2_1 U947 ( .A(n1122), .B(n1115), .Y(n1158) );
+  sky130_fd_sc_hd__fa_1 U948 ( .A(I2[2]), .B(I2[1]), .CIN(n1116), .COUT(n1112), 
+        .SUM(n1503) );
+  sky130_fd_sc_hd__o22ai_1 U949 ( .A1(n1118), .A2(n1500), .B1(n1117), .B2(
+        n1501), .Y(n1119) );
+  sky130_fd_sc_hd__a21oi_1 U950 ( .A1(n1124), .A2(n1503), .B1(n1119), .Y(n1120) );
+  sky130_fd_sc_hd__o21ai_1 U951 ( .A1(n1123), .A2(n1505), .B1(n1120), .Y(n1121) );
+  sky130_fd_sc_hd__xnor2_1 U952 ( .A(n1122), .B(n1121), .Y(n1164) );
+  sky130_fd_sc_hd__clkinv_1 U953 ( .A(n1123), .Y(n1126) );
+  sky130_fd_sc_hd__ha_1 U954 ( .A(I2[1]), .B(I2[0]), .COUT(n1116), .SUM(n1511)
+         );
+  sky130_fd_sc_hd__a222oi_1 U955 ( .A1(n1126), .A2(I2[1]), .B1(n1125), .B2(
+        I2[0]), .C1(n1124), .C2(n1511), .Y(n1127) );
+  sky130_fd_sc_hd__xnor2_1 U956 ( .A(I1[14]), .B(n1127), .Y(n1169) );
+  sky130_fd_sc_hd__nand2_1 U957 ( .A(I2[0]), .B(n1128), .Y(n1129) );
+  sky130_fd_sc_hd__o2bb2ai_1 U958 ( .B1(I1[14]), .B2(n1129), .A1_N(n1129), 
+        .A2_N(I1[14]), .Y(n1173) );
+  sky130_fd_sc_hd__fa_1 U959 ( .A(n1132), .B(n1131), .CIN(n1130), .COUT(n1096), 
+        .SUM(n1191) );
+  sky130_fd_sc_hd__o22ai_1 U960 ( .A1(n1461), .A2(n1178), .B1(n1469), .B2(
+        n1177), .Y(n1133) );
+  sky130_fd_sc_hd__a21oi_1 U961 ( .A1(n1451), .A2(n1184), .B1(n1133), .Y(n1134) );
+  sky130_fd_sc_hd__o21ai_1 U962 ( .A1(n1453), .A2(n1183), .B1(n1134), .Y(n1135) );
+  sky130_fd_sc_hd__xnor2_1 U963 ( .A(n1182), .B(n1135), .Y(n1190) );
+  sky130_fd_sc_hd__fa_1 U964 ( .A(n1138), .B(n1137), .CIN(n1136), .COUT(n1087), 
+        .SUM(n1206) );
+  sky130_fd_sc_hd__o22ai_1 U965 ( .A1(n1453), .A2(n1178), .B1(n1461), .B2(
+        n1177), .Y(n1139) );
+  sky130_fd_sc_hd__a21oi_1 U966 ( .A1(n1443), .A2(n1184), .B1(n1139), .Y(n1140) );
+  sky130_fd_sc_hd__o21ai_1 U967 ( .A1(n1445), .A2(n1183), .B1(n1140), .Y(n1141) );
+  sky130_fd_sc_hd__xnor2_1 U968 ( .A(n1182), .B(n1141), .Y(n1219) );
+  sky130_fd_sc_hd__fa_1 U969 ( .A(n1144), .B(n1143), .CIN(n1142), .COUT(n1207), 
+        .SUM(n1218) );
+  sky130_fd_sc_hd__fa_1 U970 ( .A(n1147), .B(n1146), .CIN(n1145), .COUT(n1192), 
+        .SUM(n1228) );
+  sky130_fd_sc_hd__o22ai_1 U971 ( .A1(n1469), .A2(n1178), .B1(n1477), .B2(
+        n1177), .Y(n1148) );
+  sky130_fd_sc_hd__a21oi_1 U972 ( .A1(n1459), .A2(n1184), .B1(n1148), .Y(n1149) );
+  sky130_fd_sc_hd__o21ai_1 U973 ( .A1(n1461), .A2(n1183), .B1(n1149), .Y(n1150) );
+  sky130_fd_sc_hd__xnor2_1 U974 ( .A(n1182), .B(n1150), .Y(n1227) );
+  sky130_fd_sc_hd__fa_1 U975 ( .A(n1153), .B(n1152), .CIN(n1151), .COUT(n1145), 
+        .SUM(n1234) );
+  sky130_fd_sc_hd__o22ai_1 U976 ( .A1(n1477), .A2(n1178), .B1(n1484), .B2(
+        n1177), .Y(n1154) );
+  sky130_fd_sc_hd__a21oi_1 U977 ( .A1(n1467), .A2(n1184), .B1(n1154), .Y(n1155) );
+  sky130_fd_sc_hd__o21ai_1 U978 ( .A1(n1469), .A2(n1183), .B1(n1155), .Y(n1156) );
+  sky130_fd_sc_hd__xnor2_1 U979 ( .A(n1182), .B(n1156), .Y(n1233) );
+  sky130_fd_sc_hd__fa_1 U980 ( .A(n1159), .B(n1158), .CIN(n1157), .COUT(n1151), 
+        .SUM(n1240) );
+  sky130_fd_sc_hd__o22ai_1 U981 ( .A1(n1484), .A2(n1178), .B1(n1491), .B2(
+        n1177), .Y(n1160) );
+  sky130_fd_sc_hd__a21oi_1 U982 ( .A1(n1475), .A2(n1184), .B1(n1160), .Y(n1161) );
+  sky130_fd_sc_hd__o21ai_1 U983 ( .A1(n1477), .A2(n1183), .B1(n1161), .Y(n1162) );
+  sky130_fd_sc_hd__xnor2_1 U984 ( .A(n1182), .B(n1162), .Y(n1239) );
+  sky130_fd_sc_hd__fa_1 U985 ( .A(I3[14]), .B(n1164), .CIN(n1163), .COUT(n1157), .SUM(n1246) );
+  sky130_fd_sc_hd__o22ai_1 U986 ( .A1(n1491), .A2(n1178), .B1(n1497), .B2(
+        n1177), .Y(n1165) );
+  sky130_fd_sc_hd__a21oi_1 U987 ( .A1(n1482), .A2(n1184), .B1(n1165), .Y(n1166) );
+  sky130_fd_sc_hd__o21ai_1 U988 ( .A1(n1484), .A2(n1183), .B1(n1166), .Y(n1167) );
+  sky130_fd_sc_hd__xnor2_1 U989 ( .A(n1182), .B(n1167), .Y(n1245) );
+  sky130_fd_sc_hd__fa_1 U990 ( .A(I3[13]), .B(n1169), .CIN(n1168), .COUT(n1163), .SUM(n1252) );
+  sky130_fd_sc_hd__o22ai_1 U991 ( .A1(n1497), .A2(n1178), .B1(n1505), .B2(
+        n1177), .Y(n1170) );
+  sky130_fd_sc_hd__a21oi_1 U992 ( .A1(n1489), .A2(n1184), .B1(n1170), .Y(n1171) );
+  sky130_fd_sc_hd__o21ai_1 U993 ( .A1(n1491), .A2(n1183), .B1(n1171), .Y(n1172) );
+  sky130_fd_sc_hd__xnor2_1 U994 ( .A(n1182), .B(n1172), .Y(n1251) );
+  sky130_fd_sc_hd__fa_1 U995 ( .A(I3[12]), .B(I1[14]), .CIN(n1173), .COUT(
+        n1168), .SUM(n1258) );
+  sky130_fd_sc_hd__o22ai_1 U996 ( .A1(n1505), .A2(n1178), .B1(n1501), .B2(
+        n1177), .Y(n1174) );
+  sky130_fd_sc_hd__a21oi_1 U997 ( .A1(n1495), .A2(n1184), .B1(n1174), .Y(n1175) );
+  sky130_fd_sc_hd__o21ai_1 U998 ( .A1(n1497), .A2(n1183), .B1(n1175), .Y(n1176) );
+  sky130_fd_sc_hd__xnor2_1 U999 ( .A(n1182), .B(n1176), .Y(n1257) );
+  sky130_fd_sc_hd__o22ai_1 U1000 ( .A1(n1501), .A2(n1178), .B1(n1500), .B2(
+        n1177), .Y(n1179) );
+  sky130_fd_sc_hd__a21oi_1 U1001 ( .A1(n1503), .A2(n1184), .B1(n1179), .Y(
+        n1180) );
+  sky130_fd_sc_hd__o21ai_1 U1002 ( .A1(n1505), .A2(n1183), .B1(n1180), .Y(
+        n1181) );
+  sky130_fd_sc_hd__xnor2_1 U1003 ( .A(n1182), .B(n1181), .Y(n1263) );
+  sky130_fd_sc_hd__clkinv_1 U1004 ( .A(n1183), .Y(n1186) );
+  sky130_fd_sc_hd__a222oi_1 U1005 ( .A1(I2[1]), .A2(n1186), .B1(I2[0]), .B2(
+        n1185), .C1(n1184), .C2(n1511), .Y(n1187) );
+  sky130_fd_sc_hd__xnor2_1 U1006 ( .A(I1[11]), .B(n1187), .Y(n1268) );
+  sky130_fd_sc_hd__nand2_1 U1007 ( .A(n1188), .B(I2[0]), .Y(n1189) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1008 ( .B1(I1[11]), .B2(n1189), .A1_N(n1189), 
+        .A2_N(I1[11]), .Y(n1272) );
+  sky130_fd_sc_hd__fa_1 U1009 ( .A(n1192), .B(n1191), .CIN(n1190), .COUT(n1142), .SUM(n1290) );
+  sky130_fd_sc_hd__o22ai_1 U1010 ( .A1(n1437), .A2(n1277), .B1(n1445), .B2(
+        n1276), .Y(n1193) );
+  sky130_fd_sc_hd__a21oi_1 U1011 ( .A1(n1427), .A2(n1283), .B1(n1193), .Y(
+        n1194) );
+  sky130_fd_sc_hd__o21ai_1 U1012 ( .A1(n1429), .A2(n1282), .B1(n1194), .Y(
+        n1195) );
+  sky130_fd_sc_hd__xnor2_1 U1013 ( .A(n1281), .B(n1195), .Y(n1289) );
+  sky130_fd_sc_hd__fa_1 U1014 ( .A(n1198), .B(n1197), .CIN(n1196), .COUT(n1589), .SUM(n1585) );
+  sky130_fd_sc_hd__fa_1 U1015 ( .A(n1201), .B(n1200), .CIN(n1199), .COUT(n1586), .SUM(n1583) );
+  sky130_fd_sc_hd__o22ai_1 U1016 ( .A1(n1421), .A2(n1277), .B1(n1429), .B2(
+        n1276), .Y(n1202) );
+  sky130_fd_sc_hd__a21oi_1 U1017 ( .A1(n1411), .A2(n1283), .B1(n1202), .Y(
+        n1203) );
+  sky130_fd_sc_hd__o21ai_1 U1018 ( .A1(n1413), .A2(n1282), .B1(n1203), .Y(
+        n1204) );
+  sky130_fd_sc_hd__xnor2_1 U1019 ( .A(n1281), .B(n1204), .Y(n1225) );
+  sky130_fd_sc_hd__fa_1 U1020 ( .A(n1207), .B(n1206), .CIN(n1205), .COUT(n1199), .SUM(n1224) );
+  sky130_fd_sc_hd__clkinv_1 U1021 ( .A(n1208), .Y(n1210) );
+  sky130_fd_sc_hd__nor2_1 U1022 ( .A(n1210), .B(n1209), .Y(n1373) );
+  sky130_fd_sc_hd__nand2_1 U1023 ( .A(n1377), .B(n1210), .Y(n1372) );
+  sky130_fd_sc_hd__a21oi_1 U1024 ( .A1(n1372), .A2(n1367), .B1(n1394), .Y(
+        n1211) );
+  sky130_fd_sc_hd__a21oi_1 U1025 ( .A1(n1385), .A2(n1373), .B1(n1211), .Y(
+        n1212) );
+  sky130_fd_sc_hd__o21ai_1 U1026 ( .A1(n1405), .A2(n1366), .B1(n1212), .Y(
+        n1213) );
+  sky130_fd_sc_hd__xnor2_1 U1027 ( .A(n1371), .B(n1213), .Y(n1223) );
+  sky130_fd_sc_hd__o22ai_1 U1028 ( .A1(n1429), .A2(n1277), .B1(n1437), .B2(
+        n1276), .Y(n1214) );
+  sky130_fd_sc_hd__a21oi_1 U1029 ( .A1(n1419), .A2(n1283), .B1(n1214), .Y(
+        n1215) );
+  sky130_fd_sc_hd__o21ai_1 U1030 ( .A1(n1421), .A2(n1282), .B1(n1215), .Y(
+        n1216) );
+  sky130_fd_sc_hd__xnor2_1 U1031 ( .A(n1281), .B(n1216), .Y(n1297) );
+  sky130_fd_sc_hd__fa_1 U1032 ( .A(n1219), .B(n1218), .CIN(n1217), .COUT(n1205), .SUM(n1296) );
+  sky130_fd_sc_hd__nor2_1 U1033 ( .A(n1394), .B(n1372), .Y(n1221) );
+  sky130_fd_sc_hd__o22ai_1 U1034 ( .A1(n1405), .A2(n1367), .B1(n1413), .B2(
+        n1366), .Y(n1220) );
+  sky130_fd_sc_hd__a211oi_1 U1035 ( .A1(n1373), .A2(n1397), .B1(n1221), .C1(
+        n1220), .Y(n1222) );
+  sky130_fd_sc_hd__xnor2_1 U1036 ( .A(I1[5]), .B(n1222), .Y(n1295) );
+  sky130_fd_sc_hd__fa_1 U1037 ( .A(n1225), .B(n1224), .CIN(n1223), .COUT(n1582), .SUM(n1579) );
+  sky130_fd_sc_hd__fa_1 U1038 ( .A(n1228), .B(n1227), .CIN(n1226), .COUT(n1291), .SUM(n1300) );
+  sky130_fd_sc_hd__o22ai_1 U1039 ( .A1(n1445), .A2(n1277), .B1(n1453), .B2(
+        n1276), .Y(n1229) );
+  sky130_fd_sc_hd__a21oi_1 U1040 ( .A1(n1435), .A2(n1283), .B1(n1229), .Y(
+        n1230) );
+  sky130_fd_sc_hd__o21ai_1 U1041 ( .A1(n1437), .A2(n1282), .B1(n1230), .Y(
+        n1231) );
+  sky130_fd_sc_hd__xnor2_1 U1042 ( .A(n1281), .B(n1231), .Y(n1299) );
+  sky130_fd_sc_hd__fa_1 U1043 ( .A(n1234), .B(n1233), .CIN(n1232), .COUT(n1226), .SUM(n1306) );
+  sky130_fd_sc_hd__o22ai_1 U1044 ( .A1(n1453), .A2(n1277), .B1(n1461), .B2(
+        n1276), .Y(n1235) );
+  sky130_fd_sc_hd__a21oi_1 U1045 ( .A1(n1443), .A2(n1283), .B1(n1235), .Y(
+        n1236) );
+  sky130_fd_sc_hd__o21ai_1 U1046 ( .A1(n1445), .A2(n1282), .B1(n1236), .Y(
+        n1237) );
+  sky130_fd_sc_hd__xnor2_1 U1047 ( .A(n1281), .B(n1237), .Y(n1305) );
+  sky130_fd_sc_hd__fa_1 U1048 ( .A(n1240), .B(n1239), .CIN(n1238), .COUT(n1232), .SUM(n1312) );
+  sky130_fd_sc_hd__o22ai_1 U1049 ( .A1(n1461), .A2(n1277), .B1(n1469), .B2(
+        n1276), .Y(n1241) );
+  sky130_fd_sc_hd__a21oi_1 U1050 ( .A1(n1451), .A2(n1283), .B1(n1241), .Y(
+        n1242) );
+  sky130_fd_sc_hd__o21ai_1 U1051 ( .A1(n1453), .A2(n1282), .B1(n1242), .Y(
+        n1243) );
+  sky130_fd_sc_hd__xnor2_1 U1052 ( .A(n1281), .B(n1243), .Y(n1311) );
+  sky130_fd_sc_hd__fa_1 U1053 ( .A(n1246), .B(n1245), .CIN(n1244), .COUT(n1238), .SUM(n1318) );
+  sky130_fd_sc_hd__o22ai_1 U1054 ( .A1(n1469), .A2(n1277), .B1(n1477), .B2(
+        n1276), .Y(n1247) );
+  sky130_fd_sc_hd__a21oi_1 U1055 ( .A1(n1459), .A2(n1283), .B1(n1247), .Y(
+        n1248) );
+  sky130_fd_sc_hd__o21ai_1 U1056 ( .A1(n1461), .A2(n1282), .B1(n1248), .Y(
+        n1249) );
+  sky130_fd_sc_hd__xnor2_1 U1057 ( .A(n1281), .B(n1249), .Y(n1317) );
+  sky130_fd_sc_hd__fa_1 U1058 ( .A(n1252), .B(n1251), .CIN(n1250), .COUT(n1244), .SUM(n1324) );
+  sky130_fd_sc_hd__o22ai_1 U1059 ( .A1(n1477), .A2(n1277), .B1(n1484), .B2(
+        n1276), .Y(n1253) );
+  sky130_fd_sc_hd__a21oi_1 U1060 ( .A1(n1467), .A2(n1283), .B1(n1253), .Y(
+        n1254) );
+  sky130_fd_sc_hd__o21ai_1 U1061 ( .A1(n1469), .A2(n1282), .B1(n1254), .Y(
+        n1255) );
+  sky130_fd_sc_hd__xnor2_1 U1062 ( .A(n1281), .B(n1255), .Y(n1323) );
+  sky130_fd_sc_hd__fa_1 U1063 ( .A(n1258), .B(n1257), .CIN(n1256), .COUT(n1250), .SUM(n1330) );
+  sky130_fd_sc_hd__o22ai_1 U1064 ( .A1(n1484), .A2(n1277), .B1(n1491), .B2(
+        n1276), .Y(n1259) );
+  sky130_fd_sc_hd__a21oi_1 U1065 ( .A1(n1475), .A2(n1283), .B1(n1259), .Y(
+        n1260) );
+  sky130_fd_sc_hd__o21ai_1 U1066 ( .A1(n1477), .A2(n1282), .B1(n1260), .Y(
+        n1261) );
+  sky130_fd_sc_hd__xnor2_1 U1067 ( .A(n1281), .B(n1261), .Y(n1329) );
+  sky130_fd_sc_hd__fa_1 U1068 ( .A(I3[11]), .B(n1263), .CIN(n1262), .COUT(
+        n1256), .SUM(n1336) );
+  sky130_fd_sc_hd__o22ai_1 U1069 ( .A1(n1491), .A2(n1277), .B1(n1497), .B2(
+        n1276), .Y(n1264) );
+  sky130_fd_sc_hd__a21oi_1 U1070 ( .A1(n1482), .A2(n1283), .B1(n1264), .Y(
+        n1265) );
+  sky130_fd_sc_hd__o21ai_1 U1071 ( .A1(n1484), .A2(n1282), .B1(n1265), .Y(
+        n1266) );
+  sky130_fd_sc_hd__xnor2_1 U1072 ( .A(n1281), .B(n1266), .Y(n1335) );
+  sky130_fd_sc_hd__fa_1 U1073 ( .A(I3[10]), .B(n1268), .CIN(n1267), .COUT(
+        n1262), .SUM(n1342) );
+  sky130_fd_sc_hd__o22ai_1 U1074 ( .A1(n1497), .A2(n1277), .B1(n1505), .B2(
+        n1276), .Y(n1269) );
+  sky130_fd_sc_hd__a21oi_1 U1075 ( .A1(n1489), .A2(n1283), .B1(n1269), .Y(
+        n1270) );
+  sky130_fd_sc_hd__o21ai_1 U1076 ( .A1(n1491), .A2(n1282), .B1(n1270), .Y(
+        n1271) );
+  sky130_fd_sc_hd__xnor2_1 U1077 ( .A(n1281), .B(n1271), .Y(n1341) );
+  sky130_fd_sc_hd__fa_1 U1078 ( .A(I3[9]), .B(I1[11]), .CIN(n1272), .COUT(
+        n1267), .SUM(n1348) );
+  sky130_fd_sc_hd__o22ai_1 U1079 ( .A1(n1505), .A2(n1277), .B1(n1501), .B2(
+        n1276), .Y(n1273) );
+  sky130_fd_sc_hd__a21oi_1 U1080 ( .A1(n1495), .A2(n1283), .B1(n1273), .Y(
+        n1274) );
+  sky130_fd_sc_hd__o21ai_1 U1081 ( .A1(n1497), .A2(n1282), .B1(n1274), .Y(
+        n1275) );
+  sky130_fd_sc_hd__xnor2_1 U1082 ( .A(n1281), .B(n1275), .Y(n1347) );
+  sky130_fd_sc_hd__o22ai_1 U1083 ( .A1(n1501), .A2(n1277), .B1(n1500), .B2(
+        n1276), .Y(n1278) );
+  sky130_fd_sc_hd__a21oi_1 U1084 ( .A1(n1503), .A2(n1283), .B1(n1278), .Y(
+        n1279) );
+  sky130_fd_sc_hd__o21ai_1 U1085 ( .A1(n1505), .A2(n1282), .B1(n1279), .Y(
+        n1280) );
+  sky130_fd_sc_hd__xnor2_1 U1086 ( .A(n1281), .B(n1280), .Y(n1353) );
+  sky130_fd_sc_hd__clkinv_1 U1087 ( .A(n1282), .Y(n1285) );
+  sky130_fd_sc_hd__a222oi_1 U1088 ( .A1(I2[1]), .A2(n1285), .B1(I2[0]), .B2(
+        n1284), .C1(n1283), .C2(n1511), .Y(n1286) );
+  sky130_fd_sc_hd__xnor2_1 U1089 ( .A(I1[8]), .B(n1286), .Y(n1358) );
+  sky130_fd_sc_hd__nand2_1 U1090 ( .A(n1287), .B(I2[0]), .Y(n1288) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1091 ( .B1(I1[8]), .B2(n1288), .A1_N(n1288), 
+        .A2_N(I1[8]), .Y(n1362) );
+  sky130_fd_sc_hd__fa_1 U1092 ( .A(n1291), .B(n1290), .CIN(n1289), .COUT(n1217), .SUM(n1380) );
+  sky130_fd_sc_hd__o22ai_1 U1093 ( .A1(n1413), .A2(n1367), .B1(n1421), .B2(
+        n1366), .Y(n1292) );
+  sky130_fd_sc_hd__a21oi_1 U1094 ( .A1(n1403), .A2(n1373), .B1(n1292), .Y(
+        n1293) );
+  sky130_fd_sc_hd__o21ai_1 U1095 ( .A1(n1405), .A2(n1372), .B1(n1293), .Y(
+        n1294) );
+  sky130_fd_sc_hd__xnor2_1 U1096 ( .A(n1371), .B(n1294), .Y(n1379) );
+  sky130_fd_sc_hd__fa_1 U1097 ( .A(n1297), .B(n1296), .CIN(n1295), .COUT(n1580), .SUM(n1576) );
+  sky130_fd_sc_hd__fa_1 U1098 ( .A(n1300), .B(n1299), .CIN(n1298), .COUT(n1381), .SUM(n1390) );
+  sky130_fd_sc_hd__o22ai_1 U1099 ( .A1(n1421), .A2(n1367), .B1(n1429), .B2(
+        n1366), .Y(n1301) );
+  sky130_fd_sc_hd__a21oi_1 U1100 ( .A1(n1411), .A2(n1373), .B1(n1301), .Y(
+        n1302) );
+  sky130_fd_sc_hd__o21ai_1 U1101 ( .A1(n1413), .A2(n1372), .B1(n1302), .Y(
+        n1303) );
+  sky130_fd_sc_hd__xnor2_1 U1102 ( .A(n1371), .B(n1303), .Y(n1389) );
+  sky130_fd_sc_hd__fa_1 U1103 ( .A(n1306), .B(n1305), .CIN(n1304), .COUT(n1298), .SUM(n1393) );
+  sky130_fd_sc_hd__o22ai_1 U1104 ( .A1(n1429), .A2(n1367), .B1(n1437), .B2(
+        n1366), .Y(n1307) );
+  sky130_fd_sc_hd__a21oi_1 U1105 ( .A1(n1419), .A2(n1373), .B1(n1307), .Y(
+        n1308) );
+  sky130_fd_sc_hd__o21ai_1 U1106 ( .A1(n1421), .A2(n1372), .B1(n1308), .Y(
+        n1309) );
+  sky130_fd_sc_hd__xnor2_1 U1107 ( .A(n1371), .B(n1309), .Y(n1392) );
+  sky130_fd_sc_hd__fa_1 U1108 ( .A(n1312), .B(n1311), .CIN(n1310), .COUT(n1304), .SUM(n1401) );
+  sky130_fd_sc_hd__o22ai_1 U1109 ( .A1(n1437), .A2(n1367), .B1(n1445), .B2(
+        n1366), .Y(n1313) );
+  sky130_fd_sc_hd__a21oi_1 U1110 ( .A1(n1427), .A2(n1373), .B1(n1313), .Y(
+        n1314) );
+  sky130_fd_sc_hd__o21ai_1 U1111 ( .A1(n1429), .A2(n1372), .B1(n1314), .Y(
+        n1315) );
+  sky130_fd_sc_hd__xnor2_1 U1112 ( .A(n1371), .B(n1315), .Y(n1400) );
+  sky130_fd_sc_hd__fa_1 U1113 ( .A(n1318), .B(n1317), .CIN(n1316), .COUT(n1310), .SUM(n1409) );
+  sky130_fd_sc_hd__o22ai_1 U1114 ( .A1(n1445), .A2(n1367), .B1(n1453), .B2(
+        n1366), .Y(n1319) );
+  sky130_fd_sc_hd__a21oi_1 U1115 ( .A1(n1435), .A2(n1373), .B1(n1319), .Y(
+        n1320) );
+  sky130_fd_sc_hd__o21ai_1 U1116 ( .A1(n1437), .A2(n1372), .B1(n1320), .Y(
+        n1321) );
+  sky130_fd_sc_hd__xnor2_1 U1117 ( .A(n1371), .B(n1321), .Y(n1408) );
+  sky130_fd_sc_hd__fa_1 U1118 ( .A(n1324), .B(n1323), .CIN(n1322), .COUT(n1316), .SUM(n1417) );
+  sky130_fd_sc_hd__o22ai_1 U1119 ( .A1(n1453), .A2(n1367), .B1(n1461), .B2(
+        n1366), .Y(n1325) );
+  sky130_fd_sc_hd__a21oi_1 U1120 ( .A1(n1443), .A2(n1373), .B1(n1325), .Y(
+        n1326) );
+  sky130_fd_sc_hd__o21ai_1 U1121 ( .A1(n1445), .A2(n1372), .B1(n1326), .Y(
+        n1327) );
+  sky130_fd_sc_hd__xnor2_1 U1122 ( .A(n1371), .B(n1327), .Y(n1416) );
+  sky130_fd_sc_hd__fa_1 U1123 ( .A(n1330), .B(n1329), .CIN(n1328), .COUT(n1322), .SUM(n1425) );
+  sky130_fd_sc_hd__o22ai_1 U1124 ( .A1(n1461), .A2(n1367), .B1(n1469), .B2(
+        n1366), .Y(n1331) );
+  sky130_fd_sc_hd__a21oi_1 U1125 ( .A1(n1451), .A2(n1373), .B1(n1331), .Y(
+        n1332) );
+  sky130_fd_sc_hd__o21ai_1 U1126 ( .A1(n1453), .A2(n1372), .B1(n1332), .Y(
+        n1333) );
+  sky130_fd_sc_hd__xnor2_1 U1127 ( .A(n1371), .B(n1333), .Y(n1424) );
+  sky130_fd_sc_hd__fa_1 U1128 ( .A(n1336), .B(n1335), .CIN(n1334), .COUT(n1328), .SUM(n1433) );
+  sky130_fd_sc_hd__o22ai_1 U1129 ( .A1(n1469), .A2(n1367), .B1(n1477), .B2(
+        n1366), .Y(n1337) );
+  sky130_fd_sc_hd__a21oi_1 U1130 ( .A1(n1459), .A2(n1373), .B1(n1337), .Y(
+        n1338) );
+  sky130_fd_sc_hd__o21ai_1 U1131 ( .A1(n1461), .A2(n1372), .B1(n1338), .Y(
+        n1339) );
+  sky130_fd_sc_hd__xnor2_1 U1132 ( .A(n1371), .B(n1339), .Y(n1432) );
+  sky130_fd_sc_hd__fa_1 U1133 ( .A(n1342), .B(n1341), .CIN(n1340), .COUT(n1334), .SUM(n1441) );
+  sky130_fd_sc_hd__o22ai_1 U1134 ( .A1(n1477), .A2(n1367), .B1(n1484), .B2(
+        n1366), .Y(n1343) );
+  sky130_fd_sc_hd__a21oi_1 U1135 ( .A1(n1467), .A2(n1373), .B1(n1343), .Y(
+        n1344) );
+  sky130_fd_sc_hd__o21ai_1 U1136 ( .A1(n1469), .A2(n1372), .B1(n1344), .Y(
+        n1345) );
+  sky130_fd_sc_hd__xnor2_1 U1137 ( .A(n1371), .B(n1345), .Y(n1440) );
+  sky130_fd_sc_hd__fa_1 U1138 ( .A(n1348), .B(n1347), .CIN(n1346), .COUT(n1340), .SUM(n1449) );
+  sky130_fd_sc_hd__o22ai_1 U1139 ( .A1(n1484), .A2(n1367), .B1(n1491), .B2(
+        n1366), .Y(n1349) );
+  sky130_fd_sc_hd__a21oi_1 U1140 ( .A1(n1475), .A2(n1373), .B1(n1349), .Y(
+        n1350) );
+  sky130_fd_sc_hd__o21ai_1 U1141 ( .A1(n1477), .A2(n1372), .B1(n1350), .Y(
+        n1351) );
+  sky130_fd_sc_hd__xnor2_1 U1142 ( .A(n1371), .B(n1351), .Y(n1448) );
+  sky130_fd_sc_hd__fa_1 U1143 ( .A(I3[8]), .B(n1353), .CIN(n1352), .COUT(n1346), .SUM(n1457) );
+  sky130_fd_sc_hd__o22ai_1 U1144 ( .A1(n1491), .A2(n1367), .B1(n1497), .B2(
+        n1366), .Y(n1354) );
+  sky130_fd_sc_hd__a21oi_1 U1145 ( .A1(n1482), .A2(n1373), .B1(n1354), .Y(
+        n1355) );
+  sky130_fd_sc_hd__o21ai_1 U1146 ( .A1(n1484), .A2(n1372), .B1(n1355), .Y(
+        n1356) );
+  sky130_fd_sc_hd__xnor2_1 U1147 ( .A(n1371), .B(n1356), .Y(n1456) );
+  sky130_fd_sc_hd__fa_1 U1148 ( .A(I3[7]), .B(n1358), .CIN(n1357), .COUT(n1352), .SUM(n1465) );
+  sky130_fd_sc_hd__o22ai_1 U1149 ( .A1(n1497), .A2(n1367), .B1(n1505), .B2(
+        n1366), .Y(n1359) );
+  sky130_fd_sc_hd__a21oi_1 U1150 ( .A1(n1489), .A2(n1373), .B1(n1359), .Y(
+        n1360) );
+  sky130_fd_sc_hd__o21ai_1 U1151 ( .A1(n1491), .A2(n1372), .B1(n1360), .Y(
+        n1361) );
+  sky130_fd_sc_hd__xnor2_1 U1152 ( .A(n1371), .B(n1361), .Y(n1464) );
+  sky130_fd_sc_hd__fa_1 U1153 ( .A(I3[6]), .B(I1[8]), .CIN(n1362), .COUT(n1357), .SUM(n1473) );
+  sky130_fd_sc_hd__o22ai_1 U1154 ( .A1(n1505), .A2(n1367), .B1(n1501), .B2(
+        n1366), .Y(n1363) );
+  sky130_fd_sc_hd__a21oi_1 U1155 ( .A1(n1495), .A2(n1373), .B1(n1363), .Y(
+        n1364) );
+  sky130_fd_sc_hd__o21ai_1 U1156 ( .A1(n1497), .A2(n1372), .B1(n1364), .Y(
+        n1365) );
+  sky130_fd_sc_hd__xnor2_1 U1157 ( .A(n1371), .B(n1365), .Y(n1472) );
+  sky130_fd_sc_hd__o22ai_1 U1158 ( .A1(n1501), .A2(n1367), .B1(n1500), .B2(
+        n1366), .Y(n1368) );
+  sky130_fd_sc_hd__a21oi_1 U1159 ( .A1(n1503), .A2(n1373), .B1(n1368), .Y(
+        n1369) );
+  sky130_fd_sc_hd__o21ai_1 U1160 ( .A1(n1505), .A2(n1372), .B1(n1369), .Y(
+        n1370) );
+  sky130_fd_sc_hd__xnor2_1 U1161 ( .A(n1371), .B(n1370), .Y(n1480) );
+  sky130_fd_sc_hd__clkinv_1 U1162 ( .A(n1372), .Y(n1375) );
+  sky130_fd_sc_hd__a222oi_1 U1163 ( .A1(I2[1]), .A2(n1375), .B1(I2[0]), .B2(
+        n1374), .C1(n1373), .C2(n1511), .Y(n1376) );
+  sky130_fd_sc_hd__xnor2_1 U1164 ( .A(I1[5]), .B(n1376), .Y(n1487) );
+  sky130_fd_sc_hd__nand2_1 U1165 ( .A(n1377), .B(I2[0]), .Y(n1378) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1166 ( .B1(I1[5]), .B2(n1378), .A1_N(n1378), 
+        .A2_N(I1[5]), .Y(n1493) );
+  sky130_fd_sc_hd__fa_1 U1167 ( .A(n1381), .B(n1380), .CIN(n1379), .COUT(n1577), .SUM(n1573) );
+  sky130_fd_sc_hd__or3_1 U1168 ( .A(I1[1]), .B(I1[0]), .C(n1507), .X(n1499) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1169 ( .B1(I1[2]), .B2(I1[1]), .A1_N(I1[2]), 
+        .A2_N(I1[1]), .Y(n1382) );
+  sky130_fd_sc_hd__clkinv_1 U1170 ( .A(I1[0]), .Y(n1383) );
+  sky130_fd_sc_hd__nor2_1 U1171 ( .A(n1382), .B(n1383), .Y(n1510) );
+  sky130_fd_sc_hd__nand2_1 U1172 ( .A(I1[0]), .B(n1382), .Y(n1508) );
+  sky130_fd_sc_hd__nand2_1 U1173 ( .A(I1[1]), .B(n1383), .Y(n1509) );
+  sky130_fd_sc_hd__a21oi_1 U1174 ( .A1(n1508), .A2(n1509), .B1(n1394), .Y(
+        n1384) );
+  sky130_fd_sc_hd__a21oi_1 U1175 ( .A1(n1385), .A2(n1510), .B1(n1384), .Y(
+        n1386) );
+  sky130_fd_sc_hd__o21ai_1 U1176 ( .A1(n1405), .A2(n1499), .B1(n1386), .Y(
+        n1387) );
+  sky130_fd_sc_hd__xnor2_1 U1177 ( .A(n1507), .B(n1387), .Y(n1571) );
+  sky130_fd_sc_hd__fa_1 U1178 ( .A(n1390), .B(n1389), .CIN(n1388), .COUT(n1574), .SUM(n1570) );
+  sky130_fd_sc_hd__fa_1 U1179 ( .A(n1393), .B(n1392), .CIN(n1391), .COUT(n1388), .SUM(n1568) );
+  sky130_fd_sc_hd__nor2_1 U1180 ( .A(n1394), .B(n1508), .Y(n1396) );
+  sky130_fd_sc_hd__o22ai_1 U1181 ( .A1(n1405), .A2(n1509), .B1(n1413), .B2(
+        n1499), .Y(n1395) );
+  sky130_fd_sc_hd__a211oi_1 U1182 ( .A1(n1510), .A2(n1397), .B1(n1396), .C1(
+        n1395), .Y(n1398) );
+  sky130_fd_sc_hd__xnor2_1 U1183 ( .A(I1[2]), .B(n1398), .Y(n1567) );
+  sky130_fd_sc_hd__fa_1 U1184 ( .A(n1401), .B(n1400), .CIN(n1399), .COUT(n1391), .SUM(n1565) );
+  sky130_fd_sc_hd__o22ai_1 U1185 ( .A1(n1413), .A2(n1509), .B1(n1421), .B2(
+        n1499), .Y(n1402) );
+  sky130_fd_sc_hd__a21oi_1 U1186 ( .A1(n1403), .A2(n1510), .B1(n1402), .Y(
+        n1404) );
+  sky130_fd_sc_hd__o21ai_1 U1187 ( .A1(n1405), .A2(n1508), .B1(n1404), .Y(
+        n1406) );
+  sky130_fd_sc_hd__xnor2_1 U1188 ( .A(n1507), .B(n1406), .Y(n1564) );
+  sky130_fd_sc_hd__fa_1 U1189 ( .A(n1409), .B(n1408), .CIN(n1407), .COUT(n1399), .SUM(n1562) );
+  sky130_fd_sc_hd__o22ai_1 U1190 ( .A1(n1421), .A2(n1509), .B1(n1429), .B2(
+        n1499), .Y(n1410) );
+  sky130_fd_sc_hd__a21oi_1 U1191 ( .A1(n1411), .A2(n1510), .B1(n1410), .Y(
+        n1412) );
+  sky130_fd_sc_hd__o21ai_1 U1192 ( .A1(n1413), .A2(n1508), .B1(n1412), .Y(
+        n1414) );
+  sky130_fd_sc_hd__xnor2_1 U1193 ( .A(n1507), .B(n1414), .Y(n1561) );
+  sky130_fd_sc_hd__fa_1 U1194 ( .A(n1417), .B(n1416), .CIN(n1415), .COUT(n1407), .SUM(n1559) );
+  sky130_fd_sc_hd__o22ai_1 U1195 ( .A1(n1429), .A2(n1509), .B1(n1437), .B2(
+        n1499), .Y(n1418) );
+  sky130_fd_sc_hd__a21oi_1 U1196 ( .A1(n1419), .A2(n1510), .B1(n1418), .Y(
+        n1420) );
+  sky130_fd_sc_hd__o21ai_1 U1197 ( .A1(n1421), .A2(n1508), .B1(n1420), .Y(
+        n1422) );
+  sky130_fd_sc_hd__xnor2_1 U1198 ( .A(n1507), .B(n1422), .Y(n1558) );
+  sky130_fd_sc_hd__fa_1 U1199 ( .A(n1425), .B(n1424), .CIN(n1423), .COUT(n1415), .SUM(n1556) );
+  sky130_fd_sc_hd__o22ai_1 U1200 ( .A1(n1437), .A2(n1509), .B1(n1445), .B2(
+        n1499), .Y(n1426) );
+  sky130_fd_sc_hd__a21oi_1 U1201 ( .A1(n1427), .A2(n1510), .B1(n1426), .Y(
+        n1428) );
+  sky130_fd_sc_hd__o21ai_1 U1202 ( .A1(n1429), .A2(n1508), .B1(n1428), .Y(
+        n1430) );
+  sky130_fd_sc_hd__xnor2_1 U1203 ( .A(n1507), .B(n1430), .Y(n1555) );
+  sky130_fd_sc_hd__fa_1 U1204 ( .A(n1433), .B(n1432), .CIN(n1431), .COUT(n1423), .SUM(n1553) );
+  sky130_fd_sc_hd__o22ai_1 U1205 ( .A1(n1445), .A2(n1509), .B1(n1453), .B2(
+        n1499), .Y(n1434) );
+  sky130_fd_sc_hd__a21oi_1 U1206 ( .A1(n1435), .A2(n1510), .B1(n1434), .Y(
+        n1436) );
+  sky130_fd_sc_hd__o21ai_1 U1207 ( .A1(n1437), .A2(n1508), .B1(n1436), .Y(
+        n1438) );
+  sky130_fd_sc_hd__xnor2_1 U1208 ( .A(n1507), .B(n1438), .Y(n1552) );
+  sky130_fd_sc_hd__fa_1 U1209 ( .A(n1441), .B(n1440), .CIN(n1439), .COUT(n1431), .SUM(n1550) );
+  sky130_fd_sc_hd__o22ai_1 U1210 ( .A1(n1453), .A2(n1509), .B1(n1461), .B2(
+        n1499), .Y(n1442) );
+  sky130_fd_sc_hd__a21oi_1 U1211 ( .A1(n1443), .A2(n1510), .B1(n1442), .Y(
+        n1444) );
+  sky130_fd_sc_hd__o21ai_1 U1212 ( .A1(n1445), .A2(n1508), .B1(n1444), .Y(
+        n1446) );
+  sky130_fd_sc_hd__xnor2_1 U1213 ( .A(n1507), .B(n1446), .Y(n1549) );
+  sky130_fd_sc_hd__fa_1 U1214 ( .A(n1449), .B(n1448), .CIN(n1447), .COUT(n1439), .SUM(n1547) );
+  sky130_fd_sc_hd__o22ai_1 U1215 ( .A1(n1461), .A2(n1509), .B1(n1469), .B2(
+        n1499), .Y(n1450) );
+  sky130_fd_sc_hd__a21oi_1 U1216 ( .A1(n1451), .A2(n1510), .B1(n1450), .Y(
+        n1452) );
+  sky130_fd_sc_hd__o21ai_1 U1217 ( .A1(n1453), .A2(n1508), .B1(n1452), .Y(
+        n1454) );
+  sky130_fd_sc_hd__xnor2_1 U1218 ( .A(n1507), .B(n1454), .Y(n1546) );
+  sky130_fd_sc_hd__fa_1 U1219 ( .A(n1457), .B(n1456), .CIN(n1455), .COUT(n1447), .SUM(n1544) );
+  sky130_fd_sc_hd__o22ai_1 U1220 ( .A1(n1469), .A2(n1509), .B1(n1477), .B2(
+        n1499), .Y(n1458) );
+  sky130_fd_sc_hd__a21oi_1 U1221 ( .A1(n1459), .A2(n1510), .B1(n1458), .Y(
+        n1460) );
+  sky130_fd_sc_hd__o21ai_1 U1222 ( .A1(n1461), .A2(n1508), .B1(n1460), .Y(
+        n1462) );
+  sky130_fd_sc_hd__xnor2_1 U1223 ( .A(n1507), .B(n1462), .Y(n1543) );
+  sky130_fd_sc_hd__fa_1 U1224 ( .A(n1465), .B(n1464), .CIN(n1463), .COUT(n1455), .SUM(n1541) );
+  sky130_fd_sc_hd__o22ai_1 U1225 ( .A1(n1477), .A2(n1509), .B1(n1484), .B2(
+        n1499), .Y(n1466) );
+  sky130_fd_sc_hd__a21oi_1 U1226 ( .A1(n1467), .A2(n1510), .B1(n1466), .Y(
+        n1468) );
+  sky130_fd_sc_hd__o21ai_1 U1227 ( .A1(n1469), .A2(n1508), .B1(n1468), .Y(
+        n1470) );
+  sky130_fd_sc_hd__xnor2_1 U1228 ( .A(n1507), .B(n1470), .Y(n1540) );
+  sky130_fd_sc_hd__fa_1 U1229 ( .A(n1473), .B(n1472), .CIN(n1471), .COUT(n1463), .SUM(n1538) );
+  sky130_fd_sc_hd__o22ai_1 U1230 ( .A1(n1484), .A2(n1509), .B1(n1491), .B2(
+        n1499), .Y(n1474) );
+  sky130_fd_sc_hd__a21oi_1 U1231 ( .A1(n1475), .A2(n1510), .B1(n1474), .Y(
+        n1476) );
+  sky130_fd_sc_hd__o21ai_1 U1232 ( .A1(n1477), .A2(n1508), .B1(n1476), .Y(
+        n1478) );
+  sky130_fd_sc_hd__xnor2_1 U1233 ( .A(n1507), .B(n1478), .Y(n1537) );
+  sky130_fd_sc_hd__fa_1 U1234 ( .A(I3[5]), .B(n1480), .CIN(n1479), .COUT(n1471), .SUM(n1535) );
+  sky130_fd_sc_hd__o22ai_1 U1235 ( .A1(n1491), .A2(n1509), .B1(n1497), .B2(
+        n1499), .Y(n1481) );
+  sky130_fd_sc_hd__a21oi_1 U1236 ( .A1(n1482), .A2(n1510), .B1(n1481), .Y(
+        n1483) );
+  sky130_fd_sc_hd__o21ai_1 U1237 ( .A1(n1484), .A2(n1508), .B1(n1483), .Y(
+        n1485) );
+  sky130_fd_sc_hd__xnor2_1 U1238 ( .A(n1507), .B(n1485), .Y(n1534) );
+  sky130_fd_sc_hd__fa_1 U1239 ( .A(I3[4]), .B(n1487), .CIN(n1486), .COUT(n1479), .SUM(n1532) );
+  sky130_fd_sc_hd__o22ai_1 U1240 ( .A1(n1497), .A2(n1509), .B1(n1505), .B2(
+        n1499), .Y(n1488) );
+  sky130_fd_sc_hd__a21oi_1 U1241 ( .A1(n1489), .A2(n1510), .B1(n1488), .Y(
+        n1490) );
+  sky130_fd_sc_hd__o21ai_1 U1242 ( .A1(n1491), .A2(n1508), .B1(n1490), .Y(
+        n1492) );
+  sky130_fd_sc_hd__xnor2_1 U1243 ( .A(n1507), .B(n1492), .Y(n1531) );
+  sky130_fd_sc_hd__fa_1 U1244 ( .A(I3[3]), .B(I1[5]), .CIN(n1493), .COUT(n1486), .SUM(n1529) );
+  sky130_fd_sc_hd__o22ai_1 U1245 ( .A1(n1505), .A2(n1509), .B1(n1501), .B2(
+        n1499), .Y(n1494) );
+  sky130_fd_sc_hd__a21oi_1 U1246 ( .A1(n1495), .A2(n1510), .B1(n1494), .Y(
+        n1496) );
+  sky130_fd_sc_hd__o21ai_1 U1247 ( .A1(n1497), .A2(n1508), .B1(n1496), .Y(
+        n1498) );
+  sky130_fd_sc_hd__xnor2_1 U1248 ( .A(n1507), .B(n1498), .Y(n1528) );
+  sky130_fd_sc_hd__o22ai_1 U1249 ( .A1(n1501), .A2(n1509), .B1(n1500), .B2(
+        n1499), .Y(n1502) );
+  sky130_fd_sc_hd__a21oi_1 U1250 ( .A1(n1503), .A2(n1510), .B1(n1502), .Y(
+        n1504) );
+  sky130_fd_sc_hd__o21ai_1 U1251 ( .A1(n1505), .A2(n1508), .B1(n1504), .Y(
+        n1506) );
+  sky130_fd_sc_hd__xnor2_1 U1252 ( .A(n1507), .B(n1506), .Y(n1526) );
+  sky130_fd_sc_hd__clkinv_1 U1253 ( .A(n1508), .Y(n1513) );
+  sky130_fd_sc_hd__clkinv_1 U1254 ( .A(n1509), .Y(n1512) );
+  sky130_fd_sc_hd__a222oi_1 U1255 ( .A1(I2[1]), .A2(n1513), .B1(I2[0]), .B2(
+        n1512), .C1(n1511), .C2(n1510), .Y(n1514) );
+  sky130_fd_sc_hd__xnor2_1 U1256 ( .A(I1[2]), .B(n1514), .Y(n1524) );
+  sky130_fd_sc_hd__nand2_1 U1257 ( .A(I1[0]), .B(I2[0]), .Y(n1515) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1258 ( .B1(I1[2]), .B2(n1515), .A1_N(n1515), 
+        .A2_N(I1[2]), .Y(n1522) );
+  sky130_fd_sc_hd__xor2_1 U1259 ( .A(n1517), .B(n1516), .X(n1518) );
+  sky130_fd_sc_hd__xnor2_1 U1260 ( .A(n1519), .B(n1518), .Y(n1520) );
+  sky130_fd_sc_hd__xnor2_1 U1261 ( .A(n1521), .B(n1520), .Y(O1[33]) );
+  sky130_fd_sc_hd__fa_1 U1262 ( .A(I3[0]), .B(I1[2]), .CIN(n1522), .COUT(n1523), .SUM(O1[0]) );
+  sky130_fd_sc_hd__fa_1 U1263 ( .A(I3[1]), .B(n1524), .CIN(n1523), .COUT(n1525), .SUM(O1[1]) );
+  sky130_fd_sc_hd__fa_1 U1264 ( .A(I3[2]), .B(n1526), .CIN(n1525), .COUT(n1527), .SUM(O1[2]) );
+  sky130_fd_sc_hd__fa_1 U1265 ( .A(n1529), .B(n1528), .CIN(n1527), .COUT(n1530), .SUM(O1[3]) );
+  sky130_fd_sc_hd__fa_1 U1266 ( .A(n1532), .B(n1531), .CIN(n1530), .COUT(n1533), .SUM(O1[4]) );
+  sky130_fd_sc_hd__fa_1 U1267 ( .A(n1535), .B(n1534), .CIN(n1533), .COUT(n1536), .SUM(O1[5]) );
+  sky130_fd_sc_hd__fa_1 U1268 ( .A(n1538), .B(n1537), .CIN(n1536), .COUT(n1539), .SUM(O1[6]) );
+  sky130_fd_sc_hd__fa_1 U1269 ( .A(n1541), .B(n1540), .CIN(n1539), .COUT(n1542), .SUM(O1[7]) );
+  sky130_fd_sc_hd__fa_1 U1270 ( .A(n1544), .B(n1543), .CIN(n1542), .COUT(n1545), .SUM(O1[8]) );
+  sky130_fd_sc_hd__fa_1 U1271 ( .A(n1547), .B(n1546), .CIN(n1545), .COUT(n1548), .SUM(O1[9]) );
+  sky130_fd_sc_hd__fa_1 U1272 ( .A(n1550), .B(n1549), .CIN(n1548), .COUT(n1551), .SUM(O1[10]) );
+  sky130_fd_sc_hd__fa_1 U1273 ( .A(n1553), .B(n1552), .CIN(n1551), .COUT(n1554), .SUM(O1[11]) );
+  sky130_fd_sc_hd__fa_1 U1274 ( .A(n1556), .B(n1555), .CIN(n1554), .COUT(n1557), .SUM(O1[12]) );
+  sky130_fd_sc_hd__fa_1 U1275 ( .A(n1559), .B(n1558), .CIN(n1557), .COUT(n1560), .SUM(O1[13]) );
+  sky130_fd_sc_hd__fa_1 U1276 ( .A(n1562), .B(n1561), .CIN(n1560), .COUT(n1563), .SUM(O1[14]) );
+  sky130_fd_sc_hd__fa_1 U1277 ( .A(n1565), .B(n1564), .CIN(n1563), .COUT(n1566), .SUM(O1[15]) );
+  sky130_fd_sc_hd__fa_1 U1278 ( .A(n1568), .B(n1567), .CIN(n1566), .COUT(n1569), .SUM(O1[16]) );
+  sky130_fd_sc_hd__fa_1 U1279 ( .A(n1571), .B(n1570), .CIN(n1569), .COUT(n1572), .SUM(O1[17]) );
+  sky130_fd_sc_hd__fa_1 U1280 ( .A(n1574), .B(n1573), .CIN(n1572), .COUT(n1575), .SUM(O1[18]) );
+  sky130_fd_sc_hd__fa_1 U1281 ( .A(n1577), .B(n1576), .CIN(n1575), .COUT(n1578), .SUM(O1[19]) );
+  sky130_fd_sc_hd__fa_1 U1282 ( .A(n1580), .B(n1579), .CIN(n1578), .COUT(n1581), .SUM(O1[20]) );
+  sky130_fd_sc_hd__fa_1 U1283 ( .A(n1583), .B(n1582), .CIN(n1581), .COUT(n1584), .SUM(O1[21]) );
+  sky130_fd_sc_hd__fa_1 U1284 ( .A(n1586), .B(n1585), .CIN(n1584), .COUT(n1587), .SUM(O1[22]) );
+  sky130_fd_sc_hd__fa_1 U1285 ( .A(n1589), .B(n1588), .CIN(n1587), .COUT(n1590), .SUM(O1[23]) );
+  sky130_fd_sc_hd__fa_1 U1286 ( .A(n1592), .B(n1591), .CIN(n1590), .COUT(n1593), .SUM(O1[24]) );
+  sky130_fd_sc_hd__fa_1 U1287 ( .A(n1595), .B(n1594), .CIN(n1593), .COUT(n1596), .SUM(O1[25]) );
+  sky130_fd_sc_hd__fa_1 U1288 ( .A(n1598), .B(n1597), .CIN(n1596), .COUT(n1599), .SUM(O1[26]) );
+  sky130_fd_sc_hd__fa_1 U1289 ( .A(n1601), .B(n1600), .CIN(n1599), .COUT(n1602), .SUM(O1[27]) );
+  sky130_fd_sc_hd__fa_1 U1290 ( .A(n1604), .B(n1603), .CIN(n1602), .COUT(n1605), .SUM(O1[28]) );
+  sky130_fd_sc_hd__fa_1 U1291 ( .A(n1607), .B(n1606), .CIN(n1605), .COUT(n1608), .SUM(O1[29]) );
+  sky130_fd_sc_hd__fa_1 U1292 ( .A(n1610), .B(n1609), .CIN(n1608), .COUT(n1611), .SUM(O1[30]) );
+  sky130_fd_sc_hd__fa_1 U1293 ( .A(n1613), .B(n1612), .CIN(n1611), .COUT(n1614), .SUM(O1[31]) );
+  sky130_fd_sc_hd__fa_1 U1294 ( .A(n1616), .B(n1615), .CIN(n1614), .COUT(n1516), .SUM(O1[32]) );
+endmodule
+
+
+module opentitan_soc_top_ibex_multdiv_fast_RV32M2_0 ( clk_i, rst_ni, mult_en_i, 
+        div_en_i, mult_sel_i, div_sel_i, operator_i, signed_mode_i, op_a_i, 
+        op_b_i, alu_adder_ext_i, alu_adder_i, equal_to_zero_i, 
+        data_ind_timing_i, alu_operand_a_o, alu_operand_b_o, imd_val_q_i, 
+        imd_val_d_o, imd_val_we_o, multdiv_ready_id_i, multdiv_result_o, 
+        valid_o );
+  input [1:0] operator_i;
+  input [1:0] signed_mode_i;
+  input [31:0] op_a_i;
+  input [31:0] op_b_i;
+  input [33:0] alu_adder_ext_i;
+  input [31:0] alu_adder_i;
+  output [32:0] alu_operand_a_o;
+  output [32:0] alu_operand_b_o;
+  input [67:0] imd_val_q_i;
+  output [67:0] imd_val_d_o;
+  output [1:0] imd_val_we_o;
+  output [31:0] multdiv_result_o;
+  input clk_i, rst_ni, mult_en_i, div_en_i, mult_sel_i, div_sel_i,
+         equal_to_zero_i, data_ind_timing_i, multdiv_ready_id_i;
+  output valid_o;
+  wire   div_by_zero_q, sign_a, sign_b, n643, n644, n645, n646, n647, n648,
+         n649, n650, n651, n652, n653, n654, n655, n656, n657, n658, n659,
+         n660, n661, n662, n663, n664, n665, n666, n667, n668, n669, n670,
+         n671, n672, n673, n674, n675, n676, n677, n678, n680, n681, n682,
+         n683, n684, n685, n686, n687, n688, n689, n690, n691, n692, n693,
+         n694, n695, n696, n697, n698, n699, n700, n701, n702, n703, n704,
+         n705, n706, n707, n708, n709, n710, n711, n712, n713, n714, n715,
+         n716, n717, n718, n1, n2, n3, n4, n5, n6, n7, n8, n9, n10, n11, n12,
+         n13, n14, n15, n16, n17, n18, n19, n20, n21, n22, n23, n24, n25, n26,
+         n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40,
+         n41, n42, n43, n44, n45, n46, n47, n48, n49, n50, n51, n52, n53, n54,
+         n55, n56, n57, n58, n59, n60, n61, n62, n63, n64, n65, n66, n67, n68,
+         n69, n70, n71, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81, n82,
+         n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96,
+         n97, n98, n99, n100, n101, n102, n103, n104, n105, n106, n107, n108,
+         n109, n110, n111, n112, n113, n114, n115, n116, n117, n118, n119,
+         n120, n121, n122, n123, n124, n125, n126, n127, n128, n129, n130,
+         n131, n132, n133, n134, n135, n136, n137, n138, n139, n140, n141,
+         n142, n143, n144, n145, n146, n147, n148, n149, n150, n151, n152,
+         n153, n154, n155, n156, n157, n158, n159, n160, n161, n162, n163,
+         n164, n165, n166, n167, n168, n169, n170, n171, n172, n173, n174,
+         n175, n176, n177, n178, n179, n180, n181, n182, n183, n184, n185,
+         n186, n187, n188, n189, n190, n191, n192, n193, n194, n195, n196,
+         n197, n198, n199, n200, n201, n202, n203, n204, n205, n206, n207,
+         n208, n209, n210, n211, n212, n213, n214, n215, n216, n217, n218,
+         n219, n220, n221, n222, n223, n224, n225, n226, n227, n228, n229,
+         n230, n231, n232, n233, n234, n235, n236, n237, n238, n239, n240,
+         n241, n242, n243, n244, n245, n246, n247, n248, n249, n250, n251,
+         n252, n253, n254, n255, n256, n257, n258, n259, n260, n261, n262,
+         n263, n264, n265, n266, n267, n268, n269, n270, n271, n272, n273,
+         n274, n275, n276, n277, n278, n279, n280, n281, n282, n283, n284,
+         n285, n286, n287, n288, n289, n290, n291, n292, n293, n294, n295,
+         n296, n297, n298, n299, n300, n301, n302, n303, n304, n305, n306,
+         n307, n308, n309, n310, n311, n312, n313, n314, n315, n316, n317,
+         n318, n319, n320, n321, n322, n323, n324, n325, n326, n327, n328,
+         n329, n330, n331, n332, n333, n334, n335, n336, n337, n338, n339,
+         n340, n341, n342, n343, n344, n345, n346, n347, n348, n349, n350,
+         n351, n352, n353, n354, n355, n356, n357, n358, n359, n360, n361,
+         n362, n363, n364, n365, n366, n367, n368, n369, n370, n371, n372,
+         n373, n374, n375, n376, n377, n378, n379, n380, n381, n382, n383,
+         n384, n385, n386, n387, n388, n389, n390, n391, n392, n393, n394,
+         n395, n396, n397, n398, n399, n400, n401, n402, n403, n404, n405,
+         n406, n407, n408, n409, n410, n411, n412, n413, n414, n415, n416,
+         n417, n418, n419, n420, n421, n422, n423, n424, n425, n426, n427,
+         n428, n429, n430, n431, n432, n433, n434, n435, n436, n437, n438,
+         n439, n440, n441, n442, n443, n444, n445, n446, n447, n448, n449,
+         n450, n451, n452, n453, n454, n455, n456, n457, n458, n459, n460,
+         n461, n462, n463, n464, n465, n466, n467, n468, n469, n470, n471,
+         n472, n473, n474, n475, n476, n477, n478, n479, n480, n481, n482,
+         n483, n484, n485, n486, n487, n488, n489, n490, n491, n492, n493,
+         n494, n495, n496, n497, n498, n499, n500, n501, n502, n503, n504,
+         n505, n506, n507, n508, n509, n510, n511, n512, n513, n514, n515,
+         n516, n517, n518, n519, n520, n521, n522, n523, n524, n525, n526,
+         n527, n528, n529, n530, n531, n532, n533, n534, n535, n536, n537,
+         n538, n539, n540, n541, n542, n543, n544, n545, n546, n547, n548,
+         n549, n550, n551, n552, n553, n554, n555, n556, n557, n558, n559,
+         n560, n561, n562, n563, n564, n565, n566, n567, n568, n569, n570,
+         n571, n572, n573, n574, n575, n576, n577, n578, n579, n580, n581,
+         n582, n583, n584, n585, n586, n587, n588, n589, n590, n591, n592,
+         n593, n594, n595, n596, n597, n598, n599, n600, n601, n602, n603,
+         n604, n605, n606;
+  wire   [4:0] div_counter_q;
+  wire   [2:0] md_state_q;
+  wire   [31:0] op_numerator_q;
+  wire   [31:0] op_quotient_q;
+  wire   [15:0] gen_mult_fast_mult_op_a;
+  wire   [15:0] gen_mult_fast_mult_op_b;
+  wire   [33:0] accum;
+  wire   [33:0] mac_res_signed;
+  wire   [1:0] gen_mult_fast_mult_state_q;
+
+  sky130_fd_sc_hd__dfrtp_1 md_state_q_reg_0_ ( .D(n677), .CLK(clk_i), 
+        .RESET_B(n606), .Q(md_state_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 md_state_q_reg_2_ ( .D(n676), .CLK(clk_i), 
+        .RESET_B(n603), .Q(md_state_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_31_ ( .D(n675), .CLK(clk_i), 
+        .RESET_B(n603), .Q(op_quotient_q[31]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_30_ ( .D(n674), .CLK(clk_i), 
+        .RESET_B(n603), .Q(op_quotient_q[30]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_29_ ( .D(n673), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[29]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_28_ ( .D(n672), .CLK(clk_i), 
+        .RESET_B(n603), .Q(op_quotient_q[28]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_27_ ( .D(n671), .CLK(clk_i), 
+        .RESET_B(n603), .Q(op_quotient_q[27]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_26_ ( .D(n670), .CLK(clk_i), 
+        .RESET_B(n603), .Q(op_quotient_q[26]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_25_ ( .D(n669), .CLK(clk_i), 
+        .RESET_B(n603), .Q(op_quotient_q[25]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_24_ ( .D(n668), .CLK(clk_i), 
+        .RESET_B(n603), .Q(op_quotient_q[24]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_23_ ( .D(n667), .CLK(clk_i), 
+        .RESET_B(n603), .Q(op_quotient_q[23]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_22_ ( .D(n666), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[22]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_21_ ( .D(n665), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[21]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_20_ ( .D(n664), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[20]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_19_ ( .D(n663), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[19]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_18_ ( .D(n662), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[18]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_17_ ( .D(n661), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[17]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_16_ ( .D(n660), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[16]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_15_ ( .D(n659), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[15]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_14_ ( .D(n658), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[14]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_13_ ( .D(n657), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(op_quotient_q[13]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_12_ ( .D(n656), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[12]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_11_ ( .D(n655), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[11]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_10_ ( .D(n654), .CLK(clk_i), 
+        .RESET_B(n604), .Q(op_quotient_q[10]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_9_ ( .D(n653), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_quotient_q[9]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_8_ ( .D(n652), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_quotient_q[8]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_7_ ( .D(n651), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_quotient_q[7]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_6_ ( .D(n650), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_quotient_q[6]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_5_ ( .D(n649), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_quotient_q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_4_ ( .D(n648), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_quotient_q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_3_ ( .D(n647), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_quotient_q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_2_ ( .D(n646), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_quotient_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_1_ ( .D(n645), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_quotient_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 op_quotient_q_reg_0_ ( .D(n644), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_quotient_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 div_counter_q_reg_3_ ( .D(n713), .CLK(clk_i), 
+        .RESET_B(n605), .Q(div_counter_q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 md_state_q_reg_1_ ( .D(n716), .CLK(clk_i), 
+        .RESET_B(n605), .Q(md_state_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 div_counter_q_reg_1_ ( .D(n718), .CLK(clk_i), 
+        .RESET_B(n605), .Q(div_counter_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 div_counter_q_reg_4_ ( .D(n717), .CLK(clk_i), 
+        .RESET_B(n605), .Q(div_counter_q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 div_counter_q_reg_2_ ( .D(n714), .CLK(clk_i), 
+        .RESET_B(n605), .Q(div_counter_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 div_by_zero_q_reg ( .D(n680), .CLK(clk_i), 
+        .RESET_B(n605), .Q(div_by_zero_q) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_31_ ( .D(n712), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[31]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_30_ ( .D(n711), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[30]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_29_ ( .D(n710), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[29]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_28_ ( .D(n709), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[28]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_27_ ( .D(n708), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[27]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_26_ ( .D(n707), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[26]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_25_ ( .D(n706), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[25]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_24_ ( .D(n705), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[24]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_23_ ( .D(n704), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[23]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_22_ ( .D(n703), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[22]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_21_ ( .D(n702), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[21]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_20_ ( .D(n701), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[20]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_19_ ( .D(n700), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[19]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_18_ ( .D(n699), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[18]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_17_ ( .D(n698), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[17]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_16_ ( .D(n697), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[16]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_15_ ( .D(n696), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[15]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_14_ ( .D(n695), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[14]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_13_ ( .D(n694), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[13]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_12_ ( .D(n693), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[12]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_11_ ( .D(n692), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[11]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_10_ ( .D(n691), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[10]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_9_ ( .D(n690), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[9]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_8_ ( .D(n689), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[8]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_7_ ( .D(n688), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[7]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_6_ ( .D(n687), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[6]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_5_ ( .D(n686), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_4_ ( .D(n685), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_3_ ( .D(n684), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_2_ ( .D(n683), .CLK(clk_i), 
+        .RESET_B(n605), .Q(op_numerator_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_1_ ( .D(n682), .CLK(clk_i), 
+        .RESET_B(n606), .Q(op_numerator_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 op_numerator_q_reg_0_ ( .D(n681), .CLK(clk_i), 
+        .RESET_B(n603), .Q(op_numerator_q[0]) );
+  opentitan_soc_top_ibex_multdiv_fast_RV32M2_DP_OP_75J3_122_6124_J3_0_0 DP_OP_75J3_122_6124 ( 
+        .I1({sign_a, gen_mult_fast_mult_op_a}), .I2({sign_b, 
+        gen_mult_fast_mult_op_b}), .I3(accum), .O1(mac_res_signed) );
+  sky130_fd_sc_hd__dfrtp_1 gen_mult_fast_mult_state_q_reg_0_ ( .D(n643), .CLK(
+        clk_i), .RESET_B(n603), .Q(gen_mult_fast_mult_state_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 div_counter_q_reg_0_ ( .D(n715), .CLK(clk_i), 
+        .RESET_B(n603), .Q(div_counter_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_mult_fast_mult_state_q_reg_1_ ( .D(n678), .CLK(
+        clk_i), .RESET_B(n603), .Q(gen_mult_fast_mult_state_q[1]) );
+  sky130_fd_sc_hd__nor2_2 U3 ( .A(div_sel_i), .B(n162), .Y(n465) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(n560), .Y(n174) );
+  sky130_fd_sc_hd__nand2_1 U5 ( .A(md_state_q[1]), .B(md_state_q[2]), .Y(n570)
+         );
+  sky130_fd_sc_hd__o31ai_1 U6 ( .A1(md_state_q[0]), .A2(multdiv_ready_id_i), 
+        .A3(n570), .B1(div_en_i), .Y(n575) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(n575), .Y(imd_val_we_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(rst_ni), .X(n604) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(rst_ni), .X(n603) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(rst_ni), .X(n606) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(rst_ni), .X(n605) );
+  sky130_fd_sc_hd__clkinv_1 U12 ( .A(md_state_q[1]), .Y(n483) );
+  sky130_fd_sc_hd__nand2_1 U13 ( .A(md_state_q[2]), .B(n483), .Y(n576) );
+  sky130_fd_sc_hd__clkinv_1 U14 ( .A(n576), .Y(n44) );
+  sky130_fd_sc_hd__clkinv_1 U15 ( .A(md_state_q[0]), .Y(n572) );
+  sky130_fd_sc_hd__nand2_1 U16 ( .A(md_state_q[1]), .B(md_state_q[0]), .Y(n474) );
+  sky130_fd_sc_hd__nor2_1 U17 ( .A(md_state_q[2]), .B(n474), .Y(n573) );
+  sky130_fd_sc_hd__a21oi_1 U18 ( .A1(n44), .A2(n572), .B1(n573), .Y(n144) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(n144), .X(n143) );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(imd_val_q_i[34]), .Y(n147) );
+  sky130_fd_sc_hd__nor2_1 U21 ( .A(n143), .B(n147), .Y(alu_operand_a_o[1]) );
+  sky130_fd_sc_hd__clkinv_1 U22 ( .A(imd_val_q_i[36]), .Y(n149) );
+  sky130_fd_sc_hd__nor2_1 U23 ( .A(n144), .B(n149), .Y(alu_operand_a_o[3]) );
+  sky130_fd_sc_hd__clkinv_1 U24 ( .A(imd_val_q_i[35]), .Y(n148) );
+  sky130_fd_sc_hd__nor2_1 U25 ( .A(n144), .B(n148), .Y(alu_operand_a_o[2]) );
+  sky130_fd_sc_hd__clkinv_1 U26 ( .A(imd_val_q_i[37]), .Y(n150) );
+  sky130_fd_sc_hd__nor2_1 U27 ( .A(n144), .B(n150), .Y(alu_operand_a_o[4]) );
+  sky130_fd_sc_hd__clkinv_1 U28 ( .A(imd_val_q_i[38]), .Y(n151) );
+  sky130_fd_sc_hd__nor2_1 U29 ( .A(n144), .B(n151), .Y(alu_operand_a_o[5]) );
+  sky130_fd_sc_hd__clkinv_1 U30 ( .A(imd_val_q_i[39]), .Y(n152) );
+  sky130_fd_sc_hd__nor2_1 U31 ( .A(n144), .B(n152), .Y(alu_operand_a_o[6]) );
+  sky130_fd_sc_hd__or2_0 U32 ( .A(operator_i[0]), .B(operator_i[1]), .X(n145)
+         );
+  sky130_fd_sc_hd__clkinv_1 U33 ( .A(gen_mult_fast_mult_state_q[0]), .Y(n601)
+         );
+  sky130_fd_sc_hd__nand2_1 U34 ( .A(n145), .B(n601), .Y(n1) );
+  sky130_fd_sc_hd__nand2_1 U35 ( .A(gen_mult_fast_mult_state_q[1]), .B(n1), 
+        .Y(n2) );
+  sky130_fd_sc_hd__o21ai_1 U36 ( .A1(multdiv_ready_id_i), .A2(n2), .B1(
+        mult_en_i), .Y(n600) );
+  sky130_fd_sc_hd__nand2_1 U37 ( .A(n575), .B(n600), .Y(imd_val_we_o[0]) );
+  sky130_fd_sc_hd__o21ai_1 U38 ( .A1(md_state_q[0]), .A2(n570), .B1(n2), .Y(
+        valid_o) );
+  sky130_fd_sc_hd__clkinv_1 U39 ( .A(gen_mult_fast_mult_state_q[1]), .Y(n564)
+         );
+  sky130_fd_sc_hd__o21ai_1 U40 ( .A1(n145), .A2(n564), .B1(n601), .Y(n599) );
+  sky130_fd_sc_hd__clkinv_1 U41 ( .A(n599), .Y(n3) );
+  sky130_fd_sc_hd__clkinv_1 U42 ( .A(imd_val_q_i[50]), .Y(n339) );
+  sky130_fd_sc_hd__nand3_1 U43 ( .A(gen_mult_fast_mult_state_q[1]), .B(n145), 
+        .C(n601), .Y(n566) );
+  sky130_fd_sc_hd__o22ai_1 U44 ( .A1(n3), .A2(n339), .B1(n147), .B2(n566), .Y(
+        accum[0]) );
+  sky130_fd_sc_hd__clkinv_1 U45 ( .A(imd_val_q_i[51]), .Y(n346) );
+  sky130_fd_sc_hd__o22ai_1 U46 ( .A1(n3), .A2(n346), .B1(n148), .B2(n566), .Y(
+        accum[1]) );
+  sky130_fd_sc_hd__clkinv_1 U47 ( .A(imd_val_q_i[52]), .Y(n353) );
+  sky130_fd_sc_hd__o22ai_1 U48 ( .A1(n3), .A2(n353), .B1(n149), .B2(n566), .Y(
+        accum[2]) );
+  sky130_fd_sc_hd__clkinv_1 U49 ( .A(imd_val_q_i[53]), .Y(n361) );
+  sky130_fd_sc_hd__o22ai_1 U50 ( .A1(n3), .A2(n361), .B1(n150), .B2(n566), .Y(
+        accum[3]) );
+  sky130_fd_sc_hd__clkinv_1 U51 ( .A(imd_val_q_i[54]), .Y(n369) );
+  sky130_fd_sc_hd__o22ai_1 U52 ( .A1(n3), .A2(n369), .B1(n151), .B2(n566), .Y(
+        accum[4]) );
+  sky130_fd_sc_hd__clkinv_1 U53 ( .A(imd_val_q_i[55]), .Y(n376) );
+  sky130_fd_sc_hd__o22ai_1 U54 ( .A1(n3), .A2(n376), .B1(n152), .B2(n566), .Y(
+        accum[5]) );
+  sky130_fd_sc_hd__clkinv_1 U55 ( .A(imd_val_q_i[56]), .Y(n383) );
+  sky130_fd_sc_hd__clkinv_1 U56 ( .A(imd_val_q_i[40]), .Y(n153) );
+  sky130_fd_sc_hd__o22ai_1 U57 ( .A1(n3), .A2(n383), .B1(n153), .B2(n566), .Y(
+        accum[6]) );
+  sky130_fd_sc_hd__clkinv_1 U58 ( .A(imd_val_q_i[57]), .Y(n390) );
+  sky130_fd_sc_hd__clkinv_1 U59 ( .A(imd_val_q_i[41]), .Y(n154) );
+  sky130_fd_sc_hd__o22ai_1 U60 ( .A1(n3), .A2(n390), .B1(n154), .B2(n566), .Y(
+        accum[7]) );
+  sky130_fd_sc_hd__clkinv_1 U61 ( .A(imd_val_q_i[58]), .Y(n398) );
+  sky130_fd_sc_hd__clkinv_1 U62 ( .A(imd_val_q_i[42]), .Y(n155) );
+  sky130_fd_sc_hd__o22ai_1 U63 ( .A1(n3), .A2(n398), .B1(n155), .B2(n566), .Y(
+        accum[8]) );
+  sky130_fd_sc_hd__clkinv_1 U64 ( .A(imd_val_q_i[59]), .Y(n406) );
+  sky130_fd_sc_hd__clkinv_1 U65 ( .A(imd_val_q_i[43]), .Y(n156) );
+  sky130_fd_sc_hd__o22ai_1 U66 ( .A1(n3), .A2(n406), .B1(n156), .B2(n566), .Y(
+        accum[9]) );
+  sky130_fd_sc_hd__clkinv_1 U67 ( .A(imd_val_q_i[60]), .Y(n414) );
+  sky130_fd_sc_hd__clkinv_1 U68 ( .A(imd_val_q_i[44]), .Y(n157) );
+  sky130_fd_sc_hd__o22ai_1 U69 ( .A1(n3), .A2(n414), .B1(n157), .B2(n566), .Y(
+        accum[10]) );
+  sky130_fd_sc_hd__clkinv_1 U70 ( .A(imd_val_q_i[61]), .Y(n424) );
+  sky130_fd_sc_hd__clkinv_1 U71 ( .A(imd_val_q_i[45]), .Y(n158) );
+  sky130_fd_sc_hd__o22ai_1 U72 ( .A1(n3), .A2(n424), .B1(n158), .B2(n566), .Y(
+        accum[11]) );
+  sky130_fd_sc_hd__clkinv_1 U73 ( .A(imd_val_q_i[62]), .Y(n433) );
+  sky130_fd_sc_hd__clkinv_1 U74 ( .A(imd_val_q_i[46]), .Y(n159) );
+  sky130_fd_sc_hd__o22ai_1 U75 ( .A1(n3), .A2(n433), .B1(n159), .B2(n566), .Y(
+        accum[12]) );
+  sky130_fd_sc_hd__clkinv_1 U76 ( .A(imd_val_q_i[63]), .Y(n442) );
+  sky130_fd_sc_hd__clkinv_1 U77 ( .A(imd_val_q_i[47]), .Y(n160) );
+  sky130_fd_sc_hd__o22ai_1 U78 ( .A1(n3), .A2(n442), .B1(n160), .B2(n566), .Y(
+        accum[13]) );
+  sky130_fd_sc_hd__clkinv_1 U79 ( .A(imd_val_q_i[64]), .Y(n455) );
+  sky130_fd_sc_hd__clkinv_1 U80 ( .A(imd_val_q_i[48]), .Y(n161) );
+  sky130_fd_sc_hd__o22ai_1 U81 ( .A1(n3), .A2(n455), .B1(n161), .B2(n566), .Y(
+        accum[14]) );
+  sky130_fd_sc_hd__clkinv_1 U82 ( .A(imd_val_q_i[65]), .Y(n163) );
+  sky130_fd_sc_hd__clkinv_1 U83 ( .A(imd_val_q_i[49]), .Y(n332) );
+  sky130_fd_sc_hd__o22ai_1 U84 ( .A1(n3), .A2(n163), .B1(n332), .B2(n566), .Y(
+        accum[15]) );
+  sky130_fd_sc_hd__clkinv_1 U85 ( .A(imd_val_q_i[66]), .Y(n6) );
+  sky130_fd_sc_hd__nand2_1 U86 ( .A(gen_mult_fast_mult_state_q[0]), .B(
+        gen_mult_fast_mult_state_q[1]), .Y(n4) );
+  sky130_fd_sc_hd__o22ai_1 U87 ( .A1(n339), .A2(n566), .B1(n6), .B2(n4), .Y(
+        accum[16]) );
+  sky130_fd_sc_hd__clkinv_1 U88 ( .A(imd_val_q_i[67]), .Y(n8) );
+  sky130_fd_sc_hd__nor3_1 U89 ( .A(n601), .B(n564), .C(n8), .Y(n5) );
+  sky130_fd_sc_hd__o21bai_1 U90 ( .A1(n566), .A2(n346), .B1_N(n5), .Y(
+        accum[17]) );
+  sky130_fd_sc_hd__o21ai_1 U91 ( .A1(signed_mode_i[0]), .A2(signed_mode_i[1]), 
+        .B1(n5), .Y(n7) );
+  sky130_fd_sc_hd__o21ai_1 U92 ( .A1(n566), .A2(n353), .B1(n7), .Y(accum[18])
+         );
+  sky130_fd_sc_hd__o21ai_1 U93 ( .A1(n566), .A2(n361), .B1(n7), .Y(accum[19])
+         );
+  sky130_fd_sc_hd__o21ai_1 U94 ( .A1(n566), .A2(n369), .B1(n7), .Y(accum[20])
+         );
+  sky130_fd_sc_hd__o21ai_1 U95 ( .A1(n566), .A2(n376), .B1(n7), .Y(accum[21])
+         );
+  sky130_fd_sc_hd__o21ai_1 U96 ( .A1(n566), .A2(n383), .B1(n7), .Y(accum[22])
+         );
+  sky130_fd_sc_hd__o21ai_1 U97 ( .A1(n566), .A2(n390), .B1(n7), .Y(accum[23])
+         );
+  sky130_fd_sc_hd__o21ai_1 U98 ( .A1(n566), .A2(n398), .B1(n7), .Y(accum[24])
+         );
+  sky130_fd_sc_hd__o21ai_1 U99 ( .A1(n566), .A2(n406), .B1(n7), .Y(accum[25])
+         );
+  sky130_fd_sc_hd__o21ai_1 U100 ( .A1(n566), .A2(n414), .B1(n7), .Y(accum[26])
+         );
+  sky130_fd_sc_hd__o21ai_1 U101 ( .A1(n566), .A2(n424), .B1(n7), .Y(accum[27])
+         );
+  sky130_fd_sc_hd__o21ai_1 U102 ( .A1(n566), .A2(n433), .B1(n7), .Y(accum[28])
+         );
+  sky130_fd_sc_hd__o21ai_1 U103 ( .A1(n566), .A2(n442), .B1(n7), .Y(accum[29])
+         );
+  sky130_fd_sc_hd__o21ai_1 U104 ( .A1(n566), .A2(n455), .B1(n7), .Y(accum[30])
+         );
+  sky130_fd_sc_hd__o21ai_1 U105 ( .A1(n566), .A2(n163), .B1(n7), .Y(accum[31])
+         );
+  sky130_fd_sc_hd__o21ai_1 U106 ( .A1(n566), .A2(n6), .B1(n7), .Y(accum[32])
+         );
+  sky130_fd_sc_hd__o21ai_1 U107 ( .A1(n566), .A2(n8), .B1(n7), .Y(accum[33])
+         );
+  sky130_fd_sc_hd__nand2_1 U108 ( .A(signed_mode_i[1]), .B(op_b_i[31]), .Y(
+        n164) );
+  sky130_fd_sc_hd__nor2_1 U109 ( .A(n164), .B(n601), .Y(sign_b) );
+  sky130_fd_sc_hd__nand2_1 U110 ( .A(signed_mode_i[0]), .B(op_a_i[31]), .Y(
+        n492) );
+  sky130_fd_sc_hd__nor2_1 U111 ( .A(n492), .B(n564), .Y(sign_a) );
+  sky130_fd_sc_hd__clkinv_1 U112 ( .A(op_b_i[0]), .Y(n46) );
+  sky130_fd_sc_hd__clkinv_1 U113 ( .A(op_b_i[16]), .Y(n94) );
+  sky130_fd_sc_hd__o22ai_1 U114 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n46), 
+        .B1(n601), .B2(n94), .Y(gen_mult_fast_mult_op_b[0]) );
+  sky130_fd_sc_hd__clkinv_1 U115 ( .A(op_b_i[1]), .Y(n49) );
+  sky130_fd_sc_hd__clkinv_1 U116 ( .A(op_b_i[17]), .Y(n97) );
+  sky130_fd_sc_hd__o22ai_1 U117 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n49), 
+        .B1(n601), .B2(n97), .Y(gen_mult_fast_mult_op_b[1]) );
+  sky130_fd_sc_hd__clkinv_1 U118 ( .A(op_b_i[2]), .Y(n52) );
+  sky130_fd_sc_hd__clkinv_1 U119 ( .A(op_b_i[18]), .Y(n100) );
+  sky130_fd_sc_hd__o22ai_1 U120 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n52), 
+        .B1(n601), .B2(n100), .Y(gen_mult_fast_mult_op_b[2]) );
+  sky130_fd_sc_hd__clkinv_1 U121 ( .A(op_b_i[3]), .Y(n55) );
+  sky130_fd_sc_hd__clkinv_1 U122 ( .A(op_b_i[19]), .Y(n103) );
+  sky130_fd_sc_hd__o22ai_1 U123 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n55), 
+        .B1(n601), .B2(n103), .Y(gen_mult_fast_mult_op_b[3]) );
+  sky130_fd_sc_hd__clkinv_1 U124 ( .A(op_b_i[4]), .Y(n58) );
+  sky130_fd_sc_hd__clkinv_1 U125 ( .A(op_b_i[20]), .Y(n106) );
+  sky130_fd_sc_hd__o22ai_1 U126 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n58), 
+        .B1(n601), .B2(n106), .Y(gen_mult_fast_mult_op_b[4]) );
+  sky130_fd_sc_hd__clkinv_1 U127 ( .A(op_b_i[5]), .Y(n61) );
+  sky130_fd_sc_hd__clkinv_1 U128 ( .A(op_b_i[21]), .Y(n109) );
+  sky130_fd_sc_hd__o22ai_1 U129 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n61), 
+        .B1(n601), .B2(n109), .Y(gen_mult_fast_mult_op_b[5]) );
+  sky130_fd_sc_hd__clkinv_1 U130 ( .A(op_b_i[6]), .Y(n64) );
+  sky130_fd_sc_hd__clkinv_1 U131 ( .A(op_b_i[22]), .Y(n112) );
+  sky130_fd_sc_hd__o22ai_1 U132 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n64), 
+        .B1(n601), .B2(n112), .Y(gen_mult_fast_mult_op_b[6]) );
+  sky130_fd_sc_hd__clkinv_1 U133 ( .A(op_b_i[7]), .Y(n67) );
+  sky130_fd_sc_hd__clkinv_1 U134 ( .A(op_b_i[23]), .Y(n115) );
+  sky130_fd_sc_hd__o22ai_1 U135 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n67), 
+        .B1(n601), .B2(n115), .Y(gen_mult_fast_mult_op_b[7]) );
+  sky130_fd_sc_hd__clkinv_1 U136 ( .A(op_b_i[8]), .Y(n70) );
+  sky130_fd_sc_hd__clkinv_1 U137 ( .A(op_b_i[24]), .Y(n118) );
+  sky130_fd_sc_hd__o22ai_1 U138 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n70), 
+        .B1(n601), .B2(n118), .Y(gen_mult_fast_mult_op_b[8]) );
+  sky130_fd_sc_hd__clkinv_1 U139 ( .A(op_b_i[9]), .Y(n73) );
+  sky130_fd_sc_hd__clkinv_1 U140 ( .A(op_b_i[25]), .Y(n121) );
+  sky130_fd_sc_hd__o22ai_1 U141 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n73), 
+        .B1(n601), .B2(n121), .Y(gen_mult_fast_mult_op_b[9]) );
+  sky130_fd_sc_hd__clkinv_1 U142 ( .A(op_b_i[10]), .Y(n76) );
+  sky130_fd_sc_hd__clkinv_1 U143 ( .A(op_b_i[26]), .Y(n124) );
+  sky130_fd_sc_hd__o22ai_1 U144 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n76), 
+        .B1(n601), .B2(n124), .Y(gen_mult_fast_mult_op_b[10]) );
+  sky130_fd_sc_hd__clkinv_1 U145 ( .A(op_b_i[11]), .Y(n79) );
+  sky130_fd_sc_hd__clkinv_1 U146 ( .A(op_b_i[27]), .Y(n127) );
+  sky130_fd_sc_hd__o22ai_1 U147 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n79), 
+        .B1(n601), .B2(n127), .Y(gen_mult_fast_mult_op_b[11]) );
+  sky130_fd_sc_hd__clkinv_1 U148 ( .A(op_b_i[12]), .Y(n82) );
+  sky130_fd_sc_hd__clkinv_1 U149 ( .A(op_b_i[28]), .Y(n130) );
+  sky130_fd_sc_hd__o22ai_1 U150 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n82), 
+        .B1(n601), .B2(n130), .Y(gen_mult_fast_mult_op_b[12]) );
+  sky130_fd_sc_hd__clkinv_1 U151 ( .A(op_b_i[13]), .Y(n85) );
+  sky130_fd_sc_hd__clkinv_1 U152 ( .A(op_b_i[29]), .Y(n133) );
+  sky130_fd_sc_hd__o22ai_1 U153 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n85), 
+        .B1(n601), .B2(n133), .Y(gen_mult_fast_mult_op_b[13]) );
+  sky130_fd_sc_hd__clkinv_1 U154 ( .A(op_b_i[14]), .Y(n88) );
+  sky130_fd_sc_hd__clkinv_1 U155 ( .A(op_b_i[30]), .Y(n136) );
+  sky130_fd_sc_hd__o22ai_1 U156 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n88), 
+        .B1(n601), .B2(n136), .Y(gen_mult_fast_mult_op_b[14]) );
+  sky130_fd_sc_hd__clkinv_1 U157 ( .A(op_b_i[15]), .Y(n91) );
+  sky130_fd_sc_hd__clkinv_1 U158 ( .A(op_b_i[31]), .Y(n141) );
+  sky130_fd_sc_hd__o22ai_1 U159 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(n91), 
+        .B1(n601), .B2(n141), .Y(gen_mult_fast_mult_op_b[15]) );
+  sky130_fd_sc_hd__clkinv_1 U160 ( .A(op_a_i[0]), .Y(n558) );
+  sky130_fd_sc_hd__clkinv_1 U161 ( .A(op_a_i[16]), .Y(n524) );
+  sky130_fd_sc_hd__o22ai_1 U162 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n558), .B1(n564), .B2(n524), .Y(gen_mult_fast_mult_op_a[0]) );
+  sky130_fd_sc_hd__clkinv_1 U163 ( .A(op_a_i[1]), .Y(n554) );
+  sky130_fd_sc_hd__clkinv_1 U164 ( .A(op_a_i[17]), .Y(n522) );
+  sky130_fd_sc_hd__o22ai_1 U165 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n554), .B1(n564), .B2(n522), .Y(gen_mult_fast_mult_op_a[1]) );
+  sky130_fd_sc_hd__clkinv_1 U166 ( .A(op_a_i[2]), .Y(n552) );
+  sky130_fd_sc_hd__clkinv_1 U167 ( .A(op_a_i[18]), .Y(n520) );
+  sky130_fd_sc_hd__o22ai_1 U168 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n552), .B1(n564), .B2(n520), .Y(gen_mult_fast_mult_op_a[2]) );
+  sky130_fd_sc_hd__clkinv_1 U169 ( .A(op_a_i[3]), .Y(n550) );
+  sky130_fd_sc_hd__clkinv_1 U170 ( .A(op_a_i[19]), .Y(n518) );
+  sky130_fd_sc_hd__o22ai_1 U171 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n550), .B1(n564), .B2(n518), .Y(gen_mult_fast_mult_op_a[3]) );
+  sky130_fd_sc_hd__clkinv_1 U172 ( .A(op_a_i[4]), .Y(n548) );
+  sky130_fd_sc_hd__clkinv_1 U173 ( .A(op_a_i[20]), .Y(n516) );
+  sky130_fd_sc_hd__o22ai_1 U174 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n548), .B1(n564), .B2(n516), .Y(gen_mult_fast_mult_op_a[4]) );
+  sky130_fd_sc_hd__clkinv_1 U175 ( .A(op_a_i[5]), .Y(n546) );
+  sky130_fd_sc_hd__clkinv_1 U176 ( .A(op_a_i[21]), .Y(n514) );
+  sky130_fd_sc_hd__o22ai_1 U177 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n546), .B1(n564), .B2(n514), .Y(gen_mult_fast_mult_op_a[5]) );
+  sky130_fd_sc_hd__clkinv_1 U178 ( .A(op_a_i[6]), .Y(n544) );
+  sky130_fd_sc_hd__clkinv_1 U179 ( .A(op_a_i[22]), .Y(n512) );
+  sky130_fd_sc_hd__o22ai_1 U180 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n544), .B1(n564), .B2(n512), .Y(gen_mult_fast_mult_op_a[6]) );
+  sky130_fd_sc_hd__clkinv_1 U181 ( .A(op_a_i[7]), .Y(n542) );
+  sky130_fd_sc_hd__clkinv_1 U182 ( .A(op_a_i[23]), .Y(n510) );
+  sky130_fd_sc_hd__o22ai_1 U183 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n542), .B1(n564), .B2(n510), .Y(gen_mult_fast_mult_op_a[7]) );
+  sky130_fd_sc_hd__clkinv_1 U184 ( .A(op_a_i[8]), .Y(n540) );
+  sky130_fd_sc_hd__clkinv_1 U185 ( .A(op_a_i[24]), .Y(n508) );
+  sky130_fd_sc_hd__o22ai_1 U186 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n540), .B1(n564), .B2(n508), .Y(gen_mult_fast_mult_op_a[8]) );
+  sky130_fd_sc_hd__clkinv_1 U187 ( .A(op_a_i[9]), .Y(n538) );
+  sky130_fd_sc_hd__clkinv_1 U188 ( .A(op_a_i[25]), .Y(n506) );
+  sky130_fd_sc_hd__o22ai_1 U189 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n538), .B1(n564), .B2(n506), .Y(gen_mult_fast_mult_op_a[9]) );
+  sky130_fd_sc_hd__clkinv_1 U190 ( .A(op_a_i[10]), .Y(n536) );
+  sky130_fd_sc_hd__clkinv_1 U191 ( .A(op_a_i[26]), .Y(n504) );
+  sky130_fd_sc_hd__o22ai_1 U192 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n536), .B1(n564), .B2(n504), .Y(gen_mult_fast_mult_op_a[10]) );
+  sky130_fd_sc_hd__clkinv_1 U193 ( .A(op_a_i[11]), .Y(n534) );
+  sky130_fd_sc_hd__clkinv_1 U194 ( .A(op_a_i[27]), .Y(n502) );
+  sky130_fd_sc_hd__o22ai_1 U195 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n534), .B1(n564), .B2(n502), .Y(gen_mult_fast_mult_op_a[11]) );
+  sky130_fd_sc_hd__clkinv_1 U196 ( .A(op_a_i[12]), .Y(n532) );
+  sky130_fd_sc_hd__clkinv_1 U197 ( .A(op_a_i[28]), .Y(n500) );
+  sky130_fd_sc_hd__o22ai_1 U198 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n532), .B1(n564), .B2(n500), .Y(gen_mult_fast_mult_op_a[12]) );
+  sky130_fd_sc_hd__clkinv_1 U199 ( .A(op_a_i[13]), .Y(n530) );
+  sky130_fd_sc_hd__clkinv_1 U200 ( .A(op_a_i[29]), .Y(n498) );
+  sky130_fd_sc_hd__o22ai_1 U201 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n530), .B1(n564), .B2(n498), .Y(gen_mult_fast_mult_op_a[13]) );
+  sky130_fd_sc_hd__clkinv_1 U202 ( .A(op_a_i[14]), .Y(n528) );
+  sky130_fd_sc_hd__clkinv_1 U203 ( .A(op_a_i[30]), .Y(n496) );
+  sky130_fd_sc_hd__o22ai_1 U204 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n528), .B1(n564), .B2(n496), .Y(gen_mult_fast_mult_op_a[14]) );
+  sky130_fd_sc_hd__clkinv_1 U205 ( .A(op_a_i[15]), .Y(n526) );
+  sky130_fd_sc_hd__clkinv_1 U206 ( .A(op_a_i[31]), .Y(n494) );
+  sky130_fd_sc_hd__o22ai_1 U207 ( .A1(gen_mult_fast_mult_state_q[1]), .A2(n526), .B1(n564), .B2(n494), .Y(gen_mult_fast_mult_op_a[15]) );
+  sky130_fd_sc_hd__clkinv_1 U208 ( .A(md_state_q[2]), .Y(n479) );
+  sky130_fd_sc_hd__nand2_1 U209 ( .A(n479), .B(n572), .Y(n173) );
+  sky130_fd_sc_hd__nor2_1 U210 ( .A(n483), .B(n173), .Y(n175) );
+  sky130_fd_sc_hd__nand2_1 U211 ( .A(n175), .B(n164), .Y(n43) );
+  sky130_fd_sc_hd__clkinv_1 U212 ( .A(n175), .Y(n41) );
+  sky130_fd_sc_hd__nor2_1 U213 ( .A(n164), .B(n41), .Y(n40) );
+  sky130_fd_sc_hd__a22oi_1 U214 ( .A1(n40), .A2(alu_adder_i[0]), .B1(
+        imd_val_q_i[0]), .B2(n41), .Y(n9) );
+  sky130_fd_sc_hd__o21ai_1 U215 ( .A1(n46), .A2(n43), .B1(n9), .Y(
+        imd_val_d_o[0]) );
+  sky130_fd_sc_hd__a22oi_1 U216 ( .A1(n40), .A2(alu_adder_i[1]), .B1(
+        imd_val_q_i[1]), .B2(n41), .Y(n10) );
+  sky130_fd_sc_hd__o21ai_1 U217 ( .A1(n43), .A2(n49), .B1(n10), .Y(
+        imd_val_d_o[1]) );
+  sky130_fd_sc_hd__a22oi_1 U218 ( .A1(n40), .A2(alu_adder_i[2]), .B1(
+        imd_val_q_i[2]), .B2(n41), .Y(n11) );
+  sky130_fd_sc_hd__o21ai_1 U219 ( .A1(n43), .A2(n52), .B1(n11), .Y(
+        imd_val_d_o[2]) );
+  sky130_fd_sc_hd__a22oi_1 U220 ( .A1(n40), .A2(alu_adder_i[3]), .B1(
+        imd_val_q_i[3]), .B2(n41), .Y(n12) );
+  sky130_fd_sc_hd__o21ai_1 U221 ( .A1(n43), .A2(n55), .B1(n12), .Y(
+        imd_val_d_o[3]) );
+  sky130_fd_sc_hd__a22oi_1 U222 ( .A1(n40), .A2(alu_adder_i[4]), .B1(
+        imd_val_q_i[4]), .B2(n41), .Y(n13) );
+  sky130_fd_sc_hd__o21ai_1 U223 ( .A1(n43), .A2(n58), .B1(n13), .Y(
+        imd_val_d_o[4]) );
+  sky130_fd_sc_hd__a22oi_1 U224 ( .A1(n40), .A2(alu_adder_i[5]), .B1(
+        imd_val_q_i[5]), .B2(n41), .Y(n14) );
+  sky130_fd_sc_hd__o21ai_1 U225 ( .A1(n43), .A2(n61), .B1(n14), .Y(
+        imd_val_d_o[5]) );
+  sky130_fd_sc_hd__a22oi_1 U226 ( .A1(n40), .A2(alu_adder_i[6]), .B1(
+        imd_val_q_i[6]), .B2(n41), .Y(n15) );
+  sky130_fd_sc_hd__o21ai_1 U227 ( .A1(n43), .A2(n64), .B1(n15), .Y(
+        imd_val_d_o[6]) );
+  sky130_fd_sc_hd__a22oi_1 U228 ( .A1(n40), .A2(alu_adder_i[7]), .B1(
+        imd_val_q_i[7]), .B2(n41), .Y(n16) );
+  sky130_fd_sc_hd__o21ai_1 U229 ( .A1(n43), .A2(n67), .B1(n16), .Y(
+        imd_val_d_o[7]) );
+  sky130_fd_sc_hd__a22oi_1 U230 ( .A1(n40), .A2(alu_adder_i[8]), .B1(
+        imd_val_q_i[8]), .B2(n41), .Y(n17) );
+  sky130_fd_sc_hd__o21ai_1 U231 ( .A1(n43), .A2(n70), .B1(n17), .Y(
+        imd_val_d_o[8]) );
+  sky130_fd_sc_hd__a22oi_1 U232 ( .A1(n40), .A2(alu_adder_i[9]), .B1(
+        imd_val_q_i[9]), .B2(n41), .Y(n18) );
+  sky130_fd_sc_hd__o21ai_1 U233 ( .A1(n43), .A2(n73), .B1(n18), .Y(
+        imd_val_d_o[9]) );
+  sky130_fd_sc_hd__a22oi_1 U234 ( .A1(n40), .A2(alu_adder_i[10]), .B1(
+        imd_val_q_i[10]), .B2(n41), .Y(n19) );
+  sky130_fd_sc_hd__o21ai_1 U235 ( .A1(n43), .A2(n76), .B1(n19), .Y(
+        imd_val_d_o[10]) );
+  sky130_fd_sc_hd__a22oi_1 U236 ( .A1(n40), .A2(alu_adder_i[11]), .B1(
+        imd_val_q_i[11]), .B2(n41), .Y(n20) );
+  sky130_fd_sc_hd__o21ai_1 U237 ( .A1(n43), .A2(n79), .B1(n20), .Y(
+        imd_val_d_o[11]) );
+  sky130_fd_sc_hd__a22oi_1 U238 ( .A1(n40), .A2(alu_adder_i[12]), .B1(
+        imd_val_q_i[12]), .B2(n41), .Y(n21) );
+  sky130_fd_sc_hd__o21ai_1 U239 ( .A1(n43), .A2(n82), .B1(n21), .Y(
+        imd_val_d_o[12]) );
+  sky130_fd_sc_hd__a22oi_1 U240 ( .A1(n40), .A2(alu_adder_i[13]), .B1(
+        imd_val_q_i[13]), .B2(n41), .Y(n22) );
+  sky130_fd_sc_hd__o21ai_1 U241 ( .A1(n43), .A2(n85), .B1(n22), .Y(
+        imd_val_d_o[13]) );
+  sky130_fd_sc_hd__a22oi_1 U242 ( .A1(n40), .A2(alu_adder_i[14]), .B1(
+        imd_val_q_i[14]), .B2(n41), .Y(n23) );
+  sky130_fd_sc_hd__o21ai_1 U243 ( .A1(n43), .A2(n88), .B1(n23), .Y(
+        imd_val_d_o[14]) );
+  sky130_fd_sc_hd__a22oi_1 U244 ( .A1(n40), .A2(alu_adder_i[15]), .B1(
+        imd_val_q_i[15]), .B2(n41), .Y(n24) );
+  sky130_fd_sc_hd__o21ai_1 U245 ( .A1(n43), .A2(n91), .B1(n24), .Y(
+        imd_val_d_o[15]) );
+  sky130_fd_sc_hd__a22oi_1 U246 ( .A1(n40), .A2(alu_adder_i[16]), .B1(
+        imd_val_q_i[16]), .B2(n41), .Y(n25) );
+  sky130_fd_sc_hd__o21ai_1 U247 ( .A1(n43), .A2(n94), .B1(n25), .Y(
+        imd_val_d_o[16]) );
+  sky130_fd_sc_hd__a22oi_1 U248 ( .A1(n40), .A2(alu_adder_i[17]), .B1(
+        imd_val_q_i[17]), .B2(n41), .Y(n26) );
+  sky130_fd_sc_hd__o21ai_1 U249 ( .A1(n43), .A2(n97), .B1(n26), .Y(
+        imd_val_d_o[17]) );
+  sky130_fd_sc_hd__a22oi_1 U250 ( .A1(n40), .A2(alu_adder_i[18]), .B1(
+        imd_val_q_i[18]), .B2(n41), .Y(n27) );
+  sky130_fd_sc_hd__o21ai_1 U251 ( .A1(n43), .A2(n100), .B1(n27), .Y(
+        imd_val_d_o[18]) );
+  sky130_fd_sc_hd__a22oi_1 U252 ( .A1(n40), .A2(alu_adder_i[19]), .B1(
+        imd_val_q_i[19]), .B2(n41), .Y(n28) );
+  sky130_fd_sc_hd__o21ai_1 U253 ( .A1(n43), .A2(n103), .B1(n28), .Y(
+        imd_val_d_o[19]) );
+  sky130_fd_sc_hd__a22oi_1 U254 ( .A1(n40), .A2(alu_adder_i[20]), .B1(
+        imd_val_q_i[20]), .B2(n41), .Y(n29) );
+  sky130_fd_sc_hd__o21ai_1 U255 ( .A1(n43), .A2(n106), .B1(n29), .Y(
+        imd_val_d_o[20]) );
+  sky130_fd_sc_hd__a22oi_1 U256 ( .A1(n40), .A2(alu_adder_i[21]), .B1(
+        imd_val_q_i[21]), .B2(n41), .Y(n30) );
+  sky130_fd_sc_hd__o21ai_1 U257 ( .A1(n43), .A2(n109), .B1(n30), .Y(
+        imd_val_d_o[21]) );
+  sky130_fd_sc_hd__a22oi_1 U258 ( .A1(n40), .A2(alu_adder_i[22]), .B1(
+        imd_val_q_i[22]), .B2(n41), .Y(n31) );
+  sky130_fd_sc_hd__o21ai_1 U259 ( .A1(n43), .A2(n112), .B1(n31), .Y(
+        imd_val_d_o[22]) );
+  sky130_fd_sc_hd__a22oi_1 U260 ( .A1(n40), .A2(alu_adder_i[23]), .B1(
+        imd_val_q_i[23]), .B2(n41), .Y(n32) );
+  sky130_fd_sc_hd__o21ai_1 U261 ( .A1(n43), .A2(n115), .B1(n32), .Y(
+        imd_val_d_o[23]) );
+  sky130_fd_sc_hd__a22oi_1 U262 ( .A1(n40), .A2(alu_adder_i[24]), .B1(
+        imd_val_q_i[24]), .B2(n41), .Y(n33) );
+  sky130_fd_sc_hd__o21ai_1 U263 ( .A1(n43), .A2(n118), .B1(n33), .Y(
+        imd_val_d_o[24]) );
+  sky130_fd_sc_hd__a22oi_1 U264 ( .A1(n40), .A2(alu_adder_i[25]), .B1(
+        imd_val_q_i[25]), .B2(n41), .Y(n34) );
+  sky130_fd_sc_hd__o21ai_1 U265 ( .A1(n43), .A2(n121), .B1(n34), .Y(
+        imd_val_d_o[25]) );
+  sky130_fd_sc_hd__a22oi_1 U266 ( .A1(n40), .A2(alu_adder_i[26]), .B1(
+        imd_val_q_i[26]), .B2(n41), .Y(n35) );
+  sky130_fd_sc_hd__o21ai_1 U267 ( .A1(n43), .A2(n124), .B1(n35), .Y(
+        imd_val_d_o[26]) );
+  sky130_fd_sc_hd__a22oi_1 U268 ( .A1(n40), .A2(alu_adder_i[27]), .B1(
+        imd_val_q_i[27]), .B2(n41), .Y(n36) );
+  sky130_fd_sc_hd__o21ai_1 U269 ( .A1(n43), .A2(n127), .B1(n36), .Y(
+        imd_val_d_o[27]) );
+  sky130_fd_sc_hd__a22oi_1 U270 ( .A1(n40), .A2(alu_adder_i[28]), .B1(
+        imd_val_q_i[28]), .B2(n41), .Y(n37) );
+  sky130_fd_sc_hd__o21ai_1 U271 ( .A1(n43), .A2(n130), .B1(n37), .Y(
+        imd_val_d_o[28]) );
+  sky130_fd_sc_hd__a22oi_1 U272 ( .A1(n40), .A2(alu_adder_i[29]), .B1(
+        imd_val_q_i[29]), .B2(n41), .Y(n38) );
+  sky130_fd_sc_hd__o21ai_1 U273 ( .A1(n43), .A2(n133), .B1(n38), .Y(
+        imd_val_d_o[29]) );
+  sky130_fd_sc_hd__a22oi_1 U274 ( .A1(n40), .A2(alu_adder_i[30]), .B1(
+        imd_val_q_i[30]), .B2(n41), .Y(n39) );
+  sky130_fd_sc_hd__o21ai_1 U275 ( .A1(n43), .A2(n136), .B1(n39), .Y(
+        imd_val_d_o[30]) );
+  sky130_fd_sc_hd__a22oi_1 U276 ( .A1(imd_val_q_i[31]), .A2(n41), .B1(
+        alu_adder_i[31]), .B2(n40), .Y(n42) );
+  sky130_fd_sc_hd__o21ai_1 U277 ( .A1(n141), .A2(n43), .B1(n42), .Y(
+        imd_val_d_o[31]) );
+  sky130_fd_sc_hd__nand2_1 U278 ( .A(n570), .B(n173), .Y(n140) );
+  sky130_fd_sc_hd__nand2_1 U279 ( .A(md_state_q[0]), .B(n44), .Y(n170) );
+  sky130_fd_sc_hd__nor3_1 U280 ( .A(md_state_q[1]), .B(md_state_q[2]), .C(n572), .Y(n490) );
+  sky130_fd_sc_hd__clkinv_1 U281 ( .A(n490), .Y(n138) );
+  sky130_fd_sc_hd__o22ai_1 U282 ( .A1(imd_val_q_i[34]), .A2(n170), .B1(
+        op_a_i[0]), .B2(n138), .Y(n45) );
+  sky130_fd_sc_hd__a21oi_1 U283 ( .A1(n46), .A2(n140), .B1(n45), .Y(n47) );
+  sky130_fd_sc_hd__o21ai_1 U284 ( .A1(imd_val_q_i[0]), .A2(n143), .B1(n47), 
+        .Y(alu_operand_b_o[1]) );
+  sky130_fd_sc_hd__o22ai_1 U285 ( .A1(imd_val_q_i[35]), .A2(n170), .B1(
+        op_a_i[1]), .B2(n138), .Y(n48) );
+  sky130_fd_sc_hd__a21oi_1 U286 ( .A1(n49), .A2(n140), .B1(n48), .Y(n50) );
+  sky130_fd_sc_hd__o21ai_1 U287 ( .A1(imd_val_q_i[1]), .A2(n143), .B1(n50), 
+        .Y(alu_operand_b_o[2]) );
+  sky130_fd_sc_hd__o22ai_1 U288 ( .A1(imd_val_q_i[36]), .A2(n170), .B1(
+        op_a_i[2]), .B2(n138), .Y(n51) );
+  sky130_fd_sc_hd__a21oi_1 U289 ( .A1(n52), .A2(n140), .B1(n51), .Y(n53) );
+  sky130_fd_sc_hd__o21ai_1 U290 ( .A1(imd_val_q_i[2]), .A2(n143), .B1(n53), 
+        .Y(alu_operand_b_o[3]) );
+  sky130_fd_sc_hd__o22ai_1 U291 ( .A1(imd_val_q_i[37]), .A2(n170), .B1(
+        op_a_i[3]), .B2(n138), .Y(n54) );
+  sky130_fd_sc_hd__a21oi_1 U292 ( .A1(n55), .A2(n140), .B1(n54), .Y(n56) );
+  sky130_fd_sc_hd__o21ai_1 U293 ( .A1(imd_val_q_i[3]), .A2(n143), .B1(n56), 
+        .Y(alu_operand_b_o[4]) );
+  sky130_fd_sc_hd__o22ai_1 U294 ( .A1(imd_val_q_i[38]), .A2(n170), .B1(
+        op_a_i[4]), .B2(n138), .Y(n57) );
+  sky130_fd_sc_hd__a21oi_1 U295 ( .A1(n58), .A2(n140), .B1(n57), .Y(n59) );
+  sky130_fd_sc_hd__o21ai_1 U296 ( .A1(imd_val_q_i[4]), .A2(n143), .B1(n59), 
+        .Y(alu_operand_b_o[5]) );
+  sky130_fd_sc_hd__o22ai_1 U297 ( .A1(imd_val_q_i[39]), .A2(n170), .B1(
+        op_a_i[5]), .B2(n138), .Y(n60) );
+  sky130_fd_sc_hd__a21oi_1 U298 ( .A1(n61), .A2(n140), .B1(n60), .Y(n62) );
+  sky130_fd_sc_hd__o21ai_1 U299 ( .A1(imd_val_q_i[5]), .A2(n143), .B1(n62), 
+        .Y(alu_operand_b_o[6]) );
+  sky130_fd_sc_hd__o22ai_1 U300 ( .A1(imd_val_q_i[40]), .A2(n170), .B1(
+        op_a_i[6]), .B2(n138), .Y(n63) );
+  sky130_fd_sc_hd__a21oi_1 U301 ( .A1(n64), .A2(n140), .B1(n63), .Y(n65) );
+  sky130_fd_sc_hd__o21ai_1 U302 ( .A1(imd_val_q_i[6]), .A2(n143), .B1(n65), 
+        .Y(alu_operand_b_o[7]) );
+  sky130_fd_sc_hd__o22ai_1 U303 ( .A1(imd_val_q_i[41]), .A2(n170), .B1(
+        op_a_i[7]), .B2(n138), .Y(n66) );
+  sky130_fd_sc_hd__a21oi_1 U304 ( .A1(n67), .A2(n140), .B1(n66), .Y(n68) );
+  sky130_fd_sc_hd__o21ai_1 U305 ( .A1(imd_val_q_i[7]), .A2(n143), .B1(n68), 
+        .Y(alu_operand_b_o[8]) );
+  sky130_fd_sc_hd__o22ai_1 U306 ( .A1(imd_val_q_i[42]), .A2(n170), .B1(
+        op_a_i[8]), .B2(n138), .Y(n69) );
+  sky130_fd_sc_hd__a21oi_1 U307 ( .A1(n70), .A2(n140), .B1(n69), .Y(n71) );
+  sky130_fd_sc_hd__o21ai_1 U308 ( .A1(imd_val_q_i[8]), .A2(n143), .B1(n71), 
+        .Y(alu_operand_b_o[9]) );
+  sky130_fd_sc_hd__o22ai_1 U309 ( .A1(imd_val_q_i[43]), .A2(n170), .B1(
+        op_a_i[9]), .B2(n138), .Y(n72) );
+  sky130_fd_sc_hd__a21oi_1 U310 ( .A1(n73), .A2(n140), .B1(n72), .Y(n74) );
+  sky130_fd_sc_hd__o21ai_1 U311 ( .A1(imd_val_q_i[9]), .A2(n143), .B1(n74), 
+        .Y(alu_operand_b_o[10]) );
+  sky130_fd_sc_hd__o22ai_1 U312 ( .A1(imd_val_q_i[44]), .A2(n170), .B1(
+        op_a_i[10]), .B2(n138), .Y(n75) );
+  sky130_fd_sc_hd__a21oi_1 U313 ( .A1(n76), .A2(n140), .B1(n75), .Y(n77) );
+  sky130_fd_sc_hd__o21ai_1 U314 ( .A1(imd_val_q_i[10]), .A2(n143), .B1(n77), 
+        .Y(alu_operand_b_o[11]) );
+  sky130_fd_sc_hd__o22ai_1 U315 ( .A1(imd_val_q_i[45]), .A2(n170), .B1(
+        op_a_i[11]), .B2(n138), .Y(n78) );
+  sky130_fd_sc_hd__a21oi_1 U316 ( .A1(n79), .A2(n140), .B1(n78), .Y(n80) );
+  sky130_fd_sc_hd__o21ai_1 U317 ( .A1(imd_val_q_i[11]), .A2(n143), .B1(n80), 
+        .Y(alu_operand_b_o[12]) );
+  sky130_fd_sc_hd__o22ai_1 U318 ( .A1(imd_val_q_i[46]), .A2(n170), .B1(
+        op_a_i[12]), .B2(n138), .Y(n81) );
+  sky130_fd_sc_hd__a21oi_1 U319 ( .A1(n82), .A2(n140), .B1(n81), .Y(n83) );
+  sky130_fd_sc_hd__o21ai_1 U320 ( .A1(imd_val_q_i[12]), .A2(n144), .B1(n83), 
+        .Y(alu_operand_b_o[13]) );
+  sky130_fd_sc_hd__o22ai_1 U321 ( .A1(imd_val_q_i[47]), .A2(n170), .B1(
+        op_a_i[13]), .B2(n138), .Y(n84) );
+  sky130_fd_sc_hd__a21oi_1 U322 ( .A1(n85), .A2(n140), .B1(n84), .Y(n86) );
+  sky130_fd_sc_hd__o21ai_1 U323 ( .A1(imd_val_q_i[13]), .A2(n144), .B1(n86), 
+        .Y(alu_operand_b_o[14]) );
+  sky130_fd_sc_hd__o22ai_1 U324 ( .A1(imd_val_q_i[48]), .A2(n170), .B1(
+        op_a_i[14]), .B2(n138), .Y(n87) );
+  sky130_fd_sc_hd__a21oi_1 U325 ( .A1(n88), .A2(n140), .B1(n87), .Y(n89) );
+  sky130_fd_sc_hd__o21ai_1 U326 ( .A1(imd_val_q_i[14]), .A2(n144), .B1(n89), 
+        .Y(alu_operand_b_o[15]) );
+  sky130_fd_sc_hd__o22ai_1 U327 ( .A1(imd_val_q_i[49]), .A2(n170), .B1(
+        op_a_i[15]), .B2(n138), .Y(n90) );
+  sky130_fd_sc_hd__a21oi_1 U328 ( .A1(n91), .A2(n140), .B1(n90), .Y(n92) );
+  sky130_fd_sc_hd__o21ai_1 U329 ( .A1(imd_val_q_i[15]), .A2(n144), .B1(n92), 
+        .Y(alu_operand_b_o[16]) );
+  sky130_fd_sc_hd__o22ai_1 U330 ( .A1(imd_val_q_i[50]), .A2(n170), .B1(
+        op_a_i[16]), .B2(n138), .Y(n93) );
+  sky130_fd_sc_hd__a21oi_1 U331 ( .A1(n94), .A2(n140), .B1(n93), .Y(n95) );
+  sky130_fd_sc_hd__o21ai_1 U332 ( .A1(imd_val_q_i[16]), .A2(n143), .B1(n95), 
+        .Y(alu_operand_b_o[17]) );
+  sky130_fd_sc_hd__o22ai_1 U333 ( .A1(imd_val_q_i[51]), .A2(n170), .B1(
+        op_a_i[17]), .B2(n138), .Y(n96) );
+  sky130_fd_sc_hd__a21oi_1 U334 ( .A1(n97), .A2(n140), .B1(n96), .Y(n98) );
+  sky130_fd_sc_hd__o21ai_1 U335 ( .A1(imd_val_q_i[17]), .A2(n143), .B1(n98), 
+        .Y(alu_operand_b_o[18]) );
+  sky130_fd_sc_hd__o22ai_1 U336 ( .A1(imd_val_q_i[52]), .A2(n170), .B1(
+        op_a_i[18]), .B2(n138), .Y(n99) );
+  sky130_fd_sc_hd__a21oi_1 U337 ( .A1(n100), .A2(n140), .B1(n99), .Y(n101) );
+  sky130_fd_sc_hd__o21ai_1 U338 ( .A1(imd_val_q_i[18]), .A2(n143), .B1(n101), 
+        .Y(alu_operand_b_o[19]) );
+  sky130_fd_sc_hd__o22ai_1 U339 ( .A1(imd_val_q_i[53]), .A2(n170), .B1(
+        op_a_i[19]), .B2(n138), .Y(n102) );
+  sky130_fd_sc_hd__a21oi_1 U340 ( .A1(n103), .A2(n140), .B1(n102), .Y(n104) );
+  sky130_fd_sc_hd__o21ai_1 U341 ( .A1(imd_val_q_i[19]), .A2(n143), .B1(n104), 
+        .Y(alu_operand_b_o[20]) );
+  sky130_fd_sc_hd__o22ai_1 U342 ( .A1(imd_val_q_i[54]), .A2(n170), .B1(
+        op_a_i[20]), .B2(n138), .Y(n105) );
+  sky130_fd_sc_hd__a21oi_1 U343 ( .A1(n106), .A2(n140), .B1(n105), .Y(n107) );
+  sky130_fd_sc_hd__o21ai_1 U344 ( .A1(imd_val_q_i[20]), .A2(n143), .B1(n107), 
+        .Y(alu_operand_b_o[21]) );
+  sky130_fd_sc_hd__o22ai_1 U345 ( .A1(imd_val_q_i[55]), .A2(n170), .B1(
+        op_a_i[21]), .B2(n138), .Y(n108) );
+  sky130_fd_sc_hd__a21oi_1 U346 ( .A1(n109), .A2(n140), .B1(n108), .Y(n110) );
+  sky130_fd_sc_hd__o21ai_1 U347 ( .A1(imd_val_q_i[21]), .A2(n143), .B1(n110), 
+        .Y(alu_operand_b_o[22]) );
+  sky130_fd_sc_hd__o22ai_1 U348 ( .A1(imd_val_q_i[56]), .A2(n170), .B1(
+        op_a_i[22]), .B2(n138), .Y(n111) );
+  sky130_fd_sc_hd__a21oi_1 U349 ( .A1(n112), .A2(n140), .B1(n111), .Y(n113) );
+  sky130_fd_sc_hd__o21ai_1 U350 ( .A1(imd_val_q_i[22]), .A2(n143), .B1(n113), 
+        .Y(alu_operand_b_o[23]) );
+  sky130_fd_sc_hd__o22ai_1 U351 ( .A1(imd_val_q_i[57]), .A2(n170), .B1(
+        op_a_i[23]), .B2(n138), .Y(n114) );
+  sky130_fd_sc_hd__a21oi_1 U352 ( .A1(n115), .A2(n140), .B1(n114), .Y(n116) );
+  sky130_fd_sc_hd__o21ai_1 U353 ( .A1(imd_val_q_i[23]), .A2(n144), .B1(n116), 
+        .Y(alu_operand_b_o[24]) );
+  sky130_fd_sc_hd__o22ai_1 U354 ( .A1(imd_val_q_i[58]), .A2(n170), .B1(
+        op_a_i[24]), .B2(n138), .Y(n117) );
+  sky130_fd_sc_hd__a21oi_1 U355 ( .A1(n118), .A2(n140), .B1(n117), .Y(n119) );
+  sky130_fd_sc_hd__o21ai_1 U356 ( .A1(imd_val_q_i[24]), .A2(n143), .B1(n119), 
+        .Y(alu_operand_b_o[25]) );
+  sky130_fd_sc_hd__o22ai_1 U357 ( .A1(imd_val_q_i[59]), .A2(n170), .B1(
+        op_a_i[25]), .B2(n138), .Y(n120) );
+  sky130_fd_sc_hd__a21oi_1 U358 ( .A1(n121), .A2(n140), .B1(n120), .Y(n122) );
+  sky130_fd_sc_hd__o21ai_1 U359 ( .A1(imd_val_q_i[25]), .A2(n143), .B1(n122), 
+        .Y(alu_operand_b_o[26]) );
+  sky130_fd_sc_hd__o22ai_1 U360 ( .A1(imd_val_q_i[60]), .A2(n170), .B1(
+        op_a_i[26]), .B2(n138), .Y(n123) );
+  sky130_fd_sc_hd__a21oi_1 U361 ( .A1(n124), .A2(n140), .B1(n123), .Y(n125) );
+  sky130_fd_sc_hd__o21ai_1 U362 ( .A1(imd_val_q_i[26]), .A2(n143), .B1(n125), 
+        .Y(alu_operand_b_o[27]) );
+  sky130_fd_sc_hd__o22ai_1 U363 ( .A1(imd_val_q_i[61]), .A2(n170), .B1(
+        op_a_i[27]), .B2(n138), .Y(n126) );
+  sky130_fd_sc_hd__a21oi_1 U364 ( .A1(n127), .A2(n140), .B1(n126), .Y(n128) );
+  sky130_fd_sc_hd__o21ai_1 U365 ( .A1(imd_val_q_i[27]), .A2(n143), .B1(n128), 
+        .Y(alu_operand_b_o[28]) );
+  sky130_fd_sc_hd__o22ai_1 U366 ( .A1(imd_val_q_i[62]), .A2(n170), .B1(
+        op_a_i[28]), .B2(n138), .Y(n129) );
+  sky130_fd_sc_hd__a21oi_1 U367 ( .A1(n130), .A2(n140), .B1(n129), .Y(n131) );
+  sky130_fd_sc_hd__o21ai_1 U368 ( .A1(imd_val_q_i[28]), .A2(n143), .B1(n131), 
+        .Y(alu_operand_b_o[29]) );
+  sky130_fd_sc_hd__o22ai_1 U369 ( .A1(imd_val_q_i[63]), .A2(n170), .B1(
+        op_a_i[29]), .B2(n138), .Y(n132) );
+  sky130_fd_sc_hd__a21oi_1 U370 ( .A1(n133), .A2(n140), .B1(n132), .Y(n134) );
+  sky130_fd_sc_hd__o21ai_1 U371 ( .A1(imd_val_q_i[29]), .A2(n143), .B1(n134), 
+        .Y(alu_operand_b_o[30]) );
+  sky130_fd_sc_hd__o22ai_1 U372 ( .A1(imd_val_q_i[64]), .A2(n170), .B1(
+        op_a_i[30]), .B2(n138), .Y(n135) );
+  sky130_fd_sc_hd__a21oi_1 U373 ( .A1(n136), .A2(n140), .B1(n135), .Y(n137) );
+  sky130_fd_sc_hd__o21ai_1 U374 ( .A1(imd_val_q_i[30]), .A2(n143), .B1(n137), 
+        .Y(alu_operand_b_o[31]) );
+  sky130_fd_sc_hd__o22ai_1 U375 ( .A1(op_a_i[31]), .A2(n138), .B1(
+        imd_val_q_i[65]), .B2(n170), .Y(n139) );
+  sky130_fd_sc_hd__a21oi_1 U376 ( .A1(n141), .A2(n140), .B1(n139), .Y(n142) );
+  sky130_fd_sc_hd__o21ai_1 U377 ( .A1(imd_val_q_i[31]), .A2(n143), .B1(n142), 
+        .Y(alu_operand_b_o[32]) );
+  sky130_fd_sc_hd__nor2_1 U378 ( .A(n144), .B(n153), .Y(alu_operand_a_o[7]) );
+  sky130_fd_sc_hd__nor2_1 U379 ( .A(n144), .B(n154), .Y(alu_operand_a_o[8]) );
+  sky130_fd_sc_hd__nor2_1 U380 ( .A(n144), .B(n155), .Y(alu_operand_a_o[9]) );
+  sky130_fd_sc_hd__nor2_1 U381 ( .A(n144), .B(n156), .Y(alu_operand_a_o[10])
+         );
+  sky130_fd_sc_hd__nor2_1 U382 ( .A(n144), .B(n157), .Y(alu_operand_a_o[11])
+         );
+  sky130_fd_sc_hd__nor2_1 U383 ( .A(n144), .B(n158), .Y(alu_operand_a_o[12])
+         );
+  sky130_fd_sc_hd__nor2_1 U384 ( .A(n144), .B(n159), .Y(alu_operand_a_o[13])
+         );
+  sky130_fd_sc_hd__nor2_1 U385 ( .A(n144), .B(n160), .Y(alu_operand_a_o[14])
+         );
+  sky130_fd_sc_hd__nor2_1 U386 ( .A(n144), .B(n161), .Y(alu_operand_a_o[15])
+         );
+  sky130_fd_sc_hd__nor2_1 U387 ( .A(n144), .B(n332), .Y(alu_operand_a_o[16])
+         );
+  sky130_fd_sc_hd__nor2_1 U388 ( .A(n143), .B(n339), .Y(alu_operand_a_o[17])
+         );
+  sky130_fd_sc_hd__nor2_1 U389 ( .A(n143), .B(n346), .Y(alu_operand_a_o[18])
+         );
+  sky130_fd_sc_hd__nor2_1 U390 ( .A(n143), .B(n353), .Y(alu_operand_a_o[19])
+         );
+  sky130_fd_sc_hd__nor2_1 U391 ( .A(n143), .B(n361), .Y(alu_operand_a_o[20])
+         );
+  sky130_fd_sc_hd__nor2_1 U392 ( .A(n143), .B(n369), .Y(alu_operand_a_o[21])
+         );
+  sky130_fd_sc_hd__nor2_1 U393 ( .A(n143), .B(n376), .Y(alu_operand_a_o[22])
+         );
+  sky130_fd_sc_hd__nor2_1 U394 ( .A(n143), .B(n383), .Y(alu_operand_a_o[23])
+         );
+  sky130_fd_sc_hd__nor2_1 U395 ( .A(n144), .B(n390), .Y(alu_operand_a_o[24])
+         );
+  sky130_fd_sc_hd__nor2_1 U396 ( .A(n144), .B(n398), .Y(alu_operand_a_o[25])
+         );
+  sky130_fd_sc_hd__nor2_1 U397 ( .A(n144), .B(n406), .Y(alu_operand_a_o[26])
+         );
+  sky130_fd_sc_hd__nor2_1 U398 ( .A(n144), .B(n414), .Y(alu_operand_a_o[27])
+         );
+  sky130_fd_sc_hd__nor2_1 U399 ( .A(n144), .B(n424), .Y(alu_operand_a_o[28])
+         );
+  sky130_fd_sc_hd__nor2_1 U400 ( .A(n144), .B(n433), .Y(alu_operand_a_o[29])
+         );
+  sky130_fd_sc_hd__nor2_1 U401 ( .A(n144), .B(n442), .Y(alu_operand_a_o[30])
+         );
+  sky130_fd_sc_hd__nor2_1 U402 ( .A(n144), .B(n455), .Y(alu_operand_a_o[31])
+         );
+  sky130_fd_sc_hd__nor2_1 U403 ( .A(n144), .B(n163), .Y(alu_operand_a_o[32])
+         );
+  sky130_fd_sc_hd__clkinv_1 U404 ( .A(div_sel_i), .Y(n167) );
+  sky130_fd_sc_hd__a221oi_1 U405 ( .A1(gen_mult_fast_mult_state_q[0]), .A2(
+        gen_mult_fast_mult_state_q[1]), .B1(n601), .B2(n564), .C1(n145), .Y(
+        n162) );
+  sky130_fd_sc_hd__a21oi_1 U406 ( .A1(n162), .A2(n147), .B1(div_sel_i), .Y(
+        n146) );
+  sky130_fd_sc_hd__o21ai_1 U407 ( .A1(mac_res_signed[0]), .A2(n162), .B1(n146), 
+        .Y(n226) );
+  sky130_fd_sc_hd__o21ai_1 U408 ( .A1(n147), .A2(n167), .B1(n226), .Y(
+        multdiv_result_o[0]) );
+  sky130_fd_sc_hd__nand2_1 U409 ( .A(n465), .B(mac_res_signed[1]), .Y(n235) );
+  sky130_fd_sc_hd__o21ai_1 U410 ( .A1(n465), .A2(n148), .B1(n235), .Y(
+        multdiv_result_o[1]) );
+  sky130_fd_sc_hd__nand2_1 U411 ( .A(n465), .B(mac_res_signed[2]), .Y(n241) );
+  sky130_fd_sc_hd__o21ai_1 U412 ( .A1(n465), .A2(n149), .B1(n241), .Y(
+        multdiv_result_o[2]) );
+  sky130_fd_sc_hd__nand2_1 U413 ( .A(n465), .B(mac_res_signed[3]), .Y(n247) );
+  sky130_fd_sc_hd__o21ai_1 U414 ( .A1(n465), .A2(n150), .B1(n247), .Y(
+        multdiv_result_o[3]) );
+  sky130_fd_sc_hd__nand2_1 U415 ( .A(n465), .B(mac_res_signed[4]), .Y(n254) );
+  sky130_fd_sc_hd__o21ai_1 U416 ( .A1(n465), .A2(n151), .B1(n254), .Y(
+        multdiv_result_o[4]) );
+  sky130_fd_sc_hd__nand2_1 U417 ( .A(n465), .B(mac_res_signed[5]), .Y(n261) );
+  sky130_fd_sc_hd__o21ai_1 U418 ( .A1(n465), .A2(n152), .B1(n261), .Y(
+        multdiv_result_o[5]) );
+  sky130_fd_sc_hd__nand2_1 U419 ( .A(n465), .B(mac_res_signed[6]), .Y(n267) );
+  sky130_fd_sc_hd__o21ai_1 U420 ( .A1(n465), .A2(n153), .B1(n267), .Y(
+        multdiv_result_o[6]) );
+  sky130_fd_sc_hd__nand2_1 U421 ( .A(n465), .B(mac_res_signed[7]), .Y(n273) );
+  sky130_fd_sc_hd__o21ai_1 U422 ( .A1(n465), .A2(n154), .B1(n273), .Y(
+        multdiv_result_o[7]) );
+  sky130_fd_sc_hd__nand2_1 U423 ( .A(n465), .B(mac_res_signed[8]), .Y(n279) );
+  sky130_fd_sc_hd__o21ai_1 U424 ( .A1(n465), .A2(n155), .B1(n279), .Y(
+        multdiv_result_o[8]) );
+  sky130_fd_sc_hd__nand2_1 U425 ( .A(n465), .B(mac_res_signed[9]), .Y(n285) );
+  sky130_fd_sc_hd__o21ai_1 U426 ( .A1(n465), .A2(n156), .B1(n285), .Y(
+        multdiv_result_o[9]) );
+  sky130_fd_sc_hd__nand2_1 U427 ( .A(n465), .B(mac_res_signed[10]), .Y(n291)
+         );
+  sky130_fd_sc_hd__o21ai_1 U428 ( .A1(n465), .A2(n157), .B1(n291), .Y(
+        multdiv_result_o[10]) );
+  sky130_fd_sc_hd__nand2_1 U429 ( .A(n465), .B(mac_res_signed[11]), .Y(n297)
+         );
+  sky130_fd_sc_hd__o21ai_1 U430 ( .A1(n465), .A2(n158), .B1(n297), .Y(
+        multdiv_result_o[11]) );
+  sky130_fd_sc_hd__nand2_1 U431 ( .A(n465), .B(mac_res_signed[12]), .Y(n304)
+         );
+  sky130_fd_sc_hd__o21ai_1 U432 ( .A1(n465), .A2(n159), .B1(n304), .Y(
+        multdiv_result_o[12]) );
+  sky130_fd_sc_hd__nand2_1 U433 ( .A(n465), .B(mac_res_signed[13]), .Y(n310)
+         );
+  sky130_fd_sc_hd__o21ai_1 U434 ( .A1(n465), .A2(n160), .B1(n310), .Y(
+        multdiv_result_o[13]) );
+  sky130_fd_sc_hd__nand2_1 U435 ( .A(n465), .B(mac_res_signed[14]), .Y(n316)
+         );
+  sky130_fd_sc_hd__o21ai_1 U436 ( .A1(n465), .A2(n161), .B1(n316), .Y(
+        multdiv_result_o[14]) );
+  sky130_fd_sc_hd__nand2_1 U437 ( .A(n465), .B(mac_res_signed[15]), .Y(n324)
+         );
+  sky130_fd_sc_hd__o21ai_1 U438 ( .A1(n465), .A2(n332), .B1(n324), .Y(
+        multdiv_result_o[15]) );
+  sky130_fd_sc_hd__nor2b_1 U439 ( .B_N(n162), .A(div_sel_i), .Y(n231) );
+  sky130_fd_sc_hd__a22oi_1 U440 ( .A1(mac_res_signed[0]), .A2(n231), .B1(n465), 
+        .B2(mac_res_signed[16]), .Y(n336) );
+  sky130_fd_sc_hd__o21ai_1 U441 ( .A1(n167), .A2(n339), .B1(n336), .Y(
+        multdiv_result_o[16]) );
+  sky130_fd_sc_hd__a22oi_1 U442 ( .A1(n231), .A2(mac_res_signed[1]), .B1(n465), 
+        .B2(mac_res_signed[17]), .Y(n343) );
+  sky130_fd_sc_hd__o21ai_1 U443 ( .A1(n167), .A2(n346), .B1(n343), .Y(
+        multdiv_result_o[17]) );
+  sky130_fd_sc_hd__a22oi_1 U444 ( .A1(n231), .A2(mac_res_signed[2]), .B1(n465), 
+        .B2(mac_res_signed[18]), .Y(n350) );
+  sky130_fd_sc_hd__o21ai_1 U445 ( .A1(n167), .A2(n353), .B1(n350), .Y(
+        multdiv_result_o[18]) );
+  sky130_fd_sc_hd__a22oi_1 U446 ( .A1(n231), .A2(mac_res_signed[3]), .B1(n465), 
+        .B2(mac_res_signed[19]), .Y(n357) );
+  sky130_fd_sc_hd__o21ai_1 U447 ( .A1(n167), .A2(n361), .B1(n357), .Y(
+        multdiv_result_o[19]) );
+  sky130_fd_sc_hd__a22oi_1 U448 ( .A1(n231), .A2(mac_res_signed[4]), .B1(n465), 
+        .B2(mac_res_signed[20]), .Y(n365) );
+  sky130_fd_sc_hd__o21ai_1 U449 ( .A1(n167), .A2(n369), .B1(n365), .Y(
+        multdiv_result_o[20]) );
+  sky130_fd_sc_hd__a22oi_1 U450 ( .A1(n231), .A2(mac_res_signed[5]), .B1(n465), 
+        .B2(mac_res_signed[21]), .Y(n373) );
+  sky130_fd_sc_hd__o21ai_1 U451 ( .A1(n167), .A2(n376), .B1(n373), .Y(
+        multdiv_result_o[21]) );
+  sky130_fd_sc_hd__a22oi_1 U452 ( .A1(n231), .A2(mac_res_signed[6]), .B1(n465), 
+        .B2(mac_res_signed[22]), .Y(n380) );
+  sky130_fd_sc_hd__o21ai_1 U453 ( .A1(n167), .A2(n383), .B1(n380), .Y(
+        multdiv_result_o[22]) );
+  sky130_fd_sc_hd__a22oi_1 U454 ( .A1(n231), .A2(mac_res_signed[7]), .B1(n465), 
+        .B2(mac_res_signed[23]), .Y(n387) );
+  sky130_fd_sc_hd__o21ai_1 U455 ( .A1(n167), .A2(n390), .B1(n387), .Y(
+        multdiv_result_o[23]) );
+  sky130_fd_sc_hd__a22oi_1 U456 ( .A1(n231), .A2(mac_res_signed[8]), .B1(n465), 
+        .B2(mac_res_signed[24]), .Y(n395) );
+  sky130_fd_sc_hd__o21ai_1 U457 ( .A1(n167), .A2(n398), .B1(n395), .Y(
+        multdiv_result_o[24]) );
+  sky130_fd_sc_hd__a22oi_1 U458 ( .A1(n231), .A2(mac_res_signed[9]), .B1(n465), 
+        .B2(mac_res_signed[25]), .Y(n403) );
+  sky130_fd_sc_hd__o21ai_1 U459 ( .A1(n167), .A2(n406), .B1(n403), .Y(
+        multdiv_result_o[25]) );
+  sky130_fd_sc_hd__a22oi_1 U460 ( .A1(n231), .A2(mac_res_signed[10]), .B1(n465), .B2(mac_res_signed[26]), .Y(n411) );
+  sky130_fd_sc_hd__o21ai_1 U461 ( .A1(n167), .A2(n414), .B1(n411), .Y(
+        multdiv_result_o[26]) );
+  sky130_fd_sc_hd__a22oi_1 U462 ( .A1(n231), .A2(mac_res_signed[11]), .B1(n465), .B2(mac_res_signed[27]), .Y(n419) );
+  sky130_fd_sc_hd__o21ai_1 U463 ( .A1(n167), .A2(n424), .B1(n419), .Y(
+        multdiv_result_o[27]) );
+  sky130_fd_sc_hd__a22oi_1 U464 ( .A1(n231), .A2(mac_res_signed[12]), .B1(n465), .B2(mac_res_signed[28]), .Y(n429) );
+  sky130_fd_sc_hd__o21ai_1 U465 ( .A1(n167), .A2(n433), .B1(n429), .Y(
+        multdiv_result_o[28]) );
+  sky130_fd_sc_hd__a22oi_1 U466 ( .A1(n231), .A2(mac_res_signed[13]), .B1(n465), .B2(mac_res_signed[29]), .Y(n438) );
+  sky130_fd_sc_hd__o21ai_1 U467 ( .A1(n167), .A2(n442), .B1(n438), .Y(
+        multdiv_result_o[29]) );
+  sky130_fd_sc_hd__a22oi_1 U468 ( .A1(n231), .A2(mac_res_signed[14]), .B1(n465), .B2(mac_res_signed[30]), .Y(n447) );
+  sky130_fd_sc_hd__o21ai_1 U469 ( .A1(n167), .A2(n455), .B1(n447), .Y(
+        multdiv_result_o[30]) );
+  sky130_fd_sc_hd__a22oi_1 U470 ( .A1(n231), .A2(mac_res_signed[15]), .B1(n465), .B2(mac_res_signed[31]), .Y(n463) );
+  sky130_fd_sc_hd__o21ai_1 U471 ( .A1(n167), .A2(n163), .B1(n463), .Y(
+        multdiv_result_o[31]) );
+  sky130_fd_sc_hd__maj3_1 U472 ( .A(imd_val_q_i[31]), .B(alu_adder_ext_i[32]), 
+        .C(n163), .X(n251) );
+  sky130_fd_sc_hd__nor2_1 U473 ( .A(div_counter_q[3]), .B(div_counter_q[2]), 
+        .Y(n329) );
+  sky130_fd_sc_hd__clkinv_1 U474 ( .A(div_counter_q[4]), .Y(n478) );
+  sky130_fd_sc_hd__nand2_1 U475 ( .A(n329), .B(n478), .Y(n230) );
+  sky130_fd_sc_hd__nor2_1 U476 ( .A(n251), .B(n230), .Y(n597) );
+  sky130_fd_sc_hd__clkinv_1 U477 ( .A(div_counter_q[1]), .Y(n580) );
+  sky130_fd_sc_hd__clkinv_1 U478 ( .A(div_counter_q[0]), .Y(n484) );
+  sky130_fd_sc_hd__nand2_1 U479 ( .A(n580), .B(n484), .Y(n582) );
+  sky130_fd_sc_hd__nor2b_1 U480 ( .B_N(operator_i[1]), .A(operator_i[0]), .Y(
+        n560) );
+  sky130_fd_sc_hd__nor3_1 U481 ( .A(md_state_q[0]), .B(n576), .C(n167), .Y(
+        n168) );
+  sky130_fd_sc_hd__nand2_1 U482 ( .A(n560), .B(n168), .Y(n258) );
+  sky130_fd_sc_hd__nor2_1 U483 ( .A(n582), .B(n258), .Y(n421) );
+  sky130_fd_sc_hd__nor2_1 U484 ( .A(n174), .B(n164), .Y(n166) );
+  sky130_fd_sc_hd__clkinv_1 U485 ( .A(n492), .Y(n491) );
+  sky130_fd_sc_hd__a22oi_1 U486 ( .A1(div_by_zero_q), .A2(n560), .B1(n166), 
+        .B2(n491), .Y(n165) );
+  sky130_fd_sc_hd__o21ai_1 U487 ( .A1(n166), .A2(n491), .B1(n165), .Y(n169) );
+  sky130_fd_sc_hd__nor3_1 U488 ( .A(n169), .B(n170), .C(n167), .Y(n449) );
+  sky130_fd_sc_hd__clkbuf_1 U489 ( .A(n449), .X(n366) );
+  sky130_fd_sc_hd__a22oi_1 U490 ( .A1(n597), .A2(n421), .B1(alu_adder_i[0]), 
+        .B2(n366), .Y(n229) );
+  sky130_fd_sc_hd__clkinv_1 U491 ( .A(n251), .Y(n358) );
+  sky130_fd_sc_hd__nand2_1 U492 ( .A(n168), .B(n174), .Y(n176) );
+  sky130_fd_sc_hd__clkinv_1 U493 ( .A(n169), .Y(n171) );
+  sky130_fd_sc_hd__o21ai_1 U494 ( .A1(n171), .A2(n170), .B1(n570), .Y(n172) );
+  sky130_fd_sc_hd__o21ai_1 U495 ( .A1(n490), .A2(n172), .B1(div_sel_i), .Y(
+        n464) );
+  sky130_fd_sc_hd__o21ai_1 U496 ( .A1(n358), .A2(n176), .B1(n464), .Y(n458) );
+  sky130_fd_sc_hd__nor2_1 U497 ( .A(md_state_q[1]), .B(n173), .Y(n559) );
+  sky130_fd_sc_hd__nand2_1 U498 ( .A(div_sel_i), .B(n559), .Y(n321) );
+  sky130_fd_sc_hd__nor2_1 U499 ( .A(n174), .B(n321), .Y(n467) );
+  sky130_fd_sc_hd__a31oi_1 U500 ( .A1(op_numerator_q[31]), .A2(div_sel_i), 
+        .A3(n175), .B1(n467), .Y(n178) );
+  sky130_fd_sc_hd__clkinv_1 U501 ( .A(n258), .Y(n452) );
+  sky130_fd_sc_hd__nor2_1 U502 ( .A(n251), .B(n176), .Y(n459) );
+  sky130_fd_sc_hd__a22oi_1 U503 ( .A1(op_quotient_q[0]), .A2(n452), .B1(n459), 
+        .B2(alu_adder_ext_i[1]), .Y(n177) );
+  sky130_fd_sc_hd__o211ai_1 U504 ( .A1(n558), .A2(n321), .B1(n178), .C1(n177), 
+        .Y(n179) );
+  sky130_fd_sc_hd__a21oi_1 U505 ( .A1(imd_val_q_i[34]), .A2(n458), .B1(n179), 
+        .Y(n228) );
+  sky130_fd_sc_hd__o21ai_1 U506 ( .A1(n484), .A2(n580), .B1(n582), .Y(n181) );
+  sky130_fd_sc_hd__clkinv_1 U507 ( .A(n181), .Y(n475) );
+  sky130_fd_sc_hd__clkinv_1 U508 ( .A(div_counter_q[2]), .Y(n486) );
+  sky130_fd_sc_hd__nand2b_1 U509 ( .A_N(n582), .B(n486), .Y(n201) );
+  sky130_fd_sc_hd__nand2_1 U510 ( .A(div_counter_q[2]), .B(n582), .Y(n182) );
+  sky130_fd_sc_hd__nand2_1 U511 ( .A(n201), .B(n182), .Y(n180) );
+  sky130_fd_sc_hd__nor2_1 U512 ( .A(n475), .B(n180), .Y(n218) );
+  sky130_fd_sc_hd__clkinv_1 U513 ( .A(n180), .Y(n485) );
+  sky130_fd_sc_hd__nor2_1 U514 ( .A(n485), .B(n475), .Y(n213) );
+  sky130_fd_sc_hd__nor2_1 U515 ( .A(n182), .B(n181), .Y(n212) );
+  sky130_fd_sc_hd__a22oi_1 U516 ( .A1(n213), .A2(op_numerator_q[15]), .B1(n212), .B2(op_numerator_q[13]), .Y(n184) );
+  sky130_fd_sc_hd__and2_0 U517 ( .A(n182), .B(n475), .X(n214) );
+  sky130_fd_sc_hd__a21oi_1 U518 ( .A1(n214), .A2(op_numerator_q[9]), .B1(
+        div_counter_q[0]), .Y(n183) );
+  sky130_fd_sc_hd__nand2_1 U519 ( .A(n184), .B(n183), .Y(n185) );
+  sky130_fd_sc_hd__a21oi_1 U520 ( .A1(n218), .A2(op_numerator_q[11]), .B1(n185), .Y(n199) );
+  sky130_fd_sc_hd__nand2_1 U521 ( .A(n214), .B(op_numerator_q[8]), .Y(n187) );
+  sky130_fd_sc_hd__a22oi_1 U522 ( .A1(n213), .A2(op_numerator_q[14]), .B1(n212), .B2(op_numerator_q[12]), .Y(n186) );
+  sky130_fd_sc_hd__nand3_1 U523 ( .A(n187), .B(div_counter_q[0]), .C(n186), 
+        .Y(n188) );
+  sky130_fd_sc_hd__a21oi_1 U524 ( .A1(n218), .A2(op_numerator_q[10]), .B1(n188), .Y(n198) );
+  sky130_fd_sc_hd__nor2_1 U525 ( .A(div_counter_q[3]), .B(n201), .Y(n200) );
+  sky130_fd_sc_hd__o22ai_1 U526 ( .A1(n200), .A2(n478), .B1(n582), .B2(n230), 
+        .Y(n476) );
+  sky130_fd_sc_hd__a21oi_1 U527 ( .A1(n214), .A2(op_numerator_q[25]), .B1(
+        div_counter_q[0]), .Y(n195) );
+  sky130_fd_sc_hd__a22oi_1 U528 ( .A1(n213), .A2(op_numerator_q[31]), .B1(n212), .B2(op_numerator_q[29]), .Y(n194) );
+  sky130_fd_sc_hd__nand2_1 U529 ( .A(n218), .B(op_numerator_q[27]), .Y(n193)
+         );
+  sky130_fd_sc_hd__nand2_1 U530 ( .A(n214), .B(op_numerator_q[24]), .Y(n190)
+         );
+  sky130_fd_sc_hd__a22oi_1 U531 ( .A1(n213), .A2(op_numerator_q[30]), .B1(n212), .B2(op_numerator_q[28]), .Y(n189) );
+  sky130_fd_sc_hd__nand3_1 U532 ( .A(n190), .B(div_counter_q[0]), .C(n189), 
+        .Y(n191) );
+  sky130_fd_sc_hd__a21oi_1 U533 ( .A1(n218), .A2(op_numerator_q[26]), .B1(n191), .Y(n192) );
+  sky130_fd_sc_hd__a31oi_1 U534 ( .A1(n195), .A2(n194), .A3(n193), .B1(n192), 
+        .Y(n196) );
+  sky130_fd_sc_hd__nand2_1 U535 ( .A(n196), .B(n476), .Y(n197) );
+  sky130_fd_sc_hd__o31ai_1 U536 ( .A1(n199), .A2(n198), .A3(n476), .B1(n197), 
+        .Y(n225) );
+  sky130_fd_sc_hd__a21oi_1 U537 ( .A1(div_counter_q[3]), .A2(n201), .B1(n200), 
+        .Y(n488) );
+  sky130_fd_sc_hd__a21oi_1 U538 ( .A1(n214), .A2(op_numerator_q[1]), .B1(
+        div_counter_q[0]), .Y(n208) );
+  sky130_fd_sc_hd__a22oi_1 U539 ( .A1(n213), .A2(op_numerator_q[7]), .B1(n212), 
+        .B2(op_numerator_q[5]), .Y(n207) );
+  sky130_fd_sc_hd__nand2_1 U540 ( .A(n218), .B(op_numerator_q[3]), .Y(n206) );
+  sky130_fd_sc_hd__nand2_1 U541 ( .A(n214), .B(op_numerator_q[0]), .Y(n203) );
+  sky130_fd_sc_hd__a22oi_1 U542 ( .A1(n213), .A2(op_numerator_q[6]), .B1(n212), 
+        .B2(op_numerator_q[4]), .Y(n202) );
+  sky130_fd_sc_hd__nand3_1 U543 ( .A(n203), .B(div_counter_q[0]), .C(n202), 
+        .Y(n204) );
+  sky130_fd_sc_hd__a21oi_1 U544 ( .A1(n218), .A2(op_numerator_q[2]), .B1(n204), 
+        .Y(n205) );
+  sky130_fd_sc_hd__a31oi_1 U545 ( .A1(n208), .A2(n207), .A3(n206), .B1(n205), 
+        .Y(n222) );
+  sky130_fd_sc_hd__nand2_1 U546 ( .A(op_numerator_q[16]), .B(n214), .Y(n210)
+         );
+  sky130_fd_sc_hd__a22oi_1 U547 ( .A1(op_numerator_q[22]), .A2(n213), .B1(
+        op_numerator_q[20]), .B2(n212), .Y(n209) );
+  sky130_fd_sc_hd__nand3_1 U548 ( .A(n210), .B(div_counter_q[0]), .C(n209), 
+        .Y(n211) );
+  sky130_fd_sc_hd__a21oi_1 U549 ( .A1(op_numerator_q[18]), .A2(n218), .B1(n211), .Y(n220) );
+  sky130_fd_sc_hd__a22oi_1 U550 ( .A1(n213), .A2(op_numerator_q[23]), .B1(n212), .B2(op_numerator_q[21]), .Y(n216) );
+  sky130_fd_sc_hd__a21oi_1 U551 ( .A1(n214), .A2(op_numerator_q[17]), .B1(
+        div_counter_q[0]), .Y(n215) );
+  sky130_fd_sc_hd__nand2_1 U552 ( .A(n216), .B(n215), .Y(n217) );
+  sky130_fd_sc_hd__a21oi_1 U553 ( .A1(n218), .A2(op_numerator_q[19]), .B1(n217), .Y(n219) );
+  sky130_fd_sc_hd__o21ai_1 U554 ( .A1(n220), .A2(n219), .B1(n476), .Y(n221) );
+  sky130_fd_sc_hd__o21ai_1 U555 ( .A1(n222), .A2(n476), .B1(n221), .Y(n223) );
+  sky130_fd_sc_hd__nand2_1 U556 ( .A(div_sel_i), .B(n573), .Y(n466) );
+  sky130_fd_sc_hd__a21oi_1 U557 ( .A1(n488), .A2(n223), .B1(n466), .Y(n224) );
+  sky130_fd_sc_hd__o21ai_1 U558 ( .A1(n225), .A2(n488), .B1(n224), .Y(n227) );
+  sky130_fd_sc_hd__nand4_1 U559 ( .A(n229), .B(n228), .C(n227), .D(n226), .Y(
+        imd_val_d_o[34]) );
+  sky130_fd_sc_hd__clkinv_1 U560 ( .A(n321), .Y(n450) );
+  sky130_fd_sc_hd__o21ai_1 U561 ( .A1(n560), .A2(op_a_i[1]), .B1(n450), .Y(
+        n238) );
+  sky130_fd_sc_hd__nor2_1 U562 ( .A(n358), .B(n466), .Y(n328) );
+  sky130_fd_sc_hd__nor2_1 U563 ( .A(n251), .B(n466), .Y(n451) );
+  sky130_fd_sc_hd__a22oi_1 U564 ( .A1(imd_val_q_i[34]), .A2(n328), .B1(
+        alu_adder_ext_i[1]), .B2(n451), .Y(n237) );
+  sky130_fd_sc_hd__nand2_1 U565 ( .A(n580), .B(div_counter_q[0]), .Y(n581) );
+  sky130_fd_sc_hd__or2_0 U566 ( .A(n230), .B(n581), .X(n578) );
+  sky130_fd_sc_hd__nor2_1 U567 ( .A(n251), .B(n578), .Y(n595) );
+  sky130_fd_sc_hd__o21ai_1 U568 ( .A1(n595), .A2(op_quotient_q[1]), .B1(n452), 
+        .Y(n233) );
+  sky130_fd_sc_hd__or2_0 U569 ( .A(n458), .B(n231), .X(n323) );
+  sky130_fd_sc_hd__a22oi_1 U570 ( .A1(n366), .A2(alu_adder_i[1]), .B1(
+        imd_val_q_i[35]), .B2(n323), .Y(n232) );
+  sky130_fd_sc_hd__nand2_1 U571 ( .A(n233), .B(n232), .Y(n234) );
+  sky130_fd_sc_hd__a21oi_1 U572 ( .A1(alu_adder_ext_i[2]), .A2(n459), .B1(n234), .Y(n236) );
+  sky130_fd_sc_hd__nand4_1 U573 ( .A(n238), .B(n237), .C(n236), .D(n235), .Y(
+        imd_val_d_o[35]) );
+  sky130_fd_sc_hd__nor3_1 U574 ( .A(div_counter_q[0]), .B(n580), .C(n258), .Y(
+        n439) );
+  sky130_fd_sc_hd__a22oi_1 U575 ( .A1(n452), .A2(op_quotient_q[2]), .B1(n597), 
+        .B2(n439), .Y(n244) );
+  sky130_fd_sc_hd__a22oi_1 U576 ( .A1(n366), .A2(alu_adder_i[2]), .B1(
+        imd_val_q_i[35]), .B2(n328), .Y(n243) );
+  sky130_fd_sc_hd__a22oi_1 U577 ( .A1(n459), .A2(alu_adder_ext_i[3]), .B1(
+        alu_adder_ext_i[2]), .B2(n451), .Y(n239) );
+  sky130_fd_sc_hd__o21ai_1 U578 ( .A1(n321), .A2(n552), .B1(n239), .Y(n240) );
+  sky130_fd_sc_hd__a211oi_1 U579 ( .A1(imd_val_q_i[36]), .A2(n323), .B1(n467), 
+        .C1(n240), .Y(n242) );
+  sky130_fd_sc_hd__nand4_1 U580 ( .A(n244), .B(n243), .C(n242), .D(n241), .Y(
+        imd_val_d_o[36]) );
+  sky130_fd_sc_hd__nand2_1 U581 ( .A(div_counter_q[1]), .B(div_counter_q[0]), 
+        .Y(n579) );
+  sky130_fd_sc_hd__nor2_1 U582 ( .A(n579), .B(n258), .Y(n448) );
+  sky130_fd_sc_hd__a22oi_1 U583 ( .A1(n452), .A2(op_quotient_q[3]), .B1(n597), 
+        .B2(n448), .Y(n250) );
+  sky130_fd_sc_hd__a22oi_1 U584 ( .A1(n366), .A2(alu_adder_i[3]), .B1(n328), 
+        .B2(imd_val_q_i[36]), .Y(n249) );
+  sky130_fd_sc_hd__a22oi_1 U585 ( .A1(n459), .A2(alu_adder_ext_i[4]), .B1(n451), .B2(alu_adder_ext_i[3]), .Y(n245) );
+  sky130_fd_sc_hd__o21ai_1 U586 ( .A1(n321), .A2(n550), .B1(n245), .Y(n246) );
+  sky130_fd_sc_hd__a211oi_1 U587 ( .A1(imd_val_q_i[37]), .A2(n323), .B1(n467), 
+        .C1(n246), .Y(n248) );
+  sky130_fd_sc_hd__nand4_1 U588 ( .A(n250), .B(n249), .C(n248), .D(n247), .Y(
+        imd_val_d_o[37]) );
+  sky130_fd_sc_hd__nor4_1 U589 ( .A(div_counter_q[3]), .B(div_counter_q[4]), 
+        .C(n251), .D(n486), .Y(n590) );
+  sky130_fd_sc_hd__a22oi_1 U590 ( .A1(n452), .A2(op_quotient_q[4]), .B1(n421), 
+        .B2(n590), .Y(n257) );
+  sky130_fd_sc_hd__a22oi_1 U591 ( .A1(n366), .A2(alu_adder_i[4]), .B1(n328), 
+        .B2(imd_val_q_i[37]), .Y(n256) );
+  sky130_fd_sc_hd__a22oi_1 U592 ( .A1(n459), .A2(alu_adder_ext_i[5]), .B1(n451), .B2(alu_adder_ext_i[4]), .Y(n252) );
+  sky130_fd_sc_hd__o21ai_1 U593 ( .A1(n321), .A2(n548), .B1(n252), .Y(n253) );
+  sky130_fd_sc_hd__a211oi_1 U594 ( .A1(imd_val_q_i[38]), .A2(n323), .B1(n467), 
+        .C1(n253), .Y(n255) );
+  sky130_fd_sc_hd__nand4_1 U595 ( .A(n257), .B(n256), .C(n255), .D(n254), .Y(
+        imd_val_d_o[38]) );
+  sky130_fd_sc_hd__nor2_1 U596 ( .A(n258), .B(n581), .Y(n430) );
+  sky130_fd_sc_hd__a22oi_1 U597 ( .A1(n452), .A2(op_quotient_q[5]), .B1(n590), 
+        .B2(n430), .Y(n264) );
+  sky130_fd_sc_hd__a22oi_1 U598 ( .A1(n366), .A2(alu_adder_i[5]), .B1(n328), 
+        .B2(imd_val_q_i[38]), .Y(n263) );
+  sky130_fd_sc_hd__a22oi_1 U599 ( .A1(n459), .A2(alu_adder_ext_i[6]), .B1(n451), .B2(alu_adder_ext_i[5]), .Y(n259) );
+  sky130_fd_sc_hd__o21ai_1 U600 ( .A1(n321), .A2(n546), .B1(n259), .Y(n260) );
+  sky130_fd_sc_hd__a211oi_1 U601 ( .A1(imd_val_q_i[39]), .A2(n323), .B1(n467), 
+        .C1(n260), .Y(n262) );
+  sky130_fd_sc_hd__nand4_1 U602 ( .A(n264), .B(n263), .C(n262), .D(n261), .Y(
+        imd_val_d_o[39]) );
+  sky130_fd_sc_hd__a22oi_1 U603 ( .A1(n452), .A2(op_quotient_q[6]), .B1(n439), 
+        .B2(n590), .Y(n270) );
+  sky130_fd_sc_hd__a22oi_1 U604 ( .A1(n366), .A2(alu_adder_i[6]), .B1(n328), 
+        .B2(imd_val_q_i[39]), .Y(n269) );
+  sky130_fd_sc_hd__a22oi_1 U605 ( .A1(n459), .A2(alu_adder_ext_i[7]), .B1(n451), .B2(alu_adder_ext_i[6]), .Y(n265) );
+  sky130_fd_sc_hd__o21ai_1 U606 ( .A1(n321), .A2(n544), .B1(n265), .Y(n266) );
+  sky130_fd_sc_hd__a211oi_1 U607 ( .A1(imd_val_q_i[40]), .A2(n323), .B1(n467), 
+        .C1(n266), .Y(n268) );
+  sky130_fd_sc_hd__nand4_1 U608 ( .A(n270), .B(n269), .C(n268), .D(n267), .Y(
+        imd_val_d_o[40]) );
+  sky130_fd_sc_hd__a22oi_1 U609 ( .A1(n452), .A2(op_quotient_q[7]), .B1(n448), 
+        .B2(n590), .Y(n276) );
+  sky130_fd_sc_hd__a22oi_1 U610 ( .A1(n366), .A2(alu_adder_i[7]), .B1(n328), 
+        .B2(imd_val_q_i[40]), .Y(n275) );
+  sky130_fd_sc_hd__a22oi_1 U611 ( .A1(n459), .A2(alu_adder_ext_i[8]), .B1(n451), .B2(alu_adder_ext_i[7]), .Y(n271) );
+  sky130_fd_sc_hd__o21ai_1 U612 ( .A1(n321), .A2(n542), .B1(n271), .Y(n272) );
+  sky130_fd_sc_hd__a211oi_1 U613 ( .A1(imd_val_q_i[41]), .A2(n323), .B1(n467), 
+        .C1(n272), .Y(n274) );
+  sky130_fd_sc_hd__nand4_1 U614 ( .A(n276), .B(n275), .C(n274), .D(n273), .Y(
+        imd_val_d_o[41]) );
+  sky130_fd_sc_hd__nand3_1 U615 ( .A(div_counter_q[3]), .B(n358), .C(n478), 
+        .Y(n301) );
+  sky130_fd_sc_hd__nor2_1 U616 ( .A(div_counter_q[2]), .B(n301), .Y(n588) );
+  sky130_fd_sc_hd__a22oi_1 U617 ( .A1(n452), .A2(op_quotient_q[8]), .B1(n421), 
+        .B2(n588), .Y(n282) );
+  sky130_fd_sc_hd__a22oi_1 U618 ( .A1(n366), .A2(alu_adder_i[8]), .B1(n328), 
+        .B2(imd_val_q_i[41]), .Y(n281) );
+  sky130_fd_sc_hd__a22oi_1 U619 ( .A1(n459), .A2(alu_adder_ext_i[9]), .B1(n451), .B2(alu_adder_ext_i[8]), .Y(n277) );
+  sky130_fd_sc_hd__o21ai_1 U620 ( .A1(n321), .A2(n540), .B1(n277), .Y(n278) );
+  sky130_fd_sc_hd__a211oi_1 U621 ( .A1(imd_val_q_i[42]), .A2(n323), .B1(n467), 
+        .C1(n278), .Y(n280) );
+  sky130_fd_sc_hd__nand4_1 U622 ( .A(n282), .B(n281), .C(n280), .D(n279), .Y(
+        imd_val_d_o[42]) );
+  sky130_fd_sc_hd__a22oi_1 U623 ( .A1(n452), .A2(op_quotient_q[9]), .B1(n430), 
+        .B2(n588), .Y(n288) );
+  sky130_fd_sc_hd__a22oi_1 U624 ( .A1(n366), .A2(alu_adder_i[9]), .B1(n328), 
+        .B2(imd_val_q_i[42]), .Y(n287) );
+  sky130_fd_sc_hd__a22oi_1 U625 ( .A1(n459), .A2(alu_adder_ext_i[10]), .B1(
+        n451), .B2(alu_adder_ext_i[9]), .Y(n283) );
+  sky130_fd_sc_hd__o21ai_1 U626 ( .A1(n321), .A2(n538), .B1(n283), .Y(n284) );
+  sky130_fd_sc_hd__a211oi_1 U627 ( .A1(imd_val_q_i[43]), .A2(n323), .B1(n467), 
+        .C1(n284), .Y(n286) );
+  sky130_fd_sc_hd__nand4_1 U628 ( .A(n288), .B(n287), .C(n286), .D(n285), .Y(
+        imd_val_d_o[43]) );
+  sky130_fd_sc_hd__a22oi_1 U629 ( .A1(n452), .A2(op_quotient_q[10]), .B1(n439), 
+        .B2(n588), .Y(n294) );
+  sky130_fd_sc_hd__a22oi_1 U630 ( .A1(n449), .A2(alu_adder_i[10]), .B1(n328), 
+        .B2(imd_val_q_i[43]), .Y(n293) );
+  sky130_fd_sc_hd__a22oi_1 U631 ( .A1(n459), .A2(alu_adder_ext_i[11]), .B1(
+        n451), .B2(alu_adder_ext_i[10]), .Y(n289) );
+  sky130_fd_sc_hd__o21ai_1 U632 ( .A1(n321), .A2(n536), .B1(n289), .Y(n290) );
+  sky130_fd_sc_hd__a211oi_1 U633 ( .A1(imd_val_q_i[44]), .A2(n323), .B1(n467), 
+        .C1(n290), .Y(n292) );
+  sky130_fd_sc_hd__nand4_1 U634 ( .A(n294), .B(n293), .C(n292), .D(n291), .Y(
+        imd_val_d_o[44]) );
+  sky130_fd_sc_hd__a22oi_1 U635 ( .A1(n452), .A2(op_quotient_q[11]), .B1(n448), 
+        .B2(n588), .Y(n300) );
+  sky130_fd_sc_hd__a22oi_1 U636 ( .A1(n449), .A2(alu_adder_i[11]), .B1(n328), 
+        .B2(imd_val_q_i[44]), .Y(n299) );
+  sky130_fd_sc_hd__a22oi_1 U637 ( .A1(n459), .A2(alu_adder_ext_i[12]), .B1(
+        n451), .B2(alu_adder_ext_i[11]), .Y(n295) );
+  sky130_fd_sc_hd__o21ai_1 U638 ( .A1(n321), .A2(n534), .B1(n295), .Y(n296) );
+  sky130_fd_sc_hd__a211oi_1 U639 ( .A1(imd_val_q_i[45]), .A2(n323), .B1(n467), 
+        .C1(n296), .Y(n298) );
+  sky130_fd_sc_hd__nand4_1 U640 ( .A(n300), .B(n299), .C(n298), .D(n297), .Y(
+        imd_val_d_o[45]) );
+  sky130_fd_sc_hd__nor2_1 U641 ( .A(n486), .B(n301), .Y(n587) );
+  sky130_fd_sc_hd__a22oi_1 U642 ( .A1(n452), .A2(op_quotient_q[12]), .B1(n421), 
+        .B2(n587), .Y(n307) );
+  sky130_fd_sc_hd__a22oi_1 U643 ( .A1(n449), .A2(alu_adder_i[12]), .B1(n328), 
+        .B2(imd_val_q_i[45]), .Y(n306) );
+  sky130_fd_sc_hd__a22oi_1 U644 ( .A1(n459), .A2(alu_adder_ext_i[13]), .B1(
+        n451), .B2(alu_adder_ext_i[12]), .Y(n302) );
+  sky130_fd_sc_hd__o21ai_1 U645 ( .A1(n321), .A2(n532), .B1(n302), .Y(n303) );
+  sky130_fd_sc_hd__a211oi_1 U646 ( .A1(imd_val_q_i[46]), .A2(n323), .B1(n467), 
+        .C1(n303), .Y(n305) );
+  sky130_fd_sc_hd__nand4_1 U647 ( .A(n307), .B(n306), .C(n305), .D(n304), .Y(
+        imd_val_d_o[46]) );
+  sky130_fd_sc_hd__a22oi_1 U648 ( .A1(n452), .A2(op_quotient_q[13]), .B1(n430), 
+        .B2(n587), .Y(n313) );
+  sky130_fd_sc_hd__a22oi_1 U649 ( .A1(n449), .A2(alu_adder_i[13]), .B1(n328), 
+        .B2(imd_val_q_i[46]), .Y(n312) );
+  sky130_fd_sc_hd__a22oi_1 U650 ( .A1(n459), .A2(alu_adder_ext_i[14]), .B1(
+        n451), .B2(alu_adder_ext_i[13]), .Y(n308) );
+  sky130_fd_sc_hd__o21ai_1 U651 ( .A1(n321), .A2(n530), .B1(n308), .Y(n309) );
+  sky130_fd_sc_hd__a211oi_1 U652 ( .A1(imd_val_q_i[47]), .A2(n323), .B1(n467), 
+        .C1(n309), .Y(n311) );
+  sky130_fd_sc_hd__nand4_1 U653 ( .A(n313), .B(n312), .C(n311), .D(n310), .Y(
+        imd_val_d_o[47]) );
+  sky130_fd_sc_hd__a22oi_1 U654 ( .A1(n452), .A2(op_quotient_q[14]), .B1(n439), 
+        .B2(n587), .Y(n319) );
+  sky130_fd_sc_hd__a22oi_1 U655 ( .A1(n449), .A2(alu_adder_i[14]), .B1(n328), 
+        .B2(imd_val_q_i[47]), .Y(n318) );
+  sky130_fd_sc_hd__a22oi_1 U656 ( .A1(n459), .A2(alu_adder_ext_i[15]), .B1(
+        n451), .B2(alu_adder_ext_i[14]), .Y(n314) );
+  sky130_fd_sc_hd__o21ai_1 U657 ( .A1(n321), .A2(n528), .B1(n314), .Y(n315) );
+  sky130_fd_sc_hd__a211oi_1 U658 ( .A1(imd_val_q_i[48]), .A2(n323), .B1(n467), 
+        .C1(n315), .Y(n317) );
+  sky130_fd_sc_hd__nand4_1 U659 ( .A(n319), .B(n318), .C(n317), .D(n316), .Y(
+        imd_val_d_o[48]) );
+  sky130_fd_sc_hd__a22oi_1 U660 ( .A1(n452), .A2(op_quotient_q[15]), .B1(n448), 
+        .B2(n587), .Y(n327) );
+  sky130_fd_sc_hd__a22oi_1 U661 ( .A1(n449), .A2(alu_adder_i[15]), .B1(n328), 
+        .B2(imd_val_q_i[48]), .Y(n326) );
+  sky130_fd_sc_hd__a22oi_1 U662 ( .A1(n459), .A2(alu_adder_ext_i[16]), .B1(
+        n451), .B2(alu_adder_ext_i[15]), .Y(n320) );
+  sky130_fd_sc_hd__o21ai_1 U663 ( .A1(n321), .A2(n526), .B1(n320), .Y(n322) );
+  sky130_fd_sc_hd__a211oi_1 U664 ( .A1(imd_val_q_i[49]), .A2(n323), .B1(n467), 
+        .C1(n322), .Y(n325) );
+  sky130_fd_sc_hd__nand4_1 U665 ( .A(n327), .B(n326), .C(n325), .D(n324), .Y(
+        imd_val_d_o[49]) );
+  sky130_fd_sc_hd__a22oi_1 U666 ( .A1(n450), .A2(op_a_i[16]), .B1(n451), .B2(
+        alu_adder_ext_i[16]), .Y(n335) );
+  sky130_fd_sc_hd__clkinv_1 U667 ( .A(n328), .Y(n456) );
+  sky130_fd_sc_hd__and3_1 U668 ( .A(n358), .B(div_counter_q[4]), .C(n329), .X(
+        n586) );
+  sky130_fd_sc_hd__a22oi_1 U669 ( .A1(n459), .A2(alu_adder_ext_i[17]), .B1(
+        n421), .B2(n586), .Y(n331) );
+  sky130_fd_sc_hd__a22oi_1 U670 ( .A1(n452), .A2(op_quotient_q[16]), .B1(n366), 
+        .B2(alu_adder_i[16]), .Y(n330) );
+  sky130_fd_sc_hd__o211ai_1 U671 ( .A1(n456), .A2(n332), .B1(n331), .C1(n330), 
+        .Y(n333) );
+  sky130_fd_sc_hd__a21oi_1 U672 ( .A1(imd_val_q_i[50]), .A2(n458), .B1(n333), 
+        .Y(n334) );
+  sky130_fd_sc_hd__clkinv_1 U673 ( .A(n467), .Y(n472) );
+  sky130_fd_sc_hd__nand4_1 U674 ( .A(n336), .B(n335), .C(n334), .D(n472), .Y(
+        imd_val_d_o[50]) );
+  sky130_fd_sc_hd__a22oi_1 U675 ( .A1(n450), .A2(op_a_i[17]), .B1(n451), .B2(
+        alu_adder_ext_i[17]), .Y(n342) );
+  sky130_fd_sc_hd__a22oi_1 U676 ( .A1(n459), .A2(alu_adder_ext_i[18]), .B1(
+        n430), .B2(n586), .Y(n338) );
+  sky130_fd_sc_hd__a22oi_1 U677 ( .A1(n452), .A2(op_quotient_q[17]), .B1(n366), 
+        .B2(alu_adder_i[17]), .Y(n337) );
+  sky130_fd_sc_hd__o211ai_1 U678 ( .A1(n456), .A2(n339), .B1(n338), .C1(n337), 
+        .Y(n340) );
+  sky130_fd_sc_hd__a21oi_1 U679 ( .A1(imd_val_q_i[51]), .A2(n458), .B1(n340), 
+        .Y(n341) );
+  sky130_fd_sc_hd__nand4_1 U680 ( .A(n343), .B(n342), .C(n341), .D(n472), .Y(
+        imd_val_d_o[51]) );
+  sky130_fd_sc_hd__a22oi_1 U681 ( .A1(n450), .A2(op_a_i[18]), .B1(n451), .B2(
+        alu_adder_ext_i[18]), .Y(n349) );
+  sky130_fd_sc_hd__a22oi_1 U682 ( .A1(n459), .A2(alu_adder_ext_i[19]), .B1(
+        n439), .B2(n586), .Y(n345) );
+  sky130_fd_sc_hd__a22oi_1 U683 ( .A1(n452), .A2(op_quotient_q[18]), .B1(n366), 
+        .B2(alu_adder_i[18]), .Y(n344) );
+  sky130_fd_sc_hd__o211ai_1 U684 ( .A1(n456), .A2(n346), .B1(n345), .C1(n344), 
+        .Y(n347) );
+  sky130_fd_sc_hd__a21oi_1 U685 ( .A1(imd_val_q_i[52]), .A2(n458), .B1(n347), 
+        .Y(n348) );
+  sky130_fd_sc_hd__nand4_1 U686 ( .A(n350), .B(n349), .C(n348), .D(n472), .Y(
+        imd_val_d_o[52]) );
+  sky130_fd_sc_hd__a22oi_1 U687 ( .A1(n450), .A2(op_a_i[19]), .B1(n451), .B2(
+        alu_adder_ext_i[19]), .Y(n356) );
+  sky130_fd_sc_hd__a22oi_1 U688 ( .A1(n459), .A2(alu_adder_ext_i[20]), .B1(
+        n448), .B2(n586), .Y(n352) );
+  sky130_fd_sc_hd__a22oi_1 U689 ( .A1(n452), .A2(op_quotient_q[19]), .B1(n449), 
+        .B2(alu_adder_i[19]), .Y(n351) );
+  sky130_fd_sc_hd__o211ai_1 U690 ( .A1(n456), .A2(n353), .B1(n352), .C1(n351), 
+        .Y(n354) );
+  sky130_fd_sc_hd__a21oi_1 U691 ( .A1(imd_val_q_i[53]), .A2(n458), .B1(n354), 
+        .Y(n355) );
+  sky130_fd_sc_hd__nand4_1 U692 ( .A(n357), .B(n356), .C(n355), .D(n472), .Y(
+        imd_val_d_o[53]) );
+  sky130_fd_sc_hd__a22oi_1 U693 ( .A1(n450), .A2(op_a_i[20]), .B1(n451), .B2(
+        alu_adder_ext_i[20]), .Y(n364) );
+  sky130_fd_sc_hd__nand2_1 U694 ( .A(n358), .B(div_counter_q[4]), .Y(n420) );
+  sky130_fd_sc_hd__nor3_1 U695 ( .A(div_counter_q[3]), .B(n486), .C(n420), .Y(
+        n585) );
+  sky130_fd_sc_hd__a22oi_1 U696 ( .A1(n459), .A2(alu_adder_ext_i[21]), .B1(
+        n421), .B2(n585), .Y(n360) );
+  sky130_fd_sc_hd__a22oi_1 U697 ( .A1(n452), .A2(op_quotient_q[20]), .B1(n366), 
+        .B2(alu_adder_i[20]), .Y(n359) );
+  sky130_fd_sc_hd__o211ai_1 U698 ( .A1(n456), .A2(n361), .B1(n360), .C1(n359), 
+        .Y(n362) );
+  sky130_fd_sc_hd__a21oi_1 U699 ( .A1(imd_val_q_i[54]), .A2(n458), .B1(n362), 
+        .Y(n363) );
+  sky130_fd_sc_hd__nand4_1 U700 ( .A(n365), .B(n364), .C(n363), .D(n472), .Y(
+        imd_val_d_o[54]) );
+  sky130_fd_sc_hd__a22oi_1 U701 ( .A1(n450), .A2(op_a_i[21]), .B1(n451), .B2(
+        alu_adder_ext_i[21]), .Y(n372) );
+  sky130_fd_sc_hd__a22oi_1 U702 ( .A1(n459), .A2(alu_adder_ext_i[22]), .B1(
+        n430), .B2(n585), .Y(n368) );
+  sky130_fd_sc_hd__a22oi_1 U703 ( .A1(n452), .A2(op_quotient_q[21]), .B1(n366), 
+        .B2(alu_adder_i[21]), .Y(n367) );
+  sky130_fd_sc_hd__o211ai_1 U704 ( .A1(n456), .A2(n369), .B1(n368), .C1(n367), 
+        .Y(n370) );
+  sky130_fd_sc_hd__a21oi_1 U705 ( .A1(imd_val_q_i[55]), .A2(n458), .B1(n370), 
+        .Y(n371) );
+  sky130_fd_sc_hd__nand4_1 U706 ( .A(n373), .B(n372), .C(n371), .D(n472), .Y(
+        imd_val_d_o[55]) );
+  sky130_fd_sc_hd__a22oi_1 U707 ( .A1(n450), .A2(op_a_i[22]), .B1(n451), .B2(
+        alu_adder_ext_i[22]), .Y(n379) );
+  sky130_fd_sc_hd__a22oi_1 U708 ( .A1(n459), .A2(alu_adder_ext_i[23]), .B1(
+        n439), .B2(n585), .Y(n375) );
+  sky130_fd_sc_hd__a22oi_1 U709 ( .A1(n452), .A2(op_quotient_q[22]), .B1(n449), 
+        .B2(alu_adder_i[22]), .Y(n374) );
+  sky130_fd_sc_hd__o211ai_1 U710 ( .A1(n456), .A2(n376), .B1(n375), .C1(n374), 
+        .Y(n377) );
+  sky130_fd_sc_hd__a21oi_1 U711 ( .A1(imd_val_q_i[56]), .A2(n458), .B1(n377), 
+        .Y(n378) );
+  sky130_fd_sc_hd__nand4_1 U712 ( .A(n380), .B(n379), .C(n378), .D(n472), .Y(
+        imd_val_d_o[56]) );
+  sky130_fd_sc_hd__a22oi_1 U713 ( .A1(n450), .A2(op_a_i[23]), .B1(n451), .B2(
+        alu_adder_ext_i[23]), .Y(n386) );
+  sky130_fd_sc_hd__a22oi_1 U714 ( .A1(n459), .A2(alu_adder_ext_i[24]), .B1(
+        n448), .B2(n585), .Y(n382) );
+  sky130_fd_sc_hd__a22oi_1 U715 ( .A1(n452), .A2(op_quotient_q[23]), .B1(n449), 
+        .B2(alu_adder_i[23]), .Y(n381) );
+  sky130_fd_sc_hd__o211ai_1 U716 ( .A1(n456), .A2(n383), .B1(n382), .C1(n381), 
+        .Y(n384) );
+  sky130_fd_sc_hd__a21oi_1 U717 ( .A1(imd_val_q_i[57]), .A2(n458), .B1(n384), 
+        .Y(n385) );
+  sky130_fd_sc_hd__nand4_1 U718 ( .A(n387), .B(n386), .C(n385), .D(n472), .Y(
+        imd_val_d_o[57]) );
+  sky130_fd_sc_hd__clkinv_1 U719 ( .A(div_counter_q[3]), .Y(n489) );
+  sky130_fd_sc_hd__nor3_1 U720 ( .A(div_counter_q[2]), .B(n489), .C(n420), .Y(
+        n584) );
+  sky130_fd_sc_hd__a22oi_1 U721 ( .A1(n421), .A2(n584), .B1(n449), .B2(
+        alu_adder_i[24]), .Y(n394) );
+  sky130_fd_sc_hd__o21ai_1 U722 ( .A1(n560), .A2(op_a_i[24]), .B1(n450), .Y(
+        n389) );
+  sky130_fd_sc_hd__a22oi_1 U723 ( .A1(n452), .A2(op_quotient_q[24]), .B1(n451), 
+        .B2(alu_adder_ext_i[24]), .Y(n388) );
+  sky130_fd_sc_hd__o211ai_1 U724 ( .A1(n456), .A2(n390), .B1(n389), .C1(n388), 
+        .Y(n391) );
+  sky130_fd_sc_hd__a21oi_1 U725 ( .A1(imd_val_q_i[58]), .A2(n458), .B1(n391), 
+        .Y(n393) );
+  sky130_fd_sc_hd__nand2_1 U726 ( .A(n459), .B(alu_adder_ext_i[25]), .Y(n392)
+         );
+  sky130_fd_sc_hd__nand4_1 U727 ( .A(n395), .B(n394), .C(n393), .D(n392), .Y(
+        imd_val_d_o[58]) );
+  sky130_fd_sc_hd__a22oi_1 U728 ( .A1(n449), .A2(alu_adder_i[25]), .B1(n430), 
+        .B2(n584), .Y(n402) );
+  sky130_fd_sc_hd__o21ai_1 U729 ( .A1(n560), .A2(op_a_i[25]), .B1(n450), .Y(
+        n397) );
+  sky130_fd_sc_hd__a22oi_1 U730 ( .A1(n452), .A2(op_quotient_q[25]), .B1(n451), 
+        .B2(alu_adder_ext_i[25]), .Y(n396) );
+  sky130_fd_sc_hd__o211ai_1 U731 ( .A1(n456), .A2(n398), .B1(n397), .C1(n396), 
+        .Y(n399) );
+  sky130_fd_sc_hd__a21oi_1 U732 ( .A1(imd_val_q_i[59]), .A2(n458), .B1(n399), 
+        .Y(n401) );
+  sky130_fd_sc_hd__nand2_1 U733 ( .A(n459), .B(alu_adder_ext_i[26]), .Y(n400)
+         );
+  sky130_fd_sc_hd__nand4_1 U734 ( .A(n403), .B(n402), .C(n401), .D(n400), .Y(
+        imd_val_d_o[59]) );
+  sky130_fd_sc_hd__a22oi_1 U735 ( .A1(n449), .A2(alu_adder_i[26]), .B1(n439), 
+        .B2(n584), .Y(n410) );
+  sky130_fd_sc_hd__o21ai_1 U736 ( .A1(n560), .A2(op_a_i[26]), .B1(n450), .Y(
+        n405) );
+  sky130_fd_sc_hd__a22oi_1 U737 ( .A1(n452), .A2(op_quotient_q[26]), .B1(n451), 
+        .B2(alu_adder_ext_i[26]), .Y(n404) );
+  sky130_fd_sc_hd__o211ai_1 U738 ( .A1(n456), .A2(n406), .B1(n405), .C1(n404), 
+        .Y(n407) );
+  sky130_fd_sc_hd__a21oi_1 U739 ( .A1(imd_val_q_i[60]), .A2(n458), .B1(n407), 
+        .Y(n409) );
+  sky130_fd_sc_hd__nand2_1 U740 ( .A(n459), .B(alu_adder_ext_i[27]), .Y(n408)
+         );
+  sky130_fd_sc_hd__nand4_1 U741 ( .A(n411), .B(n410), .C(n409), .D(n408), .Y(
+        imd_val_d_o[60]) );
+  sky130_fd_sc_hd__a22oi_1 U742 ( .A1(n449), .A2(alu_adder_i[27]), .B1(n448), 
+        .B2(n584), .Y(n418) );
+  sky130_fd_sc_hd__o21ai_1 U743 ( .A1(n560), .A2(op_a_i[27]), .B1(n450), .Y(
+        n413) );
+  sky130_fd_sc_hd__a22oi_1 U744 ( .A1(n452), .A2(op_quotient_q[27]), .B1(n451), 
+        .B2(alu_adder_ext_i[27]), .Y(n412) );
+  sky130_fd_sc_hd__o211ai_1 U745 ( .A1(n456), .A2(n414), .B1(n413), .C1(n412), 
+        .Y(n415) );
+  sky130_fd_sc_hd__a21oi_1 U746 ( .A1(imd_val_q_i[61]), .A2(n458), .B1(n415), 
+        .Y(n417) );
+  sky130_fd_sc_hd__nand2_1 U747 ( .A(n459), .B(alu_adder_ext_i[28]), .Y(n416)
+         );
+  sky130_fd_sc_hd__nand4_1 U748 ( .A(n419), .B(n418), .C(n417), .D(n416), .Y(
+        imd_val_d_o[61]) );
+  sky130_fd_sc_hd__nor3_1 U749 ( .A(n489), .B(n486), .C(n420), .Y(n583) );
+  sky130_fd_sc_hd__a22oi_1 U750 ( .A1(n421), .A2(n583), .B1(n449), .B2(
+        alu_adder_i[28]), .Y(n428) );
+  sky130_fd_sc_hd__o21ai_1 U751 ( .A1(n560), .A2(op_a_i[28]), .B1(n450), .Y(
+        n423) );
+  sky130_fd_sc_hd__a22oi_1 U752 ( .A1(n452), .A2(op_quotient_q[28]), .B1(n451), 
+        .B2(alu_adder_ext_i[28]), .Y(n422) );
+  sky130_fd_sc_hd__o211ai_1 U753 ( .A1(n456), .A2(n424), .B1(n423), .C1(n422), 
+        .Y(n425) );
+  sky130_fd_sc_hd__a21oi_1 U754 ( .A1(imd_val_q_i[62]), .A2(n458), .B1(n425), 
+        .Y(n427) );
+  sky130_fd_sc_hd__nand2_1 U755 ( .A(n459), .B(alu_adder_ext_i[29]), .Y(n426)
+         );
+  sky130_fd_sc_hd__nand4_1 U756 ( .A(n429), .B(n428), .C(n427), .D(n426), .Y(
+        imd_val_d_o[62]) );
+  sky130_fd_sc_hd__a22oi_1 U757 ( .A1(n449), .A2(alu_adder_i[29]), .B1(n430), 
+        .B2(n583), .Y(n437) );
+  sky130_fd_sc_hd__o21ai_1 U758 ( .A1(n560), .A2(op_a_i[29]), .B1(n450), .Y(
+        n432) );
+  sky130_fd_sc_hd__a22oi_1 U759 ( .A1(n452), .A2(op_quotient_q[29]), .B1(n451), 
+        .B2(alu_adder_ext_i[29]), .Y(n431) );
+  sky130_fd_sc_hd__o211ai_1 U760 ( .A1(n456), .A2(n433), .B1(n432), .C1(n431), 
+        .Y(n434) );
+  sky130_fd_sc_hd__a21oi_1 U761 ( .A1(imd_val_q_i[63]), .A2(n458), .B1(n434), 
+        .Y(n436) );
+  sky130_fd_sc_hd__nand2_1 U762 ( .A(n459), .B(alu_adder_ext_i[30]), .Y(n435)
+         );
+  sky130_fd_sc_hd__nand4_1 U763 ( .A(n438), .B(n437), .C(n436), .D(n435), .Y(
+        imd_val_d_o[63]) );
+  sky130_fd_sc_hd__a22oi_1 U764 ( .A1(n449), .A2(alu_adder_i[30]), .B1(n439), 
+        .B2(n583), .Y(n446) );
+  sky130_fd_sc_hd__o21ai_1 U765 ( .A1(n560), .A2(op_a_i[30]), .B1(n450), .Y(
+        n441) );
+  sky130_fd_sc_hd__a22oi_1 U766 ( .A1(n452), .A2(op_quotient_q[30]), .B1(n451), 
+        .B2(alu_adder_ext_i[30]), .Y(n440) );
+  sky130_fd_sc_hd__o211ai_1 U767 ( .A1(n456), .A2(n442), .B1(n441), .C1(n440), 
+        .Y(n443) );
+  sky130_fd_sc_hd__a21oi_1 U768 ( .A1(imd_val_q_i[64]), .A2(n458), .B1(n443), 
+        .Y(n445) );
+  sky130_fd_sc_hd__nand2_1 U769 ( .A(n459), .B(alu_adder_ext_i[31]), .Y(n444)
+         );
+  sky130_fd_sc_hd__nand4_1 U770 ( .A(n447), .B(n446), .C(n445), .D(n444), .Y(
+        imd_val_d_o[64]) );
+  sky130_fd_sc_hd__a22oi_1 U771 ( .A1(n449), .A2(alu_adder_i[31]), .B1(n448), 
+        .B2(n583), .Y(n462) );
+  sky130_fd_sc_hd__o21ai_1 U772 ( .A1(n560), .A2(op_a_i[31]), .B1(n450), .Y(
+        n454) );
+  sky130_fd_sc_hd__a22oi_1 U773 ( .A1(n452), .A2(op_quotient_q[31]), .B1(n451), 
+        .B2(alu_adder_ext_i[31]), .Y(n453) );
+  sky130_fd_sc_hd__o211ai_1 U774 ( .A1(n456), .A2(n455), .B1(n454), .C1(n453), 
+        .Y(n457) );
+  sky130_fd_sc_hd__a21oi_1 U775 ( .A1(imd_val_q_i[65]), .A2(n458), .B1(n457), 
+        .Y(n461) );
+  sky130_fd_sc_hd__nand2_1 U776 ( .A(alu_adder_ext_i[32]), .B(n459), .Y(n460)
+         );
+  sky130_fd_sc_hd__nand4_1 U777 ( .A(n463), .B(n462), .C(n461), .D(n460), .Y(
+        imd_val_d_o[65]) );
+  sky130_fd_sc_hd__clkinv_1 U778 ( .A(n464), .Y(n471) );
+  sky130_fd_sc_hd__a22oi_1 U779 ( .A1(n471), .A2(imd_val_q_i[66]), .B1(n465), 
+        .B2(mac_res_signed[32]), .Y(n470) );
+  sky130_fd_sc_hd__clkinv_1 U780 ( .A(n466), .Y(n468) );
+  sky130_fd_sc_hd__a31oi_1 U781 ( .A1(alu_adder_ext_i[32]), .A2(
+        imd_val_q_i[65]), .A3(n468), .B1(n467), .Y(n469) );
+  sky130_fd_sc_hd__nand2_1 U782 ( .A(n470), .B(n469), .Y(imd_val_d_o[66]) );
+  sky130_fd_sc_hd__a22oi_1 U783 ( .A1(n471), .A2(imd_val_q_i[67]), .B1(n465), 
+        .B2(mac_res_signed[33]), .Y(n473) );
+  sky130_fd_sc_hd__nand2_1 U784 ( .A(n473), .B(n472), .Y(imd_val_d_o[67]) );
+  sky130_fd_sc_hd__nand3_1 U785 ( .A(imd_val_we_o[1]), .B(n479), .C(n474), .Y(
+        n487) );
+  sky130_fd_sc_hd__o221ai_1 U786 ( .A1(imd_val_we_o[1]), .A2(n580), .B1(n575), 
+        .B2(n475), .C1(n487), .Y(n718) );
+  sky130_fd_sc_hd__clkinv_1 U787 ( .A(n476), .Y(n477) );
+  sky130_fd_sc_hd__o221ai_1 U788 ( .A1(imd_val_we_o[1]), .A2(n478), .B1(n575), 
+        .B2(n477), .C1(n487), .Y(n717) );
+  sky130_fd_sc_hd__nand2_1 U789 ( .A(equal_to_zero_i), .B(n479), .Y(n569) );
+  sky130_fd_sc_hd__nand2_1 U790 ( .A(n572), .B(n569), .Y(n481) );
+  sky130_fd_sc_hd__o21ai_1 U791 ( .A1(n572), .A2(n578), .B1(md_state_q[1]), 
+        .Y(n480) );
+  sky130_fd_sc_hd__nor2_1 U792 ( .A(md_state_q[2]), .B(n480), .Y(n568) );
+  sky130_fd_sc_hd__a21oi_1 U793 ( .A1(n483), .A2(n481), .B1(n568), .Y(n482) );
+  sky130_fd_sc_hd__o22ai_1 U794 ( .A1(imd_val_we_o[1]), .A2(n483), .B1(n575), 
+        .B2(n482), .Y(n716) );
+  sky130_fd_sc_hd__o221ai_1 U795 ( .A1(imd_val_we_o[1]), .A2(n484), .B1(n575), 
+        .B2(div_counter_q[0]), .C1(n487), .Y(n715) );
+  sky130_fd_sc_hd__o221ai_1 U796 ( .A1(imd_val_we_o[1]), .A2(n486), .B1(n575), 
+        .B2(n485), .C1(n487), .Y(n714) );
+  sky130_fd_sc_hd__o221ai_1 U797 ( .A1(imd_val_we_o[1]), .A2(n489), .B1(n575), 
+        .B2(n488), .C1(n487), .Y(n713) );
+  sky130_fd_sc_hd__nand2_1 U798 ( .A(n490), .B(imd_val_we_o[1]), .Y(n598) );
+  sky130_fd_sc_hd__or2_0 U799 ( .A(n598), .B(n491), .X(n557) );
+  sky130_fd_sc_hd__nor2_1 U800 ( .A(n492), .B(n598), .Y(n555) );
+  sky130_fd_sc_hd__a22oi_1 U801 ( .A1(op_numerator_q[31]), .A2(n598), .B1(
+        alu_adder_i[31]), .B2(n555), .Y(n493) );
+  sky130_fd_sc_hd__o21ai_1 U802 ( .A1(n494), .A2(n557), .B1(n493), .Y(n712) );
+  sky130_fd_sc_hd__a22oi_1 U803 ( .A1(op_numerator_q[30]), .A2(n598), .B1(
+        alu_adder_i[30]), .B2(n555), .Y(n495) );
+  sky130_fd_sc_hd__o21ai_1 U804 ( .A1(n496), .A2(n557), .B1(n495), .Y(n711) );
+  sky130_fd_sc_hd__a22oi_1 U805 ( .A1(op_numerator_q[29]), .A2(n598), .B1(
+        alu_adder_i[29]), .B2(n555), .Y(n497) );
+  sky130_fd_sc_hd__o21ai_1 U806 ( .A1(n498), .A2(n557), .B1(n497), .Y(n710) );
+  sky130_fd_sc_hd__a22oi_1 U807 ( .A1(op_numerator_q[28]), .A2(n598), .B1(
+        alu_adder_i[28]), .B2(n555), .Y(n499) );
+  sky130_fd_sc_hd__o21ai_1 U808 ( .A1(n500), .A2(n557), .B1(n499), .Y(n709) );
+  sky130_fd_sc_hd__a22oi_1 U809 ( .A1(op_numerator_q[27]), .A2(n598), .B1(
+        alu_adder_i[27]), .B2(n555), .Y(n501) );
+  sky130_fd_sc_hd__o21ai_1 U810 ( .A1(n502), .A2(n557), .B1(n501), .Y(n708) );
+  sky130_fd_sc_hd__a22oi_1 U811 ( .A1(op_numerator_q[26]), .A2(n598), .B1(
+        alu_adder_i[26]), .B2(n555), .Y(n503) );
+  sky130_fd_sc_hd__o21ai_1 U812 ( .A1(n504), .A2(n557), .B1(n503), .Y(n707) );
+  sky130_fd_sc_hd__a22oi_1 U813 ( .A1(op_numerator_q[25]), .A2(n598), .B1(
+        alu_adder_i[25]), .B2(n555), .Y(n505) );
+  sky130_fd_sc_hd__o21ai_1 U814 ( .A1(n506), .A2(n557), .B1(n505), .Y(n706) );
+  sky130_fd_sc_hd__a22oi_1 U815 ( .A1(op_numerator_q[24]), .A2(n598), .B1(
+        alu_adder_i[24]), .B2(n555), .Y(n507) );
+  sky130_fd_sc_hd__o21ai_1 U816 ( .A1(n508), .A2(n557), .B1(n507), .Y(n705) );
+  sky130_fd_sc_hd__a22oi_1 U817 ( .A1(op_numerator_q[23]), .A2(n598), .B1(
+        alu_adder_i[23]), .B2(n555), .Y(n509) );
+  sky130_fd_sc_hd__o21ai_1 U818 ( .A1(n510), .A2(n557), .B1(n509), .Y(n704) );
+  sky130_fd_sc_hd__a22oi_1 U819 ( .A1(op_numerator_q[22]), .A2(n598), .B1(
+        alu_adder_i[22]), .B2(n555), .Y(n511) );
+  sky130_fd_sc_hd__o21ai_1 U820 ( .A1(n512), .A2(n557), .B1(n511), .Y(n703) );
+  sky130_fd_sc_hd__a22oi_1 U821 ( .A1(op_numerator_q[21]), .A2(n598), .B1(
+        alu_adder_i[21]), .B2(n555), .Y(n513) );
+  sky130_fd_sc_hd__o21ai_1 U822 ( .A1(n514), .A2(n557), .B1(n513), .Y(n702) );
+  sky130_fd_sc_hd__a22oi_1 U823 ( .A1(op_numerator_q[20]), .A2(n598), .B1(
+        alu_adder_i[20]), .B2(n555), .Y(n515) );
+  sky130_fd_sc_hd__o21ai_1 U824 ( .A1(n516), .A2(n557), .B1(n515), .Y(n701) );
+  sky130_fd_sc_hd__a22oi_1 U825 ( .A1(op_numerator_q[19]), .A2(n598), .B1(
+        alu_adder_i[19]), .B2(n555), .Y(n517) );
+  sky130_fd_sc_hd__o21ai_1 U826 ( .A1(n518), .A2(n557), .B1(n517), .Y(n700) );
+  sky130_fd_sc_hd__a22oi_1 U827 ( .A1(op_numerator_q[18]), .A2(n598), .B1(
+        alu_adder_i[18]), .B2(n555), .Y(n519) );
+  sky130_fd_sc_hd__o21ai_1 U828 ( .A1(n520), .A2(n557), .B1(n519), .Y(n699) );
+  sky130_fd_sc_hd__a22oi_1 U829 ( .A1(op_numerator_q[17]), .A2(n598), .B1(
+        alu_adder_i[17]), .B2(n555), .Y(n521) );
+  sky130_fd_sc_hd__o21ai_1 U830 ( .A1(n522), .A2(n557), .B1(n521), .Y(n698) );
+  sky130_fd_sc_hd__a22oi_1 U831 ( .A1(op_numerator_q[16]), .A2(n598), .B1(
+        alu_adder_i[16]), .B2(n555), .Y(n523) );
+  sky130_fd_sc_hd__o21ai_1 U832 ( .A1(n524), .A2(n557), .B1(n523), .Y(n697) );
+  sky130_fd_sc_hd__a22oi_1 U833 ( .A1(op_numerator_q[15]), .A2(n598), .B1(
+        alu_adder_i[15]), .B2(n555), .Y(n525) );
+  sky130_fd_sc_hd__o21ai_1 U834 ( .A1(n526), .A2(n557), .B1(n525), .Y(n696) );
+  sky130_fd_sc_hd__a22oi_1 U835 ( .A1(op_numerator_q[14]), .A2(n598), .B1(
+        alu_adder_i[14]), .B2(n555), .Y(n527) );
+  sky130_fd_sc_hd__o21ai_1 U836 ( .A1(n528), .A2(n557), .B1(n527), .Y(n695) );
+  sky130_fd_sc_hd__a22oi_1 U837 ( .A1(op_numerator_q[13]), .A2(n598), .B1(
+        alu_adder_i[13]), .B2(n555), .Y(n529) );
+  sky130_fd_sc_hd__o21ai_1 U838 ( .A1(n530), .A2(n557), .B1(n529), .Y(n694) );
+  sky130_fd_sc_hd__a22oi_1 U839 ( .A1(op_numerator_q[12]), .A2(n598), .B1(
+        alu_adder_i[12]), .B2(n555), .Y(n531) );
+  sky130_fd_sc_hd__o21ai_1 U840 ( .A1(n532), .A2(n557), .B1(n531), .Y(n693) );
+  sky130_fd_sc_hd__a22oi_1 U841 ( .A1(op_numerator_q[11]), .A2(n598), .B1(
+        alu_adder_i[11]), .B2(n555), .Y(n533) );
+  sky130_fd_sc_hd__o21ai_1 U842 ( .A1(n534), .A2(n557), .B1(n533), .Y(n692) );
+  sky130_fd_sc_hd__a22oi_1 U843 ( .A1(op_numerator_q[10]), .A2(n598), .B1(
+        alu_adder_i[10]), .B2(n555), .Y(n535) );
+  sky130_fd_sc_hd__o21ai_1 U844 ( .A1(n536), .A2(n557), .B1(n535), .Y(n691) );
+  sky130_fd_sc_hd__a22oi_1 U845 ( .A1(op_numerator_q[9]), .A2(n598), .B1(
+        alu_adder_i[9]), .B2(n555), .Y(n537) );
+  sky130_fd_sc_hd__o21ai_1 U846 ( .A1(n538), .A2(n557), .B1(n537), .Y(n690) );
+  sky130_fd_sc_hd__a22oi_1 U847 ( .A1(op_numerator_q[8]), .A2(n598), .B1(
+        alu_adder_i[8]), .B2(n555), .Y(n539) );
+  sky130_fd_sc_hd__o21ai_1 U848 ( .A1(n540), .A2(n557), .B1(n539), .Y(n689) );
+  sky130_fd_sc_hd__a22oi_1 U849 ( .A1(op_numerator_q[7]), .A2(n598), .B1(
+        alu_adder_i[7]), .B2(n555), .Y(n541) );
+  sky130_fd_sc_hd__o21ai_1 U850 ( .A1(n542), .A2(n557), .B1(n541), .Y(n688) );
+  sky130_fd_sc_hd__a22oi_1 U851 ( .A1(op_numerator_q[6]), .A2(n598), .B1(
+        alu_adder_i[6]), .B2(n555), .Y(n543) );
+  sky130_fd_sc_hd__o21ai_1 U852 ( .A1(n544), .A2(n557), .B1(n543), .Y(n687) );
+  sky130_fd_sc_hd__a22oi_1 U853 ( .A1(op_numerator_q[5]), .A2(n598), .B1(
+        alu_adder_i[5]), .B2(n555), .Y(n545) );
+  sky130_fd_sc_hd__o21ai_1 U854 ( .A1(n546), .A2(n557), .B1(n545), .Y(n686) );
+  sky130_fd_sc_hd__a22oi_1 U855 ( .A1(op_numerator_q[4]), .A2(n598), .B1(
+        alu_adder_i[4]), .B2(n555), .Y(n547) );
+  sky130_fd_sc_hd__o21ai_1 U856 ( .A1(n548), .A2(n557), .B1(n547), .Y(n685) );
+  sky130_fd_sc_hd__a22oi_1 U857 ( .A1(op_numerator_q[3]), .A2(n598), .B1(
+        alu_adder_i[3]), .B2(n555), .Y(n549) );
+  sky130_fd_sc_hd__o21ai_1 U858 ( .A1(n550), .A2(n557), .B1(n549), .Y(n684) );
+  sky130_fd_sc_hd__a22oi_1 U859 ( .A1(op_numerator_q[2]), .A2(n598), .B1(
+        alu_adder_i[2]), .B2(n555), .Y(n551) );
+  sky130_fd_sc_hd__o21ai_1 U860 ( .A1(n552), .A2(n557), .B1(n551), .Y(n683) );
+  sky130_fd_sc_hd__a22oi_1 U861 ( .A1(op_numerator_q[1]), .A2(n598), .B1(
+        alu_adder_i[1]), .B2(n555), .Y(n553) );
+  sky130_fd_sc_hd__o21ai_1 U862 ( .A1(n554), .A2(n557), .B1(n553), .Y(n682) );
+  sky130_fd_sc_hd__a22oi_1 U863 ( .A1(op_numerator_q[0]), .A2(n598), .B1(
+        alu_adder_i[0]), .B2(n555), .Y(n556) );
+  sky130_fd_sc_hd__o21ai_1 U864 ( .A1(n558), .A2(n557), .B1(n556), .Y(n681) );
+  sky130_fd_sc_hd__nor3_1 U865 ( .A(md_state_q[1]), .B(md_state_q[0]), .C(n575), .Y(n574) );
+  sky130_fd_sc_hd__nand2_1 U866 ( .A(n560), .B(n574), .Y(n563) );
+  sky130_fd_sc_hd__nand3_1 U867 ( .A(n560), .B(imd_val_we_o[1]), .C(n559), .Y(
+        n561) );
+  sky130_fd_sc_hd__nand2_1 U868 ( .A(n561), .B(div_by_zero_q), .Y(n562) );
+  sky130_fd_sc_hd__o21ai_1 U869 ( .A1(n563), .A2(n569), .B1(n562), .Y(n680) );
+  sky130_fd_sc_hd__clkinv_1 U870 ( .A(n600), .Y(n602) );
+  sky130_fd_sc_hd__nand2_1 U871 ( .A(gen_mult_fast_mult_state_q[0]), .B(n564), 
+        .Y(n567) );
+  sky130_fd_sc_hd__nor2_1 U872 ( .A(gen_mult_fast_mult_state_q[1]), .B(
+        mult_en_i), .Y(n565) );
+  sky130_fd_sc_hd__a31oi_1 U873 ( .A1(n602), .A2(n567), .A3(n566), .B1(n565), 
+        .Y(n678) );
+  sky130_fd_sc_hd__a31oi_1 U874 ( .A1(n570), .A2(n572), .A3(n569), .B1(n568), 
+        .Y(n571) );
+  sky130_fd_sc_hd__o22ai_1 U875 ( .A1(div_en_i), .A2(n572), .B1(n571), .B2(
+        n575), .Y(n677) );
+  sky130_fd_sc_hd__nand2_1 U876 ( .A(n573), .B(imd_val_we_o[1]), .Y(n593) );
+  sky130_fd_sc_hd__a22oi_1 U877 ( .A1(md_state_q[2]), .A2(n575), .B1(
+        equal_to_zero_i), .B2(n574), .Y(n577) );
+  sky130_fd_sc_hd__o211ai_1 U878 ( .A1(n578), .A2(n593), .B1(n577), .C1(n576), 
+        .Y(n676) );
+  sky130_fd_sc_hd__nor2_1 U879 ( .A(n579), .B(n593), .Y(n591) );
+  sky130_fd_sc_hd__a22o_1 U880 ( .A1(n583), .A2(n591), .B1(op_quotient_q[31]), 
+        .B2(n598), .X(n675) );
+  sky130_fd_sc_hd__nor3_1 U881 ( .A(div_counter_q[0]), .B(n580), .C(n593), .Y(
+        n592) );
+  sky130_fd_sc_hd__a22o_1 U882 ( .A1(n583), .A2(n592), .B1(op_quotient_q[30]), 
+        .B2(n598), .X(n674) );
+  sky130_fd_sc_hd__nor2_1 U883 ( .A(n581), .B(n593), .Y(n589) );
+  sky130_fd_sc_hd__a22o_1 U884 ( .A1(n583), .A2(n589), .B1(op_quotient_q[29]), 
+        .B2(n598), .X(n673) );
+  sky130_fd_sc_hd__nor2_1 U885 ( .A(n582), .B(n593), .Y(n596) );
+  sky130_fd_sc_hd__a22o_1 U886 ( .A1(n583), .A2(n596), .B1(op_quotient_q[28]), 
+        .B2(n598), .X(n672) );
+  sky130_fd_sc_hd__a22o_1 U887 ( .A1(n584), .A2(n591), .B1(op_quotient_q[27]), 
+        .B2(n598), .X(n671) );
+  sky130_fd_sc_hd__a22o_1 U888 ( .A1(n584), .A2(n592), .B1(op_quotient_q[26]), 
+        .B2(n598), .X(n670) );
+  sky130_fd_sc_hd__a22o_1 U889 ( .A1(n584), .A2(n589), .B1(op_quotient_q[25]), 
+        .B2(n598), .X(n669) );
+  sky130_fd_sc_hd__a22o_1 U890 ( .A1(n584), .A2(n596), .B1(op_quotient_q[24]), 
+        .B2(n598), .X(n668) );
+  sky130_fd_sc_hd__a22o_1 U891 ( .A1(n585), .A2(n591), .B1(op_quotient_q[23]), 
+        .B2(n598), .X(n667) );
+  sky130_fd_sc_hd__a22o_1 U892 ( .A1(n585), .A2(n592), .B1(op_quotient_q[22]), 
+        .B2(n598), .X(n666) );
+  sky130_fd_sc_hd__a22o_1 U893 ( .A1(n585), .A2(n589), .B1(op_quotient_q[21]), 
+        .B2(n598), .X(n665) );
+  sky130_fd_sc_hd__a22o_1 U894 ( .A1(n585), .A2(n596), .B1(op_quotient_q[20]), 
+        .B2(n598), .X(n664) );
+  sky130_fd_sc_hd__a22o_1 U895 ( .A1(n586), .A2(n591), .B1(op_quotient_q[19]), 
+        .B2(n598), .X(n663) );
+  sky130_fd_sc_hd__a22o_1 U896 ( .A1(n586), .A2(n592), .B1(op_quotient_q[18]), 
+        .B2(n598), .X(n662) );
+  sky130_fd_sc_hd__a22o_1 U897 ( .A1(n586), .A2(n589), .B1(op_quotient_q[17]), 
+        .B2(n598), .X(n661) );
+  sky130_fd_sc_hd__a22o_1 U898 ( .A1(n586), .A2(n596), .B1(op_quotient_q[16]), 
+        .B2(n598), .X(n660) );
+  sky130_fd_sc_hd__a22o_1 U899 ( .A1(n587), .A2(n591), .B1(op_quotient_q[15]), 
+        .B2(n598), .X(n659) );
+  sky130_fd_sc_hd__a22o_1 U900 ( .A1(n587), .A2(n592), .B1(op_quotient_q[14]), 
+        .B2(n598), .X(n658) );
+  sky130_fd_sc_hd__a22o_1 U901 ( .A1(n587), .A2(n589), .B1(op_quotient_q[13]), 
+        .B2(n598), .X(n657) );
+  sky130_fd_sc_hd__a22o_1 U902 ( .A1(n587), .A2(n596), .B1(op_quotient_q[12]), 
+        .B2(n598), .X(n656) );
+  sky130_fd_sc_hd__a22o_1 U903 ( .A1(n588), .A2(n591), .B1(op_quotient_q[11]), 
+        .B2(n598), .X(n655) );
+  sky130_fd_sc_hd__a22o_1 U904 ( .A1(n588), .A2(n592), .B1(op_quotient_q[10]), 
+        .B2(n598), .X(n654) );
+  sky130_fd_sc_hd__a22o_1 U905 ( .A1(n588), .A2(n589), .B1(op_quotient_q[9]), 
+        .B2(n598), .X(n653) );
+  sky130_fd_sc_hd__a22o_1 U906 ( .A1(n588), .A2(n596), .B1(op_quotient_q[8]), 
+        .B2(n598), .X(n652) );
+  sky130_fd_sc_hd__a22o_1 U907 ( .A1(n590), .A2(n591), .B1(op_quotient_q[7]), 
+        .B2(n598), .X(n651) );
+  sky130_fd_sc_hd__a22o_1 U908 ( .A1(n590), .A2(n592), .B1(op_quotient_q[6]), 
+        .B2(n598), .X(n650) );
+  sky130_fd_sc_hd__a22o_1 U909 ( .A1(n590), .A2(n589), .B1(op_quotient_q[5]), 
+        .B2(n598), .X(n649) );
+  sky130_fd_sc_hd__a22o_1 U910 ( .A1(n590), .A2(n596), .B1(op_quotient_q[4]), 
+        .B2(n598), .X(n648) );
+  sky130_fd_sc_hd__a22o_1 U911 ( .A1(n597), .A2(n591), .B1(op_quotient_q[3]), 
+        .B2(n598), .X(n647) );
+  sky130_fd_sc_hd__a22o_1 U912 ( .A1(n597), .A2(n592), .B1(op_quotient_q[2]), 
+        .B2(n598), .X(n646) );
+  sky130_fd_sc_hd__clkinv_1 U913 ( .A(n593), .Y(n594) );
+  sky130_fd_sc_hd__a22o_1 U914 ( .A1(n595), .A2(n594), .B1(op_quotient_q[1]), 
+        .B2(n598), .X(n645) );
+  sky130_fd_sc_hd__a22o_1 U915 ( .A1(op_quotient_q[0]), .A2(n598), .B1(n597), 
+        .B2(n596), .X(n644) );
+  sky130_fd_sc_hd__o22ai_1 U916 ( .A1(n602), .A2(n601), .B1(n600), .B2(n599), 
+        .Y(n643) );
+endmodule
+
+
+module opentitan_soc_top_ibex_ex_block_2_0_0_0 ( clk_i, rst_ni, alu_operator_i, 
+        alu_operand_a_i, alu_operand_b_i, alu_instr_first_cycle_i, 
+        bt_a_operand_i, bt_b_operand_i, multdiv_operator_i, mult_en_i, 
+        div_en_i, mult_sel_i, div_sel_i, multdiv_signed_mode_i, 
+        multdiv_operand_a_i, multdiv_operand_b_i, multdiv_ready_id_i, 
+        data_ind_timing_i, imd_val_we_o, imd_val_d_o, imd_val_q_i, 
+        alu_adder_result_ex_o, result_ex_o, branch_target_o, branch_decision_o, 
+        ex_valid_o );
+  input [5:0] alu_operator_i;
+  input [31:0] alu_operand_a_i;
+  input [31:0] alu_operand_b_i;
+  input [31:0] bt_a_operand_i;
+  input [31:0] bt_b_operand_i;
+  input [1:0] multdiv_operator_i;
+  input [1:0] multdiv_signed_mode_i;
+  input [31:0] multdiv_operand_a_i;
+  input [31:0] multdiv_operand_b_i;
+  output [1:0] imd_val_we_o;
+  output [67:0] imd_val_d_o;
+  input [67:0] imd_val_q_i;
+  output [31:0] alu_adder_result_ex_o;
+  output [31:0] result_ex_o;
+  output [31:0] branch_target_o;
+  input clk_i, rst_ni, alu_instr_first_cycle_i, mult_en_i, div_en_i,
+         mult_sel_i, div_sel_i, multdiv_ready_id_i, data_ind_timing_i;
+  output branch_decision_o, ex_valid_o;
+  wire   alu_is_equal_result, multdiv_valid, n3, n4, n37, n38,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56,
+         SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58,
+         SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60,
+         SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62,
+         SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64,
+         SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66,
+         SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68,
+         SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70,
+         SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72;
+  wire   [67:0] multdiv_imd_val_d;
+  wire   [1:0] multdiv_imd_val_we;
+  wire   [31:0] multdiv_result;
+  wire   [31:0] alu_result;
+  wire   [32:1] multdiv_alu_operand_a;
+  wire   [32:1] multdiv_alu_operand_b;
+  wire   [32:1] alu_adder_result_ext;
+
+  opentitan_soc_top_ibex_alu_RV32B0_0 alu_i ( .operator_i(alu_operator_i), 
+        .operand_a_i(alu_operand_a_i), .operand_b_i(alu_operand_b_i), 
+        .instr_first_cycle_i(alu_instr_first_cycle_i), .multdiv_operand_a_i({
+        multdiv_alu_operand_a, n3}), .multdiv_operand_b_i({
+        multdiv_alu_operand_b, n3}), .multdiv_sel_i(n37), .imd_val_q_i({1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0}), .imd_val_d_o({SYNOPSYS_UNCONNECTED_1, 
+        SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, 
+        SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, 
+        SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19, 
+        SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21, 
+        SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23, 
+        SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25, 
+        SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27, 
+        SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29, 
+        SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31, 
+        SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33, 
+        SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35, 
+        SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37, 
+        SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39, 
+        SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41, 
+        SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43, 
+        SYNOPSYS_UNCONNECTED_44, SYNOPSYS_UNCONNECTED_45, 
+        SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_47, 
+        SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49, 
+        SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51, 
+        SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53, 
+        SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55, 
+        SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57, 
+        SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59, 
+        SYNOPSYS_UNCONNECTED_60, SYNOPSYS_UNCONNECTED_61, 
+        SYNOPSYS_UNCONNECTED_62, SYNOPSYS_UNCONNECTED_63, 
+        SYNOPSYS_UNCONNECTED_64}), .imd_val_we_o({SYNOPSYS_UNCONNECTED_65, 
+        SYNOPSYS_UNCONNECTED_66}), .adder_result_o({
+        alu_adder_result_ex_o[31:16], branch_target_o[15:9], 
+        alu_adder_result_ex_o[8:0]}), .adder_result_ext_o({
+        SYNOPSYS_UNCONNECTED_67, alu_adder_result_ext, SYNOPSYS_UNCONNECTED_68}), .result_o(alu_result), .comparison_result_o(branch_decision_o), 
+        .is_equal_result_o(alu_is_equal_result) );
+  opentitan_soc_top_ibex_multdiv_fast_RV32M2_0 gen_multdiv_fast_multdiv_i ( 
+        .clk_i(clk_i), .rst_ni(rst_ni), .mult_en_i(mult_en_i), .div_en_i(
+        div_en_i), .mult_sel_i(1'b0), .div_sel_i(div_sel_i), .operator_i(
+        multdiv_operator_i), .signed_mode_i(multdiv_signed_mode_i), .op_a_i(
+        multdiv_operand_a_i), .op_b_i(multdiv_operand_b_i), .alu_adder_ext_i({
+        1'b0, alu_adder_result_ext, 1'b0}), .alu_adder_i({
+        alu_adder_result_ex_o[31:16], branch_target_o[15:9], 
+        alu_adder_result_ex_o[8:0]}), .equal_to_zero_i(alu_is_equal_result), 
+        .data_ind_timing_i(n38), .alu_operand_a_o({multdiv_alu_operand_a, 
+        SYNOPSYS_UNCONNECTED_69}), .alu_operand_b_o({multdiv_alu_operand_b, 
+        SYNOPSYS_UNCONNECTED_70}), .imd_val_q_i({imd_val_q_i[67:34], n38, n38, 
+        imd_val_q_i[31:0]}), .imd_val_d_o({multdiv_imd_val_d[67:34], 
+        SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72, 
+        multdiv_imd_val_d[31:0]}), .imd_val_we_o(multdiv_imd_val_we), 
+        .multdiv_ready_id_i(multdiv_ready_id_i), .multdiv_result_o(
+        multdiv_result), .valid_o(multdiv_valid) );
+  sky130_fd_sc_hd__or2_1 U2 ( .A(multdiv_valid), .B(n4), .X(ex_valid_o) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n38), .HI(n3) );
+  sky130_fd_sc_hd__inv_2 U4 ( .A(n37), .Y(n4) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(alu_adder_result_ex_o[28]), .X(
+        branch_target_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(alu_adder_result_ex_o[18]), .X(
+        branch_target_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(alu_adder_result_ex_o[1]), .X(
+        branch_target_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(alu_adder_result_ex_o[2]), .X(
+        branch_target_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(alu_adder_result_ex_o[3]), .X(
+        branch_target_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(alu_adder_result_ex_o[4]), .X(
+        branch_target_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(alu_adder_result_ex_o[5]), .X(
+        branch_target_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(alu_adder_result_ex_o[6]), .X(
+        branch_target_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(alu_adder_result_ex_o[7]), .X(
+        branch_target_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(alu_adder_result_ex_o[8]), .X(
+        branch_target_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(branch_target_o[9]), .X(
+        alu_adder_result_ex_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(branch_target_o[10]), .X(
+        alu_adder_result_ex_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(branch_target_o[11]), .X(
+        alu_adder_result_ex_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(branch_target_o[12]), .X(
+        alu_adder_result_ex_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(branch_target_o[13]), .X(
+        alu_adder_result_ex_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(branch_target_o[14]), .X(
+        alu_adder_result_ex_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(branch_target_o[15]), .X(
+        alu_adder_result_ex_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(alu_adder_result_ex_o[16]), .X(
+        branch_target_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(alu_adder_result_ex_o[17]), .X(
+        branch_target_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(alu_adder_result_ex_o[19]), .X(
+        branch_target_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(alu_adder_result_ex_o[20]), .X(
+        branch_target_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(alu_adder_result_ex_o[21]), .X(
+        branch_target_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(alu_adder_result_ex_o[22]), .X(
+        branch_target_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(alu_adder_result_ex_o[23]), .X(
+        branch_target_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(alu_adder_result_ex_o[24]), .X(
+        branch_target_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(alu_adder_result_ex_o[25]), .X(
+        branch_target_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(alu_adder_result_ex_o[26]), .X(
+        branch_target_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(alu_adder_result_ex_o[27]), .X(
+        branch_target_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(alu_adder_result_ex_o[29]), .X(
+        branch_target_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(alu_adder_result_ex_o[30]), .X(
+        branch_target_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(alu_adder_result_ex_o[31]), .X(
+        branch_target_o[31]) );
+  sky130_fd_sc_hd__or2_2 U37 ( .A(mult_sel_i), .B(div_sel_i), .X(n37) );
+  sky130_fd_sc_hd__nor2b_1 U38 ( .B_N(multdiv_imd_val_we[1]), .A(n4), .Y(
+        imd_val_we_o[1]) );
+  sky130_fd_sc_hd__nor2b_1 U39 ( .B_N(multdiv_imd_val_we[0]), .A(n4), .Y(
+        imd_val_we_o[0]) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(n4), .A2(alu_result[0]), .B1(n37), .B2(
+        multdiv_result[0]), .X(result_ex_o[0]) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(n4), .A2(alu_result[1]), .B1(n37), .B2(
+        multdiv_result[1]), .X(result_ex_o[1]) );
+  sky130_fd_sc_hd__a22o_1 U42 ( .A1(n4), .A2(alu_result[2]), .B1(n37), .B2(
+        multdiv_result[2]), .X(result_ex_o[2]) );
+  sky130_fd_sc_hd__a22o_1 U43 ( .A1(n4), .A2(alu_result[3]), .B1(n37), .B2(
+        multdiv_result[3]), .X(result_ex_o[3]) );
+  sky130_fd_sc_hd__a22o_1 U44 ( .A1(n4), .A2(alu_result[4]), .B1(n37), .B2(
+        multdiv_result[4]), .X(result_ex_o[4]) );
+  sky130_fd_sc_hd__a22o_1 U45 ( .A1(n4), .A2(alu_result[5]), .B1(n37), .B2(
+        multdiv_result[5]), .X(result_ex_o[5]) );
+  sky130_fd_sc_hd__a22o_1 U46 ( .A1(n4), .A2(alu_result[6]), .B1(n37), .B2(
+        multdiv_result[6]), .X(result_ex_o[6]) );
+  sky130_fd_sc_hd__a22o_1 U47 ( .A1(n4), .A2(alu_result[7]), .B1(n37), .B2(
+        multdiv_result[7]), .X(result_ex_o[7]) );
+  sky130_fd_sc_hd__a22o_1 U48 ( .A1(n4), .A2(alu_result[8]), .B1(n37), .B2(
+        multdiv_result[8]), .X(result_ex_o[8]) );
+  sky130_fd_sc_hd__a22o_1 U49 ( .A1(n4), .A2(alu_result[9]), .B1(n37), .B2(
+        multdiv_result[9]), .X(result_ex_o[9]) );
+  sky130_fd_sc_hd__a22o_1 U50 ( .A1(n4), .A2(alu_result[10]), .B1(n37), .B2(
+        multdiv_result[10]), .X(result_ex_o[10]) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(n4), .A2(alu_result[11]), .B1(n37), .B2(
+        multdiv_result[11]), .X(result_ex_o[11]) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(n4), .A2(alu_result[12]), .B1(n37), .B2(
+        multdiv_result[12]), .X(result_ex_o[12]) );
+  sky130_fd_sc_hd__a22o_1 U53 ( .A1(n4), .A2(alu_result[13]), .B1(n37), .B2(
+        multdiv_result[13]), .X(result_ex_o[13]) );
+  sky130_fd_sc_hd__a22o_1 U54 ( .A1(n4), .A2(alu_result[14]), .B1(n37), .B2(
+        multdiv_result[14]), .X(result_ex_o[14]) );
+  sky130_fd_sc_hd__a22o_1 U55 ( .A1(n4), .A2(alu_result[15]), .B1(n37), .B2(
+        multdiv_result[15]), .X(result_ex_o[15]) );
+  sky130_fd_sc_hd__a22o_1 U56 ( .A1(n4), .A2(alu_result[16]), .B1(n37), .B2(
+        multdiv_result[16]), .X(result_ex_o[16]) );
+  sky130_fd_sc_hd__a22o_1 U57 ( .A1(n4), .A2(alu_result[17]), .B1(n37), .B2(
+        multdiv_result[17]), .X(result_ex_o[17]) );
+  sky130_fd_sc_hd__a22o_1 U58 ( .A1(n4), .A2(alu_result[18]), .B1(n37), .B2(
+        multdiv_result[18]), .X(result_ex_o[18]) );
+  sky130_fd_sc_hd__a22o_1 U59 ( .A1(n4), .A2(alu_result[19]), .B1(n37), .B2(
+        multdiv_result[19]), .X(result_ex_o[19]) );
+  sky130_fd_sc_hd__a22o_1 U60 ( .A1(n4), .A2(alu_result[20]), .B1(n37), .B2(
+        multdiv_result[20]), .X(result_ex_o[20]) );
+  sky130_fd_sc_hd__a22o_1 U61 ( .A1(n4), .A2(alu_result[21]), .B1(n37), .B2(
+        multdiv_result[21]), .X(result_ex_o[21]) );
+  sky130_fd_sc_hd__a22o_1 U62 ( .A1(n4), .A2(alu_result[22]), .B1(n37), .B2(
+        multdiv_result[22]), .X(result_ex_o[22]) );
+  sky130_fd_sc_hd__a22o_1 U63 ( .A1(n4), .A2(alu_result[23]), .B1(n37), .B2(
+        multdiv_result[23]), .X(result_ex_o[23]) );
+  sky130_fd_sc_hd__a22o_1 U64 ( .A1(n4), .A2(alu_result[24]), .B1(n37), .B2(
+        multdiv_result[24]), .X(result_ex_o[24]) );
+  sky130_fd_sc_hd__a22o_1 U65 ( .A1(n4), .A2(alu_result[25]), .B1(n37), .B2(
+        multdiv_result[25]), .X(result_ex_o[25]) );
+  sky130_fd_sc_hd__a22o_1 U66 ( .A1(n4), .A2(alu_result[26]), .B1(n37), .B2(
+        multdiv_result[26]), .X(result_ex_o[26]) );
+  sky130_fd_sc_hd__a22o_1 U67 ( .A1(n4), .A2(alu_result[27]), .B1(n37), .B2(
+        multdiv_result[27]), .X(result_ex_o[27]) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(n4), .A2(alu_result[28]), .B1(n37), .B2(
+        multdiv_result[28]), .X(result_ex_o[28]) );
+  sky130_fd_sc_hd__a22o_1 U69 ( .A1(n4), .A2(alu_result[29]), .B1(n37), .B2(
+        multdiv_result[29]), .X(result_ex_o[29]) );
+  sky130_fd_sc_hd__a22o_1 U70 ( .A1(n4), .A2(alu_result[30]), .B1(n37), .B2(
+        multdiv_result[30]), .X(result_ex_o[30]) );
+  sky130_fd_sc_hd__a22o_1 U71 ( .A1(n4), .A2(alu_result[31]), .B1(n37), .B2(
+        multdiv_result[31]), .X(result_ex_o[31]) );
+  sky130_fd_sc_hd__nor2b_1 U72 ( .B_N(multdiv_imd_val_d[0]), .A(n4), .Y(
+        imd_val_d_o[0]) );
+  sky130_fd_sc_hd__nor2b_1 U73 ( .B_N(multdiv_imd_val_d[1]), .A(n4), .Y(
+        imd_val_d_o[1]) );
+  sky130_fd_sc_hd__nor2b_1 U74 ( .B_N(multdiv_imd_val_d[2]), .A(n4), .Y(
+        imd_val_d_o[2]) );
+  sky130_fd_sc_hd__nor2b_1 U75 ( .B_N(multdiv_imd_val_d[3]), .A(n4), .Y(
+        imd_val_d_o[3]) );
+  sky130_fd_sc_hd__nor2b_1 U76 ( .B_N(multdiv_imd_val_d[4]), .A(n4), .Y(
+        imd_val_d_o[4]) );
+  sky130_fd_sc_hd__nor2b_1 U77 ( .B_N(multdiv_imd_val_d[5]), .A(n4), .Y(
+        imd_val_d_o[5]) );
+  sky130_fd_sc_hd__nor2b_1 U78 ( .B_N(multdiv_imd_val_d[6]), .A(n4), .Y(
+        imd_val_d_o[6]) );
+  sky130_fd_sc_hd__nor2b_1 U79 ( .B_N(multdiv_imd_val_d[7]), .A(n4), .Y(
+        imd_val_d_o[7]) );
+  sky130_fd_sc_hd__nor2b_1 U80 ( .B_N(multdiv_imd_val_d[8]), .A(n4), .Y(
+        imd_val_d_o[8]) );
+  sky130_fd_sc_hd__nor2b_1 U81 ( .B_N(multdiv_imd_val_d[9]), .A(n4), .Y(
+        imd_val_d_o[9]) );
+  sky130_fd_sc_hd__nor2b_1 U82 ( .B_N(multdiv_imd_val_d[10]), .A(n4), .Y(
+        imd_val_d_o[10]) );
+  sky130_fd_sc_hd__nor2b_1 U83 ( .B_N(multdiv_imd_val_d[11]), .A(n4), .Y(
+        imd_val_d_o[11]) );
+  sky130_fd_sc_hd__nor2b_1 U84 ( .B_N(multdiv_imd_val_d[12]), .A(n4), .Y(
+        imd_val_d_o[12]) );
+  sky130_fd_sc_hd__nor2b_1 U85 ( .B_N(multdiv_imd_val_d[13]), .A(n4), .Y(
+        imd_val_d_o[13]) );
+  sky130_fd_sc_hd__nor2b_1 U86 ( .B_N(multdiv_imd_val_d[14]), .A(n4), .Y(
+        imd_val_d_o[14]) );
+  sky130_fd_sc_hd__nor2b_1 U87 ( .B_N(multdiv_imd_val_d[15]), .A(n4), .Y(
+        imd_val_d_o[15]) );
+  sky130_fd_sc_hd__nor2b_1 U88 ( .B_N(multdiv_imd_val_d[16]), .A(n4), .Y(
+        imd_val_d_o[16]) );
+  sky130_fd_sc_hd__nor2b_1 U89 ( .B_N(multdiv_imd_val_d[17]), .A(n4), .Y(
+        imd_val_d_o[17]) );
+  sky130_fd_sc_hd__nor2b_1 U90 ( .B_N(multdiv_imd_val_d[18]), .A(n4), .Y(
+        imd_val_d_o[18]) );
+  sky130_fd_sc_hd__nor2b_1 U91 ( .B_N(multdiv_imd_val_d[19]), .A(n4), .Y(
+        imd_val_d_o[19]) );
+  sky130_fd_sc_hd__nor2b_1 U92 ( .B_N(multdiv_imd_val_d[20]), .A(n4), .Y(
+        imd_val_d_o[20]) );
+  sky130_fd_sc_hd__nor2b_1 U93 ( .B_N(multdiv_imd_val_d[21]), .A(n4), .Y(
+        imd_val_d_o[21]) );
+  sky130_fd_sc_hd__nor2b_1 U94 ( .B_N(multdiv_imd_val_d[22]), .A(n4), .Y(
+        imd_val_d_o[22]) );
+  sky130_fd_sc_hd__nor2b_1 U95 ( .B_N(multdiv_imd_val_d[23]), .A(n4), .Y(
+        imd_val_d_o[23]) );
+  sky130_fd_sc_hd__nor2b_1 U96 ( .B_N(multdiv_imd_val_d[24]), .A(n4), .Y(
+        imd_val_d_o[24]) );
+  sky130_fd_sc_hd__nor2b_1 U97 ( .B_N(multdiv_imd_val_d[25]), .A(n4), .Y(
+        imd_val_d_o[25]) );
+  sky130_fd_sc_hd__nor2b_1 U98 ( .B_N(multdiv_imd_val_d[26]), .A(n4), .Y(
+        imd_val_d_o[26]) );
+  sky130_fd_sc_hd__nor2b_1 U99 ( .B_N(multdiv_imd_val_d[27]), .A(n4), .Y(
+        imd_val_d_o[27]) );
+  sky130_fd_sc_hd__nor2b_1 U100 ( .B_N(multdiv_imd_val_d[28]), .A(n4), .Y(
+        imd_val_d_o[28]) );
+  sky130_fd_sc_hd__nor2b_1 U101 ( .B_N(multdiv_imd_val_d[29]), .A(n4), .Y(
+        imd_val_d_o[29]) );
+  sky130_fd_sc_hd__nor2b_1 U102 ( .B_N(multdiv_imd_val_d[30]), .A(n4), .Y(
+        imd_val_d_o[30]) );
+  sky130_fd_sc_hd__nor2b_1 U103 ( .B_N(multdiv_imd_val_d[31]), .A(n4), .Y(
+        imd_val_d_o[31]) );
+  sky130_fd_sc_hd__nor2b_1 U104 ( .B_N(multdiv_imd_val_d[34]), .A(n4), .Y(
+        imd_val_d_o[34]) );
+  sky130_fd_sc_hd__nor2b_1 U105 ( .B_N(multdiv_imd_val_d[35]), .A(n4), .Y(
+        imd_val_d_o[35]) );
+  sky130_fd_sc_hd__nor2b_1 U106 ( .B_N(multdiv_imd_val_d[36]), .A(n4), .Y(
+        imd_val_d_o[36]) );
+  sky130_fd_sc_hd__nor2b_1 U107 ( .B_N(multdiv_imd_val_d[37]), .A(n4), .Y(
+        imd_val_d_o[37]) );
+  sky130_fd_sc_hd__nor2b_1 U108 ( .B_N(multdiv_imd_val_d[38]), .A(n4), .Y(
+        imd_val_d_o[38]) );
+  sky130_fd_sc_hd__nor2b_1 U109 ( .B_N(multdiv_imd_val_d[39]), .A(n4), .Y(
+        imd_val_d_o[39]) );
+  sky130_fd_sc_hd__nor2b_1 U110 ( .B_N(multdiv_imd_val_d[40]), .A(n4), .Y(
+        imd_val_d_o[40]) );
+  sky130_fd_sc_hd__nor2b_1 U111 ( .B_N(multdiv_imd_val_d[41]), .A(n4), .Y(
+        imd_val_d_o[41]) );
+  sky130_fd_sc_hd__nor2b_1 U112 ( .B_N(multdiv_imd_val_d[42]), .A(n4), .Y(
+        imd_val_d_o[42]) );
+  sky130_fd_sc_hd__nor2b_1 U113 ( .B_N(multdiv_imd_val_d[43]), .A(n4), .Y(
+        imd_val_d_o[43]) );
+  sky130_fd_sc_hd__nor2b_1 U114 ( .B_N(multdiv_imd_val_d[44]), .A(n4), .Y(
+        imd_val_d_o[44]) );
+  sky130_fd_sc_hd__nor2b_1 U115 ( .B_N(multdiv_imd_val_d[45]), .A(n4), .Y(
+        imd_val_d_o[45]) );
+  sky130_fd_sc_hd__nor2b_1 U116 ( .B_N(multdiv_imd_val_d[46]), .A(n4), .Y(
+        imd_val_d_o[46]) );
+  sky130_fd_sc_hd__nor2b_1 U117 ( .B_N(multdiv_imd_val_d[47]), .A(n4), .Y(
+        imd_val_d_o[47]) );
+  sky130_fd_sc_hd__nor2b_1 U118 ( .B_N(multdiv_imd_val_d[48]), .A(n4), .Y(
+        imd_val_d_o[48]) );
+  sky130_fd_sc_hd__nor2b_1 U119 ( .B_N(multdiv_imd_val_d[49]), .A(n4), .Y(
+        imd_val_d_o[49]) );
+  sky130_fd_sc_hd__nor2b_1 U120 ( .B_N(multdiv_imd_val_d[50]), .A(n4), .Y(
+        imd_val_d_o[50]) );
+  sky130_fd_sc_hd__nor2b_1 U121 ( .B_N(multdiv_imd_val_d[51]), .A(n4), .Y(
+        imd_val_d_o[51]) );
+  sky130_fd_sc_hd__nor2b_1 U122 ( .B_N(multdiv_imd_val_d[52]), .A(n4), .Y(
+        imd_val_d_o[52]) );
+  sky130_fd_sc_hd__nor2b_1 U123 ( .B_N(multdiv_imd_val_d[53]), .A(n4), .Y(
+        imd_val_d_o[53]) );
+  sky130_fd_sc_hd__nor2b_1 U124 ( .B_N(multdiv_imd_val_d[54]), .A(n4), .Y(
+        imd_val_d_o[54]) );
+  sky130_fd_sc_hd__nor2b_1 U125 ( .B_N(multdiv_imd_val_d[55]), .A(n4), .Y(
+        imd_val_d_o[55]) );
+  sky130_fd_sc_hd__nor2b_1 U126 ( .B_N(multdiv_imd_val_d[56]), .A(n4), .Y(
+        imd_val_d_o[56]) );
+  sky130_fd_sc_hd__nor2b_1 U127 ( .B_N(multdiv_imd_val_d[57]), .A(n4), .Y(
+        imd_val_d_o[57]) );
+  sky130_fd_sc_hd__nor2b_1 U128 ( .B_N(multdiv_imd_val_d[58]), .A(n4), .Y(
+        imd_val_d_o[58]) );
+  sky130_fd_sc_hd__nor2b_1 U129 ( .B_N(multdiv_imd_val_d[59]), .A(n4), .Y(
+        imd_val_d_o[59]) );
+  sky130_fd_sc_hd__nor2b_1 U130 ( .B_N(multdiv_imd_val_d[60]), .A(n4), .Y(
+        imd_val_d_o[60]) );
+  sky130_fd_sc_hd__nor2b_1 U131 ( .B_N(multdiv_imd_val_d[61]), .A(n4), .Y(
+        imd_val_d_o[61]) );
+  sky130_fd_sc_hd__nor2b_1 U132 ( .B_N(multdiv_imd_val_d[62]), .A(n4), .Y(
+        imd_val_d_o[62]) );
+  sky130_fd_sc_hd__nor2b_1 U133 ( .B_N(multdiv_imd_val_d[63]), .A(n4), .Y(
+        imd_val_d_o[63]) );
+  sky130_fd_sc_hd__nor2b_1 U134 ( .B_N(multdiv_imd_val_d[64]), .A(n4), .Y(
+        imd_val_d_o[64]) );
+  sky130_fd_sc_hd__nor2b_1 U135 ( .B_N(multdiv_imd_val_d[65]), .A(n4), .Y(
+        imd_val_d_o[65]) );
+  sky130_fd_sc_hd__nor2b_1 U136 ( .B_N(multdiv_imd_val_d[66]), .A(n4), .Y(
+        imd_val_d_o[66]) );
+  sky130_fd_sc_hd__nor2b_1 U137 ( .B_N(multdiv_imd_val_d[67]), .A(n4), .Y(
+        imd_val_d_o[67]) );
+endmodule
+
+
+module opentitan_soc_top_ibex_load_store_unit_0 ( clk_i, rst_ni, data_req_o, 
+        data_gnt_i, data_rvalid_i, data_err_i, data_pmp_err_i, data_addr_o, 
+        data_we_o, data_be_o, data_wdata_o, data_rdata_i, lsu_we_i, lsu_type_i, 
+        lsu_wdata_i, lsu_sign_ext_i, lsu_rdata_o, lsu_rdata_valid_o, lsu_req_i, 
+        adder_result_ex_i, addr_incr_req_o, addr_last_o, lsu_req_done_o, 
+        lsu_resp_valid_o, load_err_o, store_err_o, busy_o, perf_load_o, 
+        perf_store_o );
+  output [31:0] data_addr_o;
+  output [3:0] data_be_o;
+  output [31:0] data_wdata_o;
+  input [31:0] data_rdata_i;
+  input [1:0] lsu_type_i;
+  input [31:0] lsu_wdata_i;
+  output [31:0] lsu_rdata_o;
+  input [31:0] adder_result_ex_i;
+  output [31:0] addr_last_o;
+  input clk_i, rst_ni, data_gnt_i, data_rvalid_i, data_err_i, data_pmp_err_i,
+         lsu_we_i, lsu_sign_ext_i, lsu_req_i;
+  output data_req_o, data_we_o, lsu_rdata_valid_o, addr_incr_req_o,
+         lsu_req_done_o, lsu_resp_valid_o, load_err_o, store_err_o, busy_o,
+         perf_load_o, perf_store_o;
+  wire   handle_misaligned_q, data_sign_ext_q, data_we_q, lsu_err_q, N208,
+         n309, n310, n311, n312, n313, n314, n315, n316, n317, n318, n319,
+         n320, n321, n322, n323, n324, n325, n326, n327, n328, n329, n330,
+         n331, n332, n333, n334, n335, n336, n337, n338, n339, n340, n341,
+         n342, n343, n344, n345, n346, n347, n348, n349, n350, n351, n352,
+         n353, n354, n355, n356, n357, n358, n359, n360, n361, n362, n363,
+         n364, n365, n366, n367, n368, n369, n370, n371, n372, n373, n374,
+         n375, n1, n2, n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14,
+         n15, n16, n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28,
+         n29, n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42,
+         n43, n44, n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56,
+         n57, n58, n59, n60, n61, n62, n63, n64, n65, n66, n67, n68, n69, n70,
+         n71, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81, n82, n83, n84,
+         n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96, n97, n98,
+         n99, n100, n101, n102, n103, n104, n105, n106, n107, n108, n109, n110,
+         n111, n112, n113, n114, n115, n116, n117, n118, n119, n120, n121,
+         n122, n123, n124, n125, n126, n127, n128, n129, n130, n131, n132,
+         n133, n134, n135, n136, n137, n138, n139, n140, n141, n142, n143,
+         n144, n145, n146, n147, n148, n149, n150, n151, n152, n153, n154,
+         n155, n156, n157, n158, n159, n160, n161, n162, n163, n164, n165,
+         n166, n167, n168, n169, n170, n171, n172, n173, n174, n175, n176,
+         n177, n178, n179, n180, n181, n182, n183, n184, n185, n186, n187,
+         n188, n189, n190, n191, n192, n193, n194, n195, n196, n197, n198,
+         n199, n200, n201, n202, n203, n204, n205, n206, n207, n2080, n209,
+         n210, n211, n212, n213, n214, n215, n216, n217, n218, n219, n220,
+         n221, n222, n223, n224, n225, n226, n227, n228, n229, n230, n232,
+         n233, n234, n235, n236, n237, n238, n239, n240, n241, n242, n243,
+         n244, n245, n246, n247, n248, n249, n250, n251, n252, n253, n254,
+         n255, n256, n257, n258, n259, n260, n261, n262, n263, n264, n265,
+         n266, n267, n268, n269, n270, n271, n272, n273, n274, n275, n276,
+         n277, n278, n279, n280, n281, n282, n283, n284, n285, n286, n287,
+         n288, n289, n290, n291, n292, n293, n294, n295, n296, n395, n396,
+         n397, n398;
+  wire   [31:8] rdata_q;
+  wire   [1:0] rdata_offset_q;
+  wire   [1:0] data_type_q;
+  wire   [2:0] ls_fsm_cs;
+
+  sky130_fd_sc_hd__dfrtp_1 ls_fsm_cs_reg_0_ ( .D(n375), .CLK(n1), .RESET_B(
+        n397), .Q(ls_fsm_cs[0]) );
+  sky130_fd_sc_hd__dfrtp_1 ls_fsm_cs_reg_2_ ( .D(n373), .CLK(n2), .RESET_B(
+        n395), .Q(ls_fsm_cs[2]) );
+  sky130_fd_sc_hd__dfrtp_1 ls_fsm_cs_reg_1_ ( .D(n374), .CLK(n4), .RESET_B(
+        n395), .Q(ls_fsm_cs[1]) );
+  sky130_fd_sc_hd__dfrtp_1 lsu_err_q_reg ( .D(n372), .CLK(n4), .RESET_B(n395), 
+        .Q(lsu_err_q) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_offset_q_reg_0_ ( .D(n371), .CLK(n1), 
+        .RESET_B(n395), .Q(rdata_offset_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 data_we_q_reg ( .D(n370), .CLK(n1), .RESET_B(n395), 
+        .Q(data_we_q) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_8_ ( .D(n365), .CLK(n3), .RESET_B(n395), 
+        .Q(rdata_q[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_31_ ( .D(n364), .CLK(n4), .RESET_B(n395), .Q(rdata_q[31]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_30_ ( .D(n363), .CLK(n1), .RESET_B(n395), .Q(rdata_q[30]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_29_ ( .D(n362), .CLK(n1), .RESET_B(n395), .Q(rdata_q[29]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_28_ ( .D(n361), .CLK(n1), .RESET_B(n395), .Q(rdata_q[28]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_27_ ( .D(n360), .CLK(n3), .RESET_B(n396), .Q(rdata_q[27]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_26_ ( .D(n359), .CLK(n1), .RESET_B(n395), .Q(rdata_q[26]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_25_ ( .D(n358), .CLK(n1), .RESET_B(n396), .Q(rdata_q[25]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_24_ ( .D(n357), .CLK(n2), .RESET_B(n396), .Q(rdata_q[24]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_23_ ( .D(n356), .CLK(n1), .RESET_B(n396), .Q(rdata_q[23]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_22_ ( .D(n355), .CLK(n1), .RESET_B(n396), .Q(rdata_q[22]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_21_ ( .D(n354), .CLK(n1), .RESET_B(n396), .Q(rdata_q[21]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_20_ ( .D(n353), .CLK(n1), .RESET_B(n396), .Q(rdata_q[20]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_19_ ( .D(n352), .CLK(n2), .RESET_B(n396), .Q(rdata_q[19]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_18_ ( .D(n351), .CLK(n1), .RESET_B(n396), .Q(rdata_q[18]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_17_ ( .D(n350), .CLK(n1), .RESET_B(n396), .Q(rdata_q[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_16_ ( .D(n349), .CLK(n1), .RESET_B(n396), .Q(rdata_q[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_15_ ( .D(n348), .CLK(n2), .RESET_B(n396), .Q(rdata_q[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_14_ ( .D(n347), .CLK(n1), .RESET_B(n396), .Q(rdata_q[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_13_ ( .D(n346), .CLK(n1), .RESET_B(n398), .Q(rdata_q[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_12_ ( .D(n345), .CLK(n2), .RESET_B(n398), .Q(rdata_q[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_11_ ( .D(n344), .CLK(n3), .RESET_B(
+        rst_ni), .Q(rdata_q[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_10_ ( .D(n343), .CLK(n2), .RESET_B(n398), .Q(rdata_q[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_9_ ( .D(n342), .CLK(n2), .RESET_B(n398), 
+        .Q(rdata_q[9]) );
+  sky130_fd_sc_hd__dfrtp_1 data_sign_ext_q_reg ( .D(n369), .CLK(n2), .RESET_B(
+        n398), .Q(data_sign_ext_q) );
+  sky130_fd_sc_hd__dfrtp_1 data_type_q_reg_0_ ( .D(n367), .CLK(n2), .RESET_B(
+        n398), .Q(data_type_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_offset_q_reg_1_ ( .D(n366), .CLK(n2), 
+        .RESET_B(n398), .Q(rdata_offset_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 handle_misaligned_q_reg ( .D(n341), .CLK(n2), 
+        .RESET_B(n398), .Q(handle_misaligned_q) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_0_ ( .D(n340), .CLK(n2), .RESET_B(
+        n398), .Q(addr_last_o[0]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_31_ ( .D(n339), .CLK(n2), .RESET_B(
+        n398), .Q(addr_last_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_30_ ( .D(n338), .CLK(n2), .RESET_B(
+        n398), .Q(addr_last_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_29_ ( .D(n337), .CLK(n2), .RESET_B(
+        n397), .Q(addr_last_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_28_ ( .D(n336), .CLK(n1), .RESET_B(
+        n397), .Q(addr_last_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_27_ ( .D(n335), .CLK(n1), .RESET_B(
+        n397), .Q(addr_last_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_26_ ( .D(n334), .CLK(n1), .RESET_B(
+        n397), .Q(addr_last_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_25_ ( .D(n333), .CLK(n1), .RESET_B(
+        n397), .Q(addr_last_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_24_ ( .D(n332), .CLK(n2), .RESET_B(
+        n397), .Q(addr_last_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_23_ ( .D(n331), .CLK(n1), .RESET_B(
+        n397), .Q(addr_last_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_22_ ( .D(n330), .CLK(n2), .RESET_B(
+        n397), .Q(addr_last_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_21_ ( .D(n329), .CLK(n1), .RESET_B(
+        n397), .Q(addr_last_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_20_ ( .D(n328), .CLK(n1), .RESET_B(
+        n397), .Q(addr_last_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_19_ ( .D(n327), .CLK(n1), .RESET_B(
+        n397), .Q(addr_last_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_18_ ( .D(n326), .CLK(n1), .RESET_B(
+        n397), .Q(addr_last_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_17_ ( .D(n325), .CLK(n3), .RESET_B(
+        n397), .Q(addr_last_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_16_ ( .D(n324), .CLK(n4), .RESET_B(
+        n398), .Q(addr_last_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_15_ ( .D(n323), .CLK(n2), .RESET_B(
+        n398), .Q(addr_last_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_14_ ( .D(n322), .CLK(n4), .RESET_B(
+        n398), .Q(addr_last_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_13_ ( .D(n321), .CLK(n2), .RESET_B(
+        n398), .Q(addr_last_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_12_ ( .D(n320), .CLK(n2), .RESET_B(
+        n398), .Q(addr_last_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_11_ ( .D(n319), .CLK(n3), .RESET_B(
+        n398), .Q(addr_last_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_10_ ( .D(n318), .CLK(n2), .RESET_B(
+        n398), .Q(addr_last_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_9_ ( .D(n317), .CLK(n3), .RESET_B(
+        n398), .Q(addr_last_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_8_ ( .D(n316), .CLK(n2), .RESET_B(
+        n398), .Q(addr_last_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_7_ ( .D(n315), .CLK(n4), .RESET_B(
+        n398), .Q(addr_last_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_6_ ( .D(n314), .CLK(n2), .RESET_B(
+        n398), .Q(addr_last_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_5_ ( .D(n313), .CLK(n3), .RESET_B(
+        n398), .Q(addr_last_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_4_ ( .D(n312), .CLK(n2), .RESET_B(
+        n398), .Q(addr_last_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_3_ ( .D(n311), .CLK(n4), .RESET_B(
+        rst_ni), .Q(addr_last_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_2_ ( .D(n310), .CLK(n2), .RESET_B(
+        rst_ni), .Q(addr_last_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_last_q_reg_1_ ( .D(n309), .CLK(n2), .RESET_B(
+        n395), .Q(addr_last_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 data_type_q_reg_1_ ( .D(n368), .CLK(n3), .RESET_B(
+        n395), .Q(data_type_q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(n4), .X(n1) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(n3), .X(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(clk_i), .X(n3) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(clk_i), .X(n4) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(n295), .Y(n296) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(adder_result_ex_i[30]), .X(data_addr_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(adder_result_ex_i[13]), .X(data_addr_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(adder_result_ex_i[2]), .X(data_addr_o[2])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(lsu_we_i), .X(data_we_o) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(adder_result_ex_i[3]), .X(data_addr_o[3])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(adder_result_ex_i[4]), .X(data_addr_o[4])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(adder_result_ex_i[5]), .X(data_addr_o[5])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(adder_result_ex_i[6]), .X(data_addr_o[6])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(adder_result_ex_i[7]), .X(data_addr_o[7])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(adder_result_ex_i[8]), .X(data_addr_o[8])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(adder_result_ex_i[9]), .X(data_addr_o[9])
+         );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(adder_result_ex_i[10]), .X(
+        data_addr_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(adder_result_ex_i[11]), .X(
+        data_addr_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(adder_result_ex_i[16]), .X(
+        data_addr_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(adder_result_ex_i[17]), .X(
+        data_addr_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(adder_result_ex_i[18]), .X(
+        data_addr_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(adder_result_ex_i[19]), .X(
+        data_addr_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(adder_result_ex_i[20]), .X(
+        data_addr_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(adder_result_ex_i[21]), .X(
+        data_addr_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(adder_result_ex_i[22]), .X(
+        data_addr_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(adder_result_ex_i[23]), .X(
+        data_addr_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(adder_result_ex_i[24]), .X(
+        data_addr_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(adder_result_ex_i[25]), .X(
+        data_addr_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(adder_result_ex_i[26]), .X(
+        data_addr_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(adder_result_ex_i[27]), .X(
+        data_addr_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(adder_result_ex_i[28]), .X(
+        data_addr_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(adder_result_ex_i[29]), .X(
+        data_addr_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(adder_result_ex_i[31]), .X(
+        data_addr_o[31]) );
+  sky130_fd_sc_hd__clkinv_1 U39 ( .A(ls_fsm_cs[2]), .Y(n12) );
+  sky130_fd_sc_hd__nand2_1 U40 ( .A(ls_fsm_cs[1]), .B(n12), .Y(n286) );
+  sky130_fd_sc_hd__nor2_1 U41 ( .A(ls_fsm_cs[0]), .B(n286), .Y(n11) );
+  sky130_fd_sc_hd__nor3_1 U42 ( .A(ls_fsm_cs[0]), .B(ls_fsm_cs[1]), .C(n12), 
+        .Y(n10) );
+  sky130_fd_sc_hd__a21oi_1 U43 ( .A1(data_gnt_i), .A2(n11), .B1(n10), .Y(n13)
+         );
+  sky130_fd_sc_hd__nor2_1 U44 ( .A(n13), .B(data_rvalid_i), .Y(n373) );
+  sky130_fd_sc_hd__nor2_1 U45 ( .A(ls_fsm_cs[2]), .B(ls_fsm_cs[1]), .Y(n244)
+         );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(ls_fsm_cs[0]), .Y(n288) );
+  sky130_fd_sc_hd__nand2_1 U47 ( .A(n244), .B(n288), .Y(N208) );
+  sky130_fd_sc_hd__nor2b_1 U48 ( .B_N(lsu_req_i), .A(N208), .Y(n230) );
+  sky130_fd_sc_hd__a21oi_1 U49 ( .A1(data_rvalid_i), .A2(n11), .B1(n230), .Y(
+        n6) );
+  sky130_fd_sc_hd__nand2_1 U50 ( .A(ls_fsm_cs[0]), .B(n12), .Y(n5) );
+  sky130_fd_sc_hd__a21oi_1 U51 ( .A1(n6), .A2(n5), .B1(data_gnt_i), .Y(n375)
+         );
+  sky130_fd_sc_hd__nand3_1 U52 ( .A(ls_fsm_cs[0]), .B(data_gnt_i), .C(n12), 
+        .Y(n250) );
+  sky130_fd_sc_hd__clkinv_1 U53 ( .A(lsu_type_i[0]), .Y(n261) );
+  sky130_fd_sc_hd__clkinv_1 U54 ( .A(adder_result_ex_i[1]), .Y(n264) );
+  sky130_fd_sc_hd__clkinv_1 U55 ( .A(adder_result_ex_i[0]), .Y(n253) );
+  sky130_fd_sc_hd__nor2_1 U56 ( .A(n264), .B(n253), .Y(n238) );
+  sky130_fd_sc_hd__nand2_1 U57 ( .A(n264), .B(n253), .Y(n75) );
+  sky130_fd_sc_hd__clkinv_1 U58 ( .A(lsu_type_i[1]), .Y(n259) );
+  sky130_fd_sc_hd__o211ai_1 U59 ( .A1(n261), .A2(n238), .B1(n75), .C1(n259), 
+        .Y(n289) );
+  sky130_fd_sc_hd__xor2_1 U60 ( .A(n289), .B(data_gnt_i), .X(n7) );
+  sky130_fd_sc_hd__a2bb2oi_1 U61 ( .B1(n230), .B2(n7), .A1_N(n286), .A2_N(
+        data_gnt_i), .Y(n8) );
+  sky130_fd_sc_hd__o21ai_1 U62 ( .A1(ls_fsm_cs[1]), .A2(n250), .B1(n8), .Y(
+        n374) );
+  sky130_fd_sc_hd__nor2_1 U63 ( .A(lsu_req_i), .B(N208), .Y(n9) );
+  sky130_fd_sc_hd__nor4_1 U64 ( .A(n373), .B(n9), .C(n375), .D(n374), .Y(
+        lsu_req_done_o) );
+  sky130_fd_sc_hd__clkinv_1 U65 ( .A(handle_misaligned_q), .Y(n293) );
+  sky130_fd_sc_hd__nor2_1 U66 ( .A(n11), .B(n10), .Y(n247) );
+  sky130_fd_sc_hd__o21ai_1 U67 ( .A1(n286), .A2(n293), .B1(n247), .Y(
+        addr_incr_req_o) );
+  sky130_fd_sc_hd__nor2_1 U68 ( .A(n250), .B(lsu_err_q), .Y(n15) );
+  sky130_fd_sc_hd__clkinv_1 U69 ( .A(data_gnt_i), .Y(n290) );
+  sky130_fd_sc_hd__o21ai_1 U70 ( .A1(ls_fsm_cs[0]), .A2(lsu_req_i), .B1(n12), 
+        .Y(n285) );
+  sky130_fd_sc_hd__nor3_1 U71 ( .A(ls_fsm_cs[1]), .B(n290), .C(n285), .Y(n251)
+         );
+  sky130_fd_sc_hd__clkinv_1 U72 ( .A(data_rvalid_i), .Y(n74) );
+  sky130_fd_sc_hd__nor3_1 U73 ( .A(n13), .B(data_err_i), .C(n74), .Y(n14) );
+  sky130_fd_sc_hd__or3_1 U74 ( .A(n15), .B(n251), .C(n14), .X(n295) );
+  sky130_fd_sc_hd__o2bb2ai_1 U75 ( .B1(n296), .B2(n253), .A1_N(n296), .A2_N(
+        addr_last_o[0]), .Y(n340) );
+  sky130_fd_sc_hd__o2bb2ai_1 U76 ( .B1(n296), .B2(n264), .A1_N(n296), .A2_N(
+        addr_last_o[1]), .Y(n309) );
+  sky130_fd_sc_hd__clkinv_1 U77 ( .A(n75), .Y(n235) );
+  sky130_fd_sc_hd__a22oi_1 U78 ( .A1(n238), .A2(lsu_wdata_i[11]), .B1(n235), 
+        .B2(lsu_wdata_i[3]), .Y(n17) );
+  sky130_fd_sc_hd__nor2_1 U79 ( .A(n264), .B(adder_result_ex_i[0]), .Y(n234)
+         );
+  sky130_fd_sc_hd__nor2_1 U80 ( .A(adder_result_ex_i[1]), .B(n253), .Y(n232)
+         );
+  sky130_fd_sc_hd__a22oi_1 U81 ( .A1(n234), .A2(lsu_wdata_i[19]), .B1(n232), 
+        .B2(lsu_wdata_i[27]), .Y(n16) );
+  sky130_fd_sc_hd__nand2_1 U82 ( .A(n17), .B(n16), .Y(data_wdata_o[3]) );
+  sky130_fd_sc_hd__a22oi_1 U83 ( .A1(n238), .A2(lsu_wdata_i[13]), .B1(n235), 
+        .B2(lsu_wdata_i[5]), .Y(n19) );
+  sky130_fd_sc_hd__a22oi_1 U84 ( .A1(n234), .A2(lsu_wdata_i[21]), .B1(n232), 
+        .B2(lsu_wdata_i[29]), .Y(n18) );
+  sky130_fd_sc_hd__nand2_1 U85 ( .A(n19), .B(n18), .Y(data_wdata_o[5]) );
+  sky130_fd_sc_hd__nor2_1 U86 ( .A(n74), .B(N208), .Y(lsu_resp_valid_o) );
+  sky130_fd_sc_hd__a22oi_1 U87 ( .A1(n238), .A2(lsu_wdata_i[27]), .B1(n235), 
+        .B2(lsu_wdata_i[19]), .Y(n21) );
+  sky130_fd_sc_hd__a22oi_1 U88 ( .A1(n234), .A2(lsu_wdata_i[3]), .B1(n232), 
+        .B2(lsu_wdata_i[11]), .Y(n20) );
+  sky130_fd_sc_hd__nand2_1 U89 ( .A(n21), .B(n20), .Y(data_wdata_o[19]) );
+  sky130_fd_sc_hd__a22oi_1 U90 ( .A1(n238), .A2(lsu_wdata_i[25]), .B1(n235), 
+        .B2(lsu_wdata_i[17]), .Y(n23) );
+  sky130_fd_sc_hd__a22oi_1 U91 ( .A1(n234), .A2(lsu_wdata_i[1]), .B1(n232), 
+        .B2(lsu_wdata_i[9]), .Y(n22) );
+  sky130_fd_sc_hd__nand2_1 U92 ( .A(n23), .B(n22), .Y(data_wdata_o[17]) );
+  sky130_fd_sc_hd__a22oi_1 U93 ( .A1(n238), .A2(lsu_wdata_i[24]), .B1(n235), 
+        .B2(lsu_wdata_i[16]), .Y(n25) );
+  sky130_fd_sc_hd__a22oi_1 U94 ( .A1(n234), .A2(lsu_wdata_i[0]), .B1(n232), 
+        .B2(lsu_wdata_i[8]), .Y(n24) );
+  sky130_fd_sc_hd__nand2_1 U95 ( .A(n25), .B(n24), .Y(data_wdata_o[16]) );
+  sky130_fd_sc_hd__a22oi_1 U96 ( .A1(n238), .A2(lsu_wdata_i[9]), .B1(n235), 
+        .B2(lsu_wdata_i[1]), .Y(n27) );
+  sky130_fd_sc_hd__a22oi_1 U97 ( .A1(n234), .A2(lsu_wdata_i[17]), .B1(n232), 
+        .B2(lsu_wdata_i[25]), .Y(n26) );
+  sky130_fd_sc_hd__nand2_1 U98 ( .A(n27), .B(n26), .Y(data_wdata_o[1]) );
+  sky130_fd_sc_hd__a22oi_1 U99 ( .A1(n238), .A2(lsu_wdata_i[8]), .B1(n235), 
+        .B2(lsu_wdata_i[0]), .Y(n29) );
+  sky130_fd_sc_hd__a22oi_1 U100 ( .A1(n234), .A2(lsu_wdata_i[16]), .B1(n232), 
+        .B2(lsu_wdata_i[24]), .Y(n28) );
+  sky130_fd_sc_hd__nand2_1 U101 ( .A(n29), .B(n28), .Y(data_wdata_o[0]) );
+  sky130_fd_sc_hd__a22oi_1 U102 ( .A1(n238), .A2(lsu_wdata_i[15]), .B1(n235), 
+        .B2(lsu_wdata_i[7]), .Y(n31) );
+  sky130_fd_sc_hd__a22oi_1 U103 ( .A1(n234), .A2(lsu_wdata_i[23]), .B1(n232), 
+        .B2(lsu_wdata_i[31]), .Y(n30) );
+  sky130_fd_sc_hd__nand2_1 U104 ( .A(n31), .B(n30), .Y(data_wdata_o[7]) );
+  sky130_fd_sc_hd__a22oi_1 U105 ( .A1(n238), .A2(lsu_wdata_i[14]), .B1(n235), 
+        .B2(lsu_wdata_i[6]), .Y(n33) );
+  sky130_fd_sc_hd__a22oi_1 U106 ( .A1(n234), .A2(lsu_wdata_i[22]), .B1(n232), 
+        .B2(lsu_wdata_i[30]), .Y(n32) );
+  sky130_fd_sc_hd__nand2_1 U107 ( .A(n33), .B(n32), .Y(data_wdata_o[6]) );
+  sky130_fd_sc_hd__a22oi_1 U108 ( .A1(n238), .A2(lsu_wdata_i[4]), .B1(n235), 
+        .B2(lsu_wdata_i[28]), .Y(n35) );
+  sky130_fd_sc_hd__a22oi_1 U109 ( .A1(n234), .A2(lsu_wdata_i[12]), .B1(n232), 
+        .B2(lsu_wdata_i[20]), .Y(n34) );
+  sky130_fd_sc_hd__nand2_1 U110 ( .A(n35), .B(n34), .Y(data_wdata_o[28]) );
+  sky130_fd_sc_hd__a22oi_1 U111 ( .A1(n238), .A2(lsu_wdata_i[2]), .B1(n235), 
+        .B2(lsu_wdata_i[26]), .Y(n37) );
+  sky130_fd_sc_hd__a22oi_1 U112 ( .A1(n234), .A2(lsu_wdata_i[10]), .B1(n232), 
+        .B2(lsu_wdata_i[18]), .Y(n36) );
+  sky130_fd_sc_hd__nand2_1 U113 ( .A(n37), .B(n36), .Y(data_wdata_o[26]) );
+  sky130_fd_sc_hd__a22oi_1 U114 ( .A1(n238), .A2(lsu_wdata_i[7]), .B1(n235), 
+        .B2(lsu_wdata_i[31]), .Y(n39) );
+  sky130_fd_sc_hd__a22oi_1 U115 ( .A1(n234), .A2(lsu_wdata_i[15]), .B1(n232), 
+        .B2(lsu_wdata_i[23]), .Y(n38) );
+  sky130_fd_sc_hd__nand2_1 U116 ( .A(n39), .B(n38), .Y(data_wdata_o[31]) );
+  sky130_fd_sc_hd__a22oi_1 U117 ( .A1(n238), .A2(lsu_wdata_i[5]), .B1(n235), 
+        .B2(lsu_wdata_i[29]), .Y(n41) );
+  sky130_fd_sc_hd__a22oi_1 U118 ( .A1(n234), .A2(lsu_wdata_i[13]), .B1(n232), 
+        .B2(lsu_wdata_i[21]), .Y(n40) );
+  sky130_fd_sc_hd__nand2_1 U119 ( .A(n41), .B(n40), .Y(data_wdata_o[29]) );
+  sky130_fd_sc_hd__a22oi_1 U120 ( .A1(n238), .A2(lsu_wdata_i[3]), .B1(n235), 
+        .B2(lsu_wdata_i[27]), .Y(n43) );
+  sky130_fd_sc_hd__a22oi_1 U121 ( .A1(n234), .A2(lsu_wdata_i[11]), .B1(n232), 
+        .B2(lsu_wdata_i[19]), .Y(n42) );
+  sky130_fd_sc_hd__nand2_1 U122 ( .A(n43), .B(n42), .Y(data_wdata_o[27]) );
+  sky130_fd_sc_hd__a22oi_1 U123 ( .A1(n238), .A2(lsu_wdata_i[1]), .B1(n235), 
+        .B2(lsu_wdata_i[25]), .Y(n45) );
+  sky130_fd_sc_hd__a22oi_1 U124 ( .A1(n234), .A2(lsu_wdata_i[9]), .B1(n232), 
+        .B2(lsu_wdata_i[17]), .Y(n44) );
+  sky130_fd_sc_hd__nand2_1 U125 ( .A(n45), .B(n44), .Y(data_wdata_o[25]) );
+  sky130_fd_sc_hd__a22oi_1 U126 ( .A1(n238), .A2(lsu_wdata_i[31]), .B1(n235), 
+        .B2(lsu_wdata_i[23]), .Y(n47) );
+  sky130_fd_sc_hd__a22oi_1 U127 ( .A1(n234), .A2(lsu_wdata_i[7]), .B1(n232), 
+        .B2(lsu_wdata_i[15]), .Y(n46) );
+  sky130_fd_sc_hd__nand2_1 U128 ( .A(n47), .B(n46), .Y(data_wdata_o[23]) );
+  sky130_fd_sc_hd__a22oi_1 U129 ( .A1(n238), .A2(lsu_wdata_i[6]), .B1(n235), 
+        .B2(lsu_wdata_i[30]), .Y(n49) );
+  sky130_fd_sc_hd__a22oi_1 U130 ( .A1(n234), .A2(lsu_wdata_i[14]), .B1(n232), 
+        .B2(lsu_wdata_i[22]), .Y(n48) );
+  sky130_fd_sc_hd__nand2_1 U131 ( .A(n49), .B(n48), .Y(data_wdata_o[30]) );
+  sky130_fd_sc_hd__a22oi_1 U132 ( .A1(n238), .A2(lsu_wdata_i[29]), .B1(n235), 
+        .B2(lsu_wdata_i[21]), .Y(n51) );
+  sky130_fd_sc_hd__a22oi_1 U133 ( .A1(n234), .A2(lsu_wdata_i[5]), .B1(n232), 
+        .B2(lsu_wdata_i[13]), .Y(n50) );
+  sky130_fd_sc_hd__nand2_1 U134 ( .A(n51), .B(n50), .Y(data_wdata_o[21]) );
+  sky130_fd_sc_hd__a22oi_1 U135 ( .A1(n238), .A2(lsu_wdata_i[28]), .B1(n235), 
+        .B2(lsu_wdata_i[20]), .Y(n53) );
+  sky130_fd_sc_hd__a22oi_1 U136 ( .A1(n234), .A2(lsu_wdata_i[4]), .B1(n232), 
+        .B2(lsu_wdata_i[12]), .Y(n52) );
+  sky130_fd_sc_hd__nand2_1 U137 ( .A(n53), .B(n52), .Y(data_wdata_o[20]) );
+  sky130_fd_sc_hd__a22oi_1 U138 ( .A1(n238), .A2(lsu_wdata_i[0]), .B1(n235), 
+        .B2(lsu_wdata_i[24]), .Y(n55) );
+  sky130_fd_sc_hd__a22oi_1 U139 ( .A1(n234), .A2(lsu_wdata_i[8]), .B1(n232), 
+        .B2(lsu_wdata_i[16]), .Y(n54) );
+  sky130_fd_sc_hd__nand2_1 U140 ( .A(n55), .B(n54), .Y(data_wdata_o[24]) );
+  sky130_fd_sc_hd__nor2_1 U141 ( .A(data_err_i), .B(lsu_err_q), .Y(n78) );
+  sky130_fd_sc_hd__clkinv_1 U142 ( .A(data_we_q), .Y(n254) );
+  sky130_fd_sc_hd__nor3b_1 U143 ( .C_N(lsu_resp_valid_o), .A(n78), .B(n254), 
+        .Y(store_err_o) );
+  sky130_fd_sc_hd__clkbuf_1 U144 ( .A(rst_ni), .X(n398) );
+  sky130_fd_sc_hd__clkbuf_1 U145 ( .A(rst_ni), .X(n397) );
+  sky130_fd_sc_hd__clkbuf_1 U146 ( .A(rst_ni), .X(n396) );
+  sky130_fd_sc_hd__clkbuf_1 U147 ( .A(rst_ni), .X(n395) );
+  sky130_fd_sc_hd__a22oi_1 U148 ( .A1(n238), .A2(lsu_wdata_i[19]), .B1(n235), 
+        .B2(lsu_wdata_i[11]), .Y(n57) );
+  sky130_fd_sc_hd__a22oi_1 U149 ( .A1(n234), .A2(lsu_wdata_i[27]), .B1(n232), 
+        .B2(lsu_wdata_i[3]), .Y(n56) );
+  sky130_fd_sc_hd__nand2_1 U150 ( .A(n57), .B(n56), .Y(data_wdata_o[11]) );
+  sky130_fd_sc_hd__a22oi_1 U151 ( .A1(n238), .A2(lsu_wdata_i[18]), .B1(n235), 
+        .B2(lsu_wdata_i[10]), .Y(n59) );
+  sky130_fd_sc_hd__a22oi_1 U152 ( .A1(n234), .A2(lsu_wdata_i[26]), .B1(n232), 
+        .B2(lsu_wdata_i[2]), .Y(n58) );
+  sky130_fd_sc_hd__nand2_1 U153 ( .A(n59), .B(n58), .Y(data_wdata_o[10]) );
+  sky130_fd_sc_hd__a22oi_1 U154 ( .A1(n238), .A2(lsu_wdata_i[17]), .B1(n235), 
+        .B2(lsu_wdata_i[9]), .Y(n61) );
+  sky130_fd_sc_hd__a22oi_1 U155 ( .A1(n234), .A2(lsu_wdata_i[25]), .B1(n232), 
+        .B2(lsu_wdata_i[1]), .Y(n60) );
+  sky130_fd_sc_hd__nand2_1 U156 ( .A(n61), .B(n60), .Y(data_wdata_o[9]) );
+  sky130_fd_sc_hd__a22oi_1 U157 ( .A1(n238), .A2(lsu_wdata_i[16]), .B1(n235), 
+        .B2(lsu_wdata_i[8]), .Y(n63) );
+  sky130_fd_sc_hd__a22oi_1 U158 ( .A1(n234), .A2(lsu_wdata_i[24]), .B1(n232), 
+        .B2(lsu_wdata_i[0]), .Y(n62) );
+  sky130_fd_sc_hd__nand2_1 U159 ( .A(n63), .B(n62), .Y(data_wdata_o[8]) );
+  sky130_fd_sc_hd__a22oi_1 U160 ( .A1(n238), .A2(lsu_wdata_i[23]), .B1(n235), 
+        .B2(lsu_wdata_i[15]), .Y(n65) );
+  sky130_fd_sc_hd__a22oi_1 U161 ( .A1(n234), .A2(lsu_wdata_i[31]), .B1(n232), 
+        .B2(lsu_wdata_i[7]), .Y(n64) );
+  sky130_fd_sc_hd__nand2_1 U162 ( .A(n65), .B(n64), .Y(data_wdata_o[15]) );
+  sky130_fd_sc_hd__a22oi_1 U163 ( .A1(n238), .A2(lsu_wdata_i[22]), .B1(n235), 
+        .B2(lsu_wdata_i[14]), .Y(n67) );
+  sky130_fd_sc_hd__a22oi_1 U164 ( .A1(n234), .A2(lsu_wdata_i[30]), .B1(n232), 
+        .B2(lsu_wdata_i[6]), .Y(n66) );
+  sky130_fd_sc_hd__nand2_1 U165 ( .A(n67), .B(n66), .Y(data_wdata_o[14]) );
+  sky130_fd_sc_hd__a22oi_1 U166 ( .A1(n238), .A2(lsu_wdata_i[21]), .B1(n235), 
+        .B2(lsu_wdata_i[13]), .Y(n69) );
+  sky130_fd_sc_hd__a22oi_1 U167 ( .A1(n234), .A2(lsu_wdata_i[29]), .B1(n232), 
+        .B2(lsu_wdata_i[5]), .Y(n68) );
+  sky130_fd_sc_hd__nand2_1 U168 ( .A(n69), .B(n68), .Y(data_wdata_o[13]) );
+  sky130_fd_sc_hd__a22oi_1 U169 ( .A1(n238), .A2(lsu_wdata_i[20]), .B1(n235), 
+        .B2(lsu_wdata_i[12]), .Y(n71) );
+  sky130_fd_sc_hd__a22oi_1 U170 ( .A1(n234), .A2(lsu_wdata_i[28]), .B1(n232), 
+        .B2(lsu_wdata_i[4]), .Y(n70) );
+  sky130_fd_sc_hd__nand2_1 U171 ( .A(n71), .B(n70), .Y(data_wdata_o[12]) );
+  sky130_fd_sc_hd__a22oi_1 U172 ( .A1(n238), .A2(lsu_wdata_i[10]), .B1(n235), 
+        .B2(lsu_wdata_i[2]), .Y(n73) );
+  sky130_fd_sc_hd__a22oi_1 U173 ( .A1(n234), .A2(lsu_wdata_i[18]), .B1(n232), 
+        .B2(lsu_wdata_i[26]), .Y(n72) );
+  sky130_fd_sc_hd__nand2_1 U174 ( .A(n73), .B(n72), .Y(data_wdata_o[2]) );
+  sky130_fd_sc_hd__nor3_1 U175 ( .A(n247), .B(data_we_q), .C(n74), .Y(n283) );
+  sky130_fd_sc_hd__clkinv_1 U176 ( .A(n283), .Y(n281) );
+  sky130_fd_sc_hd__clkinv_1 U177 ( .A(data_rdata_i[11]), .Y(n2080) );
+  sky130_fd_sc_hd__o2bb2ai_1 U178 ( .B1(n281), .B2(n2080), .A1_N(n281), .A2_N(
+        rdata_q[11]), .Y(n344) );
+  sky130_fd_sc_hd__clkinv_1 U179 ( .A(data_rdata_i[8]), .Y(n196) );
+  sky130_fd_sc_hd__o2bb2ai_1 U180 ( .B1(n281), .B2(n196), .A1_N(n281), .A2_N(
+        rdata_q[8]), .Y(n365) );
+  sky130_fd_sc_hd__clkinv_1 U181 ( .A(data_rdata_i[22]), .Y(n217) );
+  sky130_fd_sc_hd__o2bb2ai_1 U182 ( .B1(n281), .B2(n217), .A1_N(n281), .A2_N(
+        rdata_q[22]), .Y(n355) );
+  sky130_fd_sc_hd__clkinv_1 U183 ( .A(data_rdata_i[20]), .Y(n209) );
+  sky130_fd_sc_hd__o2bb2ai_1 U184 ( .B1(n281), .B2(n209), .A1_N(n281), .A2_N(
+        rdata_q[20]), .Y(n353) );
+  sky130_fd_sc_hd__clkinv_1 U185 ( .A(data_rdata_i[23]), .Y(n223) );
+  sky130_fd_sc_hd__o2bb2ai_1 U186 ( .B1(n281), .B2(n223), .A1_N(n281), .A2_N(
+        rdata_q[23]), .Y(n356) );
+  sky130_fd_sc_hd__clkinv_1 U187 ( .A(data_rdata_i[19]), .Y(n205) );
+  sky130_fd_sc_hd__o2bb2ai_1 U188 ( .B1(n281), .B2(n205), .A1_N(n281), .A2_N(
+        rdata_q[19]), .Y(n352) );
+  sky130_fd_sc_hd__clkinv_1 U189 ( .A(data_rdata_i[13]), .Y(n216) );
+  sky130_fd_sc_hd__o2bb2ai_1 U190 ( .B1(n281), .B2(n216), .A1_N(n281), .A2_N(
+        rdata_q[13]), .Y(n346) );
+  sky130_fd_sc_hd__clkinv_1 U191 ( .A(data_rdata_i[12]), .Y(n212) );
+  sky130_fd_sc_hd__o2bb2ai_1 U192 ( .B1(n281), .B2(n212), .A1_N(n281), .A2_N(
+        rdata_q[12]), .Y(n345) );
+  sky130_fd_sc_hd__clkinv_1 U193 ( .A(data_rdata_i[16]), .Y(n193) );
+  sky130_fd_sc_hd__o2bb2ai_1 U194 ( .B1(n281), .B2(n193), .A1_N(n281), .A2_N(
+        rdata_q[16]), .Y(n349) );
+  sky130_fd_sc_hd__clkinv_1 U195 ( .A(data_rdata_i[15]), .Y(n229) );
+  sky130_fd_sc_hd__o2bb2ai_1 U196 ( .B1(n281), .B2(n229), .A1_N(n281), .A2_N(
+        rdata_q[15]), .Y(n348) );
+  sky130_fd_sc_hd__clkinv_1 U197 ( .A(data_rdata_i[17]), .Y(n197) );
+  sky130_fd_sc_hd__o2bb2ai_1 U198 ( .B1(n281), .B2(n197), .A1_N(n281), .A2_N(
+        rdata_q[17]), .Y(n350) );
+  sky130_fd_sc_hd__clkinv_1 U199 ( .A(data_rdata_i[14]), .Y(n220) );
+  sky130_fd_sc_hd__o2bb2ai_1 U200 ( .B1(n281), .B2(n220), .A1_N(n281), .A2_N(
+        rdata_q[14]), .Y(n347) );
+  sky130_fd_sc_hd__clkinv_1 U201 ( .A(data_rdata_i[9]), .Y(n200) );
+  sky130_fd_sc_hd__o2bb2ai_1 U202 ( .B1(n281), .B2(n200), .A1_N(n281), .A2_N(
+        rdata_q[9]), .Y(n342) );
+  sky130_fd_sc_hd__clkinv_1 U203 ( .A(data_rdata_i[21]), .Y(n213) );
+  sky130_fd_sc_hd__o2bb2ai_1 U204 ( .B1(n281), .B2(n213), .A1_N(n281), .A2_N(
+        rdata_q[21]), .Y(n354) );
+  sky130_fd_sc_hd__clkinv_1 U205 ( .A(data_rdata_i[18]), .Y(n201) );
+  sky130_fd_sc_hd__o2bb2ai_1 U206 ( .B1(n281), .B2(n201), .A1_N(n281), .A2_N(
+        rdata_q[18]), .Y(n351) );
+  sky130_fd_sc_hd__clkinv_1 U207 ( .A(data_rdata_i[10]), .Y(n204) );
+  sky130_fd_sc_hd__o2bb2ai_1 U208 ( .B1(n281), .B2(n204), .A1_N(n281), .A2_N(
+        rdata_q[10]), .Y(n343) );
+  sky130_fd_sc_hd__nand2_1 U209 ( .A(lsu_resp_valid_o), .B(n254), .Y(n77) );
+  sky130_fd_sc_hd__nor3_1 U210 ( .A(data_err_i), .B(lsu_err_q), .C(n77), .Y(
+        lsu_rdata_valid_o) );
+  sky130_fd_sc_hd__nand2_1 U211 ( .A(handle_misaligned_q), .B(n259), .Y(n76)
+         );
+  sky130_fd_sc_hd__nor3_1 U212 ( .A(lsu_type_i[1]), .B(lsu_type_i[0]), .C(n293), .Y(n236) );
+  sky130_fd_sc_hd__o22ai_1 U213 ( .A1(n235), .A2(n76), .B1(n75), .B2(n236), 
+        .Y(data_be_o[0]) );
+  sky130_fd_sc_hd__nor2_1 U214 ( .A(n78), .B(n77), .Y(load_err_o) );
+  sky130_fd_sc_hd__a22oi_1 U215 ( .A1(n238), .A2(lsu_wdata_i[12]), .B1(n235), 
+        .B2(lsu_wdata_i[4]), .Y(n80) );
+  sky130_fd_sc_hd__a22oi_1 U216 ( .A1(n234), .A2(lsu_wdata_i[20]), .B1(n232), 
+        .B2(lsu_wdata_i[28]), .Y(n79) );
+  sky130_fd_sc_hd__nand2_1 U217 ( .A(n80), .B(n79), .Y(data_wdata_o[4]) );
+  sky130_fd_sc_hd__a22oi_1 U218 ( .A1(n238), .A2(lsu_wdata_i[26]), .B1(n235), 
+        .B2(lsu_wdata_i[18]), .Y(n82) );
+  sky130_fd_sc_hd__a22oi_1 U219 ( .A1(n234), .A2(lsu_wdata_i[2]), .B1(n232), 
+        .B2(lsu_wdata_i[10]), .Y(n81) );
+  sky130_fd_sc_hd__nand2_1 U220 ( .A(n82), .B(n81), .Y(data_wdata_o[18]) );
+  sky130_fd_sc_hd__a22oi_1 U221 ( .A1(n238), .A2(lsu_wdata_i[30]), .B1(n235), 
+        .B2(lsu_wdata_i[22]), .Y(n84) );
+  sky130_fd_sc_hd__a22oi_1 U222 ( .A1(n234), .A2(lsu_wdata_i[6]), .B1(n232), 
+        .B2(lsu_wdata_i[14]), .Y(n83) );
+  sky130_fd_sc_hd__nand2_1 U223 ( .A(n84), .B(n83), .Y(data_wdata_o[22]) );
+  sky130_fd_sc_hd__clkinv_1 U224 ( .A(data_type_q[1]), .Y(n258) );
+  sky130_fd_sc_hd__clkinv_1 U225 ( .A(data_type_q[0]), .Y(n260) );
+  sky130_fd_sc_hd__nand2_1 U226 ( .A(n258), .B(n260), .Y(n85) );
+  sky130_fd_sc_hd__clkinv_1 U227 ( .A(n85), .Y(n172) );
+  sky130_fd_sc_hd__clkinv_1 U228 ( .A(rdata_offset_q[0]), .Y(n252) );
+  sky130_fd_sc_hd__nand2_1 U229 ( .A(n252), .B(rdata_offset_q[1]), .Y(n123) );
+  sky130_fd_sc_hd__nor2_1 U230 ( .A(n172), .B(n123), .Y(n115) );
+  sky130_fd_sc_hd__nor2_1 U231 ( .A(n252), .B(rdata_offset_q[1]), .Y(n166) );
+  sky130_fd_sc_hd__clkinv_1 U232 ( .A(n166), .Y(n124) );
+  sky130_fd_sc_hd__nor2_1 U233 ( .A(n124), .B(n85), .Y(n225) );
+  sky130_fd_sc_hd__a22oi_1 U234 ( .A1(data_rdata_i[16]), .A2(n115), .B1(n225), 
+        .B2(rdata_q[8]), .Y(n89) );
+  sky130_fd_sc_hd__clkinv_1 U235 ( .A(rdata_offset_q[1]), .Y(n262) );
+  sky130_fd_sc_hd__nor2_1 U236 ( .A(n262), .B(n252), .Y(n173) );
+  sky130_fd_sc_hd__and2_0 U237 ( .A(n173), .B(data_type_q[1]), .X(n118) );
+  sky130_fd_sc_hd__clkinv_1 U238 ( .A(n123), .Y(n165) );
+  sky130_fd_sc_hd__nand2_1 U239 ( .A(n165), .B(n172), .Y(n228) );
+  sky130_fd_sc_hd__clkinv_1 U240 ( .A(n228), .Y(n187) );
+  sky130_fd_sc_hd__a22oi_1 U241 ( .A1(n118), .A2(data_rdata_i[24]), .B1(
+        rdata_q[16]), .B2(n187), .Y(n88) );
+  sky130_fd_sc_hd__nor2_1 U242 ( .A(n172), .B(n124), .Y(n117) );
+  sky130_fd_sc_hd__clkinv_1 U243 ( .A(rdata_q[24]), .Y(n282) );
+  sky130_fd_sc_hd__nand2_1 U244 ( .A(n258), .B(n173), .Y(n114) );
+  sky130_fd_sc_hd__nor2_1 U245 ( .A(rdata_offset_q[1]), .B(rdata_offset_q[0]), 
+        .Y(n171) );
+  sky130_fd_sc_hd__o2bb2ai_1 U246 ( .B1(n282), .B2(n114), .A1_N(n171), .A2_N(
+        data_rdata_i[0]), .Y(n86) );
+  sky130_fd_sc_hd__a21oi_1 U247 ( .A1(data_rdata_i[8]), .A2(n117), .B1(n86), 
+        .Y(n87) );
+  sky130_fd_sc_hd__nand3_1 U248 ( .A(n89), .B(n88), .C(n87), .Y(lsu_rdata_o[0]) );
+  sky130_fd_sc_hd__a22oi_1 U249 ( .A1(data_rdata_i[17]), .A2(n115), .B1(n225), 
+        .B2(rdata_q[9]), .Y(n93) );
+  sky130_fd_sc_hd__a22oi_1 U250 ( .A1(n118), .A2(data_rdata_i[25]), .B1(
+        rdata_q[17]), .B2(n187), .Y(n92) );
+  sky130_fd_sc_hd__clkinv_1 U251 ( .A(rdata_q[25]), .Y(n279) );
+  sky130_fd_sc_hd__o2bb2ai_1 U252 ( .B1(n114), .B2(n279), .A1_N(n171), .A2_N(
+        data_rdata_i[1]), .Y(n90) );
+  sky130_fd_sc_hd__a21oi_1 U253 ( .A1(data_rdata_i[9]), .A2(n117), .B1(n90), 
+        .Y(n91) );
+  sky130_fd_sc_hd__nand3_1 U254 ( .A(n93), .B(n92), .C(n91), .Y(lsu_rdata_o[1]) );
+  sky130_fd_sc_hd__a22oi_1 U255 ( .A1(data_rdata_i[18]), .A2(n115), .B1(n225), 
+        .B2(rdata_q[10]), .Y(n97) );
+  sky130_fd_sc_hd__a22oi_1 U256 ( .A1(n118), .A2(data_rdata_i[26]), .B1(
+        rdata_q[18]), .B2(n187), .Y(n96) );
+  sky130_fd_sc_hd__clkinv_1 U257 ( .A(rdata_q[26]), .Y(n277) );
+  sky130_fd_sc_hd__o2bb2ai_1 U258 ( .B1(n114), .B2(n277), .A1_N(n171), .A2_N(
+        data_rdata_i[2]), .Y(n94) );
+  sky130_fd_sc_hd__a21oi_1 U259 ( .A1(data_rdata_i[10]), .A2(n117), .B1(n94), 
+        .Y(n95) );
+  sky130_fd_sc_hd__nand3_1 U260 ( .A(n97), .B(n96), .C(n95), .Y(lsu_rdata_o[2]) );
+  sky130_fd_sc_hd__a22oi_1 U261 ( .A1(data_rdata_i[19]), .A2(n115), .B1(n225), 
+        .B2(rdata_q[11]), .Y(n101) );
+  sky130_fd_sc_hd__a22oi_1 U262 ( .A1(n118), .A2(data_rdata_i[27]), .B1(
+        rdata_q[19]), .B2(n187), .Y(n100) );
+  sky130_fd_sc_hd__clkinv_1 U263 ( .A(rdata_q[27]), .Y(n275) );
+  sky130_fd_sc_hd__o2bb2ai_1 U264 ( .B1(n114), .B2(n275), .A1_N(n171), .A2_N(
+        data_rdata_i[3]), .Y(n98) );
+  sky130_fd_sc_hd__a21oi_1 U265 ( .A1(data_rdata_i[11]), .A2(n117), .B1(n98), 
+        .Y(n99) );
+  sky130_fd_sc_hd__nand3_1 U266 ( .A(n101), .B(n100), .C(n99), .Y(
+        lsu_rdata_o[3]) );
+  sky130_fd_sc_hd__a22oi_1 U267 ( .A1(data_rdata_i[20]), .A2(n115), .B1(n225), 
+        .B2(rdata_q[12]), .Y(n105) );
+  sky130_fd_sc_hd__a22oi_1 U268 ( .A1(n118), .A2(data_rdata_i[28]), .B1(
+        rdata_q[20]), .B2(n187), .Y(n104) );
+  sky130_fd_sc_hd__clkinv_1 U269 ( .A(rdata_q[28]), .Y(n273) );
+  sky130_fd_sc_hd__o2bb2ai_1 U270 ( .B1(n114), .B2(n273), .A1_N(n171), .A2_N(
+        data_rdata_i[4]), .Y(n102) );
+  sky130_fd_sc_hd__a21oi_1 U271 ( .A1(data_rdata_i[12]), .A2(n117), .B1(n102), 
+        .Y(n103) );
+  sky130_fd_sc_hd__nand3_1 U272 ( .A(n105), .B(n104), .C(n103), .Y(
+        lsu_rdata_o[4]) );
+  sky130_fd_sc_hd__a22oi_1 U273 ( .A1(data_rdata_i[21]), .A2(n115), .B1(n225), 
+        .B2(rdata_q[13]), .Y(n109) );
+  sky130_fd_sc_hd__a22oi_1 U274 ( .A1(n118), .A2(data_rdata_i[29]), .B1(
+        rdata_q[21]), .B2(n187), .Y(n108) );
+  sky130_fd_sc_hd__clkinv_1 U275 ( .A(rdata_q[29]), .Y(n271) );
+  sky130_fd_sc_hd__o2bb2ai_1 U276 ( .B1(n114), .B2(n271), .A1_N(n171), .A2_N(
+        data_rdata_i[5]), .Y(n106) );
+  sky130_fd_sc_hd__a21oi_1 U277 ( .A1(data_rdata_i[13]), .A2(n117), .B1(n106), 
+        .Y(n107) );
+  sky130_fd_sc_hd__nand3_1 U278 ( .A(n109), .B(n108), .C(n107), .Y(
+        lsu_rdata_o[5]) );
+  sky130_fd_sc_hd__a22oi_1 U279 ( .A1(data_rdata_i[22]), .A2(n115), .B1(n225), 
+        .B2(rdata_q[14]), .Y(n113) );
+  sky130_fd_sc_hd__a22oi_1 U280 ( .A1(n118), .A2(data_rdata_i[30]), .B1(
+        rdata_q[22]), .B2(n187), .Y(n112) );
+  sky130_fd_sc_hd__clkinv_1 U281 ( .A(rdata_q[30]), .Y(n269) );
+  sky130_fd_sc_hd__o2bb2ai_1 U282 ( .B1(n114), .B2(n269), .A1_N(n171), .A2_N(
+        data_rdata_i[6]), .Y(n110) );
+  sky130_fd_sc_hd__a21oi_1 U283 ( .A1(data_rdata_i[14]), .A2(n117), .B1(n110), 
+        .Y(n111) );
+  sky130_fd_sc_hd__nand3_1 U284 ( .A(n113), .B(n112), .C(n111), .Y(
+        lsu_rdata_o[6]) );
+  sky130_fd_sc_hd__clkinv_1 U285 ( .A(data_rdata_i[7]), .Y(n192) );
+  sky130_fd_sc_hd__clkinv_1 U286 ( .A(n171), .Y(n155) );
+  sky130_fd_sc_hd__nor2_1 U287 ( .A(n192), .B(n155), .Y(n126) );
+  sky130_fd_sc_hd__a22oi_1 U288 ( .A1(n225), .A2(rdata_q[15]), .B1(n187), .B2(
+        rdata_q[23]), .Y(n120) );
+  sky130_fd_sc_hd__clkinv_1 U289 ( .A(n114), .Y(n157) );
+  sky130_fd_sc_hd__a22o_1 U290 ( .A1(n157), .A2(rdata_q[31]), .B1(
+        data_rdata_i[23]), .B2(n115), .X(n116) );
+  sky130_fd_sc_hd__a21oi_1 U291 ( .A1(data_rdata_i[15]), .A2(n117), .B1(n116), 
+        .Y(n119) );
+  sky130_fd_sc_hd__nand2_1 U292 ( .A(data_rdata_i[31]), .B(n118), .Y(n127) );
+  sky130_fd_sc_hd__nand4b_1 U293 ( .A_N(n126), .B(n120), .C(n119), .D(n127), 
+        .Y(lsu_rdata_o[7]) );
+  sky130_fd_sc_hd__nor2_1 U294 ( .A(data_type_q[0]), .B(n124), .Y(n158) );
+  sky130_fd_sc_hd__nand2_1 U295 ( .A(n166), .B(data_type_q[0]), .Y(n159) );
+  sky130_fd_sc_hd__o22ai_1 U296 ( .A1(n155), .A2(n196), .B1(n193), .B2(n159), 
+        .Y(n122) );
+  sky130_fd_sc_hd__nand2_1 U297 ( .A(n165), .B(n260), .Y(n160) );
+  sky130_fd_sc_hd__clkinv_1 U298 ( .A(data_rdata_i[24]), .Y(n280) );
+  sky130_fd_sc_hd__nand2_1 U299 ( .A(n165), .B(data_type_q[0]), .Y(n161) );
+  sky130_fd_sc_hd__o22ai_1 U300 ( .A1(n282), .A2(n160), .B1(n280), .B2(n161), 
+        .Y(n121) );
+  sky130_fd_sc_hd__a211oi_1 U301 ( .A1(rdata_q[16]), .A2(n158), .B1(n122), 
+        .C1(n121), .Y(n130) );
+  sky130_fd_sc_hd__o22ai_1 U302 ( .A1(n229), .A2(n124), .B1(n223), .B2(n123), 
+        .Y(n125) );
+  sky130_fd_sc_hd__o21ai_1 U303 ( .A1(n126), .A2(n125), .B1(data_type_q[1]), 
+        .Y(n128) );
+  sky130_fd_sc_hd__clkinv_1 U304 ( .A(data_sign_ext_q), .Y(n257) );
+  sky130_fd_sc_hd__a21oi_1 U305 ( .A1(n128), .A2(n127), .B1(n257), .Y(n169) );
+  sky130_fd_sc_hd__a21oi_1 U306 ( .A1(n157), .A2(data_rdata_i[0]), .B1(n169), 
+        .Y(n129) );
+  sky130_fd_sc_hd__o21ai_1 U307 ( .A1(data_type_q[1]), .A2(n130), .B1(n129), 
+        .Y(lsu_rdata_o[8]) );
+  sky130_fd_sc_hd__o22ai_1 U308 ( .A1(n155), .A2(n200), .B1(n159), .B2(n197), 
+        .Y(n132) );
+  sky130_fd_sc_hd__clkinv_1 U309 ( .A(data_rdata_i[25]), .Y(n278) );
+  sky130_fd_sc_hd__o22ai_1 U310 ( .A1(n160), .A2(n279), .B1(n161), .B2(n278), 
+        .Y(n131) );
+  sky130_fd_sc_hd__a211oi_1 U311 ( .A1(n158), .A2(rdata_q[17]), .B1(n132), 
+        .C1(n131), .Y(n134) );
+  sky130_fd_sc_hd__a21oi_1 U312 ( .A1(n157), .A2(data_rdata_i[1]), .B1(n169), 
+        .Y(n133) );
+  sky130_fd_sc_hd__o21ai_1 U313 ( .A1(data_type_q[1]), .A2(n134), .B1(n133), 
+        .Y(lsu_rdata_o[9]) );
+  sky130_fd_sc_hd__o22ai_1 U314 ( .A1(n155), .A2(n204), .B1(n159), .B2(n201), 
+        .Y(n136) );
+  sky130_fd_sc_hd__clkinv_1 U315 ( .A(data_rdata_i[26]), .Y(n276) );
+  sky130_fd_sc_hd__o22ai_1 U316 ( .A1(n160), .A2(n277), .B1(n161), .B2(n276), 
+        .Y(n135) );
+  sky130_fd_sc_hd__a211oi_1 U317 ( .A1(n158), .A2(rdata_q[18]), .B1(n136), 
+        .C1(n135), .Y(n138) );
+  sky130_fd_sc_hd__a21oi_1 U318 ( .A1(n157), .A2(data_rdata_i[2]), .B1(n169), 
+        .Y(n137) );
+  sky130_fd_sc_hd__o21ai_1 U319 ( .A1(data_type_q[1]), .A2(n138), .B1(n137), 
+        .Y(lsu_rdata_o[10]) );
+  sky130_fd_sc_hd__o22ai_1 U320 ( .A1(n155), .A2(n2080), .B1(n159), .B2(n205), 
+        .Y(n140) );
+  sky130_fd_sc_hd__clkinv_1 U321 ( .A(data_rdata_i[27]), .Y(n274) );
+  sky130_fd_sc_hd__o22ai_1 U322 ( .A1(n160), .A2(n275), .B1(n161), .B2(n274), 
+        .Y(n139) );
+  sky130_fd_sc_hd__a211oi_1 U323 ( .A1(n158), .A2(rdata_q[19]), .B1(n140), 
+        .C1(n139), .Y(n142) );
+  sky130_fd_sc_hd__a21oi_1 U324 ( .A1(n157), .A2(data_rdata_i[3]), .B1(n169), 
+        .Y(n141) );
+  sky130_fd_sc_hd__o21ai_1 U325 ( .A1(data_type_q[1]), .A2(n142), .B1(n141), 
+        .Y(lsu_rdata_o[11]) );
+  sky130_fd_sc_hd__o22ai_1 U326 ( .A1(n155), .A2(n212), .B1(n159), .B2(n209), 
+        .Y(n144) );
+  sky130_fd_sc_hd__clkinv_1 U327 ( .A(data_rdata_i[28]), .Y(n272) );
+  sky130_fd_sc_hd__o22ai_1 U328 ( .A1(n160), .A2(n273), .B1(n161), .B2(n272), 
+        .Y(n143) );
+  sky130_fd_sc_hd__a211oi_1 U329 ( .A1(n158), .A2(rdata_q[20]), .B1(n144), 
+        .C1(n143), .Y(n146) );
+  sky130_fd_sc_hd__a21oi_1 U330 ( .A1(n157), .A2(data_rdata_i[4]), .B1(n169), 
+        .Y(n145) );
+  sky130_fd_sc_hd__o21ai_1 U331 ( .A1(data_type_q[1]), .A2(n146), .B1(n145), 
+        .Y(lsu_rdata_o[12]) );
+  sky130_fd_sc_hd__o22ai_1 U332 ( .A1(n155), .A2(n216), .B1(n159), .B2(n213), 
+        .Y(n148) );
+  sky130_fd_sc_hd__clkinv_1 U333 ( .A(data_rdata_i[29]), .Y(n270) );
+  sky130_fd_sc_hd__o22ai_1 U334 ( .A1(n160), .A2(n271), .B1(n161), .B2(n270), 
+        .Y(n147) );
+  sky130_fd_sc_hd__a211oi_1 U335 ( .A1(n158), .A2(rdata_q[21]), .B1(n148), 
+        .C1(n147), .Y(n150) );
+  sky130_fd_sc_hd__a21oi_1 U336 ( .A1(n157), .A2(data_rdata_i[5]), .B1(n169), 
+        .Y(n149) );
+  sky130_fd_sc_hd__o21ai_1 U337 ( .A1(data_type_q[1]), .A2(n150), .B1(n149), 
+        .Y(lsu_rdata_o[13]) );
+  sky130_fd_sc_hd__o22ai_1 U338 ( .A1(n155), .A2(n220), .B1(n159), .B2(n217), 
+        .Y(n152) );
+  sky130_fd_sc_hd__clkinv_1 U339 ( .A(data_rdata_i[30]), .Y(n268) );
+  sky130_fd_sc_hd__o22ai_1 U340 ( .A1(n160), .A2(n269), .B1(n161), .B2(n268), 
+        .Y(n151) );
+  sky130_fd_sc_hd__a211oi_1 U341 ( .A1(n158), .A2(rdata_q[22]), .B1(n152), 
+        .C1(n151), .Y(n154) );
+  sky130_fd_sc_hd__a21oi_1 U342 ( .A1(n157), .A2(data_rdata_i[6]), .B1(n169), 
+        .Y(n153) );
+  sky130_fd_sc_hd__o21ai_1 U343 ( .A1(data_type_q[1]), .A2(n154), .B1(n153), 
+        .Y(lsu_rdata_o[14]) );
+  sky130_fd_sc_hd__nor3_1 U344 ( .A(data_type_q[1]), .B(n229), .C(n155), .Y(
+        n156) );
+  sky130_fd_sc_hd__a21oi_1 U345 ( .A1(n157), .A2(data_rdata_i[7]), .B1(n156), 
+        .Y(n167) );
+  sky130_fd_sc_hd__o2bb2ai_1 U346 ( .B1(n223), .B2(n159), .A1_N(n158), .A2_N(
+        rdata_q[23]), .Y(n163) );
+  sky130_fd_sc_hd__clkinv_1 U347 ( .A(data_rdata_i[31]), .Y(n266) );
+  sky130_fd_sc_hd__clkinv_1 U348 ( .A(rdata_q[31]), .Y(n267) );
+  sky130_fd_sc_hd__o22ai_1 U349 ( .A1(n266), .A2(n161), .B1(n160), .B2(n267), 
+        .Y(n162) );
+  sky130_fd_sc_hd__o21ai_1 U350 ( .A1(n163), .A2(n162), .B1(n258), .Y(n164) );
+  sky130_fd_sc_hd__nand3b_1 U351 ( .A_N(n169), .B(n167), .C(n164), .Y(
+        lsu_rdata_o[15]) );
+  sky130_fd_sc_hd__clkinv_1 U352 ( .A(n225), .Y(n189) );
+  sky130_fd_sc_hd__a22oi_1 U353 ( .A1(n166), .A2(data_rdata_i[23]), .B1(n165), 
+        .B2(data_rdata_i[31]), .Y(n168) );
+  sky130_fd_sc_hd__o21ai_1 U354 ( .A1(data_type_q[1]), .A2(n168), .B1(n167), 
+        .Y(n170) );
+  sky130_fd_sc_hd__a31oi_1 U355 ( .A1(data_sign_ext_q), .A2(data_type_q[0]), 
+        .A3(n170), .B1(n169), .Y(n227) );
+  sky130_fd_sc_hd__nand2_1 U356 ( .A(n171), .B(n172), .Y(n221) );
+  sky130_fd_sc_hd__nand2_1 U357 ( .A(n173), .B(n172), .Y(n222) );
+  sky130_fd_sc_hd__o22ai_1 U358 ( .A1(n193), .A2(n221), .B1(n196), .B2(n222), 
+        .Y(n174) );
+  sky130_fd_sc_hd__a21oi_1 U359 ( .A1(data_rdata_i[0]), .A2(n187), .B1(n174), 
+        .Y(n175) );
+  sky130_fd_sc_hd__o211ai_1 U360 ( .A1(n282), .A2(n189), .B1(n227), .C1(n175), 
+        .Y(lsu_rdata_o[16]) );
+  sky130_fd_sc_hd__o22ai_1 U361 ( .A1(n197), .A2(n221), .B1(n200), .B2(n222), 
+        .Y(n176) );
+  sky130_fd_sc_hd__a21oi_1 U362 ( .A1(data_rdata_i[1]), .A2(n187), .B1(n176), 
+        .Y(n177) );
+  sky130_fd_sc_hd__o211ai_1 U363 ( .A1(n279), .A2(n189), .B1(n227), .C1(n177), 
+        .Y(lsu_rdata_o[17]) );
+  sky130_fd_sc_hd__o22ai_1 U364 ( .A1(n201), .A2(n221), .B1(n204), .B2(n222), 
+        .Y(n178) );
+  sky130_fd_sc_hd__a21oi_1 U365 ( .A1(data_rdata_i[2]), .A2(n187), .B1(n178), 
+        .Y(n179) );
+  sky130_fd_sc_hd__o211ai_1 U366 ( .A1(n277), .A2(n189), .B1(n227), .C1(n179), 
+        .Y(lsu_rdata_o[18]) );
+  sky130_fd_sc_hd__o22ai_1 U367 ( .A1(n205), .A2(n221), .B1(n2080), .B2(n222), 
+        .Y(n180) );
+  sky130_fd_sc_hd__a21oi_1 U368 ( .A1(data_rdata_i[3]), .A2(n187), .B1(n180), 
+        .Y(n181) );
+  sky130_fd_sc_hd__o211ai_1 U369 ( .A1(n275), .A2(n189), .B1(n227), .C1(n181), 
+        .Y(lsu_rdata_o[19]) );
+  sky130_fd_sc_hd__o22ai_1 U370 ( .A1(n209), .A2(n221), .B1(n212), .B2(n222), 
+        .Y(n182) );
+  sky130_fd_sc_hd__a21oi_1 U371 ( .A1(data_rdata_i[4]), .A2(n187), .B1(n182), 
+        .Y(n183) );
+  sky130_fd_sc_hd__o211ai_1 U372 ( .A1(n273), .A2(n189), .B1(n227), .C1(n183), 
+        .Y(lsu_rdata_o[20]) );
+  sky130_fd_sc_hd__o22ai_1 U373 ( .A1(n213), .A2(n221), .B1(n216), .B2(n222), 
+        .Y(n184) );
+  sky130_fd_sc_hd__a21oi_1 U374 ( .A1(data_rdata_i[5]), .A2(n187), .B1(n184), 
+        .Y(n185) );
+  sky130_fd_sc_hd__o211ai_1 U375 ( .A1(n271), .A2(n189), .B1(n227), .C1(n185), 
+        .Y(lsu_rdata_o[21]) );
+  sky130_fd_sc_hd__o22ai_1 U376 ( .A1(n217), .A2(n221), .B1(n220), .B2(n222), 
+        .Y(n186) );
+  sky130_fd_sc_hd__a21oi_1 U377 ( .A1(data_rdata_i[6]), .A2(n187), .B1(n186), 
+        .Y(n188) );
+  sky130_fd_sc_hd__o211ai_1 U378 ( .A1(n269), .A2(n189), .B1(n227), .C1(n188), 
+        .Y(lsu_rdata_o[22]) );
+  sky130_fd_sc_hd__o22ai_1 U379 ( .A1(n229), .A2(n222), .B1(n223), .B2(n221), 
+        .Y(n190) );
+  sky130_fd_sc_hd__a21oi_1 U380 ( .A1(n225), .A2(rdata_q[31]), .B1(n190), .Y(
+        n191) );
+  sky130_fd_sc_hd__o211ai_1 U381 ( .A1(n192), .A2(n228), .B1(n227), .C1(n191), 
+        .Y(lsu_rdata_o[23]) );
+  sky130_fd_sc_hd__o22ai_1 U382 ( .A1(n280), .A2(n221), .B1(n193), .B2(n222), 
+        .Y(n194) );
+  sky130_fd_sc_hd__a21oi_1 U383 ( .A1(data_rdata_i[0]), .A2(n225), .B1(n194), 
+        .Y(n195) );
+  sky130_fd_sc_hd__o211ai_1 U384 ( .A1(n196), .A2(n228), .B1(n227), .C1(n195), 
+        .Y(lsu_rdata_o[24]) );
+  sky130_fd_sc_hd__o22ai_1 U385 ( .A1(n278), .A2(n221), .B1(n197), .B2(n222), 
+        .Y(n198) );
+  sky130_fd_sc_hd__a21oi_1 U386 ( .A1(data_rdata_i[1]), .A2(n225), .B1(n198), 
+        .Y(n199) );
+  sky130_fd_sc_hd__o211ai_1 U387 ( .A1(n200), .A2(n228), .B1(n227), .C1(n199), 
+        .Y(lsu_rdata_o[25]) );
+  sky130_fd_sc_hd__o22ai_1 U388 ( .A1(n276), .A2(n221), .B1(n201), .B2(n222), 
+        .Y(n202) );
+  sky130_fd_sc_hd__a21oi_1 U389 ( .A1(data_rdata_i[2]), .A2(n225), .B1(n202), 
+        .Y(n203) );
+  sky130_fd_sc_hd__o211ai_1 U390 ( .A1(n204), .A2(n228), .B1(n227), .C1(n203), 
+        .Y(lsu_rdata_o[26]) );
+  sky130_fd_sc_hd__o22ai_1 U391 ( .A1(n274), .A2(n221), .B1(n205), .B2(n222), 
+        .Y(n206) );
+  sky130_fd_sc_hd__a21oi_1 U392 ( .A1(data_rdata_i[3]), .A2(n225), .B1(n206), 
+        .Y(n207) );
+  sky130_fd_sc_hd__o211ai_1 U393 ( .A1(n2080), .A2(n228), .B1(n227), .C1(n207), 
+        .Y(lsu_rdata_o[27]) );
+  sky130_fd_sc_hd__o22ai_1 U394 ( .A1(n272), .A2(n221), .B1(n209), .B2(n222), 
+        .Y(n210) );
+  sky130_fd_sc_hd__a21oi_1 U395 ( .A1(data_rdata_i[4]), .A2(n225), .B1(n210), 
+        .Y(n211) );
+  sky130_fd_sc_hd__o211ai_1 U396 ( .A1(n212), .A2(n228), .B1(n227), .C1(n211), 
+        .Y(lsu_rdata_o[28]) );
+  sky130_fd_sc_hd__o22ai_1 U397 ( .A1(n270), .A2(n221), .B1(n213), .B2(n222), 
+        .Y(n214) );
+  sky130_fd_sc_hd__a21oi_1 U398 ( .A1(data_rdata_i[5]), .A2(n225), .B1(n214), 
+        .Y(n215) );
+  sky130_fd_sc_hd__o211ai_1 U399 ( .A1(n216), .A2(n228), .B1(n227), .C1(n215), 
+        .Y(lsu_rdata_o[29]) );
+  sky130_fd_sc_hd__o22ai_1 U400 ( .A1(n268), .A2(n221), .B1(n217), .B2(n222), 
+        .Y(n218) );
+  sky130_fd_sc_hd__a21oi_1 U401 ( .A1(data_rdata_i[6]), .A2(n225), .B1(n218), 
+        .Y(n219) );
+  sky130_fd_sc_hd__o211ai_1 U402 ( .A1(n220), .A2(n228), .B1(n227), .C1(n219), 
+        .Y(lsu_rdata_o[30]) );
+  sky130_fd_sc_hd__o22ai_1 U403 ( .A1(n223), .A2(n222), .B1(n266), .B2(n221), 
+        .Y(n224) );
+  sky130_fd_sc_hd__a21oi_1 U404 ( .A1(data_rdata_i[7]), .A2(n225), .B1(n224), 
+        .Y(n226) );
+  sky130_fd_sc_hd__o211ai_1 U405 ( .A1(n229), .A2(n228), .B1(n227), .C1(n226), 
+        .Y(lsu_rdata_o[31]) );
+  sky130_fd_sc_hd__clkinv_1 U407 ( .A(lsu_we_i), .Y(n255) );
+  sky130_fd_sc_hd__nand2_1 U410 ( .A(n286), .B(n285), .Y(data_req_o) );
+  sky130_fd_sc_hd__nand2_1 U411 ( .A(n259), .B(n293), .Y(n242) );
+  sky130_fd_sc_hd__a22oi_1 U412 ( .A1(lsu_type_i[1]), .A2(n232), .B1(
+        adder_result_ex_i[1]), .B2(n236), .Y(n233) );
+  sky130_fd_sc_hd__o21ai_1 U413 ( .A1(adder_result_ex_i[1]), .A2(n242), .B1(
+        n233), .Y(data_be_o[1]) );
+  sky130_fd_sc_hd__clkinv_1 U414 ( .A(n234), .Y(n240) );
+  sky130_fd_sc_hd__a21oi_1 U415 ( .A1(lsu_type_i[0]), .A2(n235), .B1(n242), 
+        .Y(n237) );
+  sky130_fd_sc_hd__clkinv_1 U416 ( .A(n238), .Y(n241) );
+  sky130_fd_sc_hd__o22ai_1 U417 ( .A1(n238), .A2(n237), .B1(n241), .B2(n236), 
+        .Y(n239) );
+  sky130_fd_sc_hd__o21ai_1 U418 ( .A1(n259), .A2(n240), .B1(n239), .Y(
+        data_be_o[2]) );
+  sky130_fd_sc_hd__nor2_1 U419 ( .A(adder_result_ex_i[1]), .B(n261), .Y(n243)
+         );
+  sky130_fd_sc_hd__o22ai_1 U420 ( .A1(n243), .A2(n242), .B1(n259), .B2(n241), 
+        .Y(data_be_o[3]) );
+  sky130_fd_sc_hd__clkinv_1 U421 ( .A(n244), .Y(n287) );
+  sky130_fd_sc_hd__o221ai_1 U422 ( .A1(n244), .A2(data_rvalid_i), .B1(n287), 
+        .B2(lsu_req_i), .C1(n288), .Y(n245) );
+  sky130_fd_sc_hd__a21oi_1 U423 ( .A1(ls_fsm_cs[2]), .A2(ls_fsm_cs[1]), .B1(
+        n245), .Y(n249) );
+  sky130_fd_sc_hd__clkinv_1 U424 ( .A(lsu_err_q), .Y(n248) );
+  sky130_fd_sc_hd__nand2_1 U425 ( .A(n249), .B(data_err_i), .Y(n246) );
+  sky130_fd_sc_hd__o22ai_1 U426 ( .A1(n249), .A2(n248), .B1(n247), .B2(n246), 
+        .Y(n372) );
+  sky130_fd_sc_hd__nand2b_1 U427 ( .A_N(n251), .B(n250), .Y(n263) );
+  sky130_fd_sc_hd__clkinv_1 U428 ( .A(n263), .Y(n265) );
+  sky130_fd_sc_hd__o22ai_1 U429 ( .A1(n265), .A2(n253), .B1(n263), .B2(n252), 
+        .Y(n371) );
+  sky130_fd_sc_hd__o22ai_1 U430 ( .A1(n265), .A2(n255), .B1(n263), .B2(n254), 
+        .Y(n370) );
+  sky130_fd_sc_hd__nand2_1 U431 ( .A(n263), .B(lsu_sign_ext_i), .Y(n256) );
+  sky130_fd_sc_hd__o21ai_1 U432 ( .A1(n257), .A2(n263), .B1(n256), .Y(n369) );
+  sky130_fd_sc_hd__o22ai_1 U433 ( .A1(n265), .A2(n259), .B1(n263), .B2(n258), 
+        .Y(n368) );
+  sky130_fd_sc_hd__o22ai_1 U434 ( .A1(n265), .A2(n261), .B1(n263), .B2(n260), 
+        .Y(n367) );
+  sky130_fd_sc_hd__o22ai_1 U435 ( .A1(n265), .A2(n264), .B1(n263), .B2(n262), 
+        .Y(n366) );
+  sky130_fd_sc_hd__o22ai_1 U436 ( .A1(n283), .A2(n267), .B1(n281), .B2(n266), 
+        .Y(n364) );
+  sky130_fd_sc_hd__o22ai_1 U437 ( .A1(n283), .A2(n269), .B1(n281), .B2(n268), 
+        .Y(n363) );
+  sky130_fd_sc_hd__o22ai_1 U438 ( .A1(n283), .A2(n271), .B1(n281), .B2(n270), 
+        .Y(n362) );
+  sky130_fd_sc_hd__o22ai_1 U439 ( .A1(n283), .A2(n273), .B1(n281), .B2(n272), 
+        .Y(n361) );
+  sky130_fd_sc_hd__o22ai_1 U440 ( .A1(n283), .A2(n275), .B1(n281), .B2(n274), 
+        .Y(n360) );
+  sky130_fd_sc_hd__o22ai_1 U441 ( .A1(n283), .A2(n277), .B1(n281), .B2(n276), 
+        .Y(n359) );
+  sky130_fd_sc_hd__o22ai_1 U442 ( .A1(n283), .A2(n279), .B1(n281), .B2(n278), 
+        .Y(n358) );
+  sky130_fd_sc_hd__o22ai_1 U443 ( .A1(n283), .A2(n282), .B1(n281), .B2(n280), 
+        .Y(n357) );
+  sky130_fd_sc_hd__a31oi_1 U444 ( .A1(ls_fsm_cs[1]), .A2(data_rvalid_i), .A3(
+        n288), .B1(data_gnt_i), .Y(n284) );
+  sky130_fd_sc_hd__a21oi_1 U445 ( .A1(n286), .A2(n285), .B1(n284), .Y(n294) );
+  sky130_fd_sc_hd__o22ai_1 U446 ( .A1(ls_fsm_cs[1]), .A2(n289), .B1(n288), 
+        .B2(n287), .Y(n291) );
+  sky130_fd_sc_hd__o21ai_1 U447 ( .A1(n291), .A2(n290), .B1(n294), .Y(n292) );
+  sky130_fd_sc_hd__o21ai_1 U448 ( .A1(n294), .A2(n293), .B1(n292), .Y(n341) );
+  sky130_fd_sc_hd__a22o_1 U449 ( .A1(n296), .A2(addr_last_o[31]), .B1(n295), 
+        .B2(adder_result_ex_i[31]), .X(n339) );
+  sky130_fd_sc_hd__a22o_1 U450 ( .A1(n296), .A2(addr_last_o[30]), .B1(n295), 
+        .B2(adder_result_ex_i[30]), .X(n338) );
+  sky130_fd_sc_hd__a22o_1 U451 ( .A1(n296), .A2(addr_last_o[29]), .B1(n295), 
+        .B2(adder_result_ex_i[29]), .X(n337) );
+  sky130_fd_sc_hd__a22o_1 U452 ( .A1(n296), .A2(addr_last_o[28]), .B1(n295), 
+        .B2(adder_result_ex_i[28]), .X(n336) );
+  sky130_fd_sc_hd__a22o_1 U453 ( .A1(n296), .A2(addr_last_o[27]), .B1(n295), 
+        .B2(adder_result_ex_i[27]), .X(n335) );
+  sky130_fd_sc_hd__a22o_1 U454 ( .A1(n296), .A2(addr_last_o[26]), .B1(n295), 
+        .B2(adder_result_ex_i[26]), .X(n334) );
+  sky130_fd_sc_hd__a22o_1 U455 ( .A1(n296), .A2(addr_last_o[25]), .B1(n295), 
+        .B2(adder_result_ex_i[25]), .X(n333) );
+  sky130_fd_sc_hd__a22o_1 U456 ( .A1(n296), .A2(addr_last_o[24]), .B1(n295), 
+        .B2(adder_result_ex_i[24]), .X(n332) );
+  sky130_fd_sc_hd__a22o_1 U457 ( .A1(n296), .A2(addr_last_o[23]), .B1(n295), 
+        .B2(adder_result_ex_i[23]), .X(n331) );
+  sky130_fd_sc_hd__a22o_1 U458 ( .A1(n296), .A2(addr_last_o[22]), .B1(n295), 
+        .B2(adder_result_ex_i[22]), .X(n330) );
+  sky130_fd_sc_hd__a22o_1 U459 ( .A1(n296), .A2(addr_last_o[21]), .B1(n295), 
+        .B2(adder_result_ex_i[21]), .X(n329) );
+  sky130_fd_sc_hd__a22o_1 U460 ( .A1(n296), .A2(addr_last_o[20]), .B1(n295), 
+        .B2(adder_result_ex_i[20]), .X(n328) );
+  sky130_fd_sc_hd__a22o_1 U461 ( .A1(n296), .A2(addr_last_o[19]), .B1(n295), 
+        .B2(adder_result_ex_i[19]), .X(n327) );
+  sky130_fd_sc_hd__a22o_1 U462 ( .A1(n296), .A2(addr_last_o[18]), .B1(n295), 
+        .B2(adder_result_ex_i[18]), .X(n326) );
+  sky130_fd_sc_hd__a22o_1 U463 ( .A1(n296), .A2(addr_last_o[17]), .B1(n295), 
+        .B2(adder_result_ex_i[17]), .X(n325) );
+  sky130_fd_sc_hd__a22o_1 U464 ( .A1(n296), .A2(addr_last_o[16]), .B1(n295), 
+        .B2(adder_result_ex_i[16]), .X(n324) );
+  sky130_fd_sc_hd__a22o_1 U465 ( .A1(n296), .A2(addr_last_o[15]), .B1(n295), 
+        .B2(adder_result_ex_i[15]), .X(n323) );
+  sky130_fd_sc_hd__a22o_1 U466 ( .A1(n296), .A2(addr_last_o[14]), .B1(n295), 
+        .B2(adder_result_ex_i[14]), .X(n322) );
+  sky130_fd_sc_hd__a22o_1 U467 ( .A1(n296), .A2(addr_last_o[13]), .B1(n295), 
+        .B2(adder_result_ex_i[13]), .X(n321) );
+  sky130_fd_sc_hd__a22o_1 U468 ( .A1(n296), .A2(addr_last_o[12]), .B1(n295), 
+        .B2(adder_result_ex_i[12]), .X(n320) );
+  sky130_fd_sc_hd__a22o_1 U469 ( .A1(n296), .A2(addr_last_o[11]), .B1(n295), 
+        .B2(adder_result_ex_i[11]), .X(n319) );
+  sky130_fd_sc_hd__a22o_1 U470 ( .A1(n296), .A2(addr_last_o[10]), .B1(n295), 
+        .B2(adder_result_ex_i[10]), .X(n318) );
+  sky130_fd_sc_hd__a22o_1 U471 ( .A1(n296), .A2(addr_last_o[9]), .B1(n295), 
+        .B2(adder_result_ex_i[9]), .X(n317) );
+  sky130_fd_sc_hd__a22o_1 U472 ( .A1(n296), .A2(addr_last_o[8]), .B1(n295), 
+        .B2(adder_result_ex_i[8]), .X(n316) );
+  sky130_fd_sc_hd__a22o_1 U473 ( .A1(n296), .A2(addr_last_o[7]), .B1(n295), 
+        .B2(adder_result_ex_i[7]), .X(n315) );
+  sky130_fd_sc_hd__a22o_1 U474 ( .A1(n296), .A2(addr_last_o[6]), .B1(n295), 
+        .B2(adder_result_ex_i[6]), .X(n314) );
+  sky130_fd_sc_hd__a22o_1 U475 ( .A1(n296), .A2(addr_last_o[5]), .B1(n295), 
+        .B2(adder_result_ex_i[5]), .X(n313) );
+  sky130_fd_sc_hd__a22o_1 U476 ( .A1(n296), .A2(addr_last_o[4]), .B1(n295), 
+        .B2(adder_result_ex_i[4]), .X(n312) );
+  sky130_fd_sc_hd__a22o_1 U477 ( .A1(n296), .A2(addr_last_o[3]), .B1(n295), 
+        .B2(adder_result_ex_i[3]), .X(n311) );
+  sky130_fd_sc_hd__a22o_1 U478 ( .A1(n296), .A2(addr_last_o[2]), .B1(n295), 
+        .B2(adder_result_ex_i[2]), .X(n310) );
+endmodule
+
+
+module opentitan_soc_top_ibex_wb_stage_1_0 ( clk_i, rst_ni, en_wb_i, 
+        instr_type_wb_i, pc_id_i, instr_is_compressed_id_i, 
+        instr_perf_count_id_i, ready_wb_o, rf_write_wb_o, 
+        outstanding_load_wb_o, outstanding_store_wb_o, pc_wb_o, 
+        perf_instr_ret_wb_o, perf_instr_ret_compressed_wb_o, rf_waddr_id_i, 
+        rf_wdata_id_i, rf_we_id_i, rf_wdata_lsu_i, rf_we_lsu_i, 
+        rf_wdata_fwd_wb_o, rf_waddr_wb_o, rf_wdata_wb_o, rf_we_wb_o, 
+        lsu_resp_valid_i, lsu_resp_err_i, instr_done_wb_o );
+  input [1:0] instr_type_wb_i;
+  input [31:0] pc_id_i;
+  output [31:0] pc_wb_o;
+  input [4:0] rf_waddr_id_i;
+  input [31:0] rf_wdata_id_i;
+  input [31:0] rf_wdata_lsu_i;
+  output [31:0] rf_wdata_fwd_wb_o;
+  output [4:0] rf_waddr_wb_o;
+  output [31:0] rf_wdata_wb_o;
+  input clk_i, rst_ni, en_wb_i, instr_is_compressed_id_i,
+         instr_perf_count_id_i, rf_we_id_i, rf_we_lsu_i, lsu_resp_valid_i,
+         lsu_resp_err_i;
+  output ready_wb_o, rf_write_wb_o, outstanding_load_wb_o,
+         outstanding_store_wb_o, perf_instr_ret_wb_o,
+         perf_instr_ret_compressed_wb_o, rf_we_wb_o, instr_done_wb_o;
+  wire   instr_done_wb_o0, g_writeback_stage_wb_valid_q,
+         g_writeback_stage_wb_valid_d, g_writeback_stage_rf_we_wb_q,
+         g_writeback_stage_wb_count_q, n1, n2, n3, n4, n5, n6, n7, n8, n9, n10,
+         n11;
+  wire   [1:0] g_writeback_stage_wb_instr_type_q;
+
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_count_q_reg ( .D(
+        instr_perf_count_id_i), .DE(en_wb_i), .CLK(n2), .Q(
+        g_writeback_stage_wb_count_q) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_we_wb_q_reg ( .D(rf_we_id_i), 
+        .DE(en_wb_i), .CLK(n2), .Q(g_writeback_stage_rf_we_wb_q) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_waddr_wb_q_reg_4_ ( .D(
+        rf_waddr_id_i[4]), .DE(en_wb_i), .CLK(n2), .Q(rf_waddr_wb_o[4]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_waddr_wb_q_reg_3_ ( .D(
+        rf_waddr_id_i[3]), .DE(en_wb_i), .CLK(n2), .Q(rf_waddr_wb_o[3]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_waddr_wb_q_reg_2_ ( .D(
+        rf_waddr_id_i[2]), .DE(en_wb_i), .CLK(n3), .Q(rf_waddr_wb_o[2]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_waddr_wb_q_reg_1_ ( .D(
+        rf_waddr_id_i[1]), .DE(en_wb_i), .CLK(n2), .Q(rf_waddr_wb_o[1]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_waddr_wb_q_reg_0_ ( .D(
+        rf_waddr_id_i[0]), .DE(en_wb_i), .CLK(n4), .Q(rf_waddr_wb_o[0]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_31_ ( .D(
+        rf_wdata_id_i[31]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[31])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_30_ ( .D(
+        rf_wdata_id_i[30]), .DE(en_wb_i), .CLK(n4), .Q(rf_wdata_fwd_wb_o[30])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_29_ ( .D(
+        rf_wdata_id_i[29]), .DE(en_wb_i), .CLK(n2), .Q(rf_wdata_fwd_wb_o[29])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_28_ ( .D(
+        rf_wdata_id_i[28]), .DE(en_wb_i), .CLK(n2), .Q(rf_wdata_fwd_wb_o[28])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_27_ ( .D(
+        rf_wdata_id_i[27]), .DE(en_wb_i), .CLK(n2), .Q(rf_wdata_fwd_wb_o[27])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_26_ ( .D(
+        rf_wdata_id_i[26]), .DE(en_wb_i), .CLK(n2), .Q(rf_wdata_fwd_wb_o[26])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_25_ ( .D(
+        rf_wdata_id_i[25]), .DE(en_wb_i), .CLK(n2), .Q(rf_wdata_fwd_wb_o[25])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_24_ ( .D(
+        rf_wdata_id_i[24]), .DE(en_wb_i), .CLK(n2), .Q(rf_wdata_fwd_wb_o[24])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_23_ ( .D(
+        rf_wdata_id_i[23]), .DE(en_wb_i), .CLK(n2), .Q(rf_wdata_fwd_wb_o[23])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_22_ ( .D(
+        rf_wdata_id_i[22]), .DE(en_wb_i), .CLK(n3), .Q(rf_wdata_fwd_wb_o[22])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_21_ ( .D(
+        rf_wdata_id_i[21]), .DE(en_wb_i), .CLK(n2), .Q(rf_wdata_fwd_wb_o[21])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_20_ ( .D(
+        rf_wdata_id_i[20]), .DE(en_wb_i), .CLK(n4), .Q(rf_wdata_fwd_wb_o[20])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_19_ ( .D(
+        rf_wdata_id_i[19]), .DE(en_wb_i), .CLK(n4), .Q(rf_wdata_fwd_wb_o[19])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_18_ ( .D(
+        rf_wdata_id_i[18]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[18])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_17_ ( .D(
+        rf_wdata_id_i[17]), .DE(en_wb_i), .CLK(n4), .Q(rf_wdata_fwd_wb_o[17])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_16_ ( .D(
+        rf_wdata_id_i[16]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[16])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_15_ ( .D(
+        rf_wdata_id_i[15]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[15])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_14_ ( .D(
+        rf_wdata_id_i[14]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[14])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_13_ ( .D(
+        rf_wdata_id_i[13]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[13])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_12_ ( .D(
+        rf_wdata_id_i[12]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[12])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_11_ ( .D(
+        rf_wdata_id_i[11]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[11])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_10_ ( .D(
+        rf_wdata_id_i[10]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[10])
+         );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_9_ ( .D(
+        rf_wdata_id_i[9]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[9]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_8_ ( .D(
+        rf_wdata_id_i[8]), .DE(en_wb_i), .CLK(n3), .Q(rf_wdata_fwd_wb_o[8]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_7_ ( .D(
+        rf_wdata_id_i[7]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[7]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_6_ ( .D(
+        rf_wdata_id_i[6]), .DE(en_wb_i), .CLK(n3), .Q(rf_wdata_fwd_wb_o[6]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_5_ ( .D(
+        rf_wdata_id_i[5]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[5]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_4_ ( .D(
+        rf_wdata_id_i[4]), .DE(en_wb_i), .CLK(n4), .Q(rf_wdata_fwd_wb_o[4]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_3_ ( .D(
+        rf_wdata_id_i[3]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[3]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_2_ ( .D(
+        rf_wdata_id_i[2]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[2]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_1_ ( .D(
+        rf_wdata_id_i[1]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[1]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_rf_wdata_wb_q_reg_0_ ( .D(
+        rf_wdata_id_i[0]), .DE(en_wb_i), .CLK(n1), .Q(rf_wdata_fwd_wb_o[0]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_instr_type_q_reg_1_ ( .D(
+        instr_type_wb_i[1]), .DE(en_wb_i), .CLK(n1), .Q(
+        g_writeback_stage_wb_instr_type_q[1]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_instr_type_q_reg_0_ ( .D(
+        instr_type_wb_i[0]), .DE(en_wb_i), .CLK(n1), .Q(
+        g_writeback_stage_wb_instr_type_q[0]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_31_ ( .D(pc_id_i[31]), .DE(en_wb_i), .CLK(n1), .Q(pc_wb_o[31]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_30_ ( .D(pc_id_i[30]), .DE(en_wb_i), .CLK(n1), .Q(pc_wb_o[30]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_29_ ( .D(pc_id_i[29]), .DE(en_wb_i), .CLK(n1), .Q(pc_wb_o[29]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_28_ ( .D(pc_id_i[28]), .DE(en_wb_i), .CLK(n1), .Q(pc_wb_o[28]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_27_ ( .D(pc_id_i[27]), .DE(en_wb_i), .CLK(n1), .Q(pc_wb_o[27]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_26_ ( .D(pc_id_i[26]), .DE(en_wb_i), .CLK(n1), .Q(pc_wb_o[26]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_25_ ( .D(pc_id_i[25]), .DE(en_wb_i), .CLK(n1), .Q(pc_wb_o[25]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_24_ ( .D(pc_id_i[24]), .DE(en_wb_i), .CLK(n3), .Q(pc_wb_o[24]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_23_ ( .D(pc_id_i[23]), .DE(en_wb_i), .CLK(n2), .Q(pc_wb_o[23]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_22_ ( .D(pc_id_i[22]), .DE(en_wb_i), .CLK(n2), .Q(pc_wb_o[22]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_21_ ( .D(pc_id_i[21]), .DE(en_wb_i), .CLK(n2), .Q(pc_wb_o[21]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_20_ ( .D(pc_id_i[20]), .DE(en_wb_i), .CLK(n2), .Q(pc_wb_o[20]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_19_ ( .D(pc_id_i[19]), .DE(en_wb_i), .CLK(n2), .Q(pc_wb_o[19]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_18_ ( .D(pc_id_i[18]), .DE(en_wb_i), .CLK(n2), .Q(pc_wb_o[18]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_17_ ( .D(pc_id_i[17]), .DE(en_wb_i), .CLK(n2), .Q(pc_wb_o[17]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_16_ ( .D(pc_id_i[16]), .DE(en_wb_i), .CLK(n2), .Q(pc_wb_o[16]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_15_ ( .D(pc_id_i[15]), .DE(en_wb_i), .CLK(n2), .Q(pc_wb_o[15]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_14_ ( .D(pc_id_i[14]), .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[14]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_13_ ( .D(pc_id_i[13]), .DE(en_wb_i), .CLK(n3), .Q(pc_wb_o[13]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_12_ ( .D(pc_id_i[12]), .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[12]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_11_ ( .D(pc_id_i[11]), .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[11]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_10_ ( .D(pc_id_i[10]), .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[10]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_9_ ( .D(pc_id_i[9]), 
+        .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[9]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_8_ ( .D(pc_id_i[8]), 
+        .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[8]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_7_ ( .D(pc_id_i[7]), 
+        .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[7]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_6_ ( .D(pc_id_i[6]), 
+        .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[6]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_5_ ( .D(pc_id_i[5]), 
+        .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[5]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_4_ ( .D(pc_id_i[4]), 
+        .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[4]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_3_ ( .D(pc_id_i[3]), 
+        .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[3]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_2_ ( .D(pc_id_i[2]), 
+        .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[2]) );
+  sky130_fd_sc_hd__edfxtp_1 g_writeback_stage_wb_pc_q_reg_1_ ( .D(pc_id_i[1]), 
+        .DE(en_wb_i), .CLK(n5), .Q(pc_wb_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 g_writeback_stage_wb_valid_q_reg ( .D(
+        g_writeback_stage_wb_valid_d), .CLK(n3), .RESET_B(rst_ni), .Q(
+        g_writeback_stage_wb_valid_q) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(n3), .X(n1) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(n4), .X(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(clk_i), .X(n3) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(clk_i), .X(n4) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(n4), .X(n5) );
+  sky130_fd_sc_hd__clkinv_1 U8 ( .A(n6), .Y(n7) );
+  sky130_fd_sc_hd__clkinv_1 U9 ( .A(g_writeback_stage_wb_valid_q), .Y(n10) );
+  sky130_fd_sc_hd__nor3_1 U10 ( .A(g_writeback_stage_wb_instr_type_q[0]), .B(
+        g_writeback_stage_wb_instr_type_q[1]), .C(n10), .Y(
+        outstanding_load_wb_o) );
+  sky130_fd_sc_hd__nand2_1 U11 ( .A(g_writeback_stage_wb_valid_q), .B(
+        g_writeback_stage_rf_we_wb_q), .Y(n6) );
+  sky130_fd_sc_hd__nand2b_1 U12 ( .A_N(outstanding_load_wb_o), .B(n6), .Y(
+        rf_write_wb_o) );
+  sky130_fd_sc_hd__or2_0 U13 ( .A(rf_we_lsu_i), .B(n7), .X(rf_we_wb_o) );
+  sky130_fd_sc_hd__a22o_1 U14 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[2]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[2]), .X(rf_wdata_wb_o[2]) );
+  sky130_fd_sc_hd__a22o_1 U15 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[3]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[3]), .X(rf_wdata_wb_o[3]) );
+  sky130_fd_sc_hd__a22o_1 U16 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[4]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[4]), .X(rf_wdata_wb_o[4]) );
+  sky130_fd_sc_hd__a22o_1 U17 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[0]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[0]), .X(rf_wdata_wb_o[0]) );
+  sky130_fd_sc_hd__a22o_1 U18 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[1]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[1]), .X(rf_wdata_wb_o[1]) );
+  sky130_fd_sc_hd__a22o_1 U19 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[6]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[6]), .X(rf_wdata_wb_o[6]) );
+  sky130_fd_sc_hd__a22o_1 U20 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[7]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[7]), .X(rf_wdata_wb_o[7]) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[5]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[5]), .X(rf_wdata_wb_o[5]) );
+  sky130_fd_sc_hd__a22o_1 U22 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[15]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[15]), .X(rf_wdata_wb_o[15]) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[10]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[10]), .X(rf_wdata_wb_o[10]) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[11]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[11]), .X(rf_wdata_wb_o[11]) );
+  sky130_fd_sc_hd__a22o_1 U25 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[12]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[12]), .X(rf_wdata_wb_o[12]) );
+  sky130_fd_sc_hd__a22o_1 U26 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[9]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[9]), .X(rf_wdata_wb_o[9]) );
+  sky130_fd_sc_hd__a22o_1 U27 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[14]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[14]), .X(rf_wdata_wb_o[14]) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[13]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[13]), .X(rf_wdata_wb_o[13]) );
+  sky130_fd_sc_hd__a22o_1 U29 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[8]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[8]), .X(rf_wdata_wb_o[8]) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[30]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[30]), .X(rf_wdata_wb_o[30]) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[31]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[31]), .X(rf_wdata_wb_o[31]) );
+  sky130_fd_sc_hd__a22o_1 U32 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[29]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[29]), .X(rf_wdata_wb_o[29]) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[23]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[23]), .X(rf_wdata_wb_o[23]) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[22]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[22]), .X(rf_wdata_wb_o[22]) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[25]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[25]), .X(rf_wdata_wb_o[25]) );
+  sky130_fd_sc_hd__a22o_1 U36 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[21]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[21]), .X(rf_wdata_wb_o[21]) );
+  sky130_fd_sc_hd__a22o_1 U37 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[28]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[28]), .X(rf_wdata_wb_o[28]) );
+  sky130_fd_sc_hd__a22o_1 U38 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[20]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[20]), .X(rf_wdata_wb_o[20]) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[19]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[19]), .X(rf_wdata_wb_o[19]) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[18]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[18]), .X(rf_wdata_wb_o[18]) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[17]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[17]), .X(rf_wdata_wb_o[17]) );
+  sky130_fd_sc_hd__a22o_1 U42 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[16]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[16]), .X(rf_wdata_wb_o[16]) );
+  sky130_fd_sc_hd__a22o_1 U43 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[27]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[27]), .X(rf_wdata_wb_o[27]) );
+  sky130_fd_sc_hd__a22o_1 U44 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[24]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[24]), .X(rf_wdata_wb_o[24]) );
+  sky130_fd_sc_hd__a22o_1 U45 ( .A1(n7), .A2(rf_wdata_fwd_wb_o[26]), .B1(n6), 
+        .B2(rf_wdata_lsu_i[26]), .X(rf_wdata_wb_o[26]) );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(g_writeback_stage_wb_instr_type_q[0]), 
+        .Y(n11) );
+  sky130_fd_sc_hd__a21oi_1 U47 ( .A1(g_writeback_stage_wb_instr_type_q[1]), 
+        .A2(n11), .B1(lsu_resp_valid_i), .Y(n8) );
+  sky130_fd_sc_hd__nand2_1 U48 ( .A(g_writeback_stage_wb_valid_q), .B(n8), .Y(
+        ready_wb_o) );
+  sky130_fd_sc_hd__nor2_1 U49 ( .A(n8), .B(n10), .Y(instr_done_wb_o0) );
+  sky130_fd_sc_hd__nand2_1 U50 ( .A(instr_done_wb_o0), .B(
+        g_writeback_stage_wb_count_q), .Y(n9) );
+  sky130_fd_sc_hd__a21oi_1 U51 ( .A1(lsu_resp_err_i), .A2(lsu_resp_valid_i), 
+        .B1(n9), .Y(perf_instr_ret_wb_o) );
+  sky130_fd_sc_hd__nand2b_1 U53 ( .A_N(en_wb_i), .B(ready_wb_o), .Y(
+        g_writeback_stage_wb_valid_d) );
+  sky130_fd_sc_hd__nor3_1 U54 ( .A(g_writeback_stage_wb_instr_type_q[1]), .B(
+        n11), .C(n10), .Y(outstanding_store_wb_o) );
+endmodule
+
+
+module opentitan_soc_top_ibex_csr_6_0_10_0 ( clk_i, rst_ni, wr_data_i, wr_en_i, 
+        rd_data_o, rd_error_o );
+  input [5:0] wr_data_i;
+  output [5:0] rd_data_o;
+  input clk_i, rst_ni, wr_en_i;
+  output rd_error_o;
+  wire   n3, n4, n5, n6, n7, n8, n1;
+
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_5_ ( .D(n8), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_3_ ( .D(n7), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_2_ ( .D(n6), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_1_ ( .D(n5), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_0_ ( .D(n4), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[0]) );
+  sky130_fd_sc_hd__dfstp_1 rdata_q_reg_4_ ( .D(n3), .CLK(clk_i), .SET_B(rst_ni), .Q(rd_data_o[4]) );
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(wr_en_i), .Y(n1) );
+  sky130_fd_sc_hd__a22o_1 U3 ( .A1(wr_en_i), .A2(wr_data_i[5]), .B1(n1), .B2(
+        rd_data_o[5]), .X(n8) );
+  sky130_fd_sc_hd__a22o_1 U4 ( .A1(wr_en_i), .A2(wr_data_i[3]), .B1(n1), .B2(
+        rd_data_o[3]), .X(n7) );
+  sky130_fd_sc_hd__a22o_1 U5 ( .A1(wr_en_i), .A2(wr_data_i[2]), .B1(n1), .B2(
+        rd_data_o[2]), .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U6 ( .A1(wr_en_i), .A2(wr_data_i[1]), .B1(n1), .B2(
+        rd_data_o[1]), .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U7 ( .A1(wr_en_i), .A2(wr_data_i[0]), .B1(n1), .B2(
+        rd_data_o[0]), .X(n4) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en_i), .A2(wr_data_i[4]), .B1(n1), .B2(
+        rd_data_o[4]), .X(n3) );
+endmodule
+
+
+module opentitan_soc_top_ibex_csr_32_0_0_0 ( clk_i, rst_ni, wr_data_i, wr_en_i, 
+        rd_data_o, rd_error_o );
+  input [31:0] wr_data_i;
+  output [31:0] rd_data_o;
+  input clk_i, rst_ni, wr_en_i;
+  output rd_error_o;
+  wire   n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16, n17, n18,
+         n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30, n31, n32,
+         n33, n34, n1, n2, n3, n35;
+
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_31_ ( .D(n34), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_30_ ( .D(n33), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_29_ ( .D(n32), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_28_ ( .D(n31), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_27_ ( .D(n30), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_26_ ( .D(n29), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_25_ ( .D(n28), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_24_ ( .D(n27), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_23_ ( .D(n26), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_22_ ( .D(n25), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_21_ ( .D(n24), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_20_ ( .D(n23), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_19_ ( .D(n22), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_18_ ( .D(n21), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_17_ ( .D(n20), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_16_ ( .D(n19), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_15_ ( .D(n18), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_14_ ( .D(n17), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_13_ ( .D(n16), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_12_ ( .D(n15), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_11_ ( .D(n14), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_10_ ( .D(n13), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_9_ ( .D(n12), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_8_ ( .D(n11), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_7_ ( .D(n10), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_6_ ( .D(n9), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_5_ ( .D(n8), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_4_ ( .D(n7), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_3_ ( .D(n6), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_2_ ( .D(n5), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_1_ ( .D(n4), .CLK(n1), .RESET_B(n3), 
+        .Q(rd_data_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(clk_i), .X(n1) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(wr_en_i), .Y(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(rst_ni), .X(n3) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(rst_ni), .X(n35) );
+  sky130_fd_sc_hd__a22o_1 U6 ( .A1(wr_en_i), .A2(wr_data_i[31]), .B1(n2), .B2(
+        rd_data_o[31]), .X(n34) );
+  sky130_fd_sc_hd__a22o_1 U7 ( .A1(wr_en_i), .A2(wr_data_i[30]), .B1(n2), .B2(
+        rd_data_o[30]), .X(n33) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en_i), .A2(wr_data_i[29]), .B1(n2), .B2(
+        rd_data_o[29]), .X(n32) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en_i), .A2(wr_data_i[28]), .B1(n2), .B2(
+        rd_data_o[28]), .X(n31) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en_i), .A2(wr_data_i[27]), .B1(n2), 
+        .B2(rd_data_o[27]), .X(n30) );
+  sky130_fd_sc_hd__a22o_1 U11 ( .A1(wr_en_i), .A2(wr_data_i[26]), .B1(n2), 
+        .B2(rd_data_o[26]), .X(n29) );
+  sky130_fd_sc_hd__a22o_1 U12 ( .A1(wr_en_i), .A2(wr_data_i[25]), .B1(n2), 
+        .B2(rd_data_o[25]), .X(n28) );
+  sky130_fd_sc_hd__a22o_1 U13 ( .A1(wr_en_i), .A2(wr_data_i[24]), .B1(n2), 
+        .B2(rd_data_o[24]), .X(n27) );
+  sky130_fd_sc_hd__a22o_1 U14 ( .A1(wr_en_i), .A2(wr_data_i[23]), .B1(n2), 
+        .B2(rd_data_o[23]), .X(n26) );
+  sky130_fd_sc_hd__a22o_1 U15 ( .A1(wr_en_i), .A2(wr_data_i[22]), .B1(n2), 
+        .B2(rd_data_o[22]), .X(n25) );
+  sky130_fd_sc_hd__a22o_1 U16 ( .A1(wr_en_i), .A2(wr_data_i[21]), .B1(n2), 
+        .B2(rd_data_o[21]), .X(n24) );
+  sky130_fd_sc_hd__a22o_1 U17 ( .A1(wr_en_i), .A2(wr_data_i[20]), .B1(n2), 
+        .B2(rd_data_o[20]), .X(n23) );
+  sky130_fd_sc_hd__a22o_1 U18 ( .A1(wr_en_i), .A2(wr_data_i[19]), .B1(n2), 
+        .B2(rd_data_o[19]), .X(n22) );
+  sky130_fd_sc_hd__a22o_1 U19 ( .A1(wr_en_i), .A2(wr_data_i[18]), .B1(n2), 
+        .B2(rd_data_o[18]), .X(n21) );
+  sky130_fd_sc_hd__a22o_1 U20 ( .A1(wr_en_i), .A2(wr_data_i[17]), .B1(n2), 
+        .B2(rd_data_o[17]), .X(n20) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(wr_en_i), .A2(wr_data_i[16]), .B1(n2), 
+        .B2(rd_data_o[16]), .X(n19) );
+  sky130_fd_sc_hd__a22o_1 U22 ( .A1(wr_en_i), .A2(wr_data_i[15]), .B1(n2), 
+        .B2(rd_data_o[15]), .X(n18) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(wr_en_i), .A2(wr_data_i[14]), .B1(n2), 
+        .B2(rd_data_o[14]), .X(n17) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(wr_en_i), .A2(wr_data_i[13]), .B1(n2), 
+        .B2(rd_data_o[13]), .X(n16) );
+  sky130_fd_sc_hd__a22o_1 U25 ( .A1(wr_en_i), .A2(wr_data_i[12]), .B1(n2), 
+        .B2(rd_data_o[12]), .X(n15) );
+  sky130_fd_sc_hd__a22o_1 U26 ( .A1(wr_en_i), .A2(wr_data_i[11]), .B1(n2), 
+        .B2(rd_data_o[11]), .X(n14) );
+  sky130_fd_sc_hd__a22o_1 U27 ( .A1(wr_en_i), .A2(wr_data_i[10]), .B1(n2), 
+        .B2(rd_data_o[10]), .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(wr_en_i), .A2(wr_data_i[9]), .B1(n2), .B2(
+        rd_data_o[9]), .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U29 ( .A1(wr_en_i), .A2(wr_data_i[8]), .B1(n2), .B2(
+        rd_data_o[8]), .X(n11) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(wr_en_i), .A2(wr_data_i[7]), .B1(n2), .B2(
+        rd_data_o[7]), .X(n10) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(wr_en_i), .A2(wr_data_i[6]), .B1(n2), .B2(
+        rd_data_o[6]), .X(n9) );
+  sky130_fd_sc_hd__a22o_1 U32 ( .A1(wr_en_i), .A2(wr_data_i[5]), .B1(n2), .B2(
+        rd_data_o[5]), .X(n8) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(wr_en_i), .A2(wr_data_i[4]), .B1(n2), .B2(
+        rd_data_o[4]), .X(n7) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(wr_en_i), .A2(wr_data_i[3]), .B1(n2), .B2(
+        rd_data_o[3]), .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(wr_en_i), .A2(wr_data_i[2]), .B1(n2), .B2(
+        rd_data_o[2]), .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U36 ( .A1(wr_en_i), .A2(wr_data_i[1]), .B1(n2), .B2(
+        rd_data_o[1]), .X(n4) );
+endmodule
+
+
+module opentitan_soc_top_ibex_csr_18_0_0_0 ( clk_i, rst_ni, wr_data_i, wr_en_i, 
+        rd_data_o, rd_error_o );
+  input [17:0] wr_data_i;
+  output [17:0] rd_data_o;
+  input clk_i, rst_ni, wr_en_i;
+  output rd_error_o;
+  wire   n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16, n17,
+         n18, n19, n20, n1, n2;
+
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_17_ ( .D(n20), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_16_ ( .D(n19), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_15_ ( .D(n18), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_14_ ( .D(n17), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_13_ ( .D(n16), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_12_ ( .D(n15), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_11_ ( .D(n14), .CLK(clk_i), .RESET_B(n2), .Q(rd_data_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_10_ ( .D(n13), .CLK(clk_i), .RESET_B(n2), .Q(rd_data_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_9_ ( .D(n12), .CLK(clk_i), .RESET_B(n2), 
+        .Q(rd_data_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_8_ ( .D(n11), .CLK(clk_i), .RESET_B(n2), 
+        .Q(rd_data_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_7_ ( .D(n10), .CLK(clk_i), .RESET_B(n2), 
+        .Q(rd_data_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_6_ ( .D(n9), .CLK(clk_i), .RESET_B(n2), 
+        .Q(rd_data_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_5_ ( .D(n8), .CLK(clk_i), .RESET_B(n2), 
+        .Q(rd_data_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_4_ ( .D(n7), .CLK(clk_i), .RESET_B(n2), 
+        .Q(rd_data_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_3_ ( .D(n6), .CLK(clk_i), .RESET_B(n2), 
+        .Q(rd_data_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_2_ ( .D(n5), .CLK(clk_i), .RESET_B(n2), 
+        .Q(rd_data_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_1_ ( .D(n4), .CLK(clk_i), .RESET_B(n2), 
+        .Q(rd_data_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_0_ ( .D(n3), .CLK(clk_i), .RESET_B(n2), 
+        .Q(rd_data_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(rst_ni), .X(n2) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(wr_en_i), .Y(n1) );
+  sky130_fd_sc_hd__a22o_1 U4 ( .A1(wr_en_i), .A2(wr_data_i[17]), .B1(n1), .B2(
+        rd_data_o[17]), .X(n20) );
+  sky130_fd_sc_hd__a22o_1 U5 ( .A1(wr_en_i), .A2(wr_data_i[16]), .B1(n1), .B2(
+        rd_data_o[16]), .X(n19) );
+  sky130_fd_sc_hd__a22o_1 U6 ( .A1(wr_en_i), .A2(wr_data_i[15]), .B1(n1), .B2(
+        rd_data_o[15]), .X(n18) );
+  sky130_fd_sc_hd__a22o_1 U7 ( .A1(wr_en_i), .A2(wr_data_i[14]), .B1(n1), .B2(
+        rd_data_o[14]), .X(n17) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en_i), .A2(wr_data_i[13]), .B1(n1), .B2(
+        rd_data_o[13]), .X(n16) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en_i), .A2(wr_data_i[12]), .B1(n1), .B2(
+        rd_data_o[12]), .X(n15) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en_i), .A2(wr_data_i[11]), .B1(n1), 
+        .B2(rd_data_o[11]), .X(n14) );
+  sky130_fd_sc_hd__a22o_1 U11 ( .A1(wr_en_i), .A2(wr_data_i[10]), .B1(n1), 
+        .B2(rd_data_o[10]), .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U12 ( .A1(wr_en_i), .A2(wr_data_i[9]), .B1(n1), .B2(
+        rd_data_o[9]), .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U13 ( .A1(wr_en_i), .A2(wr_data_i[8]), .B1(n1), .B2(
+        rd_data_o[8]), .X(n11) );
+  sky130_fd_sc_hd__a22o_1 U14 ( .A1(wr_en_i), .A2(wr_data_i[7]), .B1(n1), .B2(
+        rd_data_o[7]), .X(n10) );
+  sky130_fd_sc_hd__a22o_1 U15 ( .A1(wr_en_i), .A2(wr_data_i[6]), .B1(n1), .B2(
+        rd_data_o[6]), .X(n9) );
+  sky130_fd_sc_hd__a22o_1 U16 ( .A1(wr_en_i), .A2(wr_data_i[5]), .B1(n1), .B2(
+        rd_data_o[5]), .X(n8) );
+  sky130_fd_sc_hd__a22o_1 U17 ( .A1(wr_en_i), .A2(wr_data_i[4]), .B1(n1), .B2(
+        rd_data_o[4]), .X(n7) );
+  sky130_fd_sc_hd__a22o_1 U18 ( .A1(wr_en_i), .A2(wr_data_i[3]), .B1(n1), .B2(
+        rd_data_o[3]), .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U19 ( .A1(wr_en_i), .A2(wr_data_i[2]), .B1(n1), .B2(
+        rd_data_o[2]), .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U20 ( .A1(wr_en_i), .A2(wr_data_i[1]), .B1(n1), .B2(
+        rd_data_o[1]), .X(n4) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(wr_en_i), .A2(wr_data_i[0]), .B1(n1), .B2(
+        rd_data_o[0]), .X(n3) );
+endmodule
+
+
+module opentitan_soc_top_ibex_csr_6_0_0_0 ( clk_i, rst_ni, wr_data_i, wr_en_i, 
+        rd_data_o, rd_error_o );
+  input [5:0] wr_data_i;
+  output [5:0] rd_data_o;
+  input clk_i, rst_ni, wr_en_i;
+  output rd_error_o;
+  wire   n3, n4, n5, n6, n7, n8, n1;
+
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_5_ ( .D(n8), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_4_ ( .D(n7), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_3_ ( .D(n6), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_2_ ( .D(n5), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_1_ ( .D(n4), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_0_ ( .D(n3), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rd_data_o[0]) );
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(wr_en_i), .Y(n1) );
+  sky130_fd_sc_hd__a22o_1 U3 ( .A1(wr_en_i), .A2(wr_data_i[5]), .B1(n1), .B2(
+        rd_data_o[5]), .X(n8) );
+  sky130_fd_sc_hd__a22o_1 U4 ( .A1(wr_en_i), .A2(wr_data_i[4]), .B1(n1), .B2(
+        rd_data_o[4]), .X(n7) );
+  sky130_fd_sc_hd__a22o_1 U5 ( .A1(wr_en_i), .A2(wr_data_i[3]), .B1(n1), .B2(
+        rd_data_o[3]), .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U6 ( .A1(wr_en_i), .A2(wr_data_i[2]), .B1(n1), .B2(
+        rd_data_o[2]), .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U7 ( .A1(wr_en_i), .A2(wr_data_i[1]), .B1(n1), .B2(
+        rd_data_o[1]), .X(n4) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en_i), .A2(wr_data_i[0]), .B1(n1), .B2(
+        rd_data_o[0]), .X(n3) );
+endmodule
+
+
+module opentitan_soc_top_ibex_csr_32_0_00000001_0 ( clk_i, rst_ni, wr_data_i, 
+        wr_en_i, rd_data_o, rd_error_o );
+  input [31:0] wr_data_i;
+  output [31:0] rd_data_o;
+  input clk_i, rst_ni, wr_en_i;
+  output rd_error_o;
+  wire   n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16, n17, n18,
+         n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30;
+
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_31_ ( .D(n27), .CLK(clk_i), .RESET_B(
+        n30), .Q(rd_data_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_30_ ( .D(n26), .CLK(clk_i), .RESET_B(
+        n30), .Q(rd_data_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_29_ ( .D(n25), .CLK(clk_i), .RESET_B(
+        n30), .Q(rd_data_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_28_ ( .D(n24), .CLK(clk_i), .RESET_B(
+        n30), .Q(rd_data_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_27_ ( .D(n23), .CLK(clk_i), .RESET_B(
+        n30), .Q(rd_data_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_26_ ( .D(n22), .CLK(clk_i), .RESET_B(
+        n30), .Q(rd_data_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_25_ ( .D(n21), .CLK(clk_i), .RESET_B(
+        n30), .Q(rd_data_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_24_ ( .D(n20), .CLK(clk_i), .RESET_B(
+        n30), .Q(rd_data_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_23_ ( .D(n19), .CLK(clk_i), .RESET_B(
+        n30), .Q(rd_data_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_22_ ( .D(n18), .CLK(clk_i), .RESET_B(
+        n30), .Q(rd_data_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_21_ ( .D(n17), .CLK(clk_i), .RESET_B(
+        n30), .Q(rd_data_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_20_ ( .D(n16), .CLK(clk_i), .RESET_B(
+        n30), .Q(rd_data_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_19_ ( .D(n15), .CLK(clk_i), .RESET_B(
+        n29), .Q(rd_data_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_18_ ( .D(n14), .CLK(clk_i), .RESET_B(
+        n29), .Q(rd_data_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_17_ ( .D(n13), .CLK(clk_i), .RESET_B(
+        n29), .Q(rd_data_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_16_ ( .D(n12), .CLK(clk_i), .RESET_B(
+        n29), .Q(rd_data_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_15_ ( .D(n11), .CLK(clk_i), .RESET_B(
+        n29), .Q(rd_data_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_14_ ( .D(n10), .CLK(clk_i), .RESET_B(
+        n29), .Q(rd_data_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_13_ ( .D(n9), .CLK(clk_i), .RESET_B(n29), .Q(rd_data_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_12_ ( .D(n8), .CLK(clk_i), .RESET_B(n29), .Q(rd_data_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_11_ ( .D(n7), .CLK(clk_i), .RESET_B(n29), .Q(rd_data_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_10_ ( .D(n6), .CLK(clk_i), .RESET_B(n29), .Q(rd_data_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_9_ ( .D(n5), .CLK(clk_i), .RESET_B(n29), 
+        .Q(rd_data_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_8_ ( .D(n4), .CLK(clk_i), .RESET_B(n29), 
+        .Q(rd_data_o[8]) );
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(wr_en_i), .Y(n28) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(rst_ni), .X(n29) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(rst_ni), .X(n30) );
+  sky130_fd_sc_hd__a22o_1 U5 ( .A1(wr_en_i), .A2(wr_data_i[31]), .B1(n28), 
+        .B2(rd_data_o[31]), .X(n27) );
+  sky130_fd_sc_hd__a22o_1 U6 ( .A1(wr_en_i), .A2(wr_data_i[30]), .B1(n28), 
+        .B2(rd_data_o[30]), .X(n26) );
+  sky130_fd_sc_hd__a22o_1 U7 ( .A1(wr_en_i), .A2(wr_data_i[29]), .B1(n28), 
+        .B2(rd_data_o[29]), .X(n25) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en_i), .A2(wr_data_i[28]), .B1(n28), 
+        .B2(rd_data_o[28]), .X(n24) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en_i), .A2(wr_data_i[27]), .B1(n28), 
+        .B2(rd_data_o[27]), .X(n23) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en_i), .A2(wr_data_i[26]), .B1(n28), 
+        .B2(rd_data_o[26]), .X(n22) );
+  sky130_fd_sc_hd__a22o_1 U11 ( .A1(wr_en_i), .A2(wr_data_i[25]), .B1(n28), 
+        .B2(rd_data_o[25]), .X(n21) );
+  sky130_fd_sc_hd__a22o_1 U12 ( .A1(wr_en_i), .A2(wr_data_i[24]), .B1(n28), 
+        .B2(rd_data_o[24]), .X(n20) );
+  sky130_fd_sc_hd__a22o_1 U13 ( .A1(wr_en_i), .A2(wr_data_i[23]), .B1(n28), 
+        .B2(rd_data_o[23]), .X(n19) );
+  sky130_fd_sc_hd__a22o_1 U14 ( .A1(wr_en_i), .A2(wr_data_i[22]), .B1(n28), 
+        .B2(rd_data_o[22]), .X(n18) );
+  sky130_fd_sc_hd__a22o_1 U15 ( .A1(wr_en_i), .A2(wr_data_i[21]), .B1(n28), 
+        .B2(rd_data_o[21]), .X(n17) );
+  sky130_fd_sc_hd__a22o_1 U16 ( .A1(wr_en_i), .A2(wr_data_i[20]), .B1(n28), 
+        .B2(rd_data_o[20]), .X(n16) );
+  sky130_fd_sc_hd__a22o_1 U17 ( .A1(wr_en_i), .A2(wr_data_i[19]), .B1(n28), 
+        .B2(rd_data_o[19]), .X(n15) );
+  sky130_fd_sc_hd__a22o_1 U18 ( .A1(wr_en_i), .A2(wr_data_i[18]), .B1(n28), 
+        .B2(rd_data_o[18]), .X(n14) );
+  sky130_fd_sc_hd__a22o_1 U19 ( .A1(wr_en_i), .A2(wr_data_i[17]), .B1(n28), 
+        .B2(rd_data_o[17]), .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U20 ( .A1(wr_en_i), .A2(wr_data_i[16]), .B1(n28), 
+        .B2(rd_data_o[16]), .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(wr_en_i), .A2(wr_data_i[15]), .B1(n28), 
+        .B2(rd_data_o[15]), .X(n11) );
+  sky130_fd_sc_hd__a22o_1 U22 ( .A1(wr_en_i), .A2(wr_data_i[14]), .B1(n28), 
+        .B2(rd_data_o[14]), .X(n10) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(wr_en_i), .A2(wr_data_i[13]), .B1(n28), 
+        .B2(rd_data_o[13]), .X(n9) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(wr_en_i), .A2(wr_data_i[12]), .B1(n28), 
+        .B2(rd_data_o[12]), .X(n8) );
+  sky130_fd_sc_hd__a22o_1 U25 ( .A1(wr_en_i), .A2(wr_data_i[11]), .B1(n28), 
+        .B2(rd_data_o[11]), .X(n7) );
+  sky130_fd_sc_hd__a22o_1 U26 ( .A1(wr_en_i), .A2(wr_data_i[10]), .B1(n28), 
+        .B2(rd_data_o[10]), .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U27 ( .A1(wr_en_i), .A2(wr_data_i[9]), .B1(n28), 
+        .B2(rd_data_o[9]), .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(wr_en_i), .A2(wr_data_i[8]), .B1(n28), 
+        .B2(rd_data_o[8]), .X(n4) );
+endmodule
+
+
+module opentitan_soc_top_ibex_csr_32_0_40000003_0 ( clk_i, rst_ni, wr_data_i, 
+        wr_en_i, rd_data_o, rd_error_o );
+  input [31:0] wr_data_i;
+  output [31:0] rd_data_o;
+  input clk_i, rst_ni, wr_en_i;
+  output rd_error_o;
+  wire   n3, n4, n5, n6, n10, n11, n12, n16, n17, n19, n1, n2;
+  assign rd_data_o[31] = 1'b0;
+  assign rd_data_o[29] = 1'b0;
+  assign rd_data_o[28] = 1'b0;
+  assign rd_data_o[27] = 1'b0;
+  assign rd_data_o[26] = 1'b0;
+  assign rd_data_o[25] = 1'b0;
+  assign rd_data_o[24] = 1'b0;
+  assign rd_data_o[23] = 1'b0;
+  assign rd_data_o[22] = 1'b0;
+  assign rd_data_o[21] = 1'b0;
+  assign rd_data_o[20] = 1'b0;
+  assign rd_data_o[19] = 1'b0;
+  assign rd_data_o[18] = 1'b0;
+  assign rd_data_o[17] = 1'b0;
+  assign rd_data_o[16] = 1'b0;
+  assign rd_data_o[14] = 1'b0;
+  assign rd_data_o[11] = 1'b0;
+  assign rd_data_o[10] = 1'b0;
+  assign rd_data_o[9] = 1'b0;
+  assign rd_data_o[5] = 1'b0;
+  assign rd_data_o[4] = 1'b0;
+  assign rd_data_o[3] = 1'b0;
+
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_15_ ( .D(n19), .CLK(n1), .RESET_B(
+        rst_ni), .Q(rd_data_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_13_ ( .D(n17), .CLK(n1), .RESET_B(
+        rst_ni), .Q(rd_data_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_12_ ( .D(n16), .CLK(n1), .RESET_B(
+        rst_ni), .Q(rd_data_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_8_ ( .D(n12), .CLK(n1), .RESET_B(rst_ni), .Q(rd_data_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_7_ ( .D(n11), .CLK(n1), .RESET_B(rst_ni), .Q(rd_data_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_6_ ( .D(n10), .CLK(n1), .RESET_B(rst_ni), .Q(rd_data_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_2_ ( .D(n6), .CLK(n1), .RESET_B(rst_ni), 
+        .Q(rd_data_o[2]) );
+  sky130_fd_sc_hd__dfstp_1 rdata_q_reg_30_ ( .D(n5), .CLK(n1), .SET_B(rst_ni), 
+        .Q(rd_data_o[30]) );
+  sky130_fd_sc_hd__dfstp_1 rdata_q_reg_1_ ( .D(n4), .CLK(n1), .SET_B(rst_ni), 
+        .Q(rd_data_o[1]) );
+  sky130_fd_sc_hd__dfstp_1 rdata_q_reg_0_ ( .D(n3), .CLK(n1), .SET_B(rst_ni), 
+        .Q(rd_data_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(clk_i), .X(n1) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(wr_en_i), .Y(n2) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(wr_en_i), .A2(wr_data_i[15]), .B1(n2), 
+        .B2(rd_data_o[15]), .X(n19) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(wr_en_i), .A2(wr_data_i[13]), .B1(n2), 
+        .B2(rd_data_o[13]), .X(n17) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(wr_en_i), .A2(wr_data_i[12]), .B1(n2), 
+        .B2(rd_data_o[12]), .X(n16) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(wr_en_i), .A2(wr_data_i[8]), .B1(n2), .B2(
+        rd_data_o[8]), .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U29 ( .A1(wr_en_i), .A2(wr_data_i[7]), .B1(n2), .B2(
+        rd_data_o[7]), .X(n11) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(wr_en_i), .A2(wr_data_i[6]), .B1(n2), .B2(
+        rd_data_o[6]), .X(n10) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(wr_en_i), .A2(wr_data_i[2]), .B1(n2), .B2(
+        rd_data_o[2]), .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(wr_en_i), .A2(wr_data_i[30]), .B1(n2), 
+        .B2(rd_data_o[30]), .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U36 ( .A1(wr_en_i), .A2(wr_data_i[1]), .B1(n2), .B2(
+        rd_data_o[1]), .X(n4) );
+  sky130_fd_sc_hd__a22o_1 U37 ( .A1(wr_en_i), .A2(wr_data_i[0]), .B1(n2), .B2(
+        rd_data_o[0]), .X(n3) );
+endmodule
+
+
+module opentitan_soc_top_ibex_counter_CounterWidth64_0_DW01_inc_J9_0_0 ( A, 
+        SUM );
+  input [63:0] A;
+  output [63:0] SUM;
+  wire   n195, n196, n197, n198, n199, n200, n201, n202, n203, n204, n205,
+         n206, n207, n208, n209, n210, n211, n212, n213, n214, n215, n216,
+         n217, n218, n219, n220, n221, n222, n223, n224, n225, n226, n227,
+         n228, n229, n230, n231, n232, n233, n234, n235, n236, n237, n238,
+         n239, n240, n241, n242, n243, n244, n245, n246, n247, n248, n249,
+         n250, n251, n252, n253, n254, n255, n256;
+
+  sky130_fd_sc_hd__xor2_1 U67 ( .A(n195), .B(A[63]), .X(SUM[63]) );
+  sky130_fd_sc_hd__ha_1 U68 ( .A(A[1]), .B(A[0]), .COUT(n196), .SUM(SUM[1]) );
+  sky130_fd_sc_hd__ha_1 U69 ( .A(A[2]), .B(n196), .COUT(n197), .SUM(SUM[2]) );
+  sky130_fd_sc_hd__ha_1 U70 ( .A(A[3]), .B(n197), .COUT(n198), .SUM(SUM[3]) );
+  sky130_fd_sc_hd__ha_1 U71 ( .A(A[4]), .B(n198), .COUT(n199), .SUM(SUM[4]) );
+  sky130_fd_sc_hd__ha_1 U72 ( .A(A[5]), .B(n199), .COUT(n200), .SUM(SUM[5]) );
+  sky130_fd_sc_hd__ha_1 U73 ( .A(A[6]), .B(n200), .COUT(n201), .SUM(SUM[6]) );
+  sky130_fd_sc_hd__ha_1 U74 ( .A(A[7]), .B(n201), .COUT(n202), .SUM(SUM[7]) );
+  sky130_fd_sc_hd__ha_1 U75 ( .A(A[8]), .B(n202), .COUT(n203), .SUM(SUM[8]) );
+  sky130_fd_sc_hd__ha_1 U76 ( .A(A[9]), .B(n203), .COUT(n204), .SUM(SUM[9]) );
+  sky130_fd_sc_hd__ha_1 U77 ( .A(A[10]), .B(n204), .COUT(n205), .SUM(SUM[10])
+         );
+  sky130_fd_sc_hd__ha_1 U78 ( .A(A[11]), .B(n205), .COUT(n206), .SUM(SUM[11])
+         );
+  sky130_fd_sc_hd__ha_1 U79 ( .A(A[12]), .B(n206), .COUT(n207), .SUM(SUM[12])
+         );
+  sky130_fd_sc_hd__ha_1 U80 ( .A(A[13]), .B(n207), .COUT(n208), .SUM(SUM[13])
+         );
+  sky130_fd_sc_hd__ha_1 U81 ( .A(A[14]), .B(n208), .COUT(n209), .SUM(SUM[14])
+         );
+  sky130_fd_sc_hd__ha_1 U82 ( .A(A[15]), .B(n209), .COUT(n210), .SUM(SUM[15])
+         );
+  sky130_fd_sc_hd__ha_1 U83 ( .A(A[16]), .B(n210), .COUT(n211), .SUM(SUM[16])
+         );
+  sky130_fd_sc_hd__ha_1 U84 ( .A(A[17]), .B(n211), .COUT(n212), .SUM(SUM[17])
+         );
+  sky130_fd_sc_hd__ha_1 U85 ( .A(A[18]), .B(n212), .COUT(n213), .SUM(SUM[18])
+         );
+  sky130_fd_sc_hd__ha_1 U86 ( .A(A[19]), .B(n213), .COUT(n214), .SUM(SUM[19])
+         );
+  sky130_fd_sc_hd__ha_1 U87 ( .A(A[20]), .B(n214), .COUT(n215), .SUM(SUM[20])
+         );
+  sky130_fd_sc_hd__ha_1 U88 ( .A(A[21]), .B(n215), .COUT(n216), .SUM(SUM[21])
+         );
+  sky130_fd_sc_hd__ha_1 U89 ( .A(A[22]), .B(n216), .COUT(n217), .SUM(SUM[22])
+         );
+  sky130_fd_sc_hd__ha_1 U90 ( .A(A[23]), .B(n217), .COUT(n218), .SUM(SUM[23])
+         );
+  sky130_fd_sc_hd__ha_1 U91 ( .A(A[24]), .B(n218), .COUT(n219), .SUM(SUM[24])
+         );
+  sky130_fd_sc_hd__ha_1 U92 ( .A(A[25]), .B(n219), .COUT(n220), .SUM(SUM[25])
+         );
+  sky130_fd_sc_hd__ha_1 U93 ( .A(A[26]), .B(n220), .COUT(n221), .SUM(SUM[26])
+         );
+  sky130_fd_sc_hd__ha_1 U94 ( .A(A[27]), .B(n221), .COUT(n222), .SUM(SUM[27])
+         );
+  sky130_fd_sc_hd__ha_1 U95 ( .A(A[28]), .B(n222), .COUT(n223), .SUM(SUM[28])
+         );
+  sky130_fd_sc_hd__ha_1 U96 ( .A(A[29]), .B(n223), .COUT(n224), .SUM(SUM[29])
+         );
+  sky130_fd_sc_hd__ha_1 U97 ( .A(A[30]), .B(n224), .COUT(n225), .SUM(SUM[30])
+         );
+  sky130_fd_sc_hd__ha_1 U98 ( .A(A[31]), .B(n225), .COUT(n226), .SUM(SUM[31])
+         );
+  sky130_fd_sc_hd__ha_1 U99 ( .A(A[32]), .B(n226), .COUT(n227), .SUM(SUM[32])
+         );
+  sky130_fd_sc_hd__ha_1 U100 ( .A(A[33]), .B(n227), .COUT(n228), .SUM(SUM[33])
+         );
+  sky130_fd_sc_hd__ha_1 U101 ( .A(A[34]), .B(n228), .COUT(n229), .SUM(SUM[34])
+         );
+  sky130_fd_sc_hd__ha_1 U102 ( .A(A[35]), .B(n229), .COUT(n230), .SUM(SUM[35])
+         );
+  sky130_fd_sc_hd__ha_1 U103 ( .A(A[36]), .B(n230), .COUT(n231), .SUM(SUM[36])
+         );
+  sky130_fd_sc_hd__ha_1 U104 ( .A(A[37]), .B(n231), .COUT(n232), .SUM(SUM[37])
+         );
+  sky130_fd_sc_hd__ha_1 U105 ( .A(A[38]), .B(n232), .COUT(n233), .SUM(SUM[38])
+         );
+  sky130_fd_sc_hd__ha_1 U106 ( .A(A[39]), .B(n233), .COUT(n234), .SUM(SUM[39])
+         );
+  sky130_fd_sc_hd__ha_1 U107 ( .A(A[40]), .B(n234), .COUT(n235), .SUM(SUM[40])
+         );
+  sky130_fd_sc_hd__ha_1 U108 ( .A(A[41]), .B(n235), .COUT(n236), .SUM(SUM[41])
+         );
+  sky130_fd_sc_hd__ha_1 U109 ( .A(A[42]), .B(n236), .COUT(n237), .SUM(SUM[42])
+         );
+  sky130_fd_sc_hd__ha_1 U110 ( .A(A[43]), .B(n237), .COUT(n238), .SUM(SUM[43])
+         );
+  sky130_fd_sc_hd__ha_1 U111 ( .A(A[44]), .B(n238), .COUT(n239), .SUM(SUM[44])
+         );
+  sky130_fd_sc_hd__ha_1 U112 ( .A(A[45]), .B(n239), .COUT(n240), .SUM(SUM[45])
+         );
+  sky130_fd_sc_hd__ha_1 U113 ( .A(A[46]), .B(n240), .COUT(n241), .SUM(SUM[46])
+         );
+  sky130_fd_sc_hd__ha_1 U114 ( .A(A[47]), .B(n241), .COUT(n242), .SUM(SUM[47])
+         );
+  sky130_fd_sc_hd__ha_1 U115 ( .A(A[48]), .B(n242), .COUT(n243), .SUM(SUM[48])
+         );
+  sky130_fd_sc_hd__ha_1 U116 ( .A(A[49]), .B(n243), .COUT(n244), .SUM(SUM[49])
+         );
+  sky130_fd_sc_hd__ha_1 U117 ( .A(A[50]), .B(n244), .COUT(n245), .SUM(SUM[50])
+         );
+  sky130_fd_sc_hd__ha_1 U118 ( .A(A[51]), .B(n245), .COUT(n246), .SUM(SUM[51])
+         );
+  sky130_fd_sc_hd__ha_1 U119 ( .A(A[52]), .B(n246), .COUT(n247), .SUM(SUM[52])
+         );
+  sky130_fd_sc_hd__ha_1 U120 ( .A(A[53]), .B(n247), .COUT(n248), .SUM(SUM[53])
+         );
+  sky130_fd_sc_hd__ha_1 U121 ( .A(A[54]), .B(n248), .COUT(n249), .SUM(SUM[54])
+         );
+  sky130_fd_sc_hd__ha_1 U122 ( .A(A[55]), .B(n249), .COUT(n250), .SUM(SUM[55])
+         );
+  sky130_fd_sc_hd__ha_1 U123 ( .A(A[56]), .B(n250), .COUT(n251), .SUM(SUM[56])
+         );
+  sky130_fd_sc_hd__ha_1 U124 ( .A(A[57]), .B(n251), .COUT(n252), .SUM(SUM[57])
+         );
+  sky130_fd_sc_hd__ha_1 U125 ( .A(A[58]), .B(n252), .COUT(n253), .SUM(SUM[58])
+         );
+  sky130_fd_sc_hd__ha_1 U126 ( .A(A[59]), .B(n253), .COUT(n254), .SUM(SUM[59])
+         );
+  sky130_fd_sc_hd__ha_1 U127 ( .A(A[60]), .B(n254), .COUT(n255), .SUM(SUM[60])
+         );
+  sky130_fd_sc_hd__ha_1 U128 ( .A(A[61]), .B(n255), .COUT(n256), .SUM(SUM[61])
+         );
+  sky130_fd_sc_hd__ha_1 U129 ( .A(A[62]), .B(n256), .COUT(n195), .SUM(SUM[62])
+         );
+endmodule
+
+
+module opentitan_soc_top_ibex_counter_CounterWidth64_0 ( clk_i, rst_ni, 
+        counter_inc_i, counterh_we_i, counter_we_i, counter_val_i, 
+        counter_val_o );
+  input [31:0] counter_val_i;
+  output [63:0] counter_val_o;
+  input clk_i, rst_ni, counter_inc_i, counterh_we_i, counter_we_i;
+  wire   n72, n73, n74, n75, n76, n77, n78, n79, n80, n81, n82, n83, n84, n85,
+         n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96, n97, n98, n99,
+         n100, n101, n102, n103, n104, n105, n106, n107, n108, n109, n110,
+         n111, n112, n113, n114, n115, n116, n117, n118, n119, n120, n121,
+         n122, n123, n124, n125, n126, n127, n128, n129, n130, n131, n132,
+         n133, n134, n135, n1, n2, n3, n4, n5, n6, n7, n8, n9, n10, n11, n12,
+         n13, n14, n15, n16, n17, n18, n19, n20, n21, n22, n23, n24, n25, n26,
+         n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40,
+         n41, n42, n43, n44, n45, n46, n47, n48, n49, n50, n51, n52, n53, n54,
+         n55, n56, n57, n58, n59, n60, n61, n62, n63, n64, n65, n66, n67, n68,
+         n69, n70, n71, n136, n137, n138, n139, n140, n141,
+         SYNOPSYS_UNCONNECTED_1;
+  wire   [63:1] counter_upd;
+
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_63_ ( .D(n72), .CLK(n1), .RESET_B(
+        rst_ni), .Q(counter_val_o[63]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_62_ ( .D(n73), .CLK(n1), .RESET_B(
+        rst_ni), .Q(counter_val_o[62]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_61_ ( .D(n74), .CLK(n1), .RESET_B(
+        rst_ni), .Q(counter_val_o[61]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_60_ ( .D(n75), .CLK(n1), .RESET_B(
+        rst_ni), .Q(counter_val_o[60]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_59_ ( .D(n76), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[59]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_58_ ( .D(n77), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[58]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_57_ ( .D(n78), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[57]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_56_ ( .D(n79), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[56]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_55_ ( .D(n80), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[55]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_54_ ( .D(n81), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[54]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_53_ ( .D(n82), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[53]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_52_ ( .D(n83), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[52]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_51_ ( .D(n84), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[51]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_50_ ( .D(n85), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[50]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_49_ ( .D(n86), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[49]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_48_ ( .D(n87), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[48]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_47_ ( .D(n88), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[47]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_46_ ( .D(n89), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[46]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_45_ ( .D(n90), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[45]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_44_ ( .D(n91), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[44]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_43_ ( .D(n92), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[43]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_42_ ( .D(n93), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[42]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_41_ ( .D(n94), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[41]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_40_ ( .D(n95), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[40]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_39_ ( .D(n96), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[39]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_38_ ( .D(n97), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[38]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_37_ ( .D(n98), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[37]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_36_ ( .D(n99), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[36]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_35_ ( .D(n100), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[35]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_34_ ( .D(n101), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[34]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_33_ ( .D(n102), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[33]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_32_ ( .D(n103), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[32]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_31_ ( .D(n104), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_30_ ( .D(n105), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_29_ ( .D(n106), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_28_ ( .D(n107), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_27_ ( .D(n108), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_26_ ( .D(n109), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_25_ ( .D(n110), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_24_ ( .D(n111), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_23_ ( .D(n112), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_22_ ( .D(n113), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_21_ ( .D(n114), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_20_ ( .D(n115), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_19_ ( .D(n116), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_18_ ( .D(n117), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_17_ ( .D(n118), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_16_ ( .D(n119), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_15_ ( .D(n120), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_14_ ( .D(n121), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_13_ ( .D(n122), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_12_ ( .D(n123), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_11_ ( .D(n124), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_10_ ( .D(n125), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_9_ ( .D(n126), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_8_ ( .D(n127), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_7_ ( .D(n128), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_6_ ( .D(n129), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_5_ ( .D(n130), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_4_ ( .D(n131), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_3_ ( .D(n132), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_2_ ( .D(n133), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_1_ ( .D(n134), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_0_ ( .D(n135), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[0]) );
+  opentitan_soc_top_ibex_counter_CounterWidth64_0_DW01_inc_J9_0_0 add_x_1 ( 
+        .A(counter_val_o), .SUM({counter_upd, SYNOPSYS_UNCONNECTED_1}) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(clk_i), .X(n1) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(rst_ni), .X(n137) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(rst_ni), .X(n139) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(rst_ni), .X(n138) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(rst_ni), .X(n140) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(rst_ni), .X(n141) );
+  sky130_fd_sc_hd__clkinv_1 U9 ( .A(counterh_we_i), .Y(n2) );
+  sky130_fd_sc_hd__clkinv_1 U10 ( .A(counter_we_i), .Y(n38) );
+  sky130_fd_sc_hd__nand3_1 U11 ( .A(counter_inc_i), .B(n2), .C(n38), .Y(n4) );
+  sky130_fd_sc_hd__nor2_1 U12 ( .A(counterh_we_i), .B(n38), .Y(n35) );
+  sky130_fd_sc_hd__o21ai_1 U13 ( .A1(counter_inc_i), .A2(counter_we_i), .B1(n2), .Y(n36) );
+  sky130_fd_sc_hd__a22oi_1 U14 ( .A1(counter_val_i[0]), .A2(n35), .B1(
+        counter_val_o[0]), .B2(n36), .Y(n3) );
+  sky130_fd_sc_hd__o21ai_1 U15 ( .A1(counter_val_o[0]), .A2(n4), .B1(n3), .Y(
+        n135) );
+  sky130_fd_sc_hd__clkinv_1 U16 ( .A(n4), .Y(n70) );
+  sky130_fd_sc_hd__a222oi_1 U17 ( .A1(n36), .A2(counter_val_o[1]), .B1(n35), 
+        .B2(counter_val_i[1]), .C1(counter_upd[1]), .C2(n70), .Y(n5) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(n5), .Y(n134) );
+  sky130_fd_sc_hd__a222oi_1 U19 ( .A1(n36), .A2(counter_val_o[2]), .B1(n35), 
+        .B2(counter_val_i[2]), .C1(counter_upd[2]), .C2(n70), .Y(n6) );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(n6), .Y(n133) );
+  sky130_fd_sc_hd__a222oi_1 U21 ( .A1(n36), .A2(counter_val_o[3]), .B1(n35), 
+        .B2(counter_val_i[3]), .C1(counter_upd[3]), .C2(n70), .Y(n7) );
+  sky130_fd_sc_hd__clkinv_1 U22 ( .A(n7), .Y(n132) );
+  sky130_fd_sc_hd__a222oi_1 U23 ( .A1(n36), .A2(counter_val_o[4]), .B1(n35), 
+        .B2(counter_val_i[4]), .C1(counter_upd[4]), .C2(n70), .Y(n8) );
+  sky130_fd_sc_hd__clkinv_1 U24 ( .A(n8), .Y(n131) );
+  sky130_fd_sc_hd__a222oi_1 U25 ( .A1(n36), .A2(counter_val_o[5]), .B1(n35), 
+        .B2(counter_val_i[5]), .C1(counter_upd[5]), .C2(n70), .Y(n9) );
+  sky130_fd_sc_hd__clkinv_1 U26 ( .A(n9), .Y(n130) );
+  sky130_fd_sc_hd__a222oi_1 U27 ( .A1(n36), .A2(counter_val_o[6]), .B1(n35), 
+        .B2(counter_val_i[6]), .C1(counter_upd[6]), .C2(n70), .Y(n10) );
+  sky130_fd_sc_hd__clkinv_1 U28 ( .A(n10), .Y(n129) );
+  sky130_fd_sc_hd__a222oi_1 U29 ( .A1(n36), .A2(counter_val_o[7]), .B1(n35), 
+        .B2(counter_val_i[7]), .C1(counter_upd[7]), .C2(n70), .Y(n11) );
+  sky130_fd_sc_hd__clkinv_1 U30 ( .A(n11), .Y(n128) );
+  sky130_fd_sc_hd__a222oi_1 U31 ( .A1(n36), .A2(counter_val_o[8]), .B1(n35), 
+        .B2(counter_val_i[8]), .C1(counter_upd[8]), .C2(n70), .Y(n12) );
+  sky130_fd_sc_hd__clkinv_1 U32 ( .A(n12), .Y(n127) );
+  sky130_fd_sc_hd__a222oi_1 U33 ( .A1(n36), .A2(counter_val_o[9]), .B1(n35), 
+        .B2(counter_val_i[9]), .C1(counter_upd[9]), .C2(n70), .Y(n13) );
+  sky130_fd_sc_hd__clkinv_1 U34 ( .A(n13), .Y(n126) );
+  sky130_fd_sc_hd__a222oi_1 U35 ( .A1(n36), .A2(counter_val_o[10]), .B1(n35), 
+        .B2(counter_val_i[10]), .C1(counter_upd[10]), .C2(n70), .Y(n14) );
+  sky130_fd_sc_hd__clkinv_1 U36 ( .A(n14), .Y(n125) );
+  sky130_fd_sc_hd__a222oi_1 U37 ( .A1(n36), .A2(counter_val_o[11]), .B1(n35), 
+        .B2(counter_val_i[11]), .C1(counter_upd[11]), .C2(n70), .Y(n15) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(n15), .Y(n124) );
+  sky130_fd_sc_hd__a222oi_1 U39 ( .A1(n36), .A2(counter_val_o[12]), .B1(n35), 
+        .B2(counter_val_i[12]), .C1(counter_upd[12]), .C2(n70), .Y(n16) );
+  sky130_fd_sc_hd__clkinv_1 U40 ( .A(n16), .Y(n123) );
+  sky130_fd_sc_hd__a222oi_1 U41 ( .A1(n36), .A2(counter_val_o[13]), .B1(n35), 
+        .B2(counter_val_i[13]), .C1(counter_upd[13]), .C2(n70), .Y(n17) );
+  sky130_fd_sc_hd__clkinv_1 U42 ( .A(n17), .Y(n122) );
+  sky130_fd_sc_hd__a222oi_1 U43 ( .A1(n36), .A2(counter_val_o[14]), .B1(n35), 
+        .B2(counter_val_i[14]), .C1(counter_upd[14]), .C2(n70), .Y(n18) );
+  sky130_fd_sc_hd__clkinv_1 U44 ( .A(n18), .Y(n121) );
+  sky130_fd_sc_hd__a222oi_1 U45 ( .A1(n36), .A2(counter_val_o[15]), .B1(n35), 
+        .B2(counter_val_i[15]), .C1(counter_upd[15]), .C2(n70), .Y(n19) );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(n19), .Y(n120) );
+  sky130_fd_sc_hd__a222oi_1 U47 ( .A1(n36), .A2(counter_val_o[16]), .B1(n35), 
+        .B2(counter_val_i[16]), .C1(counter_upd[16]), .C2(n70), .Y(n20) );
+  sky130_fd_sc_hd__clkinv_1 U48 ( .A(n20), .Y(n119) );
+  sky130_fd_sc_hd__a222oi_1 U49 ( .A1(n36), .A2(counter_val_o[17]), .B1(n35), 
+        .B2(counter_val_i[17]), .C1(counter_upd[17]), .C2(n70), .Y(n21) );
+  sky130_fd_sc_hd__clkinv_1 U50 ( .A(n21), .Y(n118) );
+  sky130_fd_sc_hd__a222oi_1 U51 ( .A1(n36), .A2(counter_val_o[18]), .B1(n35), 
+        .B2(counter_val_i[18]), .C1(counter_upd[18]), .C2(n70), .Y(n22) );
+  sky130_fd_sc_hd__clkinv_1 U52 ( .A(n22), .Y(n117) );
+  sky130_fd_sc_hd__a222oi_1 U53 ( .A1(n36), .A2(counter_val_o[19]), .B1(n35), 
+        .B2(counter_val_i[19]), .C1(counter_upd[19]), .C2(n70), .Y(n23) );
+  sky130_fd_sc_hd__clkinv_1 U54 ( .A(n23), .Y(n116) );
+  sky130_fd_sc_hd__a222oi_1 U55 ( .A1(n36), .A2(counter_val_o[20]), .B1(n35), 
+        .B2(counter_val_i[20]), .C1(counter_upd[20]), .C2(n70), .Y(n24) );
+  sky130_fd_sc_hd__clkinv_1 U56 ( .A(n24), .Y(n115) );
+  sky130_fd_sc_hd__a222oi_1 U57 ( .A1(n36), .A2(counter_val_o[21]), .B1(n35), 
+        .B2(counter_val_i[21]), .C1(counter_upd[21]), .C2(n70), .Y(n25) );
+  sky130_fd_sc_hd__clkinv_1 U58 ( .A(n25), .Y(n114) );
+  sky130_fd_sc_hd__a222oi_1 U59 ( .A1(n36), .A2(counter_val_o[22]), .B1(n35), 
+        .B2(counter_val_i[22]), .C1(counter_upd[22]), .C2(n70), .Y(n26) );
+  sky130_fd_sc_hd__clkinv_1 U60 ( .A(n26), .Y(n113) );
+  sky130_fd_sc_hd__a222oi_1 U61 ( .A1(n36), .A2(counter_val_o[23]), .B1(n35), 
+        .B2(counter_val_i[23]), .C1(counter_upd[23]), .C2(n70), .Y(n27) );
+  sky130_fd_sc_hd__clkinv_1 U62 ( .A(n27), .Y(n112) );
+  sky130_fd_sc_hd__a222oi_1 U63 ( .A1(n36), .A2(counter_val_o[24]), .B1(n35), 
+        .B2(counter_val_i[24]), .C1(counter_upd[24]), .C2(n70), .Y(n28) );
+  sky130_fd_sc_hd__clkinv_1 U64 ( .A(n28), .Y(n111) );
+  sky130_fd_sc_hd__a222oi_1 U65 ( .A1(n36), .A2(counter_val_o[25]), .B1(n35), 
+        .B2(counter_val_i[25]), .C1(counter_upd[25]), .C2(n70), .Y(n29) );
+  sky130_fd_sc_hd__clkinv_1 U66 ( .A(n29), .Y(n110) );
+  sky130_fd_sc_hd__a222oi_1 U67 ( .A1(n36), .A2(counter_val_o[26]), .B1(n35), 
+        .B2(counter_val_i[26]), .C1(counter_upd[26]), .C2(n70), .Y(n30) );
+  sky130_fd_sc_hd__clkinv_1 U68 ( .A(n30), .Y(n109) );
+  sky130_fd_sc_hd__a222oi_1 U69 ( .A1(n36), .A2(counter_val_o[27]), .B1(n35), 
+        .B2(counter_val_i[27]), .C1(counter_upd[27]), .C2(n70), .Y(n31) );
+  sky130_fd_sc_hd__clkinv_1 U70 ( .A(n31), .Y(n108) );
+  sky130_fd_sc_hd__a222oi_1 U71 ( .A1(n36), .A2(counter_val_o[28]), .B1(n35), 
+        .B2(counter_val_i[28]), .C1(counter_upd[28]), .C2(n70), .Y(n32) );
+  sky130_fd_sc_hd__clkinv_1 U72 ( .A(n32), .Y(n107) );
+  sky130_fd_sc_hd__a222oi_1 U73 ( .A1(n36), .A2(counter_val_o[29]), .B1(n35), 
+        .B2(counter_val_i[29]), .C1(counter_upd[29]), .C2(n70), .Y(n33) );
+  sky130_fd_sc_hd__clkinv_1 U74 ( .A(n33), .Y(n106) );
+  sky130_fd_sc_hd__a222oi_1 U75 ( .A1(n36), .A2(counter_val_o[30]), .B1(n35), 
+        .B2(counter_val_i[30]), .C1(counter_upd[30]), .C2(n70), .Y(n34) );
+  sky130_fd_sc_hd__clkinv_1 U76 ( .A(n34), .Y(n105) );
+  sky130_fd_sc_hd__a222oi_1 U77 ( .A1(n36), .A2(counter_val_o[31]), .B1(n35), 
+        .B2(counter_val_i[31]), .C1(counter_upd[31]), .C2(n70), .Y(n37) );
+  sky130_fd_sc_hd__clkinv_1 U78 ( .A(n37), .Y(n104) );
+  sky130_fd_sc_hd__a21oi_1 U79 ( .A1(counter_inc_i), .A2(n38), .B1(
+        counterh_we_i), .Y(n71) );
+  sky130_fd_sc_hd__a222oi_1 U80 ( .A1(n71), .A2(counter_val_o[32]), .B1(n70), 
+        .B2(counter_upd[32]), .C1(counterh_we_i), .C2(counter_val_i[0]), .Y(
+        n39) );
+  sky130_fd_sc_hd__clkinv_1 U81 ( .A(n39), .Y(n103) );
+  sky130_fd_sc_hd__a222oi_1 U82 ( .A1(n71), .A2(counter_val_o[33]), .B1(n70), 
+        .B2(counter_upd[33]), .C1(counterh_we_i), .C2(counter_val_i[1]), .Y(
+        n40) );
+  sky130_fd_sc_hd__clkinv_1 U83 ( .A(n40), .Y(n102) );
+  sky130_fd_sc_hd__a222oi_1 U84 ( .A1(n71), .A2(counter_val_o[34]), .B1(n70), 
+        .B2(counter_upd[34]), .C1(counterh_we_i), .C2(counter_val_i[2]), .Y(
+        n41) );
+  sky130_fd_sc_hd__clkinv_1 U85 ( .A(n41), .Y(n101) );
+  sky130_fd_sc_hd__a222oi_1 U86 ( .A1(n71), .A2(counter_val_o[35]), .B1(n70), 
+        .B2(counter_upd[35]), .C1(counterh_we_i), .C2(counter_val_i[3]), .Y(
+        n42) );
+  sky130_fd_sc_hd__clkinv_1 U87 ( .A(n42), .Y(n100) );
+  sky130_fd_sc_hd__a222oi_1 U88 ( .A1(n71), .A2(counter_val_o[36]), .B1(n70), 
+        .B2(counter_upd[36]), .C1(counterh_we_i), .C2(counter_val_i[4]), .Y(
+        n43) );
+  sky130_fd_sc_hd__clkinv_1 U89 ( .A(n43), .Y(n99) );
+  sky130_fd_sc_hd__a222oi_1 U90 ( .A1(n71), .A2(counter_val_o[37]), .B1(n70), 
+        .B2(counter_upd[37]), .C1(counterh_we_i), .C2(counter_val_i[5]), .Y(
+        n44) );
+  sky130_fd_sc_hd__clkinv_1 U91 ( .A(n44), .Y(n98) );
+  sky130_fd_sc_hd__a222oi_1 U92 ( .A1(n71), .A2(counter_val_o[38]), .B1(n70), 
+        .B2(counter_upd[38]), .C1(counterh_we_i), .C2(counter_val_i[6]), .Y(
+        n45) );
+  sky130_fd_sc_hd__clkinv_1 U93 ( .A(n45), .Y(n97) );
+  sky130_fd_sc_hd__a222oi_1 U94 ( .A1(n71), .A2(counter_val_o[39]), .B1(n70), 
+        .B2(counter_upd[39]), .C1(counterh_we_i), .C2(counter_val_i[7]), .Y(
+        n46) );
+  sky130_fd_sc_hd__clkinv_1 U95 ( .A(n46), .Y(n96) );
+  sky130_fd_sc_hd__a222oi_1 U96 ( .A1(n71), .A2(counter_val_o[40]), .B1(n70), 
+        .B2(counter_upd[40]), .C1(counterh_we_i), .C2(counter_val_i[8]), .Y(
+        n47) );
+  sky130_fd_sc_hd__clkinv_1 U97 ( .A(n47), .Y(n95) );
+  sky130_fd_sc_hd__a222oi_1 U98 ( .A1(n71), .A2(counter_val_o[41]), .B1(n70), 
+        .B2(counter_upd[41]), .C1(counterh_we_i), .C2(counter_val_i[9]), .Y(
+        n48) );
+  sky130_fd_sc_hd__clkinv_1 U99 ( .A(n48), .Y(n94) );
+  sky130_fd_sc_hd__a222oi_1 U100 ( .A1(n71), .A2(counter_val_o[42]), .B1(n70), 
+        .B2(counter_upd[42]), .C1(counterh_we_i), .C2(counter_val_i[10]), .Y(
+        n49) );
+  sky130_fd_sc_hd__clkinv_1 U101 ( .A(n49), .Y(n93) );
+  sky130_fd_sc_hd__a222oi_1 U102 ( .A1(n71), .A2(counter_val_o[43]), .B1(n70), 
+        .B2(counter_upd[43]), .C1(counterh_we_i), .C2(counter_val_i[11]), .Y(
+        n50) );
+  sky130_fd_sc_hd__clkinv_1 U103 ( .A(n50), .Y(n92) );
+  sky130_fd_sc_hd__a222oi_1 U104 ( .A1(n71), .A2(counter_val_o[44]), .B1(n70), 
+        .B2(counter_upd[44]), .C1(counterh_we_i), .C2(counter_val_i[12]), .Y(
+        n51) );
+  sky130_fd_sc_hd__clkinv_1 U105 ( .A(n51), .Y(n91) );
+  sky130_fd_sc_hd__a222oi_1 U106 ( .A1(n71), .A2(counter_val_o[45]), .B1(n70), 
+        .B2(counter_upd[45]), .C1(counterh_we_i), .C2(counter_val_i[13]), .Y(
+        n52) );
+  sky130_fd_sc_hd__clkinv_1 U107 ( .A(n52), .Y(n90) );
+  sky130_fd_sc_hd__a222oi_1 U108 ( .A1(n71), .A2(counter_val_o[46]), .B1(n70), 
+        .B2(counter_upd[46]), .C1(counterh_we_i), .C2(counter_val_i[14]), .Y(
+        n53) );
+  sky130_fd_sc_hd__clkinv_1 U109 ( .A(n53), .Y(n89) );
+  sky130_fd_sc_hd__a222oi_1 U110 ( .A1(n71), .A2(counter_val_o[47]), .B1(n70), 
+        .B2(counter_upd[47]), .C1(counterh_we_i), .C2(counter_val_i[15]), .Y(
+        n54) );
+  sky130_fd_sc_hd__clkinv_1 U111 ( .A(n54), .Y(n88) );
+  sky130_fd_sc_hd__a222oi_1 U112 ( .A1(n71), .A2(counter_val_o[48]), .B1(n70), 
+        .B2(counter_upd[48]), .C1(counterh_we_i), .C2(counter_val_i[16]), .Y(
+        n55) );
+  sky130_fd_sc_hd__clkinv_1 U113 ( .A(n55), .Y(n87) );
+  sky130_fd_sc_hd__a222oi_1 U114 ( .A1(n71), .A2(counter_val_o[49]), .B1(n70), 
+        .B2(counter_upd[49]), .C1(counterh_we_i), .C2(counter_val_i[17]), .Y(
+        n56) );
+  sky130_fd_sc_hd__clkinv_1 U115 ( .A(n56), .Y(n86) );
+  sky130_fd_sc_hd__a222oi_1 U116 ( .A1(n71), .A2(counter_val_o[50]), .B1(n70), 
+        .B2(counter_upd[50]), .C1(counterh_we_i), .C2(counter_val_i[18]), .Y(
+        n57) );
+  sky130_fd_sc_hd__clkinv_1 U117 ( .A(n57), .Y(n85) );
+  sky130_fd_sc_hd__a222oi_1 U118 ( .A1(n71), .A2(counter_val_o[51]), .B1(n70), 
+        .B2(counter_upd[51]), .C1(counterh_we_i), .C2(counter_val_i[19]), .Y(
+        n58) );
+  sky130_fd_sc_hd__clkinv_1 U119 ( .A(n58), .Y(n84) );
+  sky130_fd_sc_hd__a222oi_1 U120 ( .A1(n71), .A2(counter_val_o[52]), .B1(n70), 
+        .B2(counter_upd[52]), .C1(counterh_we_i), .C2(counter_val_i[20]), .Y(
+        n59) );
+  sky130_fd_sc_hd__clkinv_1 U121 ( .A(n59), .Y(n83) );
+  sky130_fd_sc_hd__a222oi_1 U122 ( .A1(n71), .A2(counter_val_o[53]), .B1(n70), 
+        .B2(counter_upd[53]), .C1(counterh_we_i), .C2(counter_val_i[21]), .Y(
+        n60) );
+  sky130_fd_sc_hd__clkinv_1 U123 ( .A(n60), .Y(n82) );
+  sky130_fd_sc_hd__a222oi_1 U124 ( .A1(n71), .A2(counter_val_o[54]), .B1(n70), 
+        .B2(counter_upd[54]), .C1(counterh_we_i), .C2(counter_val_i[22]), .Y(
+        n61) );
+  sky130_fd_sc_hd__clkinv_1 U125 ( .A(n61), .Y(n81) );
+  sky130_fd_sc_hd__a222oi_1 U126 ( .A1(n71), .A2(counter_val_o[55]), .B1(n70), 
+        .B2(counter_upd[55]), .C1(counterh_we_i), .C2(counter_val_i[23]), .Y(
+        n62) );
+  sky130_fd_sc_hd__clkinv_1 U127 ( .A(n62), .Y(n80) );
+  sky130_fd_sc_hd__a222oi_1 U128 ( .A1(n71), .A2(counter_val_o[56]), .B1(n70), 
+        .B2(counter_upd[56]), .C1(counterh_we_i), .C2(counter_val_i[24]), .Y(
+        n63) );
+  sky130_fd_sc_hd__clkinv_1 U129 ( .A(n63), .Y(n79) );
+  sky130_fd_sc_hd__a222oi_1 U130 ( .A1(n71), .A2(counter_val_o[57]), .B1(n70), 
+        .B2(counter_upd[57]), .C1(counterh_we_i), .C2(counter_val_i[25]), .Y(
+        n64) );
+  sky130_fd_sc_hd__clkinv_1 U131 ( .A(n64), .Y(n78) );
+  sky130_fd_sc_hd__a222oi_1 U132 ( .A1(n71), .A2(counter_val_o[58]), .B1(n70), 
+        .B2(counter_upd[58]), .C1(counterh_we_i), .C2(counter_val_i[26]), .Y(
+        n65) );
+  sky130_fd_sc_hd__clkinv_1 U133 ( .A(n65), .Y(n77) );
+  sky130_fd_sc_hd__a222oi_1 U134 ( .A1(n71), .A2(counter_val_o[59]), .B1(n70), 
+        .B2(counter_upd[59]), .C1(counterh_we_i), .C2(counter_val_i[27]), .Y(
+        n66) );
+  sky130_fd_sc_hd__clkinv_1 U135 ( .A(n66), .Y(n76) );
+  sky130_fd_sc_hd__a222oi_1 U136 ( .A1(n71), .A2(counter_val_o[60]), .B1(n70), 
+        .B2(counter_upd[60]), .C1(counterh_we_i), .C2(counter_val_i[28]), .Y(
+        n67) );
+  sky130_fd_sc_hd__clkinv_1 U137 ( .A(n67), .Y(n75) );
+  sky130_fd_sc_hd__a222oi_1 U138 ( .A1(n71), .A2(counter_val_o[61]), .B1(n70), 
+        .B2(counter_upd[61]), .C1(counterh_we_i), .C2(counter_val_i[29]), .Y(
+        n68) );
+  sky130_fd_sc_hd__clkinv_1 U139 ( .A(n68), .Y(n74) );
+  sky130_fd_sc_hd__a222oi_1 U140 ( .A1(n71), .A2(counter_val_o[62]), .B1(n70), 
+        .B2(counter_upd[62]), .C1(counterh_we_i), .C2(counter_val_i[30]), .Y(
+        n69) );
+  sky130_fd_sc_hd__clkinv_1 U141 ( .A(n69), .Y(n73) );
+  sky130_fd_sc_hd__a222oi_1 U142 ( .A1(n71), .A2(counter_val_o[63]), .B1(n70), 
+        .B2(counter_upd[63]), .C1(counterh_we_i), .C2(counter_val_i[31]), .Y(
+        n136) );
+  sky130_fd_sc_hd__clkinv_1 U143 ( .A(n136), .Y(n72) );
+endmodule
+
+
+module opentitan_soc_top_ibex_counter_CounterWidth64_0_DW01_inc_J9_0_1 ( A, 
+        SUM );
+  input [63:0] A;
+  output [63:0] SUM;
+  wire   n195, n196, n197, n198, n199, n200, n201, n202, n203, n204, n205,
+         n206, n207, n208, n209, n210, n211, n212, n213, n214, n215, n216,
+         n217, n218, n219, n220, n221, n222, n223, n224, n225, n226, n227,
+         n228, n229, n230, n231, n232, n233, n234, n235, n236, n237, n238,
+         n239, n240, n241, n242, n243, n244, n245, n246, n247, n248, n249,
+         n250, n251, n252, n253, n254, n255, n256;
+
+  sky130_fd_sc_hd__xor2_1 U67 ( .A(n195), .B(A[63]), .X(SUM[63]) );
+  sky130_fd_sc_hd__ha_1 U68 ( .A(A[1]), .B(A[0]), .COUT(n196), .SUM(SUM[1]) );
+  sky130_fd_sc_hd__ha_1 U69 ( .A(A[2]), .B(n196), .COUT(n197), .SUM(SUM[2]) );
+  sky130_fd_sc_hd__ha_1 U70 ( .A(A[3]), .B(n197), .COUT(n198), .SUM(SUM[3]) );
+  sky130_fd_sc_hd__ha_1 U71 ( .A(A[4]), .B(n198), .COUT(n199), .SUM(SUM[4]) );
+  sky130_fd_sc_hd__ha_1 U72 ( .A(A[5]), .B(n199), .COUT(n200), .SUM(SUM[5]) );
+  sky130_fd_sc_hd__ha_1 U73 ( .A(A[6]), .B(n200), .COUT(n201), .SUM(SUM[6]) );
+  sky130_fd_sc_hd__ha_1 U74 ( .A(A[7]), .B(n201), .COUT(n202), .SUM(SUM[7]) );
+  sky130_fd_sc_hd__ha_1 U75 ( .A(A[8]), .B(n202), .COUT(n203), .SUM(SUM[8]) );
+  sky130_fd_sc_hd__ha_1 U76 ( .A(A[9]), .B(n203), .COUT(n204), .SUM(SUM[9]) );
+  sky130_fd_sc_hd__ha_1 U77 ( .A(A[10]), .B(n204), .COUT(n205), .SUM(SUM[10])
+         );
+  sky130_fd_sc_hd__ha_1 U78 ( .A(A[11]), .B(n205), .COUT(n206), .SUM(SUM[11])
+         );
+  sky130_fd_sc_hd__ha_1 U79 ( .A(A[12]), .B(n206), .COUT(n207), .SUM(SUM[12])
+         );
+  sky130_fd_sc_hd__ha_1 U80 ( .A(A[13]), .B(n207), .COUT(n208), .SUM(SUM[13])
+         );
+  sky130_fd_sc_hd__ha_1 U81 ( .A(A[14]), .B(n208), .COUT(n209), .SUM(SUM[14])
+         );
+  sky130_fd_sc_hd__ha_1 U82 ( .A(A[15]), .B(n209), .COUT(n210), .SUM(SUM[15])
+         );
+  sky130_fd_sc_hd__ha_1 U83 ( .A(A[16]), .B(n210), .COUT(n211), .SUM(SUM[16])
+         );
+  sky130_fd_sc_hd__ha_1 U84 ( .A(A[17]), .B(n211), .COUT(n212), .SUM(SUM[17])
+         );
+  sky130_fd_sc_hd__ha_1 U85 ( .A(A[18]), .B(n212), .COUT(n213), .SUM(SUM[18])
+         );
+  sky130_fd_sc_hd__ha_1 U86 ( .A(A[19]), .B(n213), .COUT(n214), .SUM(SUM[19])
+         );
+  sky130_fd_sc_hd__ha_1 U87 ( .A(A[20]), .B(n214), .COUT(n215), .SUM(SUM[20])
+         );
+  sky130_fd_sc_hd__ha_1 U88 ( .A(A[21]), .B(n215), .COUT(n216), .SUM(SUM[21])
+         );
+  sky130_fd_sc_hd__ha_1 U89 ( .A(A[22]), .B(n216), .COUT(n217), .SUM(SUM[22])
+         );
+  sky130_fd_sc_hd__ha_1 U90 ( .A(A[23]), .B(n217), .COUT(n218), .SUM(SUM[23])
+         );
+  sky130_fd_sc_hd__ha_1 U91 ( .A(A[24]), .B(n218), .COUT(n219), .SUM(SUM[24])
+         );
+  sky130_fd_sc_hd__ha_1 U92 ( .A(A[25]), .B(n219), .COUT(n220), .SUM(SUM[25])
+         );
+  sky130_fd_sc_hd__ha_1 U93 ( .A(A[26]), .B(n220), .COUT(n221), .SUM(SUM[26])
+         );
+  sky130_fd_sc_hd__ha_1 U94 ( .A(A[27]), .B(n221), .COUT(n222), .SUM(SUM[27])
+         );
+  sky130_fd_sc_hd__ha_1 U95 ( .A(A[28]), .B(n222), .COUT(n223), .SUM(SUM[28])
+         );
+  sky130_fd_sc_hd__ha_1 U96 ( .A(A[29]), .B(n223), .COUT(n224), .SUM(SUM[29])
+         );
+  sky130_fd_sc_hd__ha_1 U97 ( .A(A[30]), .B(n224), .COUT(n225), .SUM(SUM[30])
+         );
+  sky130_fd_sc_hd__ha_1 U98 ( .A(A[31]), .B(n225), .COUT(n226), .SUM(SUM[31])
+         );
+  sky130_fd_sc_hd__ha_1 U99 ( .A(A[32]), .B(n226), .COUT(n227), .SUM(SUM[32])
+         );
+  sky130_fd_sc_hd__ha_1 U100 ( .A(A[33]), .B(n227), .COUT(n228), .SUM(SUM[33])
+         );
+  sky130_fd_sc_hd__ha_1 U101 ( .A(A[34]), .B(n228), .COUT(n229), .SUM(SUM[34])
+         );
+  sky130_fd_sc_hd__ha_1 U102 ( .A(A[35]), .B(n229), .COUT(n230), .SUM(SUM[35])
+         );
+  sky130_fd_sc_hd__ha_1 U103 ( .A(A[36]), .B(n230), .COUT(n231), .SUM(SUM[36])
+         );
+  sky130_fd_sc_hd__ha_1 U104 ( .A(A[37]), .B(n231), .COUT(n232), .SUM(SUM[37])
+         );
+  sky130_fd_sc_hd__ha_1 U105 ( .A(A[38]), .B(n232), .COUT(n233), .SUM(SUM[38])
+         );
+  sky130_fd_sc_hd__ha_1 U106 ( .A(A[39]), .B(n233), .COUT(n234), .SUM(SUM[39])
+         );
+  sky130_fd_sc_hd__ha_1 U107 ( .A(A[40]), .B(n234), .COUT(n235), .SUM(SUM[40])
+         );
+  sky130_fd_sc_hd__ha_1 U108 ( .A(A[41]), .B(n235), .COUT(n236), .SUM(SUM[41])
+         );
+  sky130_fd_sc_hd__ha_1 U109 ( .A(A[42]), .B(n236), .COUT(n237), .SUM(SUM[42])
+         );
+  sky130_fd_sc_hd__ha_1 U110 ( .A(A[43]), .B(n237), .COUT(n238), .SUM(SUM[43])
+         );
+  sky130_fd_sc_hd__ha_1 U111 ( .A(A[44]), .B(n238), .COUT(n239), .SUM(SUM[44])
+         );
+  sky130_fd_sc_hd__ha_1 U112 ( .A(A[45]), .B(n239), .COUT(n240), .SUM(SUM[45])
+         );
+  sky130_fd_sc_hd__ha_1 U113 ( .A(A[46]), .B(n240), .COUT(n241), .SUM(SUM[46])
+         );
+  sky130_fd_sc_hd__ha_1 U114 ( .A(A[47]), .B(n241), .COUT(n242), .SUM(SUM[47])
+         );
+  sky130_fd_sc_hd__ha_1 U115 ( .A(A[48]), .B(n242), .COUT(n243), .SUM(SUM[48])
+         );
+  sky130_fd_sc_hd__ha_1 U116 ( .A(A[49]), .B(n243), .COUT(n244), .SUM(SUM[49])
+         );
+  sky130_fd_sc_hd__ha_1 U117 ( .A(A[50]), .B(n244), .COUT(n245), .SUM(SUM[50])
+         );
+  sky130_fd_sc_hd__ha_1 U118 ( .A(A[51]), .B(n245), .COUT(n246), .SUM(SUM[51])
+         );
+  sky130_fd_sc_hd__ha_1 U119 ( .A(A[52]), .B(n246), .COUT(n247), .SUM(SUM[52])
+         );
+  sky130_fd_sc_hd__ha_1 U120 ( .A(A[53]), .B(n247), .COUT(n248), .SUM(SUM[53])
+         );
+  sky130_fd_sc_hd__ha_1 U121 ( .A(A[54]), .B(n248), .COUT(n249), .SUM(SUM[54])
+         );
+  sky130_fd_sc_hd__ha_1 U122 ( .A(A[55]), .B(n249), .COUT(n250), .SUM(SUM[55])
+         );
+  sky130_fd_sc_hd__ha_1 U123 ( .A(A[56]), .B(n250), .COUT(n251), .SUM(SUM[56])
+         );
+  sky130_fd_sc_hd__ha_1 U124 ( .A(A[57]), .B(n251), .COUT(n252), .SUM(SUM[57])
+         );
+  sky130_fd_sc_hd__ha_1 U125 ( .A(A[58]), .B(n252), .COUT(n253), .SUM(SUM[58])
+         );
+  sky130_fd_sc_hd__ha_1 U126 ( .A(A[59]), .B(n253), .COUT(n254), .SUM(SUM[59])
+         );
+  sky130_fd_sc_hd__ha_1 U127 ( .A(A[60]), .B(n254), .COUT(n255), .SUM(SUM[60])
+         );
+  sky130_fd_sc_hd__ha_1 U128 ( .A(A[61]), .B(n255), .COUT(n256), .SUM(SUM[61])
+         );
+  sky130_fd_sc_hd__ha_1 U129 ( .A(A[62]), .B(n256), .COUT(n195), .SUM(SUM[62])
+         );
+endmodule
+
+
+module opentitan_soc_top_ibex_counter_CounterWidth64_1 ( clk_i, rst_ni, 
+        counter_inc_i, counterh_we_i, counter_we_i, counter_val_i, 
+        counter_val_o );
+  input [31:0] counter_val_i;
+  output [63:0] counter_val_o;
+  input clk_i, rst_ni, counter_inc_i, counterh_we_i, counter_we_i;
+  wire   n1, n2, n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16,
+         n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30,
+         n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42, n43, n44,
+         n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58,
+         n59, n60, n61, n62, n63, n64, n65, n66, n67, n68, n69, n70, n71, n136,
+         n137, n138, n139, n140, n141, n142, n143, n144, n145, n146, n147,
+         n148, n149, n150, n151, n152, n153, n154, n155, n156, n157, n158,
+         n159, n160, n161, n162, n163, n164, n165, n166, n167, n168, n169,
+         n170, n171, n172, n173, n174, n175, n176, n177, n178, n179, n180,
+         n181, n182, n183, n184, n185, n186, n187, n188, n189, n190, n191,
+         n192, n193, n194, n195, n196, n197, n198, n199, n200, n201, n202,
+         n203, n204, n205, SYNOPSYS_UNCONNECTED_1;
+  wire   [63:1] counter_upd;
+
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_63_ ( .D(n205), .CLK(n1), .RESET_B(
+        rst_ni), .Q(counter_val_o[63]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_62_ ( .D(n204), .CLK(n1), .RESET_B(
+        rst_ni), .Q(counter_val_o[62]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_61_ ( .D(n203), .CLK(n1), .RESET_B(
+        rst_ni), .Q(counter_val_o[61]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_60_ ( .D(n202), .CLK(n1), .RESET_B(
+        rst_ni), .Q(counter_val_o[60]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_59_ ( .D(n201), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[59]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_58_ ( .D(n200), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[58]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_57_ ( .D(n199), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[57]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_56_ ( .D(n198), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[56]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_55_ ( .D(n197), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[55]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_54_ ( .D(n196), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[54]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_53_ ( .D(n195), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[53]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_52_ ( .D(n194), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[52]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_51_ ( .D(n193), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[51]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_50_ ( .D(n192), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[50]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_49_ ( .D(n191), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[49]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_48_ ( .D(n190), .CLK(n1), .RESET_B(
+        n141), .Q(counter_val_o[48]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_47_ ( .D(n189), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[47]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_46_ ( .D(n188), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[46]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_45_ ( .D(n187), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[45]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_44_ ( .D(n186), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[44]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_43_ ( .D(n185), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[43]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_42_ ( .D(n184), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[42]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_41_ ( .D(n183), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[41]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_40_ ( .D(n182), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[40]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_39_ ( .D(n181), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[39]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_38_ ( .D(n180), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[38]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_37_ ( .D(n179), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[37]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_36_ ( .D(n178), .CLK(n1), .RESET_B(
+        n140), .Q(counter_val_o[36]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_35_ ( .D(n177), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[35]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_34_ ( .D(n176), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[34]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_33_ ( .D(n175), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[33]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_32_ ( .D(n174), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[32]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_31_ ( .D(n173), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_30_ ( .D(n172), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_29_ ( .D(n171), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_28_ ( .D(n170), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_27_ ( .D(n169), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_26_ ( .D(n168), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_25_ ( .D(n167), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_24_ ( .D(n166), .CLK(n1), .RESET_B(
+        n139), .Q(counter_val_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_23_ ( .D(n165), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_22_ ( .D(n164), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_21_ ( .D(n163), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_20_ ( .D(n162), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_19_ ( .D(n161), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_18_ ( .D(n160), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_17_ ( .D(n159), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_16_ ( .D(n158), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_15_ ( .D(n157), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_14_ ( .D(n156), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_13_ ( .D(n155), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_12_ ( .D(n154), .CLK(n1), .RESET_B(
+        n138), .Q(counter_val_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_11_ ( .D(n153), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_10_ ( .D(n152), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_9_ ( .D(n151), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_8_ ( .D(n150), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_7_ ( .D(n149), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_6_ ( .D(n148), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_5_ ( .D(n147), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_4_ ( .D(n146), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_3_ ( .D(n145), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_2_ ( .D(n144), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_1_ ( .D(n143), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 counter_q_reg_0_ ( .D(n142), .CLK(n1), .RESET_B(
+        n137), .Q(counter_val_o[0]) );
+  opentitan_soc_top_ibex_counter_CounterWidth64_0_DW01_inc_J9_0_1 add_x_1 ( 
+        .A(counter_val_o), .SUM({counter_upd, SYNOPSYS_UNCONNECTED_1}) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(clk_i), .X(n1) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(rst_ni), .X(n139) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(rst_ni), .X(n138) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(rst_ni), .X(n137) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(rst_ni), .X(n140) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(rst_ni), .X(n141) );
+  sky130_fd_sc_hd__clkinv_1 U9 ( .A(counterh_we_i), .Y(n2) );
+  sky130_fd_sc_hd__clkinv_1 U10 ( .A(counter_we_i), .Y(n38) );
+  sky130_fd_sc_hd__nand3_1 U11 ( .A(counter_inc_i), .B(n2), .C(n38), .Y(n4) );
+  sky130_fd_sc_hd__nor2_1 U12 ( .A(counterh_we_i), .B(n38), .Y(n35) );
+  sky130_fd_sc_hd__o21ai_1 U13 ( .A1(counter_inc_i), .A2(counter_we_i), .B1(n2), .Y(n36) );
+  sky130_fd_sc_hd__a22oi_1 U14 ( .A1(counter_val_i[0]), .A2(n35), .B1(
+        counter_val_o[0]), .B2(n36), .Y(n3) );
+  sky130_fd_sc_hd__o21ai_1 U15 ( .A1(counter_val_o[0]), .A2(n4), .B1(n3), .Y(
+        n142) );
+  sky130_fd_sc_hd__clkinv_1 U16 ( .A(n4), .Y(n70) );
+  sky130_fd_sc_hd__a222oi_1 U17 ( .A1(n36), .A2(counter_val_o[1]), .B1(n35), 
+        .B2(counter_val_i[1]), .C1(counter_upd[1]), .C2(n70), .Y(n5) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(n5), .Y(n143) );
+  sky130_fd_sc_hd__a222oi_1 U19 ( .A1(n36), .A2(counter_val_o[2]), .B1(n35), 
+        .B2(counter_val_i[2]), .C1(counter_upd[2]), .C2(n70), .Y(n6) );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(n6), .Y(n144) );
+  sky130_fd_sc_hd__a222oi_1 U21 ( .A1(n36), .A2(counter_val_o[3]), .B1(n35), 
+        .B2(counter_val_i[3]), .C1(counter_upd[3]), .C2(n70), .Y(n7) );
+  sky130_fd_sc_hd__clkinv_1 U22 ( .A(n7), .Y(n145) );
+  sky130_fd_sc_hd__a222oi_1 U23 ( .A1(n36), .A2(counter_val_o[4]), .B1(n35), 
+        .B2(counter_val_i[4]), .C1(counter_upd[4]), .C2(n70), .Y(n8) );
+  sky130_fd_sc_hd__clkinv_1 U24 ( .A(n8), .Y(n146) );
+  sky130_fd_sc_hd__a222oi_1 U25 ( .A1(n36), .A2(counter_val_o[5]), .B1(n35), 
+        .B2(counter_val_i[5]), .C1(counter_upd[5]), .C2(n70), .Y(n9) );
+  sky130_fd_sc_hd__clkinv_1 U26 ( .A(n9), .Y(n147) );
+  sky130_fd_sc_hd__a222oi_1 U27 ( .A1(n36), .A2(counter_val_o[6]), .B1(n35), 
+        .B2(counter_val_i[6]), .C1(counter_upd[6]), .C2(n70), .Y(n10) );
+  sky130_fd_sc_hd__clkinv_1 U28 ( .A(n10), .Y(n148) );
+  sky130_fd_sc_hd__a222oi_1 U29 ( .A1(n36), .A2(counter_val_o[7]), .B1(n35), 
+        .B2(counter_val_i[7]), .C1(counter_upd[7]), .C2(n70), .Y(n11) );
+  sky130_fd_sc_hd__clkinv_1 U30 ( .A(n11), .Y(n149) );
+  sky130_fd_sc_hd__a222oi_1 U31 ( .A1(n36), .A2(counter_val_o[8]), .B1(n35), 
+        .B2(counter_val_i[8]), .C1(counter_upd[8]), .C2(n70), .Y(n12) );
+  sky130_fd_sc_hd__clkinv_1 U32 ( .A(n12), .Y(n150) );
+  sky130_fd_sc_hd__a222oi_1 U33 ( .A1(n36), .A2(counter_val_o[9]), .B1(n35), 
+        .B2(counter_val_i[9]), .C1(counter_upd[9]), .C2(n70), .Y(n13) );
+  sky130_fd_sc_hd__clkinv_1 U34 ( .A(n13), .Y(n151) );
+  sky130_fd_sc_hd__a222oi_1 U35 ( .A1(n36), .A2(counter_val_o[10]), .B1(n35), 
+        .B2(counter_val_i[10]), .C1(counter_upd[10]), .C2(n70), .Y(n14) );
+  sky130_fd_sc_hd__clkinv_1 U36 ( .A(n14), .Y(n152) );
+  sky130_fd_sc_hd__a222oi_1 U37 ( .A1(n36), .A2(counter_val_o[11]), .B1(n35), 
+        .B2(counter_val_i[11]), .C1(counter_upd[11]), .C2(n70), .Y(n15) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(n15), .Y(n153) );
+  sky130_fd_sc_hd__a222oi_1 U39 ( .A1(n36), .A2(counter_val_o[12]), .B1(n35), 
+        .B2(counter_val_i[12]), .C1(counter_upd[12]), .C2(n70), .Y(n16) );
+  sky130_fd_sc_hd__clkinv_1 U40 ( .A(n16), .Y(n154) );
+  sky130_fd_sc_hd__a222oi_1 U41 ( .A1(n36), .A2(counter_val_o[13]), .B1(n35), 
+        .B2(counter_val_i[13]), .C1(counter_upd[13]), .C2(n70), .Y(n17) );
+  sky130_fd_sc_hd__clkinv_1 U42 ( .A(n17), .Y(n155) );
+  sky130_fd_sc_hd__a222oi_1 U43 ( .A1(n36), .A2(counter_val_o[14]), .B1(n35), 
+        .B2(counter_val_i[14]), .C1(counter_upd[14]), .C2(n70), .Y(n18) );
+  sky130_fd_sc_hd__clkinv_1 U44 ( .A(n18), .Y(n156) );
+  sky130_fd_sc_hd__a222oi_1 U45 ( .A1(n36), .A2(counter_val_o[15]), .B1(n35), 
+        .B2(counter_val_i[15]), .C1(counter_upd[15]), .C2(n70), .Y(n19) );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(n19), .Y(n157) );
+  sky130_fd_sc_hd__a222oi_1 U47 ( .A1(n36), .A2(counter_val_o[16]), .B1(n35), 
+        .B2(counter_val_i[16]), .C1(counter_upd[16]), .C2(n70), .Y(n20) );
+  sky130_fd_sc_hd__clkinv_1 U48 ( .A(n20), .Y(n158) );
+  sky130_fd_sc_hd__a222oi_1 U49 ( .A1(n36), .A2(counter_val_o[17]), .B1(n35), 
+        .B2(counter_val_i[17]), .C1(counter_upd[17]), .C2(n70), .Y(n21) );
+  sky130_fd_sc_hd__clkinv_1 U50 ( .A(n21), .Y(n159) );
+  sky130_fd_sc_hd__a222oi_1 U51 ( .A1(n36), .A2(counter_val_o[18]), .B1(n35), 
+        .B2(counter_val_i[18]), .C1(counter_upd[18]), .C2(n70), .Y(n22) );
+  sky130_fd_sc_hd__clkinv_1 U52 ( .A(n22), .Y(n160) );
+  sky130_fd_sc_hd__a222oi_1 U53 ( .A1(n36), .A2(counter_val_o[19]), .B1(n35), 
+        .B2(counter_val_i[19]), .C1(counter_upd[19]), .C2(n70), .Y(n23) );
+  sky130_fd_sc_hd__clkinv_1 U54 ( .A(n23), .Y(n161) );
+  sky130_fd_sc_hd__a222oi_1 U55 ( .A1(n36), .A2(counter_val_o[20]), .B1(n35), 
+        .B2(counter_val_i[20]), .C1(counter_upd[20]), .C2(n70), .Y(n24) );
+  sky130_fd_sc_hd__clkinv_1 U56 ( .A(n24), .Y(n162) );
+  sky130_fd_sc_hd__a222oi_1 U57 ( .A1(n36), .A2(counter_val_o[21]), .B1(n35), 
+        .B2(counter_val_i[21]), .C1(counter_upd[21]), .C2(n70), .Y(n25) );
+  sky130_fd_sc_hd__clkinv_1 U58 ( .A(n25), .Y(n163) );
+  sky130_fd_sc_hd__a222oi_1 U59 ( .A1(n36), .A2(counter_val_o[22]), .B1(n35), 
+        .B2(counter_val_i[22]), .C1(counter_upd[22]), .C2(n70), .Y(n26) );
+  sky130_fd_sc_hd__clkinv_1 U60 ( .A(n26), .Y(n164) );
+  sky130_fd_sc_hd__a222oi_1 U61 ( .A1(n36), .A2(counter_val_o[23]), .B1(n35), 
+        .B2(counter_val_i[23]), .C1(counter_upd[23]), .C2(n70), .Y(n27) );
+  sky130_fd_sc_hd__clkinv_1 U62 ( .A(n27), .Y(n165) );
+  sky130_fd_sc_hd__a222oi_1 U63 ( .A1(n36), .A2(counter_val_o[24]), .B1(n35), 
+        .B2(counter_val_i[24]), .C1(counter_upd[24]), .C2(n70), .Y(n28) );
+  sky130_fd_sc_hd__clkinv_1 U64 ( .A(n28), .Y(n166) );
+  sky130_fd_sc_hd__a222oi_1 U65 ( .A1(n36), .A2(counter_val_o[25]), .B1(n35), 
+        .B2(counter_val_i[25]), .C1(counter_upd[25]), .C2(n70), .Y(n29) );
+  sky130_fd_sc_hd__clkinv_1 U66 ( .A(n29), .Y(n167) );
+  sky130_fd_sc_hd__a222oi_1 U67 ( .A1(n36), .A2(counter_val_o[26]), .B1(n35), 
+        .B2(counter_val_i[26]), .C1(counter_upd[26]), .C2(n70), .Y(n30) );
+  sky130_fd_sc_hd__clkinv_1 U68 ( .A(n30), .Y(n168) );
+  sky130_fd_sc_hd__a222oi_1 U69 ( .A1(n36), .A2(counter_val_o[27]), .B1(n35), 
+        .B2(counter_val_i[27]), .C1(counter_upd[27]), .C2(n70), .Y(n31) );
+  sky130_fd_sc_hd__clkinv_1 U70 ( .A(n31), .Y(n169) );
+  sky130_fd_sc_hd__a222oi_1 U71 ( .A1(n36), .A2(counter_val_o[28]), .B1(n35), 
+        .B2(counter_val_i[28]), .C1(counter_upd[28]), .C2(n70), .Y(n32) );
+  sky130_fd_sc_hd__clkinv_1 U72 ( .A(n32), .Y(n170) );
+  sky130_fd_sc_hd__a222oi_1 U73 ( .A1(n36), .A2(counter_val_o[29]), .B1(n35), 
+        .B2(counter_val_i[29]), .C1(counter_upd[29]), .C2(n70), .Y(n33) );
+  sky130_fd_sc_hd__clkinv_1 U74 ( .A(n33), .Y(n171) );
+  sky130_fd_sc_hd__a222oi_1 U75 ( .A1(n36), .A2(counter_val_o[30]), .B1(n35), 
+        .B2(counter_val_i[30]), .C1(counter_upd[30]), .C2(n70), .Y(n34) );
+  sky130_fd_sc_hd__clkinv_1 U76 ( .A(n34), .Y(n172) );
+  sky130_fd_sc_hd__a222oi_1 U77 ( .A1(n36), .A2(counter_val_o[31]), .B1(n35), 
+        .B2(counter_val_i[31]), .C1(counter_upd[31]), .C2(n70), .Y(n37) );
+  sky130_fd_sc_hd__clkinv_1 U78 ( .A(n37), .Y(n173) );
+  sky130_fd_sc_hd__a21oi_1 U79 ( .A1(counter_inc_i), .A2(n38), .B1(
+        counterh_we_i), .Y(n71) );
+  sky130_fd_sc_hd__a222oi_1 U80 ( .A1(n71), .A2(counter_val_o[32]), .B1(n70), 
+        .B2(counter_upd[32]), .C1(counterh_we_i), .C2(counter_val_i[0]), .Y(
+        n39) );
+  sky130_fd_sc_hd__clkinv_1 U81 ( .A(n39), .Y(n174) );
+  sky130_fd_sc_hd__a222oi_1 U82 ( .A1(n71), .A2(counter_val_o[33]), .B1(n70), 
+        .B2(counter_upd[33]), .C1(counterh_we_i), .C2(counter_val_i[1]), .Y(
+        n40) );
+  sky130_fd_sc_hd__clkinv_1 U83 ( .A(n40), .Y(n175) );
+  sky130_fd_sc_hd__a222oi_1 U84 ( .A1(n71), .A2(counter_val_o[34]), .B1(n70), 
+        .B2(counter_upd[34]), .C1(counterh_we_i), .C2(counter_val_i[2]), .Y(
+        n41) );
+  sky130_fd_sc_hd__clkinv_1 U85 ( .A(n41), .Y(n176) );
+  sky130_fd_sc_hd__a222oi_1 U86 ( .A1(n71), .A2(counter_val_o[35]), .B1(n70), 
+        .B2(counter_upd[35]), .C1(counterh_we_i), .C2(counter_val_i[3]), .Y(
+        n42) );
+  sky130_fd_sc_hd__clkinv_1 U87 ( .A(n42), .Y(n177) );
+  sky130_fd_sc_hd__a222oi_1 U88 ( .A1(n71), .A2(counter_val_o[36]), .B1(n70), 
+        .B2(counter_upd[36]), .C1(counterh_we_i), .C2(counter_val_i[4]), .Y(
+        n43) );
+  sky130_fd_sc_hd__clkinv_1 U89 ( .A(n43), .Y(n178) );
+  sky130_fd_sc_hd__a222oi_1 U90 ( .A1(n71), .A2(counter_val_o[37]), .B1(n70), 
+        .B2(counter_upd[37]), .C1(counterh_we_i), .C2(counter_val_i[5]), .Y(
+        n44) );
+  sky130_fd_sc_hd__clkinv_1 U91 ( .A(n44), .Y(n179) );
+  sky130_fd_sc_hd__a222oi_1 U92 ( .A1(n71), .A2(counter_val_o[38]), .B1(n70), 
+        .B2(counter_upd[38]), .C1(counterh_we_i), .C2(counter_val_i[6]), .Y(
+        n45) );
+  sky130_fd_sc_hd__clkinv_1 U93 ( .A(n45), .Y(n180) );
+  sky130_fd_sc_hd__a222oi_1 U94 ( .A1(n71), .A2(counter_val_o[39]), .B1(n70), 
+        .B2(counter_upd[39]), .C1(counterh_we_i), .C2(counter_val_i[7]), .Y(
+        n46) );
+  sky130_fd_sc_hd__clkinv_1 U95 ( .A(n46), .Y(n181) );
+  sky130_fd_sc_hd__a222oi_1 U96 ( .A1(n71), .A2(counter_val_o[40]), .B1(n70), 
+        .B2(counter_upd[40]), .C1(counterh_we_i), .C2(counter_val_i[8]), .Y(
+        n47) );
+  sky130_fd_sc_hd__clkinv_1 U97 ( .A(n47), .Y(n182) );
+  sky130_fd_sc_hd__a222oi_1 U98 ( .A1(n71), .A2(counter_val_o[41]), .B1(n70), 
+        .B2(counter_upd[41]), .C1(counterh_we_i), .C2(counter_val_i[9]), .Y(
+        n48) );
+  sky130_fd_sc_hd__clkinv_1 U99 ( .A(n48), .Y(n183) );
+  sky130_fd_sc_hd__a222oi_1 U100 ( .A1(n71), .A2(counter_val_o[42]), .B1(n70), 
+        .B2(counter_upd[42]), .C1(counterh_we_i), .C2(counter_val_i[10]), .Y(
+        n49) );
+  sky130_fd_sc_hd__clkinv_1 U101 ( .A(n49), .Y(n184) );
+  sky130_fd_sc_hd__a222oi_1 U102 ( .A1(n71), .A2(counter_val_o[43]), .B1(n70), 
+        .B2(counter_upd[43]), .C1(counterh_we_i), .C2(counter_val_i[11]), .Y(
+        n50) );
+  sky130_fd_sc_hd__clkinv_1 U103 ( .A(n50), .Y(n185) );
+  sky130_fd_sc_hd__a222oi_1 U104 ( .A1(n71), .A2(counter_val_o[44]), .B1(n70), 
+        .B2(counter_upd[44]), .C1(counterh_we_i), .C2(counter_val_i[12]), .Y(
+        n51) );
+  sky130_fd_sc_hd__clkinv_1 U105 ( .A(n51), .Y(n186) );
+  sky130_fd_sc_hd__a222oi_1 U106 ( .A1(n71), .A2(counter_val_o[45]), .B1(n70), 
+        .B2(counter_upd[45]), .C1(counterh_we_i), .C2(counter_val_i[13]), .Y(
+        n52) );
+  sky130_fd_sc_hd__clkinv_1 U107 ( .A(n52), .Y(n187) );
+  sky130_fd_sc_hd__a222oi_1 U108 ( .A1(n71), .A2(counter_val_o[46]), .B1(n70), 
+        .B2(counter_upd[46]), .C1(counterh_we_i), .C2(counter_val_i[14]), .Y(
+        n53) );
+  sky130_fd_sc_hd__clkinv_1 U109 ( .A(n53), .Y(n188) );
+  sky130_fd_sc_hd__a222oi_1 U110 ( .A1(n71), .A2(counter_val_o[47]), .B1(n70), 
+        .B2(counter_upd[47]), .C1(counterh_we_i), .C2(counter_val_i[15]), .Y(
+        n54) );
+  sky130_fd_sc_hd__clkinv_1 U111 ( .A(n54), .Y(n189) );
+  sky130_fd_sc_hd__a222oi_1 U112 ( .A1(n71), .A2(counter_val_o[48]), .B1(n70), 
+        .B2(counter_upd[48]), .C1(counterh_we_i), .C2(counter_val_i[16]), .Y(
+        n55) );
+  sky130_fd_sc_hd__clkinv_1 U113 ( .A(n55), .Y(n190) );
+  sky130_fd_sc_hd__a222oi_1 U114 ( .A1(n71), .A2(counter_val_o[49]), .B1(n70), 
+        .B2(counter_upd[49]), .C1(counterh_we_i), .C2(counter_val_i[17]), .Y(
+        n56) );
+  sky130_fd_sc_hd__clkinv_1 U115 ( .A(n56), .Y(n191) );
+  sky130_fd_sc_hd__a222oi_1 U116 ( .A1(n71), .A2(counter_val_o[50]), .B1(n70), 
+        .B2(counter_upd[50]), .C1(counterh_we_i), .C2(counter_val_i[18]), .Y(
+        n57) );
+  sky130_fd_sc_hd__clkinv_1 U117 ( .A(n57), .Y(n192) );
+  sky130_fd_sc_hd__a222oi_1 U118 ( .A1(n71), .A2(counter_val_o[51]), .B1(n70), 
+        .B2(counter_upd[51]), .C1(counterh_we_i), .C2(counter_val_i[19]), .Y(
+        n58) );
+  sky130_fd_sc_hd__clkinv_1 U119 ( .A(n58), .Y(n193) );
+  sky130_fd_sc_hd__a222oi_1 U120 ( .A1(n71), .A2(counter_val_o[52]), .B1(n70), 
+        .B2(counter_upd[52]), .C1(counterh_we_i), .C2(counter_val_i[20]), .Y(
+        n59) );
+  sky130_fd_sc_hd__clkinv_1 U121 ( .A(n59), .Y(n194) );
+  sky130_fd_sc_hd__a222oi_1 U122 ( .A1(n71), .A2(counter_val_o[53]), .B1(n70), 
+        .B2(counter_upd[53]), .C1(counterh_we_i), .C2(counter_val_i[21]), .Y(
+        n60) );
+  sky130_fd_sc_hd__clkinv_1 U123 ( .A(n60), .Y(n195) );
+  sky130_fd_sc_hd__a222oi_1 U124 ( .A1(n71), .A2(counter_val_o[54]), .B1(n70), 
+        .B2(counter_upd[54]), .C1(counterh_we_i), .C2(counter_val_i[22]), .Y(
+        n61) );
+  sky130_fd_sc_hd__clkinv_1 U125 ( .A(n61), .Y(n196) );
+  sky130_fd_sc_hd__a222oi_1 U126 ( .A1(n71), .A2(counter_val_o[55]), .B1(n70), 
+        .B2(counter_upd[55]), .C1(counterh_we_i), .C2(counter_val_i[23]), .Y(
+        n62) );
+  sky130_fd_sc_hd__clkinv_1 U127 ( .A(n62), .Y(n197) );
+  sky130_fd_sc_hd__a222oi_1 U128 ( .A1(n71), .A2(counter_val_o[56]), .B1(n70), 
+        .B2(counter_upd[56]), .C1(counterh_we_i), .C2(counter_val_i[24]), .Y(
+        n63) );
+  sky130_fd_sc_hd__clkinv_1 U129 ( .A(n63), .Y(n198) );
+  sky130_fd_sc_hd__a222oi_1 U130 ( .A1(n71), .A2(counter_val_o[57]), .B1(n70), 
+        .B2(counter_upd[57]), .C1(counterh_we_i), .C2(counter_val_i[25]), .Y(
+        n64) );
+  sky130_fd_sc_hd__clkinv_1 U131 ( .A(n64), .Y(n199) );
+  sky130_fd_sc_hd__a222oi_1 U132 ( .A1(n71), .A2(counter_val_o[58]), .B1(n70), 
+        .B2(counter_upd[58]), .C1(counterh_we_i), .C2(counter_val_i[26]), .Y(
+        n65) );
+  sky130_fd_sc_hd__clkinv_1 U133 ( .A(n65), .Y(n200) );
+  sky130_fd_sc_hd__a222oi_1 U134 ( .A1(n71), .A2(counter_val_o[59]), .B1(n70), 
+        .B2(counter_upd[59]), .C1(counterh_we_i), .C2(counter_val_i[27]), .Y(
+        n66) );
+  sky130_fd_sc_hd__clkinv_1 U135 ( .A(n66), .Y(n201) );
+  sky130_fd_sc_hd__a222oi_1 U136 ( .A1(n71), .A2(counter_val_o[60]), .B1(n70), 
+        .B2(counter_upd[60]), .C1(counterh_we_i), .C2(counter_val_i[28]), .Y(
+        n67) );
+  sky130_fd_sc_hd__clkinv_1 U137 ( .A(n67), .Y(n202) );
+  sky130_fd_sc_hd__a222oi_1 U138 ( .A1(n71), .A2(counter_val_o[61]), .B1(n70), 
+        .B2(counter_upd[61]), .C1(counterh_we_i), .C2(counter_val_i[29]), .Y(
+        n68) );
+  sky130_fd_sc_hd__clkinv_1 U139 ( .A(n68), .Y(n203) );
+  sky130_fd_sc_hd__a222oi_1 U140 ( .A1(n71), .A2(counter_val_o[62]), .B1(n70), 
+        .B2(counter_upd[62]), .C1(counterh_we_i), .C2(counter_val_i[30]), .Y(
+        n69) );
+  sky130_fd_sc_hd__clkinv_1 U141 ( .A(n69), .Y(n204) );
+  sky130_fd_sc_hd__a222oi_1 U142 ( .A1(n71), .A2(counter_val_o[63]), .B1(n70), 
+        .B2(counter_upd[63]), .C1(counterh_we_i), .C2(counter_val_i[31]), .Y(
+        n136) );
+  sky130_fd_sc_hd__clkinv_1 U143 ( .A(n136), .Y(n205) );
+endmodule
+
+
+module opentitan_soc_top_ibex_csr_32_0_0_1 ( clk_i, rst_ni, wr_data_i, wr_en_i, 
+        rd_data_o, rd_error_o );
+  input [31:0] wr_data_i;
+  output [31:0] rd_data_o;
+  input clk_i, rst_ni, wr_en_i;
+  output rd_error_o;
+  wire   n1, n2, n35, n36, n37, n38, n39, n40, n41, n42, n43, n44, n45, n46,
+         n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58, n59, n60,
+         n61, n62, n63, n64, n65, n66, n67, n68;
+
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_31_ ( .D(n37), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_30_ ( .D(n38), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_29_ ( .D(n39), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_28_ ( .D(n40), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_27_ ( .D(n41), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_26_ ( .D(n42), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_25_ ( .D(n43), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_24_ ( .D(n44), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_23_ ( .D(n45), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_22_ ( .D(n46), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_21_ ( .D(n47), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_20_ ( .D(n48), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_19_ ( .D(n49), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_18_ ( .D(n50), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_17_ ( .D(n51), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_16_ ( .D(n52), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_15_ ( .D(n53), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_14_ ( .D(n54), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_13_ ( .D(n55), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_12_ ( .D(n56), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_11_ ( .D(n57), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_10_ ( .D(n58), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_9_ ( .D(n59), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_8_ ( .D(n60), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_7_ ( .D(n61), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_6_ ( .D(n62), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_5_ ( .D(n63), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_4_ ( .D(n64), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_3_ ( .D(n65), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_2_ ( .D(n66), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_1_ ( .D(n67), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_0_ ( .D(n68), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(clk_i), .X(n1) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(wr_en_i), .Y(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(rst_ni), .X(n36) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(rst_ni), .X(n35) );
+  sky130_fd_sc_hd__a22o_1 U6 ( .A1(wr_en_i), .A2(wr_data_i[31]), .B1(n2), .B2(
+        rd_data_o[31]), .X(n37) );
+  sky130_fd_sc_hd__a22o_1 U7 ( .A1(wr_en_i), .A2(wr_data_i[30]), .B1(n2), .B2(
+        rd_data_o[30]), .X(n38) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en_i), .A2(wr_data_i[29]), .B1(n2), .B2(
+        rd_data_o[29]), .X(n39) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en_i), .A2(wr_data_i[28]), .B1(n2), .B2(
+        rd_data_o[28]), .X(n40) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en_i), .A2(wr_data_i[27]), .B1(n2), 
+        .B2(rd_data_o[27]), .X(n41) );
+  sky130_fd_sc_hd__a22o_1 U11 ( .A1(wr_en_i), .A2(wr_data_i[26]), .B1(n2), 
+        .B2(rd_data_o[26]), .X(n42) );
+  sky130_fd_sc_hd__a22o_1 U12 ( .A1(wr_en_i), .A2(wr_data_i[25]), .B1(n2), 
+        .B2(rd_data_o[25]), .X(n43) );
+  sky130_fd_sc_hd__a22o_1 U13 ( .A1(wr_en_i), .A2(wr_data_i[24]), .B1(n2), 
+        .B2(rd_data_o[24]), .X(n44) );
+  sky130_fd_sc_hd__a22o_1 U14 ( .A1(wr_en_i), .A2(wr_data_i[23]), .B1(n2), 
+        .B2(rd_data_o[23]), .X(n45) );
+  sky130_fd_sc_hd__a22o_1 U15 ( .A1(wr_en_i), .A2(wr_data_i[22]), .B1(n2), 
+        .B2(rd_data_o[22]), .X(n46) );
+  sky130_fd_sc_hd__a22o_1 U16 ( .A1(wr_en_i), .A2(wr_data_i[21]), .B1(n2), 
+        .B2(rd_data_o[21]), .X(n47) );
+  sky130_fd_sc_hd__a22o_1 U17 ( .A1(wr_en_i), .A2(wr_data_i[20]), .B1(n2), 
+        .B2(rd_data_o[20]), .X(n48) );
+  sky130_fd_sc_hd__a22o_1 U18 ( .A1(wr_en_i), .A2(wr_data_i[19]), .B1(n2), 
+        .B2(rd_data_o[19]), .X(n49) );
+  sky130_fd_sc_hd__a22o_1 U19 ( .A1(wr_en_i), .A2(wr_data_i[18]), .B1(n2), 
+        .B2(rd_data_o[18]), .X(n50) );
+  sky130_fd_sc_hd__a22o_1 U20 ( .A1(wr_en_i), .A2(wr_data_i[17]), .B1(n2), 
+        .B2(rd_data_o[17]), .X(n51) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(wr_en_i), .A2(wr_data_i[16]), .B1(n2), 
+        .B2(rd_data_o[16]), .X(n52) );
+  sky130_fd_sc_hd__a22o_1 U22 ( .A1(wr_en_i), .A2(wr_data_i[15]), .B1(n2), 
+        .B2(rd_data_o[15]), .X(n53) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(wr_en_i), .A2(wr_data_i[14]), .B1(n2), 
+        .B2(rd_data_o[14]), .X(n54) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(wr_en_i), .A2(wr_data_i[13]), .B1(n2), 
+        .B2(rd_data_o[13]), .X(n55) );
+  sky130_fd_sc_hd__a22o_1 U25 ( .A1(wr_en_i), .A2(wr_data_i[12]), .B1(n2), 
+        .B2(rd_data_o[12]), .X(n56) );
+  sky130_fd_sc_hd__a22o_1 U26 ( .A1(wr_en_i), .A2(wr_data_i[11]), .B1(n2), 
+        .B2(rd_data_o[11]), .X(n57) );
+  sky130_fd_sc_hd__a22o_1 U27 ( .A1(wr_en_i), .A2(wr_data_i[10]), .B1(n2), 
+        .B2(rd_data_o[10]), .X(n58) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(wr_en_i), .A2(wr_data_i[9]), .B1(n2), .B2(
+        rd_data_o[9]), .X(n59) );
+  sky130_fd_sc_hd__a22o_1 U29 ( .A1(wr_en_i), .A2(wr_data_i[8]), .B1(n2), .B2(
+        rd_data_o[8]), .X(n60) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(wr_en_i), .A2(wr_data_i[7]), .B1(n2), .B2(
+        rd_data_o[7]), .X(n61) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(wr_en_i), .A2(wr_data_i[6]), .B1(n2), .B2(
+        rd_data_o[6]), .X(n62) );
+  sky130_fd_sc_hd__a22o_1 U32 ( .A1(wr_en_i), .A2(wr_data_i[5]), .B1(n2), .B2(
+        rd_data_o[5]), .X(n63) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(wr_en_i), .A2(wr_data_i[4]), .B1(n2), .B2(
+        rd_data_o[4]), .X(n64) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(wr_en_i), .A2(wr_data_i[3]), .B1(n2), .B2(
+        rd_data_o[3]), .X(n65) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(wr_en_i), .A2(wr_data_i[2]), .B1(n2), .B2(
+        rd_data_o[2]), .X(n66) );
+  sky130_fd_sc_hd__a22o_1 U36 ( .A1(wr_en_i), .A2(wr_data_i[1]), .B1(n2), .B2(
+        rd_data_o[1]), .X(n67) );
+  sky130_fd_sc_hd__a22o_1 U37 ( .A1(wr_en_i), .A2(wr_data_i[0]), .B1(n2), .B2(
+        rd_data_o[0]), .X(n68) );
+endmodule
+
+
+module opentitan_soc_top_ibex_csr_32_0_0_2 ( clk_i, rst_ni, wr_data_i, wr_en_i, 
+        rd_data_o, rd_error_o );
+  input [31:0] wr_data_i;
+  output [31:0] rd_data_o;
+  input clk_i, rst_ni, wr_en_i;
+  output rd_error_o;
+  wire   n1, n2, n35, n36, n37, n38, n39, n40, n41, n42, n43, n44, n45, n46,
+         n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58, n59, n60,
+         n61, n62, n63, n64, n65, n66, n67, n68, n69, n70;
+
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_31_ ( .D(n39), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_30_ ( .D(n40), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_29_ ( .D(n41), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_28_ ( .D(n42), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_27_ ( .D(n43), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_26_ ( .D(n44), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_25_ ( .D(n45), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_24_ ( .D(n46), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_23_ ( .D(n47), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_22_ ( .D(n48), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_21_ ( .D(n49), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_20_ ( .D(n50), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_19_ ( .D(n51), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_18_ ( .D(n52), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_17_ ( .D(n53), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_16_ ( .D(n54), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_15_ ( .D(n55), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_14_ ( .D(n56), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_13_ ( .D(n57), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_12_ ( .D(n58), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_11_ ( .D(n59), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_10_ ( .D(n60), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_9_ ( .D(n61), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_8_ ( .D(n62), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_7_ ( .D(n63), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_6_ ( .D(n64), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_5_ ( .D(n65), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_4_ ( .D(n66), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_3_ ( .D(n67), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_2_ ( .D(n68), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_1_ ( .D(n69), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_0_ ( .D(n70), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(clk_i), .X(n1) );
+  sky130_fd_sc_hd__inv_1 U3 ( .A(wr_en_i), .Y(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(rst_ni), .X(n36) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(rst_ni), .X(n37) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(rst_ni), .X(n38) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(n2), .Y(n35) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(n35), .A2(wr_data_i[31]), .B1(n2), .B2(
+        rd_data_o[31]), .X(n39) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en_i), .A2(wr_data_i[30]), .B1(n2), .B2(
+        rd_data_o[30]), .X(n40) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en_i), .A2(wr_data_i[29]), .B1(n2), 
+        .B2(rd_data_o[29]), .X(n41) );
+  sky130_fd_sc_hd__a22o_1 U11 ( .A1(n35), .A2(wr_data_i[28]), .B1(n2), .B2(
+        rd_data_o[28]), .X(n42) );
+  sky130_fd_sc_hd__a22o_1 U12 ( .A1(wr_en_i), .A2(wr_data_i[27]), .B1(n2), 
+        .B2(rd_data_o[27]), .X(n43) );
+  sky130_fd_sc_hd__a22o_1 U13 ( .A1(wr_en_i), .A2(wr_data_i[26]), .B1(n2), 
+        .B2(rd_data_o[26]), .X(n44) );
+  sky130_fd_sc_hd__a22o_1 U14 ( .A1(wr_en_i), .A2(wr_data_i[25]), .B1(n2), 
+        .B2(rd_data_o[25]), .X(n45) );
+  sky130_fd_sc_hd__a22o_1 U15 ( .A1(wr_en_i), .A2(wr_data_i[24]), .B1(n2), 
+        .B2(rd_data_o[24]), .X(n46) );
+  sky130_fd_sc_hd__a22o_1 U16 ( .A1(wr_en_i), .A2(wr_data_i[23]), .B1(n2), 
+        .B2(rd_data_o[23]), .X(n47) );
+  sky130_fd_sc_hd__a22o_1 U17 ( .A1(wr_en_i), .A2(wr_data_i[22]), .B1(n2), 
+        .B2(rd_data_o[22]), .X(n48) );
+  sky130_fd_sc_hd__a22o_1 U18 ( .A1(wr_en_i), .A2(wr_data_i[21]), .B1(n2), 
+        .B2(rd_data_o[21]), .X(n49) );
+  sky130_fd_sc_hd__a22o_1 U19 ( .A1(wr_en_i), .A2(wr_data_i[20]), .B1(n2), 
+        .B2(rd_data_o[20]), .X(n50) );
+  sky130_fd_sc_hd__a22o_1 U20 ( .A1(wr_en_i), .A2(wr_data_i[19]), .B1(n2), 
+        .B2(rd_data_o[19]), .X(n51) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(wr_en_i), .A2(wr_data_i[18]), .B1(n2), 
+        .B2(rd_data_o[18]), .X(n52) );
+  sky130_fd_sc_hd__a22o_1 U22 ( .A1(wr_en_i), .A2(wr_data_i[17]), .B1(n2), 
+        .B2(rd_data_o[17]), .X(n53) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(wr_en_i), .A2(wr_data_i[16]), .B1(n2), 
+        .B2(rd_data_o[16]), .X(n54) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(wr_en_i), .A2(wr_data_i[15]), .B1(n2), 
+        .B2(rd_data_o[15]), .X(n55) );
+  sky130_fd_sc_hd__a22o_1 U25 ( .A1(wr_en_i), .A2(wr_data_i[14]), .B1(n2), 
+        .B2(rd_data_o[14]), .X(n56) );
+  sky130_fd_sc_hd__a22o_1 U26 ( .A1(n35), .A2(wr_data_i[13]), .B1(n2), .B2(
+        rd_data_o[13]), .X(n57) );
+  sky130_fd_sc_hd__a22o_1 U27 ( .A1(n35), .A2(wr_data_i[12]), .B1(n2), .B2(
+        rd_data_o[12]), .X(n58) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(wr_en_i), .A2(wr_data_i[11]), .B1(n2), 
+        .B2(rd_data_o[11]), .X(n59) );
+  sky130_fd_sc_hd__a22o_1 U29 ( .A1(n35), .A2(wr_data_i[10]), .B1(n2), .B2(
+        rd_data_o[10]), .X(n60) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(wr_en_i), .A2(wr_data_i[9]), .B1(n2), .B2(
+        rd_data_o[9]), .X(n61) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(n35), .A2(wr_data_i[8]), .B1(n2), .B2(
+        rd_data_o[8]), .X(n62) );
+  sky130_fd_sc_hd__a22o_1 U32 ( .A1(n35), .A2(wr_data_i[7]), .B1(n2), .B2(
+        rd_data_o[7]), .X(n63) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(n35), .A2(wr_data_i[6]), .B1(n2), .B2(
+        rd_data_o[6]), .X(n64) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(wr_en_i), .A2(wr_data_i[5]), .B1(n2), .B2(
+        rd_data_o[5]), .X(n65) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(n35), .A2(wr_data_i[4]), .B1(n2), .B2(
+        rd_data_o[4]), .X(n66) );
+  sky130_fd_sc_hd__a22o_1 U36 ( .A1(wr_en_i), .A2(wr_data_i[3]), .B1(n2), .B2(
+        rd_data_o[3]), .X(n67) );
+  sky130_fd_sc_hd__a22o_1 U37 ( .A1(n35), .A2(wr_data_i[2]), .B1(n2), .B2(
+        rd_data_o[2]), .X(n68) );
+  sky130_fd_sc_hd__a22o_1 U38 ( .A1(wr_en_i), .A2(wr_data_i[1]), .B1(n2), .B2(
+        rd_data_o[1]), .X(n69) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(n35), .A2(wr_data_i[0]), .B1(n2), .B2(
+        rd_data_o[0]), .X(n70) );
+endmodule
+
+
+module opentitan_soc_top_ibex_csr_32_0_0_3 ( clk_i, rst_ni, wr_data_i, wr_en_i, 
+        rd_data_o, rd_error_o );
+  input [31:0] wr_data_i;
+  output [31:0] rd_data_o;
+  input clk_i, rst_ni, wr_en_i;
+  output rd_error_o;
+  wire   n1, n2, n3, n35, n36, n37, n38, n39, n40, n41, n42, n43, n44, n45,
+         n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58, n59,
+         n60, n61, n62, n63, n64, n65, n66, n67;
+
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_31_ ( .D(n37), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_30_ ( .D(n38), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_29_ ( .D(n39), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_28_ ( .D(n40), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_27_ ( .D(n41), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_26_ ( .D(n42), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_25_ ( .D(n43), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_24_ ( .D(n44), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_23_ ( .D(n45), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_22_ ( .D(n46), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_21_ ( .D(n47), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_20_ ( .D(n48), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_19_ ( .D(n49), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_18_ ( .D(n50), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_17_ ( .D(n51), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_16_ ( .D(n52), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_15_ ( .D(n53), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_14_ ( .D(n54), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_13_ ( .D(n55), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_12_ ( .D(n56), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_11_ ( .D(n57), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_10_ ( .D(n58), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_9_ ( .D(n59), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_8_ ( .D(n60), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_7_ ( .D(n61), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_6_ ( .D(n62), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_5_ ( .D(n63), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_4_ ( .D(n64), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_3_ ( .D(n65), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_2_ ( .D(n66), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_1_ ( .D(n67), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(clk_i), .X(n1) );
+  sky130_fd_sc_hd__inv_1 U3 ( .A(wr_en_i), .Y(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(rst_ni), .X(n35) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(rst_ni), .X(n36) );
+  sky130_fd_sc_hd__clkinv_1 U6 ( .A(n2), .Y(n3) );
+  sky130_fd_sc_hd__a22o_1 U7 ( .A1(n3), .A2(wr_data_i[31]), .B1(n2), .B2(
+        rd_data_o[31]), .X(n37) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(n3), .A2(wr_data_i[30]), .B1(n2), .B2(
+        rd_data_o[30]), .X(n38) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(n3), .A2(wr_data_i[29]), .B1(n2), .B2(
+        rd_data_o[29]), .X(n39) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(n3), .A2(wr_data_i[28]), .B1(n2), .B2(
+        rd_data_o[28]), .X(n40) );
+  sky130_fd_sc_hd__a22o_1 U11 ( .A1(n3), .A2(wr_data_i[27]), .B1(n2), .B2(
+        rd_data_o[27]), .X(n41) );
+  sky130_fd_sc_hd__a22o_1 U12 ( .A1(n3), .A2(wr_data_i[26]), .B1(n2), .B2(
+        rd_data_o[26]), .X(n42) );
+  sky130_fd_sc_hd__a22o_1 U13 ( .A1(n3), .A2(wr_data_i[25]), .B1(n2), .B2(
+        rd_data_o[25]), .X(n43) );
+  sky130_fd_sc_hd__a22o_1 U14 ( .A1(n3), .A2(wr_data_i[24]), .B1(n2), .B2(
+        rd_data_o[24]), .X(n44) );
+  sky130_fd_sc_hd__a22o_1 U15 ( .A1(n3), .A2(wr_data_i[23]), .B1(n2), .B2(
+        rd_data_o[23]), .X(n45) );
+  sky130_fd_sc_hd__a22o_1 U16 ( .A1(wr_en_i), .A2(wr_data_i[22]), .B1(n2), 
+        .B2(rd_data_o[22]), .X(n46) );
+  sky130_fd_sc_hd__a22o_1 U17 ( .A1(wr_en_i), .A2(wr_data_i[21]), .B1(n2), 
+        .B2(rd_data_o[21]), .X(n47) );
+  sky130_fd_sc_hd__a22o_1 U18 ( .A1(wr_en_i), .A2(wr_data_i[20]), .B1(n2), 
+        .B2(rd_data_o[20]), .X(n48) );
+  sky130_fd_sc_hd__a22o_1 U19 ( .A1(wr_en_i), .A2(wr_data_i[19]), .B1(n2), 
+        .B2(rd_data_o[19]), .X(n49) );
+  sky130_fd_sc_hd__a22o_1 U20 ( .A1(wr_en_i), .A2(wr_data_i[18]), .B1(n2), 
+        .B2(rd_data_o[18]), .X(n50) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(wr_en_i), .A2(wr_data_i[17]), .B1(n2), 
+        .B2(rd_data_o[17]), .X(n51) );
+  sky130_fd_sc_hd__a22o_1 U22 ( .A1(wr_en_i), .A2(wr_data_i[16]), .B1(n2), 
+        .B2(rd_data_o[16]), .X(n52) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(wr_en_i), .A2(wr_data_i[15]), .B1(n2), 
+        .B2(rd_data_o[15]), .X(n53) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(wr_en_i), .A2(wr_data_i[14]), .B1(n2), 
+        .B2(rd_data_o[14]), .X(n54) );
+  sky130_fd_sc_hd__a22o_1 U25 ( .A1(wr_en_i), .A2(wr_data_i[13]), .B1(n2), 
+        .B2(rd_data_o[13]), .X(n55) );
+  sky130_fd_sc_hd__a22o_1 U26 ( .A1(wr_en_i), .A2(wr_data_i[12]), .B1(n2), 
+        .B2(rd_data_o[12]), .X(n56) );
+  sky130_fd_sc_hd__a22o_1 U27 ( .A1(wr_en_i), .A2(wr_data_i[11]), .B1(n2), 
+        .B2(rd_data_o[11]), .X(n57) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(wr_en_i), .A2(wr_data_i[10]), .B1(n2), 
+        .B2(rd_data_o[10]), .X(n58) );
+  sky130_fd_sc_hd__a22o_1 U29 ( .A1(wr_en_i), .A2(wr_data_i[9]), .B1(n2), .B2(
+        rd_data_o[9]), .X(n59) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(wr_en_i), .A2(wr_data_i[8]), .B1(n2), .B2(
+        rd_data_o[8]), .X(n60) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(wr_en_i), .A2(wr_data_i[7]), .B1(n2), .B2(
+        rd_data_o[7]), .X(n61) );
+  sky130_fd_sc_hd__a22o_1 U32 ( .A1(wr_en_i), .A2(wr_data_i[6]), .B1(n2), .B2(
+        rd_data_o[6]), .X(n62) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(wr_en_i), .A2(wr_data_i[5]), .B1(n2), .B2(
+        rd_data_o[5]), .X(n63) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(wr_en_i), .A2(wr_data_i[4]), .B1(n2), .B2(
+        rd_data_o[4]), .X(n64) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(n3), .A2(wr_data_i[3]), .B1(n2), .B2(
+        rd_data_o[3]), .X(n65) );
+  sky130_fd_sc_hd__a22o_1 U36 ( .A1(n3), .A2(wr_data_i[2]), .B1(n2), .B2(
+        rd_data_o[2]), .X(n66) );
+  sky130_fd_sc_hd__a22o_1 U37 ( .A1(n3), .A2(wr_data_i[1]), .B1(n2), .B2(
+        rd_data_o[1]), .X(n67) );
+endmodule
+
+
+module opentitan_soc_top_ibex_csr_32_0_0_4 ( clk_i, rst_ni, wr_data_i, wr_en_i, 
+        rd_data_o, rd_error_o );
+  input [31:0] wr_data_i;
+  output [31:0] rd_data_o;
+  input clk_i, rst_ni, wr_en_i;
+  output rd_error_o;
+  wire   n1, n2, n35, n36, n37, n38, n39, n40, n41, n42, n43, n44, n45, n46,
+         n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58, n59, n60,
+         n61, n62, n63, n64, n65, n66, n67, n68, n69, n70;
+
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_31_ ( .D(n39), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_30_ ( .D(n40), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_29_ ( .D(n41), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_28_ ( .D(n42), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_27_ ( .D(n43), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_26_ ( .D(n44), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_25_ ( .D(n45), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_24_ ( .D(n46), .CLK(n1), .RESET_B(n38), 
+        .Q(rd_data_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_23_ ( .D(n47), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_22_ ( .D(n48), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_21_ ( .D(n49), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_20_ ( .D(n50), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_19_ ( .D(n51), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_18_ ( .D(n52), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_17_ ( .D(n53), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_16_ ( .D(n54), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_15_ ( .D(n55), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_14_ ( .D(n56), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_13_ ( .D(n57), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_12_ ( .D(n58), .CLK(n1), .RESET_B(n37), 
+        .Q(rd_data_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_11_ ( .D(n59), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_10_ ( .D(n60), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_9_ ( .D(n61), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_8_ ( .D(n62), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_7_ ( .D(n63), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_6_ ( .D(n64), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_5_ ( .D(n65), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_4_ ( .D(n66), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_3_ ( .D(n67), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_2_ ( .D(n68), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_1_ ( .D(n69), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_0_ ( .D(n70), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(clk_i), .X(n1) );
+  sky130_fd_sc_hd__inv_1 U3 ( .A(wr_en_i), .Y(n35) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(rst_ni), .X(n36) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(rst_ni), .X(n37) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(rst_ni), .X(n38) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(n35), .Y(n2) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(n2), .A2(wr_data_i[31]), .B1(n35), .B2(
+        rd_data_o[31]), .X(n39) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(n2), .A2(wr_data_i[30]), .B1(n35), .B2(
+        rd_data_o[30]), .X(n40) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(n2), .A2(wr_data_i[29]), .B1(n35), .B2(
+        rd_data_o[29]), .X(n41) );
+  sky130_fd_sc_hd__a22o_1 U11 ( .A1(n2), .A2(wr_data_i[28]), .B1(n35), .B2(
+        rd_data_o[28]), .X(n42) );
+  sky130_fd_sc_hd__a22o_1 U12 ( .A1(n2), .A2(wr_data_i[27]), .B1(n35), .B2(
+        rd_data_o[27]), .X(n43) );
+  sky130_fd_sc_hd__a22o_1 U13 ( .A1(n2), .A2(wr_data_i[26]), .B1(n35), .B2(
+        rd_data_o[26]), .X(n44) );
+  sky130_fd_sc_hd__a22o_1 U14 ( .A1(n2), .A2(wr_data_i[25]), .B1(n35), .B2(
+        rd_data_o[25]), .X(n45) );
+  sky130_fd_sc_hd__a22o_1 U15 ( .A1(n2), .A2(wr_data_i[24]), .B1(n35), .B2(
+        rd_data_o[24]), .X(n46) );
+  sky130_fd_sc_hd__a22o_1 U16 ( .A1(n2), .A2(wr_data_i[23]), .B1(n35), .B2(
+        rd_data_o[23]), .X(n47) );
+  sky130_fd_sc_hd__a22o_1 U17 ( .A1(n2), .A2(wr_data_i[22]), .B1(n35), .B2(
+        rd_data_o[22]), .X(n48) );
+  sky130_fd_sc_hd__a22o_1 U18 ( .A1(wr_en_i), .A2(wr_data_i[21]), .B1(n35), 
+        .B2(rd_data_o[21]), .X(n49) );
+  sky130_fd_sc_hd__a22o_1 U19 ( .A1(wr_en_i), .A2(wr_data_i[20]), .B1(n35), 
+        .B2(rd_data_o[20]), .X(n50) );
+  sky130_fd_sc_hd__a22o_1 U20 ( .A1(wr_en_i), .A2(wr_data_i[19]), .B1(n35), 
+        .B2(rd_data_o[19]), .X(n51) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(wr_en_i), .A2(wr_data_i[18]), .B1(n35), 
+        .B2(rd_data_o[18]), .X(n52) );
+  sky130_fd_sc_hd__a22o_1 U22 ( .A1(wr_en_i), .A2(wr_data_i[17]), .B1(n35), 
+        .B2(rd_data_o[17]), .X(n53) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(wr_en_i), .A2(wr_data_i[16]), .B1(n35), 
+        .B2(rd_data_o[16]), .X(n54) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(wr_en_i), .A2(wr_data_i[15]), .B1(n35), 
+        .B2(rd_data_o[15]), .X(n55) );
+  sky130_fd_sc_hd__a22o_1 U25 ( .A1(wr_en_i), .A2(wr_data_i[14]), .B1(n35), 
+        .B2(rd_data_o[14]), .X(n56) );
+  sky130_fd_sc_hd__a22o_1 U26 ( .A1(wr_en_i), .A2(wr_data_i[13]), .B1(n35), 
+        .B2(rd_data_o[13]), .X(n57) );
+  sky130_fd_sc_hd__a22o_1 U27 ( .A1(wr_en_i), .A2(wr_data_i[12]), .B1(n35), 
+        .B2(rd_data_o[12]), .X(n58) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(wr_en_i), .A2(wr_data_i[11]), .B1(n35), 
+        .B2(rd_data_o[11]), .X(n59) );
+  sky130_fd_sc_hd__a22o_1 U29 ( .A1(wr_en_i), .A2(wr_data_i[10]), .B1(n35), 
+        .B2(rd_data_o[10]), .X(n60) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(wr_en_i), .A2(wr_data_i[9]), .B1(n35), 
+        .B2(rd_data_o[9]), .X(n61) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(wr_en_i), .A2(wr_data_i[8]), .B1(n35), 
+        .B2(rd_data_o[8]), .X(n62) );
+  sky130_fd_sc_hd__a22o_1 U32 ( .A1(wr_en_i), .A2(wr_data_i[7]), .B1(n35), 
+        .B2(rd_data_o[7]), .X(n63) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(wr_en_i), .A2(wr_data_i[6]), .B1(n35), 
+        .B2(rd_data_o[6]), .X(n64) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(n2), .A2(wr_data_i[5]), .B1(n35), .B2(
+        rd_data_o[5]), .X(n65) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(n2), .A2(wr_data_i[4]), .B1(n35), .B2(
+        rd_data_o[4]), .X(n66) );
+  sky130_fd_sc_hd__a22o_1 U36 ( .A1(wr_en_i), .A2(wr_data_i[3]), .B1(n35), 
+        .B2(rd_data_o[3]), .X(n67) );
+  sky130_fd_sc_hd__a22o_1 U37 ( .A1(n2), .A2(wr_data_i[2]), .B1(n35), .B2(
+        rd_data_o[2]), .X(n68) );
+  sky130_fd_sc_hd__a22o_1 U38 ( .A1(wr_en_i), .A2(wr_data_i[1]), .B1(n35), 
+        .B2(rd_data_o[1]), .X(n69) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(wr_en_i), .A2(wr_data_i[0]), .B1(n35), 
+        .B2(rd_data_o[0]), .X(n70) );
+endmodule
+
+
+module opentitan_soc_top_ibex_csr_32_0_0_5 ( clk_i, rst_ni, wr_data_i, wr_en_i, 
+        rd_data_o, rd_error_o );
+  input [31:0] wr_data_i;
+  output [31:0] rd_data_o;
+  input clk_i, rst_ni, wr_en_i;
+  output rd_error_o;
+  wire   n1, n2, n35, n36, n37, n38, n39, n40, n41, n42, n43, n44, n45, n46,
+         n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58, n59, n60,
+         n61, n62, n63, n64, n65, n66, n67, n68;
+
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_31_ ( .D(n37), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_30_ ( .D(n38), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_29_ ( .D(n39), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_28_ ( .D(n40), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_27_ ( .D(n41), .CLK(n1), .RESET_B(
+        rst_ni), .Q(rd_data_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_26_ ( .D(n42), .CLK(n1), .RESET_B(
+        rst_ni), .Q(rd_data_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_25_ ( .D(n43), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_24_ ( .D(n44), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_23_ ( .D(n45), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_22_ ( .D(n46), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_21_ ( .D(n47), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_20_ ( .D(n48), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_19_ ( .D(n49), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_18_ ( .D(n50), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_17_ ( .D(n51), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_16_ ( .D(n52), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_15_ ( .D(n53), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_14_ ( .D(n54), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_13_ ( .D(n55), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_12_ ( .D(n56), .CLK(n1), .RESET_B(n36), 
+        .Q(rd_data_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_11_ ( .D(n57), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_10_ ( .D(n58), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_9_ ( .D(n59), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_8_ ( .D(n60), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_7_ ( .D(n61), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_6_ ( .D(n62), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_5_ ( .D(n63), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_4_ ( .D(n64), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_3_ ( .D(n65), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_2_ ( .D(n66), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_1_ ( .D(n67), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_q_reg_0_ ( .D(n68), .CLK(n1), .RESET_B(n35), 
+        .Q(rd_data_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(clk_i), .X(n1) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(wr_en_i), .Y(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(rst_ni), .X(n35) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(rst_ni), .X(n36) );
+  sky130_fd_sc_hd__a22o_1 U6 ( .A1(wr_en_i), .A2(wr_data_i[31]), .B1(n2), .B2(
+        rd_data_o[31]), .X(n37) );
+  sky130_fd_sc_hd__a22o_1 U7 ( .A1(wr_en_i), .A2(wr_data_i[30]), .B1(n2), .B2(
+        rd_data_o[30]), .X(n38) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en_i), .A2(wr_data_i[29]), .B1(n2), .B2(
+        rd_data_o[29]), .X(n39) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en_i), .A2(wr_data_i[28]), .B1(n2), .B2(
+        rd_data_o[28]), .X(n40) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en_i), .A2(wr_data_i[27]), .B1(n2), 
+        .B2(rd_data_o[27]), .X(n41) );
+  sky130_fd_sc_hd__a22o_1 U11 ( .A1(wr_en_i), .A2(wr_data_i[26]), .B1(n2), 
+        .B2(rd_data_o[26]), .X(n42) );
+  sky130_fd_sc_hd__a22o_1 U12 ( .A1(wr_en_i), .A2(wr_data_i[25]), .B1(n2), 
+        .B2(rd_data_o[25]), .X(n43) );
+  sky130_fd_sc_hd__a22o_1 U13 ( .A1(wr_en_i), .A2(wr_data_i[24]), .B1(n2), 
+        .B2(rd_data_o[24]), .X(n44) );
+  sky130_fd_sc_hd__a22o_1 U14 ( .A1(wr_en_i), .A2(wr_data_i[23]), .B1(n2), 
+        .B2(rd_data_o[23]), .X(n45) );
+  sky130_fd_sc_hd__a22o_1 U15 ( .A1(wr_en_i), .A2(wr_data_i[22]), .B1(n2), 
+        .B2(rd_data_o[22]), .X(n46) );
+  sky130_fd_sc_hd__a22o_1 U16 ( .A1(wr_en_i), .A2(wr_data_i[21]), .B1(n2), 
+        .B2(rd_data_o[21]), .X(n47) );
+  sky130_fd_sc_hd__a22o_1 U17 ( .A1(wr_en_i), .A2(wr_data_i[20]), .B1(n2), 
+        .B2(rd_data_o[20]), .X(n48) );
+  sky130_fd_sc_hd__a22o_1 U18 ( .A1(wr_en_i), .A2(wr_data_i[19]), .B1(n2), 
+        .B2(rd_data_o[19]), .X(n49) );
+  sky130_fd_sc_hd__a22o_1 U19 ( .A1(wr_en_i), .A2(wr_data_i[18]), .B1(n2), 
+        .B2(rd_data_o[18]), .X(n50) );
+  sky130_fd_sc_hd__a22o_1 U20 ( .A1(wr_en_i), .A2(wr_data_i[17]), .B1(n2), 
+        .B2(rd_data_o[17]), .X(n51) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(wr_en_i), .A2(wr_data_i[16]), .B1(n2), 
+        .B2(rd_data_o[16]), .X(n52) );
+  sky130_fd_sc_hd__a22o_1 U22 ( .A1(wr_en_i), .A2(wr_data_i[15]), .B1(n2), 
+        .B2(rd_data_o[15]), .X(n53) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(wr_en_i), .A2(wr_data_i[14]), .B1(n2), 
+        .B2(rd_data_o[14]), .X(n54) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(wr_en_i), .A2(wr_data_i[13]), .B1(n2), 
+        .B2(rd_data_o[13]), .X(n55) );
+  sky130_fd_sc_hd__a22o_1 U25 ( .A1(wr_en_i), .A2(wr_data_i[12]), .B1(n2), 
+        .B2(rd_data_o[12]), .X(n56) );
+  sky130_fd_sc_hd__a22o_1 U26 ( .A1(wr_en_i), .A2(wr_data_i[11]), .B1(n2), 
+        .B2(rd_data_o[11]), .X(n57) );
+  sky130_fd_sc_hd__a22o_1 U27 ( .A1(wr_en_i), .A2(wr_data_i[10]), .B1(n2), 
+        .B2(rd_data_o[10]), .X(n58) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(wr_en_i), .A2(wr_data_i[9]), .B1(n2), .B2(
+        rd_data_o[9]), .X(n59) );
+  sky130_fd_sc_hd__a22o_1 U29 ( .A1(wr_en_i), .A2(wr_data_i[8]), .B1(n2), .B2(
+        rd_data_o[8]), .X(n60) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(wr_en_i), .A2(wr_data_i[7]), .B1(n2), .B2(
+        rd_data_o[7]), .X(n61) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(wr_en_i), .A2(wr_data_i[6]), .B1(n2), .B2(
+        rd_data_o[6]), .X(n62) );
+  sky130_fd_sc_hd__a22o_1 U32 ( .A1(wr_en_i), .A2(wr_data_i[5]), .B1(n2), .B2(
+        rd_data_o[5]), .X(n63) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(wr_en_i), .A2(wr_data_i[4]), .B1(n2), .B2(
+        rd_data_o[4]), .X(n64) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(wr_en_i), .A2(wr_data_i[3]), .B1(n2), .B2(
+        rd_data_o[3]), .X(n65) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(wr_en_i), .A2(wr_data_i[2]), .B1(n2), .B2(
+        rd_data_o[2]), .X(n66) );
+  sky130_fd_sc_hd__a22o_1 U36 ( .A1(wr_en_i), .A2(wr_data_i[1]), .B1(n2), .B2(
+        rd_data_o[1]), .X(n67) );
+  sky130_fd_sc_hd__a22o_1 U37 ( .A1(wr_en_i), .A2(wr_data_i[0]), .B1(n2), .B2(
+        rd_data_o[0]), .X(n68) );
+endmodule
+
+
+
+    module opentitan_soc_top_ibex_cs_registers_0_00000001_0_0_0_0_00000000_00000028_0_00000000_00000004_0_2_0_0 ( 
+        clk_i, rst_ni, hart_id_i, priv_mode_id_o, priv_mode_if_o, 
+        priv_mode_lsu_o, csr_mstatus_tw_o, csr_mtvec_o, csr_mtvec_init_i, 
+        boot_addr_i, csr_access_i, csr_addr_i, csr_wdata_i, csr_op_i, 
+        csr_op_en_i, csr_rdata_o, irq_software_i, irq_timer_i, irq_external_i, 
+        irq_fast_i, nmi_mode_i, irq_pending_o, irqs_o, csr_mstatus_mie_o, 
+        csr_mepc_o, csr_pmp_cfg_o, csr_pmp_addr_o, csr_pmp_mseccfg_o, 
+        debug_mode_i, debug_cause_i, debug_csr_save_i, csr_depc_o, 
+        debug_single_step_o, debug_ebreakm_o, debug_ebreaku_o, trigger_match_o, 
+        pc_if_i, pc_id_i, pc_wb_i, data_ind_timing_o, dummy_instr_en_o, 
+        dummy_instr_mask_o, dummy_instr_seed_en_o, dummy_instr_seed_o, 
+        icache_enable_o, csr_shadow_err_o, csr_save_if_i, csr_save_id_i, 
+        csr_save_wb_i, csr_restore_mret_i, csr_restore_dret_i, 
+        csr_save_cause_i, csr_mcause_i, csr_mtval_i, illegal_csr_insn_o, 
+        instr_ret_i, instr_ret_compressed_i, iside_wait_i, jump_i, branch_i, 
+        branch_taken_i, mem_load_i, mem_store_i, dside_wait_i, mul_wait_i, 
+        div_wait_i );
+  input [31:0] hart_id_i;
+  output [1:0] priv_mode_id_o;
+  output [1:0] priv_mode_if_o;
+  output [1:0] priv_mode_lsu_o;
+  output [31:0] csr_mtvec_o;
+  input [31:0] boot_addr_i;
+  input [11:0] csr_addr_i;
+  input [31:0] csr_wdata_i;
+  input [1:0] csr_op_i;
+  output [31:0] csr_rdata_o;
+  input [14:0] irq_fast_i;
+  output [17:0] irqs_o;
+  output [31:0] csr_mepc_o;
+  output [23:0] csr_pmp_cfg_o;
+  output [135:0] csr_pmp_addr_o;
+  output [2:0] csr_pmp_mseccfg_o;
+  input [2:0] debug_cause_i;
+  output [31:0] csr_depc_o;
+  input [31:0] pc_if_i;
+  input [31:0] pc_id_i;
+  input [31:0] pc_wb_i;
+  output [2:0] dummy_instr_mask_o;
+  output [31:0] dummy_instr_seed_o;
+  input [5:0] csr_mcause_i;
+  input [31:0] csr_mtval_i;
+  input clk_i, rst_ni, csr_mtvec_init_i, csr_access_i, csr_op_en_i,
+         irq_software_i, irq_timer_i, irq_external_i, nmi_mode_i, debug_mode_i,
+         debug_csr_save_i, csr_save_if_i, csr_save_id_i, csr_save_wb_i,
+         csr_restore_mret_i, csr_restore_dret_i, csr_save_cause_i, instr_ret_i,
+         instr_ret_compressed_i, iside_wait_i, jump_i, branch_i,
+         branch_taken_i, mem_load_i, mem_store_i, dside_wait_i, mul_wait_i,
+         div_wait_i;
+  output csr_mstatus_tw_o, irq_pending_o, csr_mstatus_mie_o,
+         debug_single_step_o, debug_ebreakm_o, debug_ebreaku_o,
+         trigger_match_o, data_ind_timing_o, dummy_instr_en_o,
+         dummy_instr_seed_en_o, icache_enable_o, csr_shadow_err_o,
+         illegal_csr_insn_o;
+  wire   n_Logic1_, mstatus_q_1_, dcsr_q_14, dcsr_q_13, dcsr_q_11, dcsr_q_10,
+         dcsr_q_9, dcsr_q_8, dcsr_q_7, dcsr_q_6, dcsr_q_5, dcsr_q_4, dcsr_q_3,
+         dcsr_q_1, dcsr_q_0, mhpmcounter_0__63_, mhpmcounter_0__62_,
+         mhpmcounter_0__61_, mhpmcounter_0__60_, mhpmcounter_0__59_,
+         mhpmcounter_0__58_, mhpmcounter_0__57_, mhpmcounter_0__56_,
+         mhpmcounter_0__55_, mhpmcounter_0__54_, mhpmcounter_0__53_,
+         mhpmcounter_0__52_, mhpmcounter_0__51_, mhpmcounter_0__50_,
+         mhpmcounter_0__49_, mhpmcounter_0__48_, mhpmcounter_0__47_,
+         mhpmcounter_0__46_, mhpmcounter_0__45_, mhpmcounter_0__44_,
+         mhpmcounter_0__43_, mhpmcounter_0__42_, mhpmcounter_0__41_,
+         mhpmcounter_0__40_, mhpmcounter_0__39_, mhpmcounter_0__38_,
+         mhpmcounter_0__37_, mhpmcounter_0__36_, mhpmcounter_0__35_,
+         mhpmcounter_0__34_, mhpmcounter_0__33_, mhpmcounter_0__32_,
+         mhpmcounter_0__31_, mhpmcounter_0__30_, mhpmcounter_0__29_,
+         mhpmcounter_0__28_, mhpmcounter_0__27_, mhpmcounter_0__26_,
+         mhpmcounter_0__25_, mhpmcounter_0__24_, mhpmcounter_0__23_,
+         mhpmcounter_0__22_, mhpmcounter_0__21_, mhpmcounter_0__20_,
+         mhpmcounter_0__19_, mhpmcounter_0__18_, mhpmcounter_0__17_,
+         mhpmcounter_0__16_, mhpmcounter_0__15_, mhpmcounter_0__14_,
+         mhpmcounter_0__13_, mhpmcounter_0__12_, mhpmcounter_0__11_,
+         mhpmcounter_0__10_, mhpmcounter_0__9_, mhpmcounter_0__8_,
+         mhpmcounter_0__7_, mhpmcounter_0__6_, mhpmcounter_0__5_,
+         mhpmcounter_0__4_, mhpmcounter_0__3_, mhpmcounter_0__2_,
+         mhpmcounter_0__1_, mhpmcounter_0__0_, mhpmcounter_2__63_,
+         mhpmcounter_2__62_, mhpmcounter_2__61_, mhpmcounter_2__60_,
+         mhpmcounter_2__59_, mhpmcounter_2__58_, mhpmcounter_2__57_,
+         mhpmcounter_2__56_, mhpmcounter_2__55_, mhpmcounter_2__54_,
+         mhpmcounter_2__53_, mhpmcounter_2__52_, mhpmcounter_2__51_,
+         mhpmcounter_2__50_, mhpmcounter_2__49_, mhpmcounter_2__48_,
+         mhpmcounter_2__47_, mhpmcounter_2__46_, mhpmcounter_2__45_,
+         mhpmcounter_2__44_, mhpmcounter_2__43_, mhpmcounter_2__42_,
+         mhpmcounter_2__41_, mhpmcounter_2__40_, mhpmcounter_2__39_,
+         mhpmcounter_2__38_, mhpmcounter_2__37_, mhpmcounter_2__36_,
+         mhpmcounter_2__35_, mhpmcounter_2__34_, mhpmcounter_2__33_,
+         mhpmcounter_2__32_, mhpmcounter_2__31_, mhpmcounter_2__30_,
+         mhpmcounter_2__29_, mhpmcounter_2__28_, mhpmcounter_2__27_,
+         mhpmcounter_2__26_, mhpmcounter_2__25_, mhpmcounter_2__24_,
+         mhpmcounter_2__23_, mhpmcounter_2__22_, mhpmcounter_2__21_,
+         mhpmcounter_2__20_, mhpmcounter_2__19_, mhpmcounter_2__18_,
+         mhpmcounter_2__17_, mhpmcounter_2__16_, mhpmcounter_2__15_,
+         mhpmcounter_2__14_, mhpmcounter_2__13_, mhpmcounter_2__12_,
+         mhpmcounter_2__11_, mhpmcounter_2__10_, mhpmcounter_2__9_,
+         mhpmcounter_2__8_, mhpmcounter_2__7_, mhpmcounter_2__6_,
+         mhpmcounter_2__5_, mhpmcounter_2__4_, mhpmcounter_2__3_,
+         mhpmcounter_2__2_, mhpmcounter_2__1_, mhpmcounter_2__0_, mie_en,
+         mscratch_en, mtval_en, mtvec_en, dcsr_en, depc_en, dscratch0_en,
+         dscratch1_en, mhpmcounter_we_2_, mhpmcounter_we_0, mhpmcounterh_we_2_,
+         mhpmcounterh_we_0, n_5_net_, n1, n2, n714, n715, n716, n717, n718,
+         n719, n720, n721, n722, n723, n724, n725, n726, n727, n728, n729,
+         n730, n731, n732, n733, n734, n735, n736, n737, n738, n739, n740,
+         n741, n742, n743, n744, n745, n746, n747, n748, n749, n751, n752,
+         n753, n754, n755, n756, n757, n758, n759, n760, n75, n76, n77, n78,
+         n79, n80, n81, n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92,
+         n93, n94, n95, n96, n97, n98, n99, n100, n101, n102, n103, n104, n105,
+         n107, n108, n109, n110, n111, n112, n113, n114, n115, n116, n117,
+         n118, n119, n120, n121, n122, n123, n124, n125, n126, n127, n128,
+         n129, n130, n131, n132, n133, n134, n135, n136, n137, n138, n139,
+         n140, n141, n142, n143, n144, n145, n146, n147, n148, n149, n150,
+         n151, n152, n153, n154, n155, n156, n157, n158, n159, n160, n161,
+         n162, n163, n164, n165, n166, n167, n168, n169, n170, n171, n172,
+         n173, n174, n175, n176, n177, n178, n179, n180, n181, n182, n183,
+         n184, n185, n186, n187, n188, n189, n190, n191, n192, n193, n194,
+         n195, n196, n197, n198, n199, n200, n201, n202, n203, n204, n205,
+         n206, n207, n208, n209, n210, n211, n212, n213, n214, n215, n216,
+         n217, n218, n219, n220, n221, n222, n223, n224, n225, n226, n227,
+         n228, n229, n230, n231, n232, n233, n234, n235, n236, n237, n238,
+         n239, n240, n241, n242, n243, n244, n245, n246, n247, n248, n249,
+         n250, n251, n252, n253, n254, n255, n256, n257, n258, n259, n260,
+         n261, n262, n263, n264, n265, n266, n267, n268, n269, n270, n271,
+         n272, n273, n274, n275, n276, n277, n278, n279, n280, n281, n282,
+         n283, n284, n285, n286, n287, n288, n289, n290, n291, n292, n293,
+         n294, n295, n296, n297, n298, n299, n300, n301, n302, n303, n304,
+         n305, n306, n307, n308, n309, n310, n311, n312, n313, n314, n315,
+         n316, n317, n318, n319, n320, n321, n322, n323, n324, n325, n326,
+         n327, n328, n329, n330, n331, n332, n333, n334, n335, n336, n337,
+         n338, n339, n340, n341, n342, n343, n344, n345, n346, n347, n348,
+         n349, n350, n351, n352, n353, n354, n355, n356, n357, n358, n359,
+         n360, n361, n362, n363, n364, n365, n366, n367, n368, n369, n370,
+         n371, n372, n373, n374, n375, n376, n377, n378, n379, n380, n381,
+         n382, n383, n384, n385, n386, n387, n388, n389, n390, n391, n392,
+         n393, n394, n395, n396, n397, n398, n399, n400, n401, n402, n403,
+         n404, n405, n406, n407, n408, n409, n410, n411, n412, n413, n414,
+         n415, n416, n417, n418, n419, n420, n421, n422, n423, n424, n425,
+         n426, n427, n428, n429, n430, n431, n432, n433, n434, n435, n436,
+         n437, n438, n439, n440, n441, n442, n443, n444, n445, n446, n447,
+         n448, n449, n450, n451, n452, n453, n454, n455, n456, n457, n458,
+         n459, n460, n461, n462, n463, n464, n465, n466, n467, n468, n469,
+         n470, n471, n472, n473, n474, n475, n476, n477, n478, n479, n480,
+         n481, n482, n483, n484, n485, n486, n487, n488, n489, n490, n491,
+         n492, n493, n494, n495, n496, n497, n498, n499, n500, n501, n502,
+         n503, n504, n505, n506, n507, n508, n509, n510, n511, n512, n513,
+         n514, n515, n516, n517, n518, n519, n520, n521, n522, n523, n524,
+         n525, n526, n527, n528, n529, n530, n531, n532, n533, n534, n535,
+         n536, n537, n538, n539, n540, n541, n542, n543, n544, n545, n546,
+         n547, n548, n549, n550, n551, n552, n553, n554, n555, n556, n557,
+         n558, n559, n560, n561, n562, n563, n564, n565, n566, n567, n568,
+         n569, n570, n571, n572, n573, n574, n575, n576, n577, n578, n579,
+         n580, n581, n582, n583, n584, n585, n586, n588, n589, n590, n591,
+         n592, n593, n594, n595, n596, n597, n598, n599, n600, n601, n602,
+         n603, n604, n605, n606, n607, n608, n609, n610, n611, n612, n613,
+         n614, n615, n616, n617, n618, n619, n620, n621, n622, n623, n624,
+         n625, n626, n627, n628, n629, n630, n631, n632, n633, n634, n635,
+         n636, n637, n638, n639, n640, n641, n642, n643, n644, n645, n646,
+         n647, n648, n649, n650, n651, n652, n653, n654, n655, n656, n657,
+         n658, n659, n660, n661, n662, n663, n664, n665, n666, n667, n668,
+         n669, n670, n671, n672, n673, n674, n675, n676, n677, n678, n679,
+         n680, n681, n682, n683, n684, n685, n686, n687, n688, n689, n690,
+         n691, n692, n693, n694, n695, n696, n697, n698, n699, n700, n701,
+         n702, n703, n704, n705, n706, n707, n708, n709, n711, n712, n713,
+         n750, SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10;
+  wire   [17:0] mie_q;
+  wire   [31:0] mscratch_q;
+  wire   [31:0] mtval_q;
+  wire   [31:16] dcsr_q;
+  wire   [31:0] dscratch0_q;
+  wire   [31:0] dscratch1_q;
+  wire   [2:0] mcountinhibit;
+  wire   [1:0] mstatus_d;
+  wire   [31:0] csr_wdata_int;
+  wire   [31:0] mtval_d;
+  wire   [31:8] mtvec_d;
+  wire   [30:0] dcsr_d;
+  wire   [31:1] depc_d;
+  wire   [2:0] mstack_d;
+  wire   [5:0] mstack_cause_d;
+  wire   [2:0] mcountinhibit_d;
+
+  opentitan_soc_top_ibex_csr_6_0_10_0 u_mstatus_csr ( .clk_i(n75), .rst_ni(
+        rst_ni), .wr_data_i({n714, n715, n716, n717, mstatus_d}), .wr_en_i(
+        n712), .rd_data_o({csr_mstatus_mie_o, mstack_d, mstatus_q_1_, 
+        csr_mstatus_tw_o}) );
+  opentitan_soc_top_ibex_csr_32_0_0_0 u_mepc_csr ( .clk_i(n75), .rst_ni(rst_ni), .wr_data_i({n719, n720, n721, n722, n723, n724, n725, n726, n727, n728, n729, 
+        n730, n731, n732, n733, n734, n735, n736, n737, n738, n739, n740, n741, 
+        n742, n743, n744, n745, n746, n747, n748, n749, n713}), .wr_en_i(n718), 
+        .rd_data_o({csr_mepc_o[31:1], SYNOPSYS_UNCONNECTED_1}) );
+  opentitan_soc_top_ibex_csr_18_0_0_0 u_mie_csr ( .clk_i(n75), .rst_ni(rst_ni), 
+        .wr_data_i({csr_wdata_int[3], csr_wdata_int[7], csr_wdata_int[11], 
+        csr_wdata_int[30:16]}), .wr_en_i(mie_en), .rd_data_o(mie_q) );
+  opentitan_soc_top_ibex_csr_32_0_0_5 u_mscratch_csr ( .clk_i(n75), .rst_ni(
+        rst_ni), .wr_data_i(csr_wdata_int), .wr_en_i(mscratch_en), .rd_data_o(
+        mscratch_q) );
+  opentitan_soc_top_ibex_csr_6_0_0_0 u_mcause_csr ( .clk_i(n75), .rst_ni(
+        rst_ni), .wr_data_i({n752, n753, n754, n755, n756, n757}), .wr_en_i(
+        n751), .rd_data_o(mstack_cause_d) );
+  opentitan_soc_top_ibex_csr_32_0_0_4 u_mtval_csr ( .clk_i(n75), .rst_ni(
+        rst_ni), .wr_data_i(mtval_d), .wr_en_i(mtval_en), .rd_data_o(mtval_q)
+         );
+  opentitan_soc_top_ibex_csr_32_0_00000001_0 u_mtvec_csr ( .clk_i(n75), 
+        .rst_ni(rst_ni), .wr_data_i({mtvec_d, n713, n713, n713, n713, n713, 
+        n713, n713, n_Logic1_}), .wr_en_i(mtvec_en), .rd_data_o({
+        csr_mtvec_o[31:8], SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3, 
+        SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, 
+        SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9}) );
+  opentitan_soc_top_ibex_csr_32_0_40000003_0 u_dcsr_csr ( .clk_i(n75), 
+        .rst_ni(rst_ni), .wr_data_i({1'b0, dcsr_d[30], 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, dcsr_d[15], 
+        1'b0, dcsr_d[13:12], 1'b0, 1'b0, 1'b0, dcsr_d[8:6], 1'b0, 1'b0, 1'b0, 
+        dcsr_d[2:0]}), .wr_en_i(dcsr_en), .rd_data_o({dcsr_q, debug_ebreakm_o, 
+        dcsr_q_14, dcsr_q_13, debug_ebreaku_o, dcsr_q_11, dcsr_q_10, dcsr_q_9, 
+        dcsr_q_8, dcsr_q_7, dcsr_q_6, dcsr_q_5, dcsr_q_4, dcsr_q_3, 
+        debug_single_step_o, dcsr_q_1, dcsr_q_0}) );
+  opentitan_soc_top_ibex_csr_32_0_0_3 u_depc_csr ( .clk_i(n75), .rst_ni(rst_ni), .wr_data_i({depc_d, n713}), .wr_en_i(depc_en), .rd_data_o({csr_depc_o[31:1], 
+        SYNOPSYS_UNCONNECTED_10}) );
+  opentitan_soc_top_ibex_csr_32_0_0_2 u_dscratch0_csr ( .clk_i(n75), .rst_ni(
+        rst_ni), .wr_data_i(csr_wdata_int), .wr_en_i(dscratch0_en), 
+        .rd_data_o(dscratch0_q) );
+  opentitan_soc_top_ibex_csr_32_0_0_1 u_dscratch1_csr ( .clk_i(n75), .rst_ni(
+        rst_ni), .wr_data_i(csr_wdata_int), .wr_en_i(dscratch1_en), 
+        .rd_data_o(dscratch1_q) );
+  opentitan_soc_top_ibex_counter_CounterWidth64_0 mcycle_counter_i ( .clk_i(
+        n75), .rst_ni(rst_ni), .counter_inc_i(n760), .counterh_we_i(
+        mhpmcounterh_we_0), .counter_we_i(mhpmcounter_we_0), .counter_val_i(
+        csr_wdata_int), .counter_val_o({mhpmcounter_0__63_, mhpmcounter_0__62_, 
+        mhpmcounter_0__61_, mhpmcounter_0__60_, mhpmcounter_0__59_, 
+        mhpmcounter_0__58_, mhpmcounter_0__57_, mhpmcounter_0__56_, 
+        mhpmcounter_0__55_, mhpmcounter_0__54_, mhpmcounter_0__53_, 
+        mhpmcounter_0__52_, mhpmcounter_0__51_, mhpmcounter_0__50_, 
+        mhpmcounter_0__49_, mhpmcounter_0__48_, mhpmcounter_0__47_, 
+        mhpmcounter_0__46_, mhpmcounter_0__45_, mhpmcounter_0__44_, 
+        mhpmcounter_0__43_, mhpmcounter_0__42_, mhpmcounter_0__41_, 
+        mhpmcounter_0__40_, mhpmcounter_0__39_, mhpmcounter_0__38_, 
+        mhpmcounter_0__37_, mhpmcounter_0__36_, mhpmcounter_0__35_, 
+        mhpmcounter_0__34_, mhpmcounter_0__33_, mhpmcounter_0__32_, 
+        mhpmcounter_0__31_, mhpmcounter_0__30_, mhpmcounter_0__29_, 
+        mhpmcounter_0__28_, mhpmcounter_0__27_, mhpmcounter_0__26_, 
+        mhpmcounter_0__25_, mhpmcounter_0__24_, mhpmcounter_0__23_, 
+        mhpmcounter_0__22_, mhpmcounter_0__21_, mhpmcounter_0__20_, 
+        mhpmcounter_0__19_, mhpmcounter_0__18_, mhpmcounter_0__17_, 
+        mhpmcounter_0__16_, mhpmcounter_0__15_, mhpmcounter_0__14_, 
+        mhpmcounter_0__13_, mhpmcounter_0__12_, mhpmcounter_0__11_, 
+        mhpmcounter_0__10_, mhpmcounter_0__9_, mhpmcounter_0__8_, 
+        mhpmcounter_0__7_, mhpmcounter_0__6_, mhpmcounter_0__5_, 
+        mhpmcounter_0__4_, mhpmcounter_0__3_, mhpmcounter_0__2_, 
+        mhpmcounter_0__1_, mhpmcounter_0__0_}) );
+  opentitan_soc_top_ibex_counter_CounterWidth64_1 minstret_counter_i ( .clk_i(
+        n75), .rst_ni(rst_ni), .counter_inc_i(n_5_net_), .counterh_we_i(
+        mhpmcounterh_we_2_), .counter_we_i(mhpmcounter_we_2_), .counter_val_i(
+        csr_wdata_int), .counter_val_o({mhpmcounter_2__63_, mhpmcounter_2__62_, 
+        mhpmcounter_2__61_, mhpmcounter_2__60_, mhpmcounter_2__59_, 
+        mhpmcounter_2__58_, mhpmcounter_2__57_, mhpmcounter_2__56_, 
+        mhpmcounter_2__55_, mhpmcounter_2__54_, mhpmcounter_2__53_, 
+        mhpmcounter_2__52_, mhpmcounter_2__51_, mhpmcounter_2__50_, 
+        mhpmcounter_2__49_, mhpmcounter_2__48_, mhpmcounter_2__47_, 
+        mhpmcounter_2__46_, mhpmcounter_2__45_, mhpmcounter_2__44_, 
+        mhpmcounter_2__43_, mhpmcounter_2__42_, mhpmcounter_2__41_, 
+        mhpmcounter_2__40_, mhpmcounter_2__39_, mhpmcounter_2__38_, 
+        mhpmcounter_2__37_, mhpmcounter_2__36_, mhpmcounter_2__35_, 
+        mhpmcounter_2__34_, mhpmcounter_2__33_, mhpmcounter_2__32_, 
+        mhpmcounter_2__31_, mhpmcounter_2__30_, mhpmcounter_2__29_, 
+        mhpmcounter_2__28_, mhpmcounter_2__27_, mhpmcounter_2__26_, 
+        mhpmcounter_2__25_, mhpmcounter_2__24_, mhpmcounter_2__23_, 
+        mhpmcounter_2__22_, mhpmcounter_2__21_, mhpmcounter_2__20_, 
+        mhpmcounter_2__19_, mhpmcounter_2__18_, mhpmcounter_2__17_, 
+        mhpmcounter_2__16_, mhpmcounter_2__15_, mhpmcounter_2__14_, 
+        mhpmcounter_2__13_, mhpmcounter_2__12_, mhpmcounter_2__11_, 
+        mhpmcounter_2__10_, mhpmcounter_2__9_, mhpmcounter_2__8_, 
+        mhpmcounter_2__7_, mhpmcounter_2__6_, mhpmcounter_2__5_, 
+        mhpmcounter_2__4_, mhpmcounter_2__3_, mhpmcounter_2__2_, 
+        mhpmcounter_2__1_, mhpmcounter_2__0_}) );
+  sky130_fd_sc_hd__dfrtp_1 mcountinhibit_q_reg_0_ ( .D(mcountinhibit_d[0]), 
+        .CLK(n75), .RESET_B(rst_ni), .Q(mcountinhibit[0]) );
+  sky130_fd_sc_hd__dfstp_1 priv_lvl_q_reg_0_ ( .D(n2), .CLK(n75), .SET_B(
+        rst_ni), .Q(priv_mode_id_o[0]) );
+  sky130_fd_sc_hd__dfstp_1 priv_lvl_q_reg_1_ ( .D(n1), .CLK(n75), .SET_B(
+        rst_ni), .Q(priv_mode_id_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 mcountinhibit_q_reg_2_ ( .D(mcountinhibit_d[2]), 
+        .CLK(n75), .RESET_B(rst_ni), .Q(mcountinhibit[2]) );
+  sky130_fd_sc_hd__or2_0 U3 ( .A(csr_save_cause_i), .B(n758), .X(n1) );
+  sky130_fd_sc_hd__or2_0 U4 ( .A(csr_save_cause_i), .B(n759), .X(n2) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(n76), .Y(n75) );
+  sky130_fd_sc_hd__clkinv_1 U6 ( .A(clk_i), .Y(n76) );
+  sky130_fd_sc_hd__inv_2 U7 ( .A(n687), .Y(n711) );
+  sky130_fd_sc_hd__conb_1 U8 ( .LO(n713), .HI(n_Logic1_) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(irq_external_i), .B(mie_q[15]), .X(
+        irq_pending_o) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(irq_pending_o), .X(irqs_o[15]) );
+  sky130_fd_sc_hd__clkinv_1 U11 ( .A(csr_addr_i[11]), .Y(n104) );
+  sky130_fd_sc_hd__o31ai_1 U12 ( .A1(csr_op_i[1]), .A2(n104), .A3(csr_op_i[0]), 
+        .B1(csr_addr_i[2]), .Y(n81) );
+  sky130_fd_sc_hd__clkinv_1 U13 ( .A(csr_addr_i[4]), .Y(n103) );
+  sky130_fd_sc_hd__clkinv_1 U14 ( .A(csr_addr_i[0]), .Y(n88) );
+  sky130_fd_sc_hd__clkinv_1 U15 ( .A(csr_addr_i[5]), .Y(n116) );
+  sky130_fd_sc_hd__a31oi_1 U16 ( .A1(csr_addr_i[4]), .A2(n88), .A3(n116), .B1(
+        csr_addr_i[7]), .Y(n77) );
+  sky130_fd_sc_hd__a211oi_1 U17 ( .A1(csr_addr_i[5]), .A2(n103), .B1(n77), 
+        .C1(csr_addr_i[3]), .Y(n80) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(csr_addr_i[1]), .Y(n89) );
+  sky130_fd_sc_hd__nor2_1 U19 ( .A(csr_addr_i[0]), .B(n89), .Y(n118) );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(csr_addr_i[7]), .Y(n124) );
+  sky130_fd_sc_hd__o22ai_1 U21 ( .A1(csr_addr_i[2]), .A2(n103), .B1(
+        csr_addr_i[6]), .B2(n124), .Y(n78) );
+  sky130_fd_sc_hd__o21ai_1 U22 ( .A1(n118), .A2(n78), .B1(n116), .Y(n79) );
+  sky130_fd_sc_hd__clkinv_1 U23 ( .A(csr_addr_i[10]), .Y(n101) );
+  sky130_fd_sc_hd__a31oi_1 U24 ( .A1(n81), .A2(n80), .A3(n79), .B1(n101), .Y(
+        n99) );
+  sky130_fd_sc_hd__nor2_1 U25 ( .A(csr_addr_i[2]), .B(csr_addr_i[3]), .Y(n119)
+         );
+  sky130_fd_sc_hd__nand4_1 U26 ( .A(csr_addr_i[7]), .B(csr_addr_i[4]), .C(
+        csr_addr_i[5]), .D(csr_addr_i[10]), .Y(n82) );
+  sky130_fd_sc_hd__nor3_1 U27 ( .A(csr_addr_i[6]), .B(csr_addr_i[11]), .C(n82), 
+        .Y(n108) );
+  sky130_fd_sc_hd__nand2_1 U28 ( .A(n119), .B(n108), .Y(n132) );
+  sky130_fd_sc_hd__clkinv_1 U29 ( .A(csr_addr_i[2]), .Y(n143) );
+  sky130_fd_sc_hd__o22ai_1 U30 ( .A1(csr_addr_i[4]), .A2(n143), .B1(
+        csr_addr_i[5]), .B2(n89), .Y(n86) );
+  sky130_fd_sc_hd__clkinv_1 U31 ( .A(csr_addr_i[6]), .Y(n102) );
+  sky130_fd_sc_hd__a22oi_1 U32 ( .A1(csr_addr_i[7]), .A2(n101), .B1(
+        csr_addr_i[1]), .B2(n102), .Y(n84) );
+  sky130_fd_sc_hd__clkinv_1 U33 ( .A(csr_addr_i[3]), .Y(n83) );
+  sky130_fd_sc_hd__a31oi_1 U34 ( .A1(n84), .A2(n103), .A3(n83), .B1(
+        csr_addr_i[5]), .Y(n85) );
+  sky130_fd_sc_hd__a21oi_1 U35 ( .A1(csr_addr_i[7]), .A2(n86), .B1(n85), .Y(
+        n87) );
+  sky130_fd_sc_hd__o22ai_1 U36 ( .A1(debug_mode_i), .A2(n132), .B1(
+        csr_addr_i[11]), .B2(n87), .Y(n98) );
+  sky130_fd_sc_hd__nand2_1 U37 ( .A(n88), .B(n89), .Y(n131) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(n119), .Y(n110) );
+  sky130_fd_sc_hd__nand2_1 U39 ( .A(csr_addr_i[0]), .B(n89), .Y(n142) );
+  sky130_fd_sc_hd__nor2_1 U40 ( .A(n110), .B(n142), .Y(n134) );
+  sky130_fd_sc_hd__a21oi_1 U41 ( .A1(n134), .A2(n103), .B1(csr_addr_i[6]), .Y(
+        n91) );
+  sky130_fd_sc_hd__nand2_1 U42 ( .A(csr_addr_i[9]), .B(csr_addr_i[8]), .Y(n400) );
+  sky130_fd_sc_hd__a21oi_1 U43 ( .A1(csr_addr_i[5]), .A2(csr_addr_i[11]), .B1(
+        n400), .Y(n90) );
+  sky130_fd_sc_hd__o21ai_1 U44 ( .A1(n91), .A2(n104), .B1(n90), .Y(n92) );
+  sky130_fd_sc_hd__a31oi_1 U45 ( .A1(csr_addr_i[2]), .A2(csr_addr_i[6]), .A3(
+        n131), .B1(n92), .Y(n96) );
+  sky130_fd_sc_hd__o22ai_1 U46 ( .A1(csr_addr_i[7]), .A2(n134), .B1(n124), 
+        .B2(csr_addr_i[3]), .Y(n93) );
+  sky130_fd_sc_hd__nand2_1 U47 ( .A(n119), .B(n118), .Y(n179) );
+  sky130_fd_sc_hd__nor2_1 U48 ( .A(csr_addr_i[4]), .B(n179), .Y(n107) );
+  sky130_fd_sc_hd__nand2_1 U49 ( .A(n107), .B(n124), .Y(n352) );
+  sky130_fd_sc_hd__o21ai_1 U50 ( .A1(csr_addr_i[4]), .A2(n93), .B1(n352), .Y(
+        n94) );
+  sky130_fd_sc_hd__o21ai_1 U51 ( .A1(csr_addr_i[6]), .A2(n94), .B1(
+        csr_addr_i[5]), .Y(n95) );
+  sky130_fd_sc_hd__nand4_1 U52 ( .A(priv_mode_id_o[1]), .B(priv_mode_id_o[0]), 
+        .C(n96), .D(n95), .Y(n97) );
+  sky130_fd_sc_hd__o31a_1 U53 ( .A1(n99), .A2(n98), .A3(n97), .B1(csr_access_i), .X(illegal_csr_insn_o) );
+  sky130_fd_sc_hd__o21ai_1 U54 ( .A1(csr_op_i[0]), .A2(csr_op_i[1]), .B1(
+        csr_op_en_i), .Y(n100) );
+  sky130_fd_sc_hd__nor2_1 U55 ( .A(illegal_csr_insn_o), .B(n100), .Y(n113) );
+  sky130_fd_sc_hd__clkinv_1 U56 ( .A(n113), .Y(n576) );
+  sky130_fd_sc_hd__clkinv_1 U57 ( .A(n400), .Y(n484) );
+  sky130_fd_sc_hd__nand2_1 U58 ( .A(csr_addr_i[0]), .B(csr_addr_i[1]), .Y(n120) );
+  sky130_fd_sc_hd__nor2_1 U59 ( .A(n132), .B(n120), .Y(n433) );
+  sky130_fd_sc_hd__nand2_1 U60 ( .A(n484), .B(n433), .Y(n163) );
+  sky130_fd_sc_hd__nor2_1 U61 ( .A(n576), .B(n163), .Y(dscratch1_en) );
+  sky130_fd_sc_hd__nand2b_1 U62 ( .A_N(n131), .B(n119), .Y(n177) );
+  sky130_fd_sc_hd__nand3_1 U63 ( .A(n124), .B(n101), .C(n104), .Y(n115) );
+  sky130_fd_sc_hd__nor4_1 U64 ( .A(csr_addr_i[4]), .B(csr_addr_i[5]), .C(n102), 
+        .D(n115), .Y(n195) );
+  sky130_fd_sc_hd__nand3_1 U65 ( .A(n484), .B(n113), .C(n195), .Y(n178) );
+  sky130_fd_sc_hd__nor2_1 U66 ( .A(n177), .B(n178), .Y(mscratch_en) );
+  sky130_fd_sc_hd__nand3_1 U67 ( .A(n103), .B(n102), .C(n116), .Y(n105) );
+  sky130_fd_sc_hd__nor3_1 U68 ( .A(n104), .B(n105), .C(csr_addr_i[10]), .Y(
+        n121) );
+  sky130_fd_sc_hd__nand3_1 U69 ( .A(n484), .B(n113), .C(n121), .Y(n351) );
+  sky130_fd_sc_hd__nor2_1 U70 ( .A(csr_addr_i[4]), .B(n177), .Y(n122) );
+  sky130_fd_sc_hd__nand2b_1 U71 ( .A_N(n351), .B(n122), .Y(n175) );
+  sky130_fd_sc_hd__nor2_1 U72 ( .A(n124), .B(n175), .Y(mhpmcounterh_we_0) );
+  sky130_fd_sc_hd__nor3_1 U73 ( .A(csr_addr_i[3]), .B(n143), .C(n131), .Y(n194) );
+  sky130_fd_sc_hd__nor2_1 U74 ( .A(n115), .B(n105), .Y(n180) );
+  sky130_fd_sc_hd__nand2_1 U75 ( .A(n484), .B(n180), .Y(n141) );
+  sky130_fd_sc_hd__nor2b_1 U77 ( .B_N(n194), .A(n176), .Y(mie_en) );
+  sky130_fd_sc_hd__nand2_1 U80 ( .A(csr_addr_i[7]), .B(n107), .Y(n117) );
+  sky130_fd_sc_hd__nor2_1 U81 ( .A(n117), .B(n351), .Y(mhpmcounterh_we_2_) );
+  sky130_fd_sc_hd__nand2_1 U82 ( .A(n108), .B(n484), .Y(n111) );
+  sky130_fd_sc_hd__nor3_1 U83 ( .A(n179), .B(n576), .C(n111), .Y(dscratch0_en)
+         );
+  sky130_fd_sc_hd__clkinv_1 U84 ( .A(n134), .Y(n114) );
+  sky130_fd_sc_hd__nand2_1 U85 ( .A(csr_save_cause_i), .B(debug_csr_save_i), 
+        .Y(n570) );
+  sky130_fd_sc_hd__o31ai_1 U86 ( .A1(n114), .A2(n576), .A3(n111), .B1(n570), 
+        .Y(depc_en) );
+  sky130_fd_sc_hd__nor3b_1 U87 ( .C_N(csr_save_cause_i), .A(debug_csr_save_i), 
+        .B(debug_mode_i), .Y(n109) );
+  sky130_fd_sc_hd__clkinv_1 U88 ( .A(n109), .Y(n687) );
+  sky130_fd_sc_hd__o31ai_1 U89 ( .A1(n120), .A2(n110), .A3(n178), .B1(n687), 
+        .Y(mtval_en) );
+  sky130_fd_sc_hd__nor2_1 U90 ( .A(n177), .B(n111), .Y(n112) );
+  sky130_fd_sc_hd__nand2_1 U91 ( .A(n113), .B(n112), .Y(n572) );
+  sky130_fd_sc_hd__nand2_1 U92 ( .A(n570), .B(n572), .Y(dcsr_en) );
+  sky130_fd_sc_hd__o21ai_1 U93 ( .A1(n114), .A2(n178), .B1(n687), .Y(n718) );
+  sky130_fd_sc_hd__clkinv_1 U94 ( .A(mcountinhibit[2]), .Y(n578) );
+  sky130_fd_sc_hd__nor3b_1 U95 ( .C_N(n122), .A(n115), .B(csr_addr_i[6]), .Y(
+        n164) );
+  sky130_fd_sc_hd__clkinv_1 U96 ( .A(n164), .Y(n445) );
+  sky130_fd_sc_hd__or3_1 U97 ( .A(n116), .B(n400), .C(n445), .X(n575) );
+  sky130_fd_sc_hd__clkinv_1 U98 ( .A(n121), .Y(n129) );
+  sky130_fd_sc_hd__nor2_1 U99 ( .A(n129), .B(n117), .Y(n471) );
+  sky130_fd_sc_hd__nor2_1 U100 ( .A(n142), .B(n132), .Y(n476) );
+  sky130_fd_sc_hd__a22oi_1 U101 ( .A1(n471), .A2(mhpmcounter_2__34_), .B1(n476), .B2(csr_depc_o[2]), .Y(n128) );
+  sky130_fd_sc_hd__clkinv_1 U102 ( .A(n118), .Y(n133) );
+  sky130_fd_sc_hd__nand2_1 U103 ( .A(n119), .B(n195), .Y(n130) );
+  sky130_fd_sc_hd__nor2_1 U104 ( .A(n133), .B(n130), .Y(n478) );
+  sky130_fd_sc_hd__nor2_1 U105 ( .A(n142), .B(n130), .Y(n477) );
+  sky130_fd_sc_hd__a22oi_1 U106 ( .A1(n478), .A2(mstack_cause_d[2]), .B1(n477), 
+        .B2(csr_mepc_o[2]), .Y(n127) );
+  sky130_fd_sc_hd__nor2_1 U107 ( .A(n120), .B(n130), .Y(n472) );
+  sky130_fd_sc_hd__nand2_1 U108 ( .A(n122), .B(n121), .Y(n123) );
+  sky130_fd_sc_hd__nor2_1 U109 ( .A(csr_addr_i[7]), .B(n123), .Y(n446) );
+  sky130_fd_sc_hd__a22oi_1 U110 ( .A1(n472), .A2(mtval_q[2]), .B1(n446), .B2(
+        mhpmcounter_0__2_), .Y(n126) );
+  sky130_fd_sc_hd__nor2_1 U111 ( .A(n132), .B(n131), .Y(n473) );
+  sky130_fd_sc_hd__nor2_1 U112 ( .A(n124), .B(n123), .Y(n470) );
+  sky130_fd_sc_hd__a22oi_1 U113 ( .A1(n473), .A2(debug_single_step_o), .B1(
+        n470), .B2(mhpmcounter_0__34_), .Y(n125) );
+  sky130_fd_sc_hd__nand4_1 U114 ( .A(n128), .B(n127), .C(n126), .D(n125), .Y(
+        n138) );
+  sky130_fd_sc_hd__nor2_1 U115 ( .A(n352), .B(n129), .Y(n474) );
+  sky130_fd_sc_hd__a22oi_1 U116 ( .A1(n474), .A2(mhpmcounter_2__2_), .B1(n433), 
+        .B2(dscratch1_q[2]), .Y(n136) );
+  sky130_fd_sc_hd__nor2_1 U117 ( .A(n131), .B(n130), .Y(n475) );
+  sky130_fd_sc_hd__nor2_1 U118 ( .A(n133), .B(n132), .Y(n479) );
+  sky130_fd_sc_hd__a22oi_1 U119 ( .A1(n475), .A2(mscratch_q[2]), .B1(n479), 
+        .B2(dscratch0_q[2]), .Y(n135) );
+  sky130_fd_sc_hd__nand2_1 U120 ( .A(n134), .B(n180), .Y(n162) );
+  sky130_fd_sc_hd__nand3_1 U121 ( .A(n136), .B(n135), .C(n162), .Y(n137) );
+  sky130_fd_sc_hd__o21ai_1 U122 ( .A1(n138), .A2(n137), .B1(n484), .Y(n139) );
+  sky130_fd_sc_hd__o21ai_1 U123 ( .A1(n578), .A2(n575), .B1(n139), .Y(
+        csr_rdata_o[2]) );
+  sky130_fd_sc_hd__and2_0 U124 ( .A(csr_op_i[0]), .B(csr_op_i[1]), .X(n490) );
+  sky130_fd_sc_hd__a21oi_1 U125 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[2]), .B1(
+        csr_wdata_i[2]), .Y(n140) );
+  sky130_fd_sc_hd__a21oi_1 U126 ( .A1(csr_wdata_i[2]), .A2(n490), .B1(n140), 
+        .Y(csr_wdata_int[2]) );
+  sky130_fd_sc_hd__clkinv_1 U127 ( .A(csr_wdata_int[2]), .Y(n593) );
+  sky130_fd_sc_hd__o2bb2ai_1 U128 ( .B1(n572), .B2(n593), .A1_N(n572), .A2_N(
+        debug_single_step_o), .Y(dcsr_d[2]) );
+  sky130_fd_sc_hd__nor4_1 U129 ( .A(csr_addr_i[3]), .B(n143), .C(n142), .D(
+        n141), .Y(n340) );
+  sky130_fd_sc_hd__clkbuf_1 U130 ( .A(n340), .X(n431) );
+  sky130_fd_sc_hd__clkinv_1 U131 ( .A(n575), .Y(n462) );
+  sky130_fd_sc_hd__a21oi_1 U132 ( .A1(n431), .A2(csr_mtvec_o[15]), .B1(n462), 
+        .Y(n151) );
+  sky130_fd_sc_hd__nor2b_1 U133 ( .B_N(n471), .A(n400), .Y(n463) );
+  sky130_fd_sc_hd__nor2b_1 U134 ( .B_N(n470), .A(n400), .Y(n432) );
+  sky130_fd_sc_hd__a22oi_1 U135 ( .A1(n463), .A2(mhpmcounter_2__47_), .B1(n432), .B2(mhpmcounter_0__47_), .Y(n150) );
+  sky130_fd_sc_hd__a22oi_1 U136 ( .A1(n479), .A2(dscratch0_q[15]), .B1(n477), 
+        .B2(csr_mepc_o[15]), .Y(n147) );
+  sky130_fd_sc_hd__a22oi_1 U137 ( .A1(n472), .A2(mtval_q[15]), .B1(n476), .B2(
+        csr_depc_o[15]), .Y(n146) );
+  sky130_fd_sc_hd__a22oi_1 U138 ( .A1(n473), .A2(debug_ebreakm_o), .B1(n433), 
+        .B2(dscratch1_q[15]), .Y(n145) );
+  sky130_fd_sc_hd__a22oi_1 U139 ( .A1(n475), .A2(mscratch_q[15]), .B1(n474), 
+        .B2(mhpmcounter_2__15_), .Y(n144) );
+  sky130_fd_sc_hd__nand4_1 U140 ( .A(n147), .B(n146), .C(n145), .D(n144), .Y(
+        n148) );
+  sky130_fd_sc_hd__nor2b_1 U141 ( .B_N(n446), .A(n400), .Y(n468) );
+  sky130_fd_sc_hd__a22oi_1 U142 ( .A1(n484), .A2(n148), .B1(n468), .B2(
+        mhpmcounter_0__15_), .Y(n149) );
+  sky130_fd_sc_hd__nand3_1 U143 ( .A(n151), .B(n150), .C(n149), .Y(
+        csr_rdata_o[15]) );
+  sky130_fd_sc_hd__a21oi_1 U144 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[15]), .B1(
+        csr_wdata_i[15]), .Y(n152) );
+  sky130_fd_sc_hd__a21oi_1 U145 ( .A1(csr_wdata_i[15]), .A2(n490), .B1(n152), 
+        .Y(csr_wdata_int[15]) );
+  sky130_fd_sc_hd__clkinv_1 U146 ( .A(csr_wdata_int[15]), .Y(n584) );
+  sky130_fd_sc_hd__o2bb2ai_1 U147 ( .B1(n572), .B2(n584), .A1_N(n572), .A2_N(
+        debug_ebreakm_o), .Y(dcsr_d[15]) );
+  sky130_fd_sc_hd__a21oi_1 U148 ( .A1(n431), .A2(csr_mtvec_o[13]), .B1(n462), 
+        .Y(n160) );
+  sky130_fd_sc_hd__a22oi_1 U149 ( .A1(n463), .A2(mhpmcounter_2__45_), .B1(n432), .B2(mhpmcounter_0__45_), .Y(n159) );
+  sky130_fd_sc_hd__a22oi_1 U150 ( .A1(n479), .A2(dscratch0_q[13]), .B1(n477), 
+        .B2(csr_mepc_o[13]), .Y(n156) );
+  sky130_fd_sc_hd__a22oi_1 U151 ( .A1(n472), .A2(mtval_q[13]), .B1(n476), .B2(
+        csr_depc_o[13]), .Y(n155) );
+  sky130_fd_sc_hd__a22oi_1 U152 ( .A1(n473), .A2(dcsr_q_13), .B1(n433), .B2(
+        dscratch1_q[13]), .Y(n154) );
+  sky130_fd_sc_hd__a22oi_1 U153 ( .A1(n475), .A2(mscratch_q[13]), .B1(n474), 
+        .B2(mhpmcounter_2__13_), .Y(n153) );
+  sky130_fd_sc_hd__nand4_1 U154 ( .A(n156), .B(n155), .C(n154), .D(n153), .Y(
+        n157) );
+  sky130_fd_sc_hd__a22oi_1 U155 ( .A1(n484), .A2(n157), .B1(n468), .B2(
+        mhpmcounter_0__13_), .Y(n158) );
+  sky130_fd_sc_hd__nand3_1 U156 ( .A(n160), .B(n159), .C(n158), .Y(
+        csr_rdata_o[13]) );
+  sky130_fd_sc_hd__a21oi_1 U157 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[13]), .B1(
+        csr_wdata_i[13]), .Y(n161) );
+  sky130_fd_sc_hd__a21oi_1 U158 ( .A1(csr_wdata_i[13]), .A2(n490), .B1(n161), 
+        .Y(csr_wdata_int[13]) );
+  sky130_fd_sc_hd__clkinv_1 U159 ( .A(csr_wdata_int[13]), .Y(n583) );
+  sky130_fd_sc_hd__o2bb2ai_1 U160 ( .B1(n572), .B2(n583), .A1_N(n572), .A2_N(
+        dcsr_q_13), .Y(dcsr_d[13]) );
+  sky130_fd_sc_hd__nand2_1 U161 ( .A(csr_addr_i[5]), .B(n164), .Y(n371) );
+  sky130_fd_sc_hd__a21oi_1 U162 ( .A1(n371), .A2(n162), .B1(n400), .Y(n420) );
+  sky130_fd_sc_hd__a21oi_1 U163 ( .A1(n431), .A2(csr_mtvec_o[12]), .B1(n420), 
+        .Y(n173) );
+  sky130_fd_sc_hd__clkinv_1 U164 ( .A(n163), .Y(n469) );
+  sky130_fd_sc_hd__a22oi_1 U165 ( .A1(n469), .A2(dscratch1_q[12]), .B1(n468), 
+        .B2(mhpmcounter_0__12_), .Y(n172) );
+  sky130_fd_sc_hd__a22o_1 U166 ( .A1(n471), .A2(mhpmcounter_2__44_), .B1(n470), 
+        .B2(mhpmcounter_0__44_), .X(n170) );
+  sky130_fd_sc_hd__a22oi_1 U167 ( .A1(n473), .A2(debug_ebreaku_o), .B1(n472), 
+        .B2(mtval_q[12]), .Y(n168) );
+  sky130_fd_sc_hd__a22oi_1 U168 ( .A1(n475), .A2(mscratch_q[12]), .B1(n474), 
+        .B2(mhpmcounter_2__12_), .Y(n167) );
+  sky130_fd_sc_hd__a22oi_1 U169 ( .A1(n477), .A2(csr_mepc_o[12]), .B1(n164), 
+        .B2(mstack_d[1]), .Y(n166) );
+  sky130_fd_sc_hd__a22oi_1 U170 ( .A1(n479), .A2(dscratch0_q[12]), .B1(n476), 
+        .B2(csr_depc_o[12]), .Y(n165) );
+  sky130_fd_sc_hd__nand4_1 U171 ( .A(n168), .B(n167), .C(n166), .D(n165), .Y(
+        n169) );
+  sky130_fd_sc_hd__o21ai_1 U172 ( .A1(n170), .A2(n169), .B1(n484), .Y(n171) );
+  sky130_fd_sc_hd__nand3_1 U173 ( .A(n173), .B(n172), .C(n171), .Y(
+        csr_rdata_o[12]) );
+  sky130_fd_sc_hd__a21oi_1 U174 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[12]), .B1(
+        csr_wdata_i[12]), .Y(n174) );
+  sky130_fd_sc_hd__a21oi_1 U175 ( .A1(csr_wdata_i[12]), .A2(n490), .B1(n174), 
+        .Y(csr_wdata_int[12]) );
+  sky130_fd_sc_hd__clkinv_1 U176 ( .A(csr_wdata_int[12]), .Y(n695) );
+  sky130_fd_sc_hd__o2bb2ai_1 U177 ( .B1(n572), .B2(n695), .A1_N(n572), .A2_N(
+        debug_ebreaku_o), .Y(dcsr_d[12]) );
+  sky130_fd_sc_hd__nor2_1 U178 ( .A(csr_addr_i[7]), .B(n175), .Y(
+        mhpmcounter_we_0) );
+  sky130_fd_sc_hd__nand2b_1 U179 ( .A_N(csr_restore_dret_i), .B(
+        csr_restore_mret_i), .Y(n494) );
+  sky130_fd_sc_hd__nor2_1 U180 ( .A(csr_save_cause_i), .B(n494), .Y(n707) );
+  sky130_fd_sc_hd__nor2_1 U181 ( .A(n707), .B(n711), .Y(n692) );
+  sky130_fd_sc_hd__nand2_1 U183 ( .A(n692), .B(n705), .Y(n712) );
+  sky130_fd_sc_hd__o21ai_1 U184 ( .A1(n179), .A2(n178), .B1(n687), .Y(n751) );
+  sky130_fd_sc_hd__and2_0 U185 ( .A(instr_ret_i), .B(n578), .X(n_5_net_) );
+  sky130_fd_sc_hd__a21oi_1 U186 ( .A1(n431), .A2(csr_mtvec_o[17]), .B1(n462), 
+        .Y(n190) );
+  sky130_fd_sc_hd__nand2_1 U187 ( .A(n180), .B(n194), .Y(n364) );
+  sky130_fd_sc_hd__nor2_1 U188 ( .A(n400), .B(n364), .Y(n443) );
+  sky130_fd_sc_hd__a22oi_1 U189 ( .A1(n468), .A2(mhpmcounter_0__17_), .B1(n443), .B2(mie_q[1]), .Y(n189) );
+  sky130_fd_sc_hd__clkinv_1 U190 ( .A(mstatus_q_1_), .Y(n581) );
+  sky130_fd_sc_hd__a22oi_1 U191 ( .A1(n474), .A2(mhpmcounter_2__17_), .B1(n476), .B2(csr_depc_o[17]), .Y(n181) );
+  sky130_fd_sc_hd__o21ai_1 U192 ( .A1(n445), .A2(n581), .B1(n181), .Y(n187) );
+  sky130_fd_sc_hd__a22oi_1 U193 ( .A1(n473), .A2(dcsr_q[17]), .B1(n472), .B2(
+        mtval_q[17]), .Y(n185) );
+  sky130_fd_sc_hd__a22oi_1 U194 ( .A1(n475), .A2(mscratch_q[17]), .B1(n433), 
+        .B2(dscratch1_q[17]), .Y(n184) );
+  sky130_fd_sc_hd__a22oi_1 U195 ( .A1(n471), .A2(mhpmcounter_2__49_), .B1(n470), .B2(mhpmcounter_0__49_), .Y(n183) );
+  sky130_fd_sc_hd__a22oi_1 U196 ( .A1(n479), .A2(dscratch0_q[17]), .B1(n477), 
+        .B2(csr_mepc_o[17]), .Y(n182) );
+  sky130_fd_sc_hd__nand4_1 U197 ( .A(n185), .B(n184), .C(n183), .D(n182), .Y(
+        n186) );
+  sky130_fd_sc_hd__o21ai_1 U198 ( .A1(n187), .A2(n186), .B1(n484), .Y(n188) );
+  sky130_fd_sc_hd__nand3_1 U199 ( .A(n190), .B(n189), .C(n188), .Y(
+        csr_rdata_o[17]) );
+  sky130_fd_sc_hd__a21oi_1 U200 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[17]), .B1(
+        csr_wdata_i[17]), .Y(n191) );
+  sky130_fd_sc_hd__a21oi_1 U201 ( .A1(csr_wdata_i[17]), .A2(n490), .B1(n191), 
+        .Y(csr_wdata_int[17]) );
+  sky130_fd_sc_hd__a21oi_1 U202 ( .A1(n443), .A2(mie_q[15]), .B1(n462), .Y(
+        n204) );
+  sky130_fd_sc_hd__a22oi_1 U203 ( .A1(n469), .A2(dscratch1_q[11]), .B1(n431), 
+        .B2(csr_mtvec_o[11]), .Y(n203) );
+  sky130_fd_sc_hd__a22o_1 U204 ( .A1(n472), .A2(mtval_q[11]), .B1(n446), .B2(
+        mhpmcounter_0__11_), .X(n201) );
+  sky130_fd_sc_hd__a22oi_1 U205 ( .A1(n475), .A2(mscratch_q[11]), .B1(n474), 
+        .B2(mhpmcounter_2__11_), .Y(n199) );
+  sky130_fd_sc_hd__clkinv_1 U206 ( .A(mstack_d[0]), .Y(n697) );
+  sky130_fd_sc_hd__a22oi_1 U207 ( .A1(n479), .A2(dscratch0_q[11]), .B1(n477), 
+        .B2(csr_mepc_o[11]), .Y(n192) );
+  sky130_fd_sc_hd__o21ai_1 U208 ( .A1(n445), .A2(n697), .B1(n192), .Y(n193) );
+  sky130_fd_sc_hd__a31oi_1 U209 ( .A1(n195), .A2(n194), .A3(irq_external_i), 
+        .B1(n193), .Y(n198) );
+  sky130_fd_sc_hd__a22oi_1 U210 ( .A1(n473), .A2(dcsr_q_11), .B1(n470), .B2(
+        mhpmcounter_0__43_), .Y(n197) );
+  sky130_fd_sc_hd__a22oi_1 U211 ( .A1(n471), .A2(mhpmcounter_2__43_), .B1(n476), .B2(csr_depc_o[11]), .Y(n196) );
+  sky130_fd_sc_hd__nand4_1 U212 ( .A(n199), .B(n198), .C(n197), .D(n196), .Y(
+        n200) );
+  sky130_fd_sc_hd__o21ai_1 U213 ( .A1(n201), .A2(n200), .B1(n484), .Y(n202) );
+  sky130_fd_sc_hd__nand3_1 U214 ( .A(n204), .B(n203), .C(n202), .Y(
+        csr_rdata_o[11]) );
+  sky130_fd_sc_hd__a21oi_1 U215 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[11]), .B1(
+        csr_wdata_i[11]), .Y(n205) );
+  sky130_fd_sc_hd__a21oi_1 U216 ( .A1(csr_wdata_i[11]), .A2(n490), .B1(n205), 
+        .Y(csr_wdata_int[11]) );
+  sky130_fd_sc_hd__a21oi_1 U217 ( .A1(n431), .A2(csr_mtvec_o[18]), .B1(n462), 
+        .Y(n214) );
+  sky130_fd_sc_hd__a22oi_1 U218 ( .A1(n463), .A2(mhpmcounter_2__50_), .B1(n443), .B2(mie_q[2]), .Y(n213) );
+  sky130_fd_sc_hd__a22oi_1 U219 ( .A1(n469), .A2(dscratch1_q[18]), .B1(n468), 
+        .B2(mhpmcounter_0__18_), .Y(n212) );
+  sky130_fd_sc_hd__a22oi_1 U220 ( .A1(n479), .A2(dscratch0_q[18]), .B1(n477), 
+        .B2(csr_mepc_o[18]), .Y(n209) );
+  sky130_fd_sc_hd__a22oi_1 U221 ( .A1(n476), .A2(csr_depc_o[18]), .B1(n470), 
+        .B2(mhpmcounter_0__50_), .Y(n208) );
+  sky130_fd_sc_hd__a22oi_1 U222 ( .A1(n473), .A2(dcsr_q[18]), .B1(n472), .B2(
+        mtval_q[18]), .Y(n207) );
+  sky130_fd_sc_hd__a22oi_1 U223 ( .A1(n475), .A2(mscratch_q[18]), .B1(n474), 
+        .B2(mhpmcounter_2__18_), .Y(n206) );
+  sky130_fd_sc_hd__nand4_1 U224 ( .A(n209), .B(n208), .C(n207), .D(n206), .Y(
+        n210) );
+  sky130_fd_sc_hd__nand2_1 U225 ( .A(n484), .B(n210), .Y(n211) );
+  sky130_fd_sc_hd__nand4_1 U226 ( .A(n214), .B(n213), .C(n212), .D(n211), .Y(
+        csr_rdata_o[18]) );
+  sky130_fd_sc_hd__a21oi_1 U227 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[18]), .B1(
+        csr_wdata_i[18]), .Y(n215) );
+  sky130_fd_sc_hd__a21oi_1 U228 ( .A1(csr_wdata_i[18]), .A2(n490), .B1(n215), 
+        .Y(csr_wdata_int[18]) );
+  sky130_fd_sc_hd__a21oi_1 U229 ( .A1(n431), .A2(csr_mtvec_o[19]), .B1(n462), 
+        .Y(n224) );
+  sky130_fd_sc_hd__a22oi_1 U230 ( .A1(n463), .A2(mhpmcounter_2__51_), .B1(n443), .B2(mie_q[3]), .Y(n223) );
+  sky130_fd_sc_hd__a22oi_1 U231 ( .A1(n469), .A2(dscratch1_q[19]), .B1(n468), 
+        .B2(mhpmcounter_0__19_), .Y(n222) );
+  sky130_fd_sc_hd__a22oi_1 U232 ( .A1(n479), .A2(dscratch0_q[19]), .B1(n477), 
+        .B2(csr_mepc_o[19]), .Y(n219) );
+  sky130_fd_sc_hd__a22oi_1 U233 ( .A1(n476), .A2(csr_depc_o[19]), .B1(n470), 
+        .B2(mhpmcounter_0__51_), .Y(n218) );
+  sky130_fd_sc_hd__a22oi_1 U234 ( .A1(n473), .A2(dcsr_q[19]), .B1(n472), .B2(
+        mtval_q[19]), .Y(n217) );
+  sky130_fd_sc_hd__a22oi_1 U235 ( .A1(n475), .A2(mscratch_q[19]), .B1(n474), 
+        .B2(mhpmcounter_2__19_), .Y(n216) );
+  sky130_fd_sc_hd__nand4_1 U236 ( .A(n219), .B(n218), .C(n217), .D(n216), .Y(
+        n220) );
+  sky130_fd_sc_hd__nand2_1 U237 ( .A(n484), .B(n220), .Y(n221) );
+  sky130_fd_sc_hd__nand4_1 U238 ( .A(n224), .B(n223), .C(n222), .D(n221), .Y(
+        csr_rdata_o[19]) );
+  sky130_fd_sc_hd__a21oi_1 U239 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[19]), .B1(
+        csr_wdata_i[19]), .Y(n225) );
+  sky130_fd_sc_hd__a21oi_1 U240 ( .A1(csr_wdata_i[19]), .A2(n490), .B1(n225), 
+        .Y(csr_wdata_int[19]) );
+  sky130_fd_sc_hd__a22oi_1 U241 ( .A1(n431), .A2(csr_mtvec_o[20]), .B1(n443), 
+        .B2(mie_q[4]), .Y(n235) );
+  sky130_fd_sc_hd__a21oi_1 U242 ( .A1(n469), .A2(dscratch1_q[20]), .B1(n420), 
+        .Y(n234) );
+  sky130_fd_sc_hd__a22oi_1 U243 ( .A1(n472), .A2(mtval_q[20]), .B1(n471), .B2(
+        mhpmcounter_2__52_), .Y(n231) );
+  sky130_fd_sc_hd__a22oi_1 U244 ( .A1(n477), .A2(csr_mepc_o[20]), .B1(n470), 
+        .B2(mhpmcounter_0__52_), .Y(n230) );
+  sky130_fd_sc_hd__a22oi_1 U245 ( .A1(n473), .A2(dcsr_q[20]), .B1(n475), .B2(
+        mscratch_q[20]), .Y(n227) );
+  sky130_fd_sc_hd__a22oi_1 U246 ( .A1(n474), .A2(mhpmcounter_2__20_), .B1(n476), .B2(csr_depc_o[20]), .Y(n226) );
+  sky130_fd_sc_hd__nand2_1 U247 ( .A(n227), .B(n226), .Y(n228) );
+  sky130_fd_sc_hd__a21oi_1 U248 ( .A1(dscratch0_q[20]), .A2(n479), .B1(n228), 
+        .Y(n229) );
+  sky130_fd_sc_hd__a31oi_1 U249 ( .A1(n231), .A2(n230), .A3(n229), .B1(n400), 
+        .Y(n232) );
+  sky130_fd_sc_hd__a21oi_1 U250 ( .A1(mhpmcounter_0__20_), .A2(n468), .B1(n232), .Y(n233) );
+  sky130_fd_sc_hd__nand3_1 U251 ( .A(n235), .B(n234), .C(n233), .Y(
+        csr_rdata_o[20]) );
+  sky130_fd_sc_hd__a21oi_1 U252 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[20]), .B1(
+        csr_wdata_i[20]), .Y(n236) );
+  sky130_fd_sc_hd__a21oi_1 U253 ( .A1(csr_wdata_i[20]), .A2(n490), .B1(n236), 
+        .Y(csr_wdata_int[20]) );
+  sky130_fd_sc_hd__a21oi_1 U254 ( .A1(n431), .A2(csr_mtvec_o[21]), .B1(n462), 
+        .Y(n246) );
+  sky130_fd_sc_hd__a22oi_1 U255 ( .A1(n468), .A2(mhpmcounter_0__21_), .B1(n443), .B2(mie_q[5]), .Y(n245) );
+  sky130_fd_sc_hd__clkinv_1 U256 ( .A(csr_mstatus_tw_o), .Y(n573) );
+  sky130_fd_sc_hd__a22oi_1 U257 ( .A1(n474), .A2(mhpmcounter_2__21_), .B1(n476), .B2(csr_depc_o[21]), .Y(n237) );
+  sky130_fd_sc_hd__o21ai_1 U258 ( .A1(n445), .A2(n573), .B1(n237), .Y(n243) );
+  sky130_fd_sc_hd__a22oi_1 U259 ( .A1(n473), .A2(dcsr_q[21]), .B1(n472), .B2(
+        mtval_q[21]), .Y(n241) );
+  sky130_fd_sc_hd__a22oi_1 U260 ( .A1(n475), .A2(mscratch_q[21]), .B1(n433), 
+        .B2(dscratch1_q[21]), .Y(n240) );
+  sky130_fd_sc_hd__a22oi_1 U261 ( .A1(n471), .A2(mhpmcounter_2__53_), .B1(n470), .B2(mhpmcounter_0__53_), .Y(n239) );
+  sky130_fd_sc_hd__a22oi_1 U262 ( .A1(n479), .A2(dscratch0_q[21]), .B1(n477), 
+        .B2(csr_mepc_o[21]), .Y(n238) );
+  sky130_fd_sc_hd__nand4_1 U263 ( .A(n241), .B(n240), .C(n239), .D(n238), .Y(
+        n242) );
+  sky130_fd_sc_hd__o21ai_1 U264 ( .A1(n243), .A2(n242), .B1(n484), .Y(n244) );
+  sky130_fd_sc_hd__nand3_1 U265 ( .A(n246), .B(n245), .C(n244), .Y(
+        csr_rdata_o[21]) );
+  sky130_fd_sc_hd__a21oi_1 U266 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[21]), .B1(
+        csr_wdata_i[21]), .Y(n247) );
+  sky130_fd_sc_hd__a21oi_1 U267 ( .A1(csr_wdata_i[21]), .A2(n490), .B1(n247), 
+        .Y(csr_wdata_int[21]) );
+  sky130_fd_sc_hd__clkinv_1 U268 ( .A(mcountinhibit[0]), .Y(n760) );
+  sky130_fd_sc_hd__a21oi_1 U269 ( .A1(n431), .A2(csr_mtvec_o[29]), .B1(n462), 
+        .Y(n256) );
+  sky130_fd_sc_hd__a22oi_1 U270 ( .A1(n463), .A2(mhpmcounter_2__61_), .B1(n443), .B2(mie_q[13]), .Y(n255) );
+  sky130_fd_sc_hd__a22oi_1 U271 ( .A1(n469), .A2(dscratch1_q[29]), .B1(n468), 
+        .B2(mhpmcounter_0__29_), .Y(n254) );
+  sky130_fd_sc_hd__a22oi_1 U272 ( .A1(n479), .A2(dscratch0_q[29]), .B1(n477), 
+        .B2(csr_mepc_o[29]), .Y(n251) );
+  sky130_fd_sc_hd__a22oi_1 U273 ( .A1(n476), .A2(csr_depc_o[29]), .B1(n470), 
+        .B2(mhpmcounter_0__61_), .Y(n250) );
+  sky130_fd_sc_hd__a22oi_1 U274 ( .A1(n473), .A2(dcsr_q[29]), .B1(n472), .B2(
+        mtval_q[29]), .Y(n249) );
+  sky130_fd_sc_hd__a22oi_1 U275 ( .A1(n475), .A2(mscratch_q[29]), .B1(n474), 
+        .B2(mhpmcounter_2__29_), .Y(n248) );
+  sky130_fd_sc_hd__nand4_1 U276 ( .A(n251), .B(n250), .C(n249), .D(n248), .Y(
+        n252) );
+  sky130_fd_sc_hd__nand2_1 U277 ( .A(n484), .B(n252), .Y(n253) );
+  sky130_fd_sc_hd__nand4_1 U278 ( .A(n256), .B(n255), .C(n254), .D(n253), .Y(
+        csr_rdata_o[29]) );
+  sky130_fd_sc_hd__a21oi_1 U279 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[29]), .B1(
+        csr_wdata_i[29]), .Y(n257) );
+  sky130_fd_sc_hd__a21oi_1 U280 ( .A1(csr_wdata_i[29]), .A2(n490), .B1(n257), 
+        .Y(csr_wdata_int[29]) );
+  sky130_fd_sc_hd__a21oi_1 U281 ( .A1(n431), .A2(csr_mtvec_o[25]), .B1(n462), 
+        .Y(n266) );
+  sky130_fd_sc_hd__a22oi_1 U282 ( .A1(n463), .A2(mhpmcounter_2__57_), .B1(n443), .B2(mie_q[9]), .Y(n265) );
+  sky130_fd_sc_hd__a22oi_1 U283 ( .A1(n469), .A2(dscratch1_q[25]), .B1(n468), 
+        .B2(mhpmcounter_0__25_), .Y(n264) );
+  sky130_fd_sc_hd__a22oi_1 U284 ( .A1(n479), .A2(dscratch0_q[25]), .B1(n477), 
+        .B2(csr_mepc_o[25]), .Y(n261) );
+  sky130_fd_sc_hd__a22oi_1 U285 ( .A1(n476), .A2(csr_depc_o[25]), .B1(n470), 
+        .B2(mhpmcounter_0__57_), .Y(n260) );
+  sky130_fd_sc_hd__a22oi_1 U286 ( .A1(n473), .A2(dcsr_q[25]), .B1(n472), .B2(
+        mtval_q[25]), .Y(n259) );
+  sky130_fd_sc_hd__a22oi_1 U287 ( .A1(n475), .A2(mscratch_q[25]), .B1(n474), 
+        .B2(mhpmcounter_2__25_), .Y(n258) );
+  sky130_fd_sc_hd__nand4_1 U288 ( .A(n261), .B(n260), .C(n259), .D(n258), .Y(
+        n262) );
+  sky130_fd_sc_hd__nand2_1 U289 ( .A(n484), .B(n262), .Y(n263) );
+  sky130_fd_sc_hd__nand4_1 U290 ( .A(n266), .B(n265), .C(n264), .D(n263), .Y(
+        csr_rdata_o[25]) );
+  sky130_fd_sc_hd__a21oi_1 U291 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[25]), .B1(
+        csr_wdata_i[25]), .Y(n267) );
+  sky130_fd_sc_hd__a21oi_1 U292 ( .A1(csr_wdata_i[25]), .A2(n490), .B1(n267), 
+        .Y(csr_wdata_int[25]) );
+  sky130_fd_sc_hd__a21oi_1 U293 ( .A1(n431), .A2(csr_mtvec_o[31]), .B1(n462), 
+        .Y(n277) );
+  sky130_fd_sc_hd__a22oi_1 U294 ( .A1(n469), .A2(dscratch1_q[31]), .B1(n468), 
+        .B2(mhpmcounter_0__31_), .Y(n276) );
+  sky130_fd_sc_hd__a22oi_1 U295 ( .A1(n472), .A2(mtval_q[31]), .B1(n470), .B2(
+        mhpmcounter_0__63_), .Y(n273) );
+  sky130_fd_sc_hd__a22oi_1 U296 ( .A1(n477), .A2(csr_mepc_o[31]), .B1(n476), 
+        .B2(csr_depc_o[31]), .Y(n272) );
+  sky130_fd_sc_hd__a22oi_1 U297 ( .A1(n473), .A2(dcsr_q[31]), .B1(n475), .B2(
+        mscratch_q[31]), .Y(n269) );
+  sky130_fd_sc_hd__a22oi_1 U298 ( .A1(n478), .A2(mstack_cause_d[5]), .B1(n474), 
+        .B2(mhpmcounter_2__31_), .Y(n268) );
+  sky130_fd_sc_hd__nand2_1 U299 ( .A(n269), .B(n268), .Y(n270) );
+  sky130_fd_sc_hd__a21oi_1 U300 ( .A1(dscratch0_q[31]), .A2(n479), .B1(n270), 
+        .Y(n271) );
+  sky130_fd_sc_hd__a31oi_1 U301 ( .A1(n273), .A2(n272), .A3(n271), .B1(n400), 
+        .Y(n274) );
+  sky130_fd_sc_hd__a21oi_1 U302 ( .A1(mhpmcounter_2__63_), .A2(n463), .B1(n274), .Y(n275) );
+  sky130_fd_sc_hd__nand3_1 U303 ( .A(n277), .B(n276), .C(n275), .Y(
+        csr_rdata_o[31]) );
+  sky130_fd_sc_hd__a21oi_1 U304 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[31]), .B1(
+        csr_wdata_i[31]), .Y(n278) );
+  sky130_fd_sc_hd__a21oi_1 U306 ( .A1(n340), .A2(csr_mtvec_o[26]), .B1(n462), 
+        .Y(n287) );
+  sky130_fd_sc_hd__a22oi_1 U307 ( .A1(n463), .A2(mhpmcounter_2__58_), .B1(n443), .B2(mie_q[10]), .Y(n286) );
+  sky130_fd_sc_hd__a22oi_1 U308 ( .A1(n469), .A2(dscratch1_q[26]), .B1(n468), 
+        .B2(mhpmcounter_0__26_), .Y(n285) );
+  sky130_fd_sc_hd__a22oi_1 U309 ( .A1(n479), .A2(dscratch0_q[26]), .B1(n477), 
+        .B2(csr_mepc_o[26]), .Y(n282) );
+  sky130_fd_sc_hd__a22oi_1 U310 ( .A1(n476), .A2(csr_depc_o[26]), .B1(n470), 
+        .B2(mhpmcounter_0__58_), .Y(n281) );
+  sky130_fd_sc_hd__a22oi_1 U311 ( .A1(n473), .A2(dcsr_q[26]), .B1(n472), .B2(
+        mtval_q[26]), .Y(n280) );
+  sky130_fd_sc_hd__a22oi_1 U312 ( .A1(n475), .A2(mscratch_q[26]), .B1(n474), 
+        .B2(mhpmcounter_2__26_), .Y(n279) );
+  sky130_fd_sc_hd__nand4_1 U313 ( .A(n282), .B(n281), .C(n280), .D(n279), .Y(
+        n283) );
+  sky130_fd_sc_hd__nand2_1 U314 ( .A(n484), .B(n283), .Y(n284) );
+  sky130_fd_sc_hd__nand4_1 U315 ( .A(n287), .B(n286), .C(n285), .D(n284), .Y(
+        csr_rdata_o[26]) );
+  sky130_fd_sc_hd__a21oi_1 U316 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[26]), .B1(
+        csr_wdata_i[26]), .Y(n288) );
+  sky130_fd_sc_hd__a21oi_1 U317 ( .A1(csr_wdata_i[26]), .A2(n490), .B1(n288), 
+        .Y(csr_wdata_int[26]) );
+  sky130_fd_sc_hd__a21oi_1 U318 ( .A1(n340), .A2(csr_mtvec_o[28]), .B1(n462), 
+        .Y(n297) );
+  sky130_fd_sc_hd__a22oi_1 U319 ( .A1(n463), .A2(mhpmcounter_2__60_), .B1(n443), .B2(mie_q[12]), .Y(n296) );
+  sky130_fd_sc_hd__a22oi_1 U320 ( .A1(n469), .A2(dscratch1_q[28]), .B1(n468), 
+        .B2(mhpmcounter_0__28_), .Y(n295) );
+  sky130_fd_sc_hd__a22oi_1 U321 ( .A1(n479), .A2(dscratch0_q[28]), .B1(n477), 
+        .B2(csr_mepc_o[28]), .Y(n292) );
+  sky130_fd_sc_hd__a22oi_1 U322 ( .A1(n476), .A2(csr_depc_o[28]), .B1(n470), 
+        .B2(mhpmcounter_0__60_), .Y(n291) );
+  sky130_fd_sc_hd__a22oi_1 U323 ( .A1(n473), .A2(dcsr_q[28]), .B1(n472), .B2(
+        mtval_q[28]), .Y(n290) );
+  sky130_fd_sc_hd__a22oi_1 U324 ( .A1(n475), .A2(mscratch_q[28]), .B1(n474), 
+        .B2(mhpmcounter_2__28_), .Y(n289) );
+  sky130_fd_sc_hd__nand4_1 U325 ( .A(n292), .B(n291), .C(n290), .D(n289), .Y(
+        n293) );
+  sky130_fd_sc_hd__nand2_1 U326 ( .A(n484), .B(n293), .Y(n294) );
+  sky130_fd_sc_hd__nand4_1 U327 ( .A(n297), .B(n296), .C(n295), .D(n294), .Y(
+        csr_rdata_o[28]) );
+  sky130_fd_sc_hd__a21oi_1 U328 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[28]), .B1(
+        csr_wdata_i[28]), .Y(n298) );
+  sky130_fd_sc_hd__a21oi_1 U329 ( .A1(csr_wdata_i[28]), .A2(n490), .B1(n298), 
+        .Y(csr_wdata_int[28]) );
+  sky130_fd_sc_hd__a21oi_1 U330 ( .A1(n340), .A2(csr_mtvec_o[27]), .B1(n462), 
+        .Y(n307) );
+  sky130_fd_sc_hd__a22oi_1 U331 ( .A1(n463), .A2(mhpmcounter_2__59_), .B1(n443), .B2(mie_q[11]), .Y(n306) );
+  sky130_fd_sc_hd__a22oi_1 U332 ( .A1(n469), .A2(dscratch1_q[27]), .B1(n468), 
+        .B2(mhpmcounter_0__27_), .Y(n305) );
+  sky130_fd_sc_hd__a22oi_1 U333 ( .A1(n479), .A2(dscratch0_q[27]), .B1(n477), 
+        .B2(csr_mepc_o[27]), .Y(n302) );
+  sky130_fd_sc_hd__a22oi_1 U334 ( .A1(n476), .A2(csr_depc_o[27]), .B1(n470), 
+        .B2(mhpmcounter_0__59_), .Y(n301) );
+  sky130_fd_sc_hd__a22oi_1 U335 ( .A1(n473), .A2(dcsr_q[27]), .B1(n472), .B2(
+        mtval_q[27]), .Y(n300) );
+  sky130_fd_sc_hd__a22oi_1 U336 ( .A1(n475), .A2(mscratch_q[27]), .B1(n474), 
+        .B2(mhpmcounter_2__27_), .Y(n299) );
+  sky130_fd_sc_hd__nand4_1 U337 ( .A(n302), .B(n301), .C(n300), .D(n299), .Y(
+        n303) );
+  sky130_fd_sc_hd__nand2_1 U338 ( .A(n484), .B(n303), .Y(n304) );
+  sky130_fd_sc_hd__nand4_1 U339 ( .A(n307), .B(n306), .C(n305), .D(n304), .Y(
+        csr_rdata_o[27]) );
+  sky130_fd_sc_hd__a21oi_1 U340 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[27]), .B1(
+        csr_wdata_i[27]), .Y(n308) );
+  sky130_fd_sc_hd__a21oi_1 U341 ( .A1(csr_wdata_i[27]), .A2(n490), .B1(n308), 
+        .Y(csr_wdata_int[27]) );
+  sky130_fd_sc_hd__a21oi_1 U342 ( .A1(n431), .A2(csr_mtvec_o[23]), .B1(n462), 
+        .Y(n317) );
+  sky130_fd_sc_hd__a22oi_1 U343 ( .A1(n463), .A2(mhpmcounter_2__55_), .B1(n443), .B2(mie_q[7]), .Y(n316) );
+  sky130_fd_sc_hd__a22oi_1 U344 ( .A1(n469), .A2(dscratch1_q[23]), .B1(n468), 
+        .B2(mhpmcounter_0__23_), .Y(n315) );
+  sky130_fd_sc_hd__a22oi_1 U345 ( .A1(n479), .A2(dscratch0_q[23]), .B1(n477), 
+        .B2(csr_mepc_o[23]), .Y(n312) );
+  sky130_fd_sc_hd__a22oi_1 U346 ( .A1(n476), .A2(csr_depc_o[23]), .B1(n470), 
+        .B2(mhpmcounter_0__55_), .Y(n311) );
+  sky130_fd_sc_hd__a22oi_1 U347 ( .A1(n473), .A2(dcsr_q[23]), .B1(n472), .B2(
+        mtval_q[23]), .Y(n310) );
+  sky130_fd_sc_hd__a22oi_1 U348 ( .A1(n475), .A2(mscratch_q[23]), .B1(n474), 
+        .B2(mhpmcounter_2__23_), .Y(n309) );
+  sky130_fd_sc_hd__nand4_1 U349 ( .A(n312), .B(n311), .C(n310), .D(n309), .Y(
+        n313) );
+  sky130_fd_sc_hd__nand2_1 U350 ( .A(n484), .B(n313), .Y(n314) );
+  sky130_fd_sc_hd__nand4_1 U351 ( .A(n317), .B(n316), .C(n315), .D(n314), .Y(
+        csr_rdata_o[23]) );
+  sky130_fd_sc_hd__a21oi_1 U352 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[23]), .B1(
+        csr_wdata_i[23]), .Y(n318) );
+  sky130_fd_sc_hd__a21oi_1 U353 ( .A1(csr_wdata_i[23]), .A2(n490), .B1(n318), 
+        .Y(csr_wdata_int[23]) );
+  sky130_fd_sc_hd__a22oi_1 U354 ( .A1(n431), .A2(csr_mtvec_o[30]), .B1(n443), 
+        .B2(mie_q[14]), .Y(n328) );
+  sky130_fd_sc_hd__a21oi_1 U355 ( .A1(n469), .A2(dscratch1_q[30]), .B1(n420), 
+        .Y(n327) );
+  sky130_fd_sc_hd__a22oi_1 U356 ( .A1(n472), .A2(mtval_q[30]), .B1(n471), .B2(
+        mhpmcounter_2__62_), .Y(n324) );
+  sky130_fd_sc_hd__a22oi_1 U357 ( .A1(n477), .A2(csr_mepc_o[30]), .B1(n470), 
+        .B2(mhpmcounter_0__62_), .Y(n323) );
+  sky130_fd_sc_hd__a22oi_1 U358 ( .A1(n473), .A2(dcsr_q[30]), .B1(n475), .B2(
+        mscratch_q[30]), .Y(n320) );
+  sky130_fd_sc_hd__a22oi_1 U359 ( .A1(n474), .A2(mhpmcounter_2__30_), .B1(n476), .B2(csr_depc_o[30]), .Y(n319) );
+  sky130_fd_sc_hd__nand2_1 U360 ( .A(n320), .B(n319), .Y(n321) );
+  sky130_fd_sc_hd__a21oi_1 U361 ( .A1(dscratch0_q[30]), .A2(n479), .B1(n321), 
+        .Y(n322) );
+  sky130_fd_sc_hd__a31oi_1 U362 ( .A1(n324), .A2(n323), .A3(n322), .B1(n400), 
+        .Y(n325) );
+  sky130_fd_sc_hd__a21oi_1 U363 ( .A1(mhpmcounter_0__30_), .A2(n468), .B1(n325), .Y(n326) );
+  sky130_fd_sc_hd__nand3_1 U364 ( .A(n328), .B(n327), .C(n326), .Y(
+        csr_rdata_o[30]) );
+  sky130_fd_sc_hd__a21oi_1 U365 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[30]), .B1(
+        csr_wdata_i[30]), .Y(n329) );
+  sky130_fd_sc_hd__a21oi_1 U366 ( .A1(csr_wdata_i[30]), .A2(n490), .B1(n329), 
+        .Y(csr_wdata_int[30]) );
+  sky130_fd_sc_hd__a21oi_1 U367 ( .A1(n340), .A2(csr_mtvec_o[24]), .B1(n462), 
+        .Y(n338) );
+  sky130_fd_sc_hd__a22oi_1 U368 ( .A1(n463), .A2(mhpmcounter_2__56_), .B1(n443), .B2(mie_q[8]), .Y(n337) );
+  sky130_fd_sc_hd__a22oi_1 U369 ( .A1(n469), .A2(dscratch1_q[24]), .B1(n468), 
+        .B2(mhpmcounter_0__24_), .Y(n336) );
+  sky130_fd_sc_hd__a22oi_1 U370 ( .A1(n479), .A2(dscratch0_q[24]), .B1(n477), 
+        .B2(csr_mepc_o[24]), .Y(n333) );
+  sky130_fd_sc_hd__a22oi_1 U371 ( .A1(n476), .A2(csr_depc_o[24]), .B1(n470), 
+        .B2(mhpmcounter_0__56_), .Y(n332) );
+  sky130_fd_sc_hd__a22oi_1 U372 ( .A1(n473), .A2(dcsr_q[24]), .B1(n472), .B2(
+        mtval_q[24]), .Y(n331) );
+  sky130_fd_sc_hd__a22oi_1 U373 ( .A1(n475), .A2(mscratch_q[24]), .B1(n474), 
+        .B2(mhpmcounter_2__24_), .Y(n330) );
+  sky130_fd_sc_hd__nand4_1 U374 ( .A(n333), .B(n332), .C(n331), .D(n330), .Y(
+        n334) );
+  sky130_fd_sc_hd__nand2_1 U375 ( .A(n484), .B(n334), .Y(n335) );
+  sky130_fd_sc_hd__nand4_1 U376 ( .A(n338), .B(n337), .C(n336), .D(n335), .Y(
+        csr_rdata_o[24]) );
+  sky130_fd_sc_hd__a21oi_1 U377 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[24]), .B1(
+        csr_wdata_i[24]), .Y(n339) );
+  sky130_fd_sc_hd__a21oi_1 U378 ( .A1(csr_wdata_i[24]), .A2(n490), .B1(n339), 
+        .Y(csr_wdata_int[24]) );
+  sky130_fd_sc_hd__a21oi_1 U379 ( .A1(n340), .A2(csr_mtvec_o[22]), .B1(n462), 
+        .Y(n349) );
+  sky130_fd_sc_hd__a22oi_1 U380 ( .A1(n463), .A2(mhpmcounter_2__54_), .B1(n443), .B2(mie_q[6]), .Y(n348) );
+  sky130_fd_sc_hd__a22oi_1 U381 ( .A1(n469), .A2(dscratch1_q[22]), .B1(n468), 
+        .B2(mhpmcounter_0__22_), .Y(n347) );
+  sky130_fd_sc_hd__a22oi_1 U382 ( .A1(n479), .A2(dscratch0_q[22]), .B1(n477), 
+        .B2(csr_mepc_o[22]), .Y(n344) );
+  sky130_fd_sc_hd__a22oi_1 U383 ( .A1(n476), .A2(csr_depc_o[22]), .B1(n470), 
+        .B2(mhpmcounter_0__54_), .Y(n343) );
+  sky130_fd_sc_hd__a22oi_1 U384 ( .A1(n473), .A2(dcsr_q[22]), .B1(n472), .B2(
+        mtval_q[22]), .Y(n342) );
+  sky130_fd_sc_hd__a22oi_1 U385 ( .A1(n475), .A2(mscratch_q[22]), .B1(n474), 
+        .B2(mhpmcounter_2__22_), .Y(n341) );
+  sky130_fd_sc_hd__nand4_1 U386 ( .A(n344), .B(n343), .C(n342), .D(n341), .Y(
+        n345) );
+  sky130_fd_sc_hd__nand2_1 U387 ( .A(n484), .B(n345), .Y(n346) );
+  sky130_fd_sc_hd__nand4_1 U388 ( .A(n349), .B(n348), .C(n347), .D(n346), .Y(
+        csr_rdata_o[22]) );
+  sky130_fd_sc_hd__a21oi_1 U389 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[22]), .B1(
+        csr_wdata_i[22]), .Y(n350) );
+  sky130_fd_sc_hd__a21oi_1 U390 ( .A1(csr_wdata_i[22]), .A2(n490), .B1(n350), 
+        .Y(csr_wdata_int[22]) );
+  sky130_fd_sc_hd__nor2_1 U391 ( .A(n352), .B(n351), .Y(mhpmcounter_we_2_) );
+  sky130_fd_sc_hd__a21oi_1 U392 ( .A1(n431), .A2(csr_mtvec_o[16]), .B1(n462), 
+        .Y(n361) );
+  sky130_fd_sc_hd__a22oi_1 U393 ( .A1(n463), .A2(mhpmcounter_2__48_), .B1(n443), .B2(mie_q[0]), .Y(n360) );
+  sky130_fd_sc_hd__a22oi_1 U394 ( .A1(n469), .A2(dscratch1_q[16]), .B1(n468), 
+        .B2(mhpmcounter_0__16_), .Y(n359) );
+  sky130_fd_sc_hd__a22oi_1 U395 ( .A1(n479), .A2(dscratch0_q[16]), .B1(n477), 
+        .B2(csr_mepc_o[16]), .Y(n356) );
+  sky130_fd_sc_hd__a22oi_1 U396 ( .A1(n476), .A2(csr_depc_o[16]), .B1(n470), 
+        .B2(mhpmcounter_0__48_), .Y(n355) );
+  sky130_fd_sc_hd__a22oi_1 U397 ( .A1(n473), .A2(dcsr_q[16]), .B1(n472), .B2(
+        mtval_q[16]), .Y(n354) );
+  sky130_fd_sc_hd__a22oi_1 U398 ( .A1(n475), .A2(mscratch_q[16]), .B1(n474), 
+        .B2(mhpmcounter_2__16_), .Y(n353) );
+  sky130_fd_sc_hd__nand4_1 U399 ( .A(n356), .B(n355), .C(n354), .D(n353), .Y(
+        n357) );
+  sky130_fd_sc_hd__nand2_1 U400 ( .A(n484), .B(n357), .Y(n358) );
+  sky130_fd_sc_hd__nand4_1 U401 ( .A(n361), .B(n360), .C(n359), .D(n358), .Y(
+        csr_rdata_o[16]) );
+  sky130_fd_sc_hd__a21oi_1 U402 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[16]), .B1(
+        csr_wdata_i[16]), .Y(n362) );
+  sky130_fd_sc_hd__a21oi_1 U403 ( .A1(csr_wdata_i[16]), .A2(n490), .B1(n362), 
+        .Y(csr_wdata_int[16]) );
+  sky130_fd_sc_hd__a22oi_1 U404 ( .A1(n474), .A2(mhpmcounter_2__7_), .B1(n433), 
+        .B2(dscratch1_q[7]), .Y(n373) );
+  sky130_fd_sc_hd__clkinv_1 U405 ( .A(mstack_d[2]), .Y(n701) );
+  sky130_fd_sc_hd__clkinv_1 U406 ( .A(mie_q[16]), .Y(n363) );
+  sky130_fd_sc_hd__o22ai_1 U407 ( .A1(n445), .A2(n701), .B1(n364), .B2(n363), 
+        .Y(n370) );
+  sky130_fd_sc_hd__a22oi_1 U408 ( .A1(n471), .A2(mhpmcounter_2__39_), .B1(n476), .B2(csr_depc_o[7]), .Y(n368) );
+  sky130_fd_sc_hd__a22oi_1 U409 ( .A1(n479), .A2(dscratch0_q[7]), .B1(n477), 
+        .B2(csr_mepc_o[7]), .Y(n367) );
+  sky130_fd_sc_hd__a22oi_1 U410 ( .A1(n473), .A2(dcsr_q_7), .B1(n446), .B2(
+        mhpmcounter_0__7_), .Y(n366) );
+  sky130_fd_sc_hd__a22oi_1 U411 ( .A1(n472), .A2(mtval_q[7]), .B1(n470), .B2(
+        mhpmcounter_0__39_), .Y(n365) );
+  sky130_fd_sc_hd__nand4_1 U412 ( .A(n368), .B(n367), .C(n366), .D(n365), .Y(
+        n369) );
+  sky130_fd_sc_hd__a211oi_1 U413 ( .A1(n475), .A2(mscratch_q[7]), .B1(n370), 
+        .C1(n369), .Y(n372) );
+  sky130_fd_sc_hd__a31oi_1 U414 ( .A1(n373), .A2(n372), .A3(n371), .B1(n400), 
+        .Y(csr_rdata_o[7]) );
+  sky130_fd_sc_hd__a21oi_1 U415 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[7]), .B1(
+        csr_wdata_i[7]), .Y(n374) );
+  sky130_fd_sc_hd__a21oi_1 U416 ( .A1(csr_wdata_i[7]), .A2(n490), .B1(n374), 
+        .Y(csr_wdata_int[7]) );
+  sky130_fd_sc_hd__a22oi_1 U417 ( .A1(n479), .A2(dscratch0_q[6]), .B1(n477), 
+        .B2(csr_mepc_o[6]), .Y(n378) );
+  sky130_fd_sc_hd__a22oi_1 U418 ( .A1(n472), .A2(mtval_q[6]), .B1(n476), .B2(
+        csr_depc_o[6]), .Y(n377) );
+  sky130_fd_sc_hd__a22oi_1 U419 ( .A1(n473), .A2(dcsr_q_6), .B1(n433), .B2(
+        dscratch1_q[6]), .Y(n376) );
+  sky130_fd_sc_hd__a22oi_1 U420 ( .A1(n475), .A2(mscratch_q[6]), .B1(n474), 
+        .B2(mhpmcounter_2__6_), .Y(n375) );
+  sky130_fd_sc_hd__nand4_1 U421 ( .A(n378), .B(n377), .C(n376), .D(n375), .Y(
+        n379) );
+  sky130_fd_sc_hd__nand2_1 U422 ( .A(n484), .B(n379), .Y(n382) );
+  sky130_fd_sc_hd__a21oi_1 U423 ( .A1(n432), .A2(mhpmcounter_0__38_), .B1(n462), .Y(n381) );
+  sky130_fd_sc_hd__a22oi_1 U424 ( .A1(n463), .A2(mhpmcounter_2__38_), .B1(n468), .B2(mhpmcounter_0__6_), .Y(n380) );
+  sky130_fd_sc_hd__nand3_1 U425 ( .A(n382), .B(n381), .C(n380), .Y(
+        csr_rdata_o[6]) );
+  sky130_fd_sc_hd__a21oi_1 U426 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[6]), .B1(
+        csr_wdata_i[6]), .Y(n383) );
+  sky130_fd_sc_hd__a21oi_1 U427 ( .A1(csr_wdata_i[6]), .A2(n490), .B1(n383), 
+        .Y(csr_wdata_int[6]) );
+  sky130_fd_sc_hd__a21oi_1 U428 ( .A1(n462), .A2(mcountinhibit[0]), .B1(n431), 
+        .Y(n392) );
+  sky130_fd_sc_hd__a22oi_1 U429 ( .A1(n469), .A2(dscratch1_q[0]), .B1(n468), 
+        .B2(mhpmcounter_0__0_), .Y(n391) );
+  sky130_fd_sc_hd__a22oi_1 U430 ( .A1(n472), .A2(mtval_q[0]), .B1(n470), .B2(
+        mhpmcounter_0__32_), .Y(n388) );
+  sky130_fd_sc_hd__a22oi_1 U431 ( .A1(n473), .A2(dcsr_q_0), .B1(n475), .B2(
+        mscratch_q[0]), .Y(n385) );
+  sky130_fd_sc_hd__a22oi_1 U432 ( .A1(n478), .A2(mstack_cause_d[0]), .B1(n474), 
+        .B2(mhpmcounter_2__0_), .Y(n384) );
+  sky130_fd_sc_hd__nand2_1 U433 ( .A(n385), .B(n384), .Y(n386) );
+  sky130_fd_sc_hd__a21oi_1 U434 ( .A1(dscratch0_q[0]), .A2(n479), .B1(n386), 
+        .Y(n387) );
+  sky130_fd_sc_hd__o22ai_1 U435 ( .A1(n388), .A2(n400), .B1(n387), .B2(n400), 
+        .Y(n389) );
+  sky130_fd_sc_hd__a21oi_1 U436 ( .A1(mhpmcounter_2__32_), .A2(n463), .B1(n389), .Y(n390) );
+  sky130_fd_sc_hd__nand3_1 U437 ( .A(n392), .B(n391), .C(n390), .Y(
+        csr_rdata_o[0]) );
+  sky130_fd_sc_hd__a21oi_1 U438 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[0]), .B1(
+        csr_wdata_i[0]), .Y(n393) );
+  sky130_fd_sc_hd__a21oi_1 U439 ( .A1(csr_wdata_i[0]), .A2(n490), .B1(n393), 
+        .Y(csr_wdata_int[0]) );
+  sky130_fd_sc_hd__a22o_1 U440 ( .A1(n478), .A2(mstack_cause_d[1]), .B1(n474), 
+        .B2(mhpmcounter_2__1_), .X(n399) );
+  sky130_fd_sc_hd__a22oi_1 U441 ( .A1(n473), .A2(dcsr_q_1), .B1(n472), .B2(
+        mtval_q[1]), .Y(n397) );
+  sky130_fd_sc_hd__a22oi_1 U442 ( .A1(n446), .A2(mhpmcounter_0__1_), .B1(n475), 
+        .B2(mscratch_q[1]), .Y(n396) );
+  sky130_fd_sc_hd__a22oi_1 U443 ( .A1(n476), .A2(csr_depc_o[1]), .B1(n470), 
+        .B2(mhpmcounter_0__33_), .Y(n395) );
+  sky130_fd_sc_hd__a22oi_1 U444 ( .A1(n477), .A2(csr_mepc_o[1]), .B1(n471), 
+        .B2(mhpmcounter_2__33_), .Y(n394) );
+  sky130_fd_sc_hd__nand4_1 U445 ( .A(n397), .B(n396), .C(n395), .D(n394), .Y(
+        n398) );
+  sky130_fd_sc_hd__a211oi_1 U446 ( .A1(n479), .A2(dscratch0_q[1]), .B1(n399), 
+        .C1(n398), .Y(n401) );
+  sky130_fd_sc_hd__o2bb2ai_1 U447 ( .B1(n401), .B2(n400), .A1_N(n469), .A2_N(
+        dscratch1_q[1]), .Y(csr_rdata_o[1]) );
+  sky130_fd_sc_hd__a21oi_1 U448 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[1]), .B1(
+        csr_wdata_i[1]), .Y(n402) );
+  sky130_fd_sc_hd__a21oi_1 U449 ( .A1(csr_wdata_i[1]), .A2(n490), .B1(n402), 
+        .Y(csr_wdata_int[1]) );
+  sky130_fd_sc_hd__a21oi_1 U450 ( .A1(n431), .A2(csr_mtvec_o[9]), .B1(n462), 
+        .Y(n410) );
+  sky130_fd_sc_hd__a22oi_1 U451 ( .A1(n463), .A2(mhpmcounter_2__41_), .B1(n432), .B2(mhpmcounter_0__41_), .Y(n409) );
+  sky130_fd_sc_hd__a22oi_1 U452 ( .A1(n479), .A2(dscratch0_q[9]), .B1(n477), 
+        .B2(csr_mepc_o[9]), .Y(n406) );
+  sky130_fd_sc_hd__a22oi_1 U453 ( .A1(n472), .A2(mtval_q[9]), .B1(n476), .B2(
+        csr_depc_o[9]), .Y(n405) );
+  sky130_fd_sc_hd__a22oi_1 U454 ( .A1(n473), .A2(dcsr_q_9), .B1(n433), .B2(
+        dscratch1_q[9]), .Y(n404) );
+  sky130_fd_sc_hd__a22oi_1 U455 ( .A1(n475), .A2(mscratch_q[9]), .B1(n474), 
+        .B2(mhpmcounter_2__9_), .Y(n403) );
+  sky130_fd_sc_hd__nand4_1 U456 ( .A(n406), .B(n405), .C(n404), .D(n403), .Y(
+        n407) );
+  sky130_fd_sc_hd__a22oi_1 U457 ( .A1(n484), .A2(n407), .B1(n468), .B2(
+        mhpmcounter_0__9_), .Y(n408) );
+  sky130_fd_sc_hd__nand3_1 U458 ( .A(n410), .B(n409), .C(n408), .Y(
+        csr_rdata_o[9]) );
+  sky130_fd_sc_hd__a21oi_1 U459 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[9]), .B1(
+        csr_wdata_i[9]), .Y(n411) );
+  sky130_fd_sc_hd__a21oi_1 U460 ( .A1(csr_wdata_i[9]), .A2(n490), .B1(n411), 
+        .Y(csr_wdata_int[9]) );
+  sky130_fd_sc_hd__a22oi_1 U461 ( .A1(n431), .A2(csr_mtvec_o[8]), .B1(n468), 
+        .B2(mhpmcounter_0__8_), .Y(n419) );
+  sky130_fd_sc_hd__a22o_1 U462 ( .A1(n473), .A2(dcsr_q_8), .B1(n471), .B2(
+        mhpmcounter_2__40_), .X(n417) );
+  sky130_fd_sc_hd__a22oi_1 U463 ( .A1(n472), .A2(mtval_q[8]), .B1(n433), .B2(
+        dscratch1_q[8]), .Y(n415) );
+  sky130_fd_sc_hd__a22oi_1 U464 ( .A1(n475), .A2(mscratch_q[8]), .B1(n474), 
+        .B2(mhpmcounter_2__8_), .Y(n414) );
+  sky130_fd_sc_hd__a22oi_1 U465 ( .A1(n477), .A2(csr_mepc_o[8]), .B1(n470), 
+        .B2(mhpmcounter_0__40_), .Y(n413) );
+  sky130_fd_sc_hd__a22oi_1 U466 ( .A1(n479), .A2(dscratch0_q[8]), .B1(n476), 
+        .B2(csr_depc_o[8]), .Y(n412) );
+  sky130_fd_sc_hd__nand4_1 U467 ( .A(n415), .B(n414), .C(n413), .D(n412), .Y(
+        n416) );
+  sky130_fd_sc_hd__o21ai_1 U468 ( .A1(n417), .A2(n416), .B1(n484), .Y(n418) );
+  sky130_fd_sc_hd__nand3b_1 U469 ( .A_N(n420), .B(n419), .C(n418), .Y(
+        csr_rdata_o[8]) );
+  sky130_fd_sc_hd__a21oi_1 U470 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[8]), .B1(
+        csr_wdata_i[8]), .Y(n421) );
+  sky130_fd_sc_hd__a21oi_1 U471 ( .A1(csr_wdata_i[8]), .A2(n490), .B1(n421), 
+        .Y(csr_wdata_int[8]) );
+  sky130_fd_sc_hd__a21oi_1 U472 ( .A1(n431), .A2(csr_mtvec_o[10]), .B1(n462), 
+        .Y(n429) );
+  sky130_fd_sc_hd__a22oi_1 U473 ( .A1(n463), .A2(mhpmcounter_2__42_), .B1(n432), .B2(mhpmcounter_0__42_), .Y(n428) );
+  sky130_fd_sc_hd__a22oi_1 U474 ( .A1(n479), .A2(dscratch0_q[10]), .B1(n477), 
+        .B2(csr_mepc_o[10]), .Y(n425) );
+  sky130_fd_sc_hd__a22oi_1 U475 ( .A1(n472), .A2(mtval_q[10]), .B1(n476), .B2(
+        csr_depc_o[10]), .Y(n424) );
+  sky130_fd_sc_hd__a22oi_1 U476 ( .A1(n473), .A2(dcsr_q_10), .B1(n433), .B2(
+        dscratch1_q[10]), .Y(n423) );
+  sky130_fd_sc_hd__a22oi_1 U477 ( .A1(n475), .A2(mscratch_q[10]), .B1(n474), 
+        .B2(mhpmcounter_2__10_), .Y(n422) );
+  sky130_fd_sc_hd__nand4_1 U478 ( .A(n425), .B(n424), .C(n423), .D(n422), .Y(
+        n426) );
+  sky130_fd_sc_hd__a22oi_1 U479 ( .A1(n484), .A2(n426), .B1(n468), .B2(
+        mhpmcounter_0__10_), .Y(n427) );
+  sky130_fd_sc_hd__nand3_1 U480 ( .A(n429), .B(n428), .C(n427), .Y(
+        csr_rdata_o[10]) );
+  sky130_fd_sc_hd__a21oi_1 U481 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[10]), .B1(
+        csr_wdata_i[10]), .Y(n430) );
+  sky130_fd_sc_hd__a21oi_1 U482 ( .A1(csr_wdata_i[10]), .A2(n490), .B1(n430), 
+        .Y(csr_wdata_int[10]) );
+  sky130_fd_sc_hd__a21oi_1 U483 ( .A1(n431), .A2(csr_mtvec_o[14]), .B1(n462), 
+        .Y(n441) );
+  sky130_fd_sc_hd__a22oi_1 U484 ( .A1(n463), .A2(mhpmcounter_2__46_), .B1(n432), .B2(mhpmcounter_0__46_), .Y(n440) );
+  sky130_fd_sc_hd__a22oi_1 U485 ( .A1(n479), .A2(dscratch0_q[14]), .B1(n477), 
+        .B2(csr_mepc_o[14]), .Y(n437) );
+  sky130_fd_sc_hd__a22oi_1 U486 ( .A1(n472), .A2(mtval_q[14]), .B1(n476), .B2(
+        csr_depc_o[14]), .Y(n436) );
+  sky130_fd_sc_hd__a22oi_1 U487 ( .A1(n473), .A2(dcsr_q_14), .B1(n433), .B2(
+        dscratch1_q[14]), .Y(n435) );
+  sky130_fd_sc_hd__a22oi_1 U488 ( .A1(n475), .A2(mscratch_q[14]), .B1(n474), 
+        .B2(mhpmcounter_2__14_), .Y(n434) );
+  sky130_fd_sc_hd__nand4_1 U489 ( .A(n437), .B(n436), .C(n435), .D(n434), .Y(
+        n438) );
+  sky130_fd_sc_hd__a22oi_1 U490 ( .A1(n484), .A2(n438), .B1(n468), .B2(
+        mhpmcounter_0__14_), .Y(n439) );
+  sky130_fd_sc_hd__nand3_1 U491 ( .A(n441), .B(n440), .C(n439), .Y(
+        csr_rdata_o[14]) );
+  sky130_fd_sc_hd__a21oi_1 U492 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[14]), .B1(
+        csr_wdata_i[14]), .Y(n442) );
+  sky130_fd_sc_hd__a21oi_1 U493 ( .A1(csr_wdata_i[14]), .A2(n490), .B1(n442), 
+        .Y(csr_wdata_int[14]) );
+  sky130_fd_sc_hd__a21oi_1 U494 ( .A1(n443), .A2(mie_q[17]), .B1(n462), .Y(
+        n455) );
+  sky130_fd_sc_hd__a22oi_1 U495 ( .A1(n469), .A2(dscratch1_q[3]), .B1(n463), 
+        .B2(mhpmcounter_2__35_), .Y(n454) );
+  sky130_fd_sc_hd__clkinv_1 U496 ( .A(csr_mstatus_mie_o), .Y(n709) );
+  sky130_fd_sc_hd__a22oi_1 U497 ( .A1(n479), .A2(dscratch0_q[3]), .B1(n474), 
+        .B2(mhpmcounter_2__3_), .Y(n444) );
+  sky130_fd_sc_hd__o21ai_1 U498 ( .A1(n445), .A2(n709), .B1(n444), .Y(n452) );
+  sky130_fd_sc_hd__a22oi_1 U499 ( .A1(n473), .A2(dcsr_q_3), .B1(n470), .B2(
+        mhpmcounter_0__35_), .Y(n450) );
+  sky130_fd_sc_hd__a22oi_1 U500 ( .A1(n446), .A2(mhpmcounter_0__3_), .B1(n475), 
+        .B2(mscratch_q[3]), .Y(n449) );
+  sky130_fd_sc_hd__a22oi_1 U501 ( .A1(n472), .A2(mtval_q[3]), .B1(n476), .B2(
+        csr_depc_o[3]), .Y(n448) );
+  sky130_fd_sc_hd__a22oi_1 U502 ( .A1(n478), .A2(mstack_cause_d[3]), .B1(n477), 
+        .B2(csr_mepc_o[3]), .Y(n447) );
+  sky130_fd_sc_hd__nand4_1 U503 ( .A(n450), .B(n449), .C(n448), .D(n447), .Y(
+        n451) );
+  sky130_fd_sc_hd__o21ai_1 U504 ( .A1(n452), .A2(n451), .B1(n484), .Y(n453) );
+  sky130_fd_sc_hd__nand3_1 U505 ( .A(n455), .B(n454), .C(n453), .Y(
+        csr_rdata_o[3]) );
+  sky130_fd_sc_hd__a21oi_1 U506 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[3]), .B1(
+        csr_wdata_i[3]), .Y(n456) );
+  sky130_fd_sc_hd__a21oi_1 U507 ( .A1(csr_wdata_i[3]), .A2(n490), .B1(n456), 
+        .Y(csr_wdata_int[3]) );
+  sky130_fd_sc_hd__a22oi_1 U508 ( .A1(n479), .A2(dscratch0_q[5]), .B1(n477), 
+        .B2(csr_mepc_o[5]), .Y(n460) );
+  sky130_fd_sc_hd__a22oi_1 U509 ( .A1(n476), .A2(csr_depc_o[5]), .B1(n470), 
+        .B2(mhpmcounter_0__37_), .Y(n459) );
+  sky130_fd_sc_hd__a22oi_1 U510 ( .A1(n473), .A2(dcsr_q_5), .B1(n472), .B2(
+        mtval_q[5]), .Y(n458) );
+  sky130_fd_sc_hd__a22oi_1 U511 ( .A1(n475), .A2(mscratch_q[5]), .B1(n474), 
+        .B2(mhpmcounter_2__5_), .Y(n457) );
+  sky130_fd_sc_hd__nand4_1 U512 ( .A(n460), .B(n459), .C(n458), .D(n457), .Y(
+        n461) );
+  sky130_fd_sc_hd__nand2_1 U513 ( .A(n484), .B(n461), .Y(n466) );
+  sky130_fd_sc_hd__a21oi_1 U514 ( .A1(n469), .A2(dscratch1_q[5]), .B1(n462), 
+        .Y(n465) );
+  sky130_fd_sc_hd__a22oi_1 U515 ( .A1(n463), .A2(mhpmcounter_2__37_), .B1(n468), .B2(mhpmcounter_0__5_), .Y(n464) );
+  sky130_fd_sc_hd__nand3_1 U516 ( .A(n466), .B(n465), .C(n464), .Y(
+        csr_rdata_o[5]) );
+  sky130_fd_sc_hd__a21oi_1 U517 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[5]), .B1(
+        csr_wdata_i[5]), .Y(n467) );
+  sky130_fd_sc_hd__a21oi_1 U518 ( .A1(csr_wdata_i[5]), .A2(n490), .B1(n467), 
+        .Y(csr_wdata_int[5]) );
+  sky130_fd_sc_hd__a22oi_1 U519 ( .A1(n469), .A2(dscratch1_q[4]), .B1(n468), 
+        .B2(mhpmcounter_0__4_), .Y(n488) );
+  sky130_fd_sc_hd__a22o_1 U520 ( .A1(n471), .A2(mhpmcounter_2__36_), .B1(n470), 
+        .B2(mhpmcounter_0__36_), .X(n486) );
+  sky130_fd_sc_hd__a22oi_1 U521 ( .A1(n473), .A2(dcsr_q_4), .B1(n472), .B2(
+        mtval_q[4]), .Y(n483) );
+  sky130_fd_sc_hd__a22oi_1 U522 ( .A1(n475), .A2(mscratch_q[4]), .B1(n474), 
+        .B2(mhpmcounter_2__4_), .Y(n482) );
+  sky130_fd_sc_hd__a22oi_1 U523 ( .A1(n477), .A2(csr_mepc_o[4]), .B1(n476), 
+        .B2(csr_depc_o[4]), .Y(n481) );
+  sky130_fd_sc_hd__a22oi_1 U524 ( .A1(n479), .A2(dscratch0_q[4]), .B1(n478), 
+        .B2(mstack_cause_d[4]), .Y(n480) );
+  sky130_fd_sc_hd__nand4_1 U525 ( .A(n483), .B(n482), .C(n481), .D(n480), .Y(
+        n485) );
+  sky130_fd_sc_hd__o21ai_1 U526 ( .A1(n486), .A2(n485), .B1(n484), .Y(n487) );
+  sky130_fd_sc_hd__nand3_1 U527 ( .A(n488), .B(n575), .C(n487), .Y(
+        csr_rdata_o[4]) );
+  sky130_fd_sc_hd__a21oi_1 U528 ( .A1(csr_op_i[1]), .A2(csr_rdata_o[4]), .B1(
+        csr_wdata_i[4]), .Y(n489) );
+  sky130_fd_sc_hd__a21oi_1 U529 ( .A1(csr_wdata_i[4]), .A2(n490), .B1(n489), 
+        .Y(csr_wdata_int[4]) );
+  sky130_fd_sc_hd__or2_0 U538 ( .A(csr_wdata_int[29]), .B(csr_mtvec_init_i), 
+        .X(mtvec_d[29]) );
+  sky130_fd_sc_hd__nor2b_1 U553 ( .B_N(csr_wdata_int[4]), .A(n711), .Y(n753)
+         );
+  sky130_fd_sc_hd__nor2_1 U554 ( .A(csr_restore_dret_i), .B(csr_restore_mret_i), .Y(n492) );
+  sky130_fd_sc_hd__a22oi_1 U555 ( .A1(priv_mode_id_o[0]), .A2(n492), .B1(
+        dcsr_q_0), .B2(csr_restore_dret_i), .Y(n491) );
+  sky130_fd_sc_hd__o21ai_1 U556 ( .A1(n494), .A2(n697), .B1(n491), .Y(n759) );
+  sky130_fd_sc_hd__clkinv_1 U558 ( .A(mstack_d[1]), .Y(n700) );
+  sky130_fd_sc_hd__a22oi_1 U559 ( .A1(priv_mode_id_o[1]), .A2(n492), .B1(
+        dcsr_q_1), .B2(csr_restore_dret_i), .Y(n493) );
+  sky130_fd_sc_hd__o21ai_1 U560 ( .A1(n494), .A2(n700), .B1(n493), .Y(n758) );
+  sky130_fd_sc_hd__clkinv_1 U562 ( .A(csr_wdata_int[0]), .Y(n589) );
+  sky130_fd_sc_hd__nand2_1 U563 ( .A(n711), .B(csr_mtval_i[0]), .Y(n495) );
+  sky130_fd_sc_hd__o21ai_1 U564 ( .A1(n589), .A2(n711), .B1(n495), .Y(
+        mtval_d[0]) );
+  sky130_fd_sc_hd__clkinv_1 U565 ( .A(csr_wdata_int[1]), .Y(n591) );
+  sky130_fd_sc_hd__nand2_1 U566 ( .A(n711), .B(csr_mtval_i[1]), .Y(n496) );
+  sky130_fd_sc_hd__o21ai_1 U567 ( .A1(n591), .A2(n711), .B1(n496), .Y(
+        mtval_d[1]) );
+  sky130_fd_sc_hd__a22o_1 U568 ( .A1(n687), .A2(csr_wdata_int[2]), .B1(n711), 
+        .B2(csr_mtval_i[2]), .X(mtval_d[2]) );
+  sky130_fd_sc_hd__nand2_1 U569 ( .A(csr_wdata_int[3]), .B(n687), .Y(n704) );
+  sky130_fd_sc_hd__nand2_1 U570 ( .A(n711), .B(csr_mtval_i[3]), .Y(n497) );
+  sky130_fd_sc_hd__nand2_1 U571 ( .A(n704), .B(n497), .Y(mtval_d[3]) );
+  sky130_fd_sc_hd__a21o_1 U572 ( .A1(n711), .A2(csr_mtval_i[4]), .B1(n753), 
+        .X(mtval_d[4]) );
+  sky130_fd_sc_hd__a22o_1 U573 ( .A1(n711), .A2(csr_mtval_i[5]), .B1(n687), 
+        .B2(csr_wdata_int[5]), .X(mtval_d[5]) );
+  sky130_fd_sc_hd__a22o_1 U574 ( .A1(n711), .A2(csr_mtval_i[6]), .B1(n687), 
+        .B2(csr_wdata_int[6]), .X(mtval_d[6]) );
+  sky130_fd_sc_hd__nand2_1 U575 ( .A(csr_wdata_int[7]), .B(n687), .Y(n702) );
+  sky130_fd_sc_hd__nand2_1 U576 ( .A(n711), .B(csr_mtval_i[7]), .Y(n498) );
+  sky130_fd_sc_hd__nand2_1 U577 ( .A(n702), .B(n498), .Y(mtval_d[7]) );
+  sky130_fd_sc_hd__a22o_1 U578 ( .A1(n711), .A2(csr_mtval_i[8]), .B1(n687), 
+        .B2(csr_wdata_int[8]), .X(mtval_d[8]) );
+  sky130_fd_sc_hd__a22o_1 U579 ( .A1(n711), .A2(csr_mtval_i[9]), .B1(n687), 
+        .B2(csr_wdata_int[9]), .X(mtval_d[9]) );
+  sky130_fd_sc_hd__a22o_1 U580 ( .A1(n711), .A2(csr_mtval_i[10]), .B1(n687), 
+        .B2(csr_wdata_int[10]), .X(mtval_d[10]) );
+  sky130_fd_sc_hd__clkinv_1 U581 ( .A(csr_wdata_int[11]), .Y(n694) );
+  sky130_fd_sc_hd__nand2_1 U582 ( .A(n711), .B(csr_mtval_i[11]), .Y(n499) );
+  sky130_fd_sc_hd__o21ai_1 U583 ( .A1(n694), .A2(n711), .B1(n499), .Y(
+        mtval_d[11]) );
+  sky130_fd_sc_hd__nand2_1 U584 ( .A(n711), .B(csr_mtval_i[12]), .Y(n500) );
+  sky130_fd_sc_hd__o21ai_1 U585 ( .A1(n695), .A2(n711), .B1(n500), .Y(
+        mtval_d[12]) );
+  sky130_fd_sc_hd__a22o_1 U586 ( .A1(n687), .A2(csr_wdata_int[13]), .B1(n711), 
+        .B2(csr_mtval_i[13]), .X(mtval_d[13]) );
+  sky130_fd_sc_hd__a22o_1 U587 ( .A1(n711), .A2(csr_mtval_i[14]), .B1(n687), 
+        .B2(csr_wdata_int[14]), .X(mtval_d[14]) );
+  sky130_fd_sc_hd__a22o_1 U588 ( .A1(n687), .A2(csr_wdata_int[15]), .B1(n711), 
+        .B2(csr_mtval_i[15]), .X(mtval_d[15]) );
+  sky130_fd_sc_hd__a22o_1 U589 ( .A1(n711), .A2(csr_mtval_i[16]), .B1(n687), 
+        .B2(csr_wdata_int[16]), .X(mtval_d[16]) );
+  sky130_fd_sc_hd__a22o_1 U590 ( .A1(n687), .A2(csr_wdata_int[17]), .B1(n711), 
+        .B2(csr_mtval_i[17]), .X(mtval_d[17]) );
+  sky130_fd_sc_hd__a22o_1 U591 ( .A1(n711), .A2(csr_mtval_i[18]), .B1(n687), 
+        .B2(csr_wdata_int[18]), .X(mtval_d[18]) );
+  sky130_fd_sc_hd__a22o_1 U592 ( .A1(n711), .A2(csr_mtval_i[19]), .B1(n687), 
+        .B2(csr_wdata_int[19]), .X(mtval_d[19]) );
+  sky130_fd_sc_hd__a22o_1 U593 ( .A1(n711), .A2(csr_mtval_i[20]), .B1(n687), 
+        .B2(csr_wdata_int[20]), .X(mtval_d[20]) );
+  sky130_fd_sc_hd__a22o_1 U594 ( .A1(n687), .A2(csr_wdata_int[21]), .B1(n711), 
+        .B2(csr_mtval_i[21]), .X(mtval_d[21]) );
+  sky130_fd_sc_hd__a22o_1 U595 ( .A1(n711), .A2(csr_mtval_i[22]), .B1(n687), 
+        .B2(csr_wdata_int[22]), .X(mtval_d[22]) );
+  sky130_fd_sc_hd__a22o_1 U596 ( .A1(n711), .A2(csr_mtval_i[23]), .B1(n687), 
+        .B2(csr_wdata_int[23]), .X(mtval_d[23]) );
+  sky130_fd_sc_hd__a22o_1 U597 ( .A1(n711), .A2(csr_mtval_i[24]), .B1(n687), 
+        .B2(csr_wdata_int[24]), .X(mtval_d[24]) );
+  sky130_fd_sc_hd__a22o_1 U598 ( .A1(n711), .A2(csr_mtval_i[25]), .B1(n687), 
+        .B2(csr_wdata_int[25]), .X(mtval_d[25]) );
+  sky130_fd_sc_hd__a22o_1 U599 ( .A1(n711), .A2(csr_mtval_i[26]), .B1(n687), 
+        .B2(csr_wdata_int[26]), .X(mtval_d[26]) );
+  sky130_fd_sc_hd__a22o_1 U600 ( .A1(n711), .A2(csr_mtval_i[27]), .B1(n687), 
+        .B2(csr_wdata_int[27]), .X(mtval_d[27]) );
+  sky130_fd_sc_hd__a22o_1 U601 ( .A1(n711), .A2(csr_mtval_i[28]), .B1(n687), 
+        .B2(csr_wdata_int[28]), .X(mtval_d[28]) );
+  sky130_fd_sc_hd__a22o_1 U602 ( .A1(n711), .A2(csr_mtval_i[29]), .B1(n687), 
+        .B2(csr_wdata_int[29]), .X(mtval_d[29]) );
+  sky130_fd_sc_hd__a22o_1 U603 ( .A1(n711), .A2(csr_mtval_i[30]), .B1(n687), 
+        .B2(csr_wdata_int[30]), .X(mtval_d[30]) );
+  sky130_fd_sc_hd__a22o_1 U604 ( .A1(n687), .A2(csr_wdata_int[31]), .B1(n711), 
+        .B2(csr_mtval_i[31]), .X(mtval_d[31]) );
+  sky130_fd_sc_hd__nand2b_1 U605 ( .A_N(csr_save_id_i), .B(csr_save_wb_i), .Y(
+        n501) );
+  sky130_fd_sc_hd__or2_0 U606 ( .A(n501), .B(csr_save_if_i), .X(n596) );
+  sky130_fd_sc_hd__nor2_1 U607 ( .A(n570), .B(n596), .Y(n563) );
+  sky130_fd_sc_hd__clkinv_1 U608 ( .A(csr_save_if_i), .Y(n595) );
+  sky130_fd_sc_hd__nand2_1 U609 ( .A(n595), .B(n501), .Y(n597) );
+  sky130_fd_sc_hd__nor2_1 U610 ( .A(n570), .B(n597), .Y(n562) );
+  sky130_fd_sc_hd__a22oi_1 U611 ( .A1(pc_wb_i[1]), .A2(n563), .B1(pc_id_i[1]), 
+        .B2(n562), .Y(n503) );
+  sky130_fd_sc_hd__nor2_1 U612 ( .A(n570), .B(n595), .Y(n564) );
+  sky130_fd_sc_hd__a22oi_1 U613 ( .A1(n564), .A2(pc_if_i[1]), .B1(
+        csr_wdata_int[1]), .B2(n570), .Y(n502) );
+  sky130_fd_sc_hd__nand2_1 U614 ( .A(n503), .B(n502), .Y(depc_d[1]) );
+  sky130_fd_sc_hd__a22oi_1 U615 ( .A1(n563), .A2(pc_wb_i[2]), .B1(n562), .B2(
+        pc_id_i[2]), .Y(n505) );
+  sky130_fd_sc_hd__a22oi_1 U616 ( .A1(pc_if_i[2]), .A2(n564), .B1(
+        csr_wdata_int[2]), .B2(n570), .Y(n504) );
+  sky130_fd_sc_hd__nand2_1 U617 ( .A(n505), .B(n504), .Y(depc_d[2]) );
+  sky130_fd_sc_hd__a22oi_1 U618 ( .A1(n563), .A2(pc_wb_i[3]), .B1(n562), .B2(
+        pc_id_i[3]), .Y(n507) );
+  sky130_fd_sc_hd__a22oi_1 U619 ( .A1(pc_if_i[3]), .A2(n564), .B1(
+        csr_wdata_int[3]), .B2(n570), .Y(n506) );
+  sky130_fd_sc_hd__nand2_1 U620 ( .A(n507), .B(n506), .Y(depc_d[3]) );
+  sky130_fd_sc_hd__a22oi_1 U621 ( .A1(n563), .A2(pc_wb_i[4]), .B1(n562), .B2(
+        pc_id_i[4]), .Y(n509) );
+  sky130_fd_sc_hd__a22oi_1 U622 ( .A1(pc_if_i[4]), .A2(n564), .B1(
+        csr_wdata_int[4]), .B2(n570), .Y(n508) );
+  sky130_fd_sc_hd__nand2_1 U623 ( .A(n509), .B(n508), .Y(depc_d[4]) );
+  sky130_fd_sc_hd__a22oi_1 U624 ( .A1(n563), .A2(pc_wb_i[5]), .B1(n562), .B2(
+        pc_id_i[5]), .Y(n511) );
+  sky130_fd_sc_hd__a22oi_1 U625 ( .A1(pc_if_i[5]), .A2(n564), .B1(
+        csr_wdata_int[5]), .B2(n570), .Y(n510) );
+  sky130_fd_sc_hd__nand2_1 U626 ( .A(n511), .B(n510), .Y(depc_d[5]) );
+  sky130_fd_sc_hd__a22oi_1 U627 ( .A1(n563), .A2(pc_wb_i[6]), .B1(n562), .B2(
+        pc_id_i[6]), .Y(n513) );
+  sky130_fd_sc_hd__a22oi_1 U628 ( .A1(pc_if_i[6]), .A2(n564), .B1(
+        csr_wdata_int[6]), .B2(n570), .Y(n512) );
+  sky130_fd_sc_hd__nand2_1 U629 ( .A(n513), .B(n512), .Y(depc_d[6]) );
+  sky130_fd_sc_hd__a22oi_1 U630 ( .A1(n563), .A2(pc_wb_i[7]), .B1(n562), .B2(
+        pc_id_i[7]), .Y(n515) );
+  sky130_fd_sc_hd__a22oi_1 U631 ( .A1(pc_if_i[7]), .A2(n564), .B1(
+        csr_wdata_int[7]), .B2(n570), .Y(n514) );
+  sky130_fd_sc_hd__nand2_1 U632 ( .A(n515), .B(n514), .Y(depc_d[7]) );
+  sky130_fd_sc_hd__a22oi_1 U633 ( .A1(n563), .A2(pc_wb_i[8]), .B1(n562), .B2(
+        pc_id_i[8]), .Y(n517) );
+  sky130_fd_sc_hd__a22oi_1 U634 ( .A1(pc_if_i[8]), .A2(n564), .B1(
+        csr_wdata_int[8]), .B2(n570), .Y(n516) );
+  sky130_fd_sc_hd__nand2_1 U635 ( .A(n517), .B(n516), .Y(depc_d[8]) );
+  sky130_fd_sc_hd__a22oi_1 U636 ( .A1(n563), .A2(pc_wb_i[9]), .B1(n562), .B2(
+        pc_id_i[9]), .Y(n519) );
+  sky130_fd_sc_hd__a22oi_1 U637 ( .A1(pc_if_i[9]), .A2(n564), .B1(
+        csr_wdata_int[9]), .B2(n570), .Y(n518) );
+  sky130_fd_sc_hd__nand2_1 U638 ( .A(n519), .B(n518), .Y(depc_d[9]) );
+  sky130_fd_sc_hd__a22oi_1 U639 ( .A1(n563), .A2(pc_wb_i[10]), .B1(n562), .B2(
+        pc_id_i[10]), .Y(n521) );
+  sky130_fd_sc_hd__a22oi_1 U640 ( .A1(pc_if_i[10]), .A2(n564), .B1(
+        csr_wdata_int[10]), .B2(n570), .Y(n520) );
+  sky130_fd_sc_hd__nand2_1 U641 ( .A(n521), .B(n520), .Y(depc_d[10]) );
+  sky130_fd_sc_hd__a22oi_1 U642 ( .A1(n563), .A2(pc_wb_i[11]), .B1(n562), .B2(
+        pc_id_i[11]), .Y(n523) );
+  sky130_fd_sc_hd__a22oi_1 U643 ( .A1(pc_if_i[11]), .A2(n564), .B1(
+        csr_wdata_int[11]), .B2(n570), .Y(n522) );
+  sky130_fd_sc_hd__nand2_1 U644 ( .A(n523), .B(n522), .Y(depc_d[11]) );
+  sky130_fd_sc_hd__a22oi_1 U645 ( .A1(n563), .A2(pc_wb_i[12]), .B1(n562), .B2(
+        pc_id_i[12]), .Y(n525) );
+  sky130_fd_sc_hd__a22oi_1 U646 ( .A1(pc_if_i[12]), .A2(n564), .B1(
+        csr_wdata_int[12]), .B2(n570), .Y(n524) );
+  sky130_fd_sc_hd__nand2_1 U647 ( .A(n525), .B(n524), .Y(depc_d[12]) );
+  sky130_fd_sc_hd__a22oi_1 U648 ( .A1(n563), .A2(pc_wb_i[13]), .B1(n562), .B2(
+        pc_id_i[13]), .Y(n527) );
+  sky130_fd_sc_hd__a22oi_1 U649 ( .A1(pc_if_i[13]), .A2(n564), .B1(
+        csr_wdata_int[13]), .B2(n570), .Y(n526) );
+  sky130_fd_sc_hd__nand2_1 U650 ( .A(n527), .B(n526), .Y(depc_d[13]) );
+  sky130_fd_sc_hd__a22oi_1 U651 ( .A1(n563), .A2(pc_wb_i[14]), .B1(n562), .B2(
+        pc_id_i[14]), .Y(n529) );
+  sky130_fd_sc_hd__a22oi_1 U652 ( .A1(pc_if_i[14]), .A2(n564), .B1(
+        csr_wdata_int[14]), .B2(n570), .Y(n528) );
+  sky130_fd_sc_hd__nand2_1 U653 ( .A(n529), .B(n528), .Y(depc_d[14]) );
+  sky130_fd_sc_hd__a22oi_1 U654 ( .A1(n563), .A2(pc_wb_i[15]), .B1(n562), .B2(
+        pc_id_i[15]), .Y(n531) );
+  sky130_fd_sc_hd__a22oi_1 U655 ( .A1(pc_if_i[15]), .A2(n564), .B1(
+        csr_wdata_int[15]), .B2(n570), .Y(n530) );
+  sky130_fd_sc_hd__nand2_1 U656 ( .A(n531), .B(n530), .Y(depc_d[15]) );
+  sky130_fd_sc_hd__a22oi_1 U657 ( .A1(n563), .A2(pc_wb_i[16]), .B1(n562), .B2(
+        pc_id_i[16]), .Y(n533) );
+  sky130_fd_sc_hd__a22oi_1 U658 ( .A1(pc_if_i[16]), .A2(n564), .B1(
+        csr_wdata_int[16]), .B2(n570), .Y(n532) );
+  sky130_fd_sc_hd__nand2_1 U659 ( .A(n533), .B(n532), .Y(depc_d[16]) );
+  sky130_fd_sc_hd__a22oi_1 U660 ( .A1(n563), .A2(pc_wb_i[17]), .B1(n562), .B2(
+        pc_id_i[17]), .Y(n535) );
+  sky130_fd_sc_hd__a22oi_1 U661 ( .A1(pc_if_i[17]), .A2(n564), .B1(
+        csr_wdata_int[17]), .B2(n570), .Y(n534) );
+  sky130_fd_sc_hd__nand2_1 U662 ( .A(n535), .B(n534), .Y(depc_d[17]) );
+  sky130_fd_sc_hd__a22oi_1 U663 ( .A1(n563), .A2(pc_wb_i[18]), .B1(n562), .B2(
+        pc_id_i[18]), .Y(n537) );
+  sky130_fd_sc_hd__a22oi_1 U664 ( .A1(pc_if_i[18]), .A2(n564), .B1(
+        csr_wdata_int[18]), .B2(n570), .Y(n536) );
+  sky130_fd_sc_hd__nand2_1 U665 ( .A(n537), .B(n536), .Y(depc_d[18]) );
+  sky130_fd_sc_hd__a22oi_1 U666 ( .A1(n563), .A2(pc_wb_i[19]), .B1(n562), .B2(
+        pc_id_i[19]), .Y(n539) );
+  sky130_fd_sc_hd__a22oi_1 U667 ( .A1(pc_if_i[19]), .A2(n564), .B1(
+        csr_wdata_int[19]), .B2(n570), .Y(n538) );
+  sky130_fd_sc_hd__nand2_1 U668 ( .A(n539), .B(n538), .Y(depc_d[19]) );
+  sky130_fd_sc_hd__a22oi_1 U669 ( .A1(n563), .A2(pc_wb_i[20]), .B1(n562), .B2(
+        pc_id_i[20]), .Y(n541) );
+  sky130_fd_sc_hd__a22oi_1 U670 ( .A1(pc_if_i[20]), .A2(n564), .B1(
+        csr_wdata_int[20]), .B2(n570), .Y(n540) );
+  sky130_fd_sc_hd__nand2_1 U671 ( .A(n541), .B(n540), .Y(depc_d[20]) );
+  sky130_fd_sc_hd__a22oi_1 U672 ( .A1(n563), .A2(pc_wb_i[21]), .B1(n562), .B2(
+        pc_id_i[21]), .Y(n543) );
+  sky130_fd_sc_hd__a22oi_1 U673 ( .A1(pc_if_i[21]), .A2(n564), .B1(
+        csr_wdata_int[21]), .B2(n570), .Y(n542) );
+  sky130_fd_sc_hd__nand2_1 U674 ( .A(n543), .B(n542), .Y(depc_d[21]) );
+  sky130_fd_sc_hd__a22oi_1 U675 ( .A1(n563), .A2(pc_wb_i[22]), .B1(n562), .B2(
+        pc_id_i[22]), .Y(n545) );
+  sky130_fd_sc_hd__a22oi_1 U676 ( .A1(pc_if_i[22]), .A2(n564), .B1(
+        csr_wdata_int[22]), .B2(n570), .Y(n544) );
+  sky130_fd_sc_hd__nand2_1 U677 ( .A(n545), .B(n544), .Y(depc_d[22]) );
+  sky130_fd_sc_hd__a22oi_1 U678 ( .A1(n563), .A2(pc_wb_i[23]), .B1(n562), .B2(
+        pc_id_i[23]), .Y(n547) );
+  sky130_fd_sc_hd__a22oi_1 U679 ( .A1(pc_if_i[23]), .A2(n564), .B1(
+        csr_wdata_int[23]), .B2(n570), .Y(n546) );
+  sky130_fd_sc_hd__nand2_1 U680 ( .A(n547), .B(n546), .Y(depc_d[23]) );
+  sky130_fd_sc_hd__a22oi_1 U681 ( .A1(n563), .A2(pc_wb_i[24]), .B1(n562), .B2(
+        pc_id_i[24]), .Y(n549) );
+  sky130_fd_sc_hd__a22oi_1 U682 ( .A1(pc_if_i[24]), .A2(n564), .B1(
+        csr_wdata_int[24]), .B2(n570), .Y(n548) );
+  sky130_fd_sc_hd__nand2_1 U683 ( .A(n549), .B(n548), .Y(depc_d[24]) );
+  sky130_fd_sc_hd__a22oi_1 U684 ( .A1(n563), .A2(pc_wb_i[25]), .B1(n562), .B2(
+        pc_id_i[25]), .Y(n551) );
+  sky130_fd_sc_hd__a22oi_1 U685 ( .A1(pc_if_i[25]), .A2(n564), .B1(
+        csr_wdata_int[25]), .B2(n570), .Y(n550) );
+  sky130_fd_sc_hd__nand2_1 U686 ( .A(n551), .B(n550), .Y(depc_d[25]) );
+  sky130_fd_sc_hd__a22oi_1 U687 ( .A1(n563), .A2(pc_wb_i[26]), .B1(n562), .B2(
+        pc_id_i[26]), .Y(n553) );
+  sky130_fd_sc_hd__a22oi_1 U688 ( .A1(pc_if_i[26]), .A2(n564), .B1(
+        csr_wdata_int[26]), .B2(n570), .Y(n552) );
+  sky130_fd_sc_hd__nand2_1 U689 ( .A(n553), .B(n552), .Y(depc_d[26]) );
+  sky130_fd_sc_hd__a22oi_1 U690 ( .A1(n563), .A2(pc_wb_i[27]), .B1(n562), .B2(
+        pc_id_i[27]), .Y(n555) );
+  sky130_fd_sc_hd__a22oi_1 U691 ( .A1(pc_if_i[27]), .A2(n564), .B1(
+        csr_wdata_int[27]), .B2(n570), .Y(n554) );
+  sky130_fd_sc_hd__nand2_1 U692 ( .A(n555), .B(n554), .Y(depc_d[27]) );
+  sky130_fd_sc_hd__a22oi_1 U693 ( .A1(n563), .A2(pc_wb_i[28]), .B1(n562), .B2(
+        pc_id_i[28]), .Y(n557) );
+  sky130_fd_sc_hd__a22oi_1 U694 ( .A1(pc_if_i[28]), .A2(n564), .B1(
+        csr_wdata_int[28]), .B2(n570), .Y(n556) );
+  sky130_fd_sc_hd__nand2_1 U695 ( .A(n557), .B(n556), .Y(depc_d[28]) );
+  sky130_fd_sc_hd__a22oi_1 U696 ( .A1(n563), .A2(pc_wb_i[29]), .B1(n562), .B2(
+        pc_id_i[29]), .Y(n559) );
+  sky130_fd_sc_hd__a22oi_1 U697 ( .A1(pc_if_i[29]), .A2(n564), .B1(
+        csr_wdata_int[29]), .B2(n570), .Y(n558) );
+  sky130_fd_sc_hd__nand2_1 U698 ( .A(n559), .B(n558), .Y(depc_d[29]) );
+  sky130_fd_sc_hd__a22oi_1 U699 ( .A1(n563), .A2(pc_wb_i[30]), .B1(n562), .B2(
+        pc_id_i[30]), .Y(n561) );
+  sky130_fd_sc_hd__a22oi_1 U700 ( .A1(pc_if_i[30]), .A2(n564), .B1(
+        csr_wdata_int[30]), .B2(n570), .Y(n560) );
+  sky130_fd_sc_hd__nand2_1 U701 ( .A(n561), .B(n560), .Y(depc_d[30]) );
+  sky130_fd_sc_hd__a22oi_1 U702 ( .A1(n563), .A2(pc_wb_i[31]), .B1(n562), .B2(
+        pc_id_i[31]), .Y(n566) );
+  sky130_fd_sc_hd__a22oi_1 U703 ( .A1(pc_if_i[31]), .A2(n564), .B1(
+        csr_wdata_int[31]), .B2(n570), .Y(n565) );
+  sky130_fd_sc_hd__nand2_1 U704 ( .A(n566), .B(n565), .Y(depc_d[31]) );
+  sky130_fd_sc_hd__clkinv_1 U705 ( .A(n570), .Y(n571) );
+  sky130_fd_sc_hd__a21oi_1 U706 ( .A1(n591), .A2(n589), .B1(n572), .Y(n568) );
+  sky130_fd_sc_hd__a21oi_1 U707 ( .A1(dcsr_q_0), .A2(n572), .B1(n568), .Y(n567) );
+  sky130_fd_sc_hd__clkinv_1 U708 ( .A(priv_mode_id_o[0]), .Y(n580) );
+  sky130_fd_sc_hd__o22ai_1 U709 ( .A1(n571), .A2(n567), .B1(n570), .B2(n580), 
+        .Y(dcsr_d[0]) );
+  sky130_fd_sc_hd__a21oi_1 U710 ( .A1(dcsr_q_1), .A2(n572), .B1(n568), .Y(n569) );
+  sky130_fd_sc_hd__clkinv_1 U711 ( .A(priv_mode_id_o[1]), .Y(n582) );
+  sky130_fd_sc_hd__o22ai_1 U712 ( .A1(n571), .A2(n569), .B1(n570), .B2(n582), 
+        .Y(dcsr_d[1]) );
+  sky130_fd_sc_hd__a22o_1 U713 ( .A1(n571), .A2(debug_cause_i[0]), .B1(n570), 
+        .B2(dcsr_q_6), .X(dcsr_d[6]) );
+  sky130_fd_sc_hd__a22o_1 U714 ( .A1(n571), .A2(debug_cause_i[1]), .B1(n570), 
+        .B2(dcsr_q_7), .X(dcsr_d[7]) );
+  sky130_fd_sc_hd__a22o_1 U715 ( .A1(n571), .A2(debug_cause_i[2]), .B1(n570), 
+        .B2(dcsr_q_8), .X(dcsr_d[8]) );
+  sky130_fd_sc_hd__nand2b_1 U716 ( .A_N(dcsr_q[30]), .B(n572), .Y(dcsr_d[30])
+         );
+  sky130_fd_sc_hd__clkinv_1 U718 ( .A(csr_wdata_int[21]), .Y(n586) );
+  sky130_fd_sc_hd__o22ai_1 U719 ( .A1(n574), .A2(n573), .B1(n705), .B2(n586), 
+        .Y(mstatus_d[0]) );
+  sky130_fd_sc_hd__clkinv_1 U720 ( .A(csr_wdata_int[17]), .Y(n585) );
+  sky130_fd_sc_hd__o22ai_1 U721 ( .A1(n574), .A2(n581), .B1(n705), .B2(n585), 
+        .Y(mstatus_d[1]) );
+  sky130_fd_sc_hd__nor2_1 U722 ( .A(n576), .B(n575), .Y(n579) );
+  sky130_fd_sc_hd__clkinv_1 U723 ( .A(n579), .Y(n577) );
+  sky130_fd_sc_hd__o22ai_1 U724 ( .A1(n579), .A2(n760), .B1(n577), .B2(n589), 
+        .Y(mcountinhibit_d[0]) );
+  sky130_fd_sc_hd__o22ai_1 U725 ( .A1(n579), .A2(n578), .B1(n577), .B2(n593), 
+        .Y(mcountinhibit_d[2]) );
+  sky130_fd_sc_hd__nor2b_1 U728 ( .B_N(csr_wdata_int[8]), .A(csr_mtvec_init_i), 
+        .Y(mtvec_d[8]) );
+  sky130_fd_sc_hd__nor2b_1 U729 ( .B_N(csr_wdata_int[9]), .A(csr_mtvec_init_i), 
+        .Y(mtvec_d[9]) );
+  sky130_fd_sc_hd__nor2b_1 U730 ( .B_N(csr_wdata_int[10]), .A(csr_mtvec_init_i), .Y(mtvec_d[10]) );
+  sky130_fd_sc_hd__nor2_1 U731 ( .A(csr_mtvec_init_i), .B(n694), .Y(
+        mtvec_d[11]) );
+  sky130_fd_sc_hd__nor2_1 U732 ( .A(csr_mtvec_init_i), .B(n695), .Y(
+        mtvec_d[12]) );
+  sky130_fd_sc_hd__nor2_1 U733 ( .A(csr_mtvec_init_i), .B(n583), .Y(
+        mtvec_d[13]) );
+  sky130_fd_sc_hd__nor2b_1 U734 ( .B_N(csr_wdata_int[14]), .A(csr_mtvec_init_i), .Y(mtvec_d[14]) );
+  sky130_fd_sc_hd__nor2_1 U735 ( .A(csr_mtvec_init_i), .B(n584), .Y(
+        mtvec_d[15]) );
+  sky130_fd_sc_hd__nor2b_1 U736 ( .B_N(csr_wdata_int[16]), .A(csr_mtvec_init_i), .Y(mtvec_d[16]) );
+  sky130_fd_sc_hd__nor2_1 U737 ( .A(csr_mtvec_init_i), .B(n585), .Y(
+        mtvec_d[17]) );
+  sky130_fd_sc_hd__nor2b_1 U738 ( .B_N(csr_wdata_int[18]), .A(csr_mtvec_init_i), .Y(mtvec_d[18]) );
+  sky130_fd_sc_hd__nor2b_1 U739 ( .B_N(csr_wdata_int[19]), .A(csr_mtvec_init_i), .Y(mtvec_d[19]) );
+  sky130_fd_sc_hd__nor2b_1 U740 ( .B_N(csr_wdata_int[20]), .A(csr_mtvec_init_i), .Y(mtvec_d[20]) );
+  sky130_fd_sc_hd__nor2_1 U741 ( .A(csr_mtvec_init_i), .B(n586), .Y(
+        mtvec_d[21]) );
+  sky130_fd_sc_hd__nor2b_1 U742 ( .B_N(csr_wdata_int[22]), .A(csr_mtvec_init_i), .Y(mtvec_d[22]) );
+  sky130_fd_sc_hd__nor2b_1 U743 ( .B_N(csr_wdata_int[23]), .A(csr_mtvec_init_i), .Y(mtvec_d[23]) );
+  sky130_fd_sc_hd__nor2b_1 U744 ( .B_N(csr_wdata_int[24]), .A(csr_mtvec_init_i), .Y(mtvec_d[24]) );
+  sky130_fd_sc_hd__nor2b_1 U745 ( .B_N(csr_wdata_int[25]), .A(csr_mtvec_init_i), .Y(mtvec_d[25]) );
+  sky130_fd_sc_hd__nor2b_1 U746 ( .B_N(csr_wdata_int[26]), .A(csr_mtvec_init_i), .Y(mtvec_d[26]) );
+  sky130_fd_sc_hd__nor2b_1 U747 ( .B_N(csr_wdata_int[27]), .A(csr_mtvec_init_i), .Y(mtvec_d[27]) );
+  sky130_fd_sc_hd__nor2b_1 U748 ( .B_N(csr_wdata_int[28]), .A(csr_mtvec_init_i), .Y(mtvec_d[28]) );
+  sky130_fd_sc_hd__nor2b_1 U749 ( .B_N(csr_wdata_int[30]), .A(csr_mtvec_init_i), .Y(mtvec_d[30]) );
+  sky130_fd_sc_hd__nand2_1 U752 ( .A(n711), .B(csr_mcause_i[0]), .Y(n588) );
+  sky130_fd_sc_hd__o21ai_1 U753 ( .A1(n589), .A2(n711), .B1(n588), .Y(n757) );
+  sky130_fd_sc_hd__nand2_1 U754 ( .A(n711), .B(csr_mcause_i[1]), .Y(n590) );
+  sky130_fd_sc_hd__o21ai_1 U755 ( .A1(n591), .A2(n711), .B1(n590), .Y(n756) );
+  sky130_fd_sc_hd__nand2_1 U756 ( .A(n711), .B(csr_mcause_i[2]), .Y(n592) );
+  sky130_fd_sc_hd__o21ai_1 U757 ( .A1(n593), .A2(n711), .B1(n592), .Y(n755) );
+  sky130_fd_sc_hd__nand2_1 U758 ( .A(n711), .B(csr_mcause_i[3]), .Y(n594) );
+  sky130_fd_sc_hd__nand2_1 U759 ( .A(n704), .B(n594), .Y(n754) );
+  sky130_fd_sc_hd__a22o_1 U760 ( .A1(n687), .A2(csr_wdata_int[31]), .B1(n711), 
+        .B2(csr_mcause_i[5]), .X(n752) );
+  sky130_fd_sc_hd__nor2_1 U761 ( .A(n687), .B(n595), .Y(n686) );
+  sky130_fd_sc_hd__nand2_1 U762 ( .A(pc_if_i[1]), .B(n686), .Y(n600) );
+  sky130_fd_sc_hd__nand2_1 U763 ( .A(csr_wdata_int[1]), .B(n687), .Y(n599) );
+  sky130_fd_sc_hd__nor2_1 U764 ( .A(n687), .B(n596), .Y(n688) );
+  sky130_fd_sc_hd__nor2_1 U765 ( .A(n687), .B(n597), .Y(n685) );
+  sky130_fd_sc_hd__a22oi_1 U766 ( .A1(pc_wb_i[1]), .A2(n688), .B1(pc_id_i[1]), 
+        .B2(n685), .Y(n598) );
+  sky130_fd_sc_hd__nand3_1 U767 ( .A(n600), .B(n599), .C(n598), .Y(n749) );
+  sky130_fd_sc_hd__a22oi_1 U768 ( .A1(pc_if_i[2]), .A2(n686), .B1(pc_id_i[2]), 
+        .B2(n685), .Y(n603) );
+  sky130_fd_sc_hd__nand2_1 U769 ( .A(csr_wdata_int[2]), .B(n687), .Y(n602) );
+  sky130_fd_sc_hd__nand2_1 U770 ( .A(pc_wb_i[2]), .B(n688), .Y(n601) );
+  sky130_fd_sc_hd__nand3_1 U771 ( .A(n603), .B(n602), .C(n601), .Y(n748) );
+  sky130_fd_sc_hd__a22oi_1 U772 ( .A1(pc_if_i[3]), .A2(n686), .B1(pc_wb_i[3]), 
+        .B2(n688), .Y(n605) );
+  sky130_fd_sc_hd__nand2_1 U773 ( .A(pc_id_i[3]), .B(n685), .Y(n604) );
+  sky130_fd_sc_hd__nand3_1 U774 ( .A(n605), .B(n704), .C(n604), .Y(n747) );
+  sky130_fd_sc_hd__a22oi_1 U775 ( .A1(pc_wb_i[4]), .A2(n688), .B1(pc_id_i[4]), 
+        .B2(n685), .Y(n607) );
+  sky130_fd_sc_hd__a21oi_1 U776 ( .A1(pc_if_i[4]), .A2(n686), .B1(n753), .Y(
+        n606) );
+  sky130_fd_sc_hd__nand2_1 U777 ( .A(n607), .B(n606), .Y(n746) );
+  sky130_fd_sc_hd__nand2_1 U778 ( .A(n688), .B(pc_wb_i[5]), .Y(n610) );
+  sky130_fd_sc_hd__nand2_1 U779 ( .A(csr_wdata_int[5]), .B(n687), .Y(n609) );
+  sky130_fd_sc_hd__a22oi_1 U780 ( .A1(n685), .A2(pc_id_i[5]), .B1(n686), .B2(
+        pc_if_i[5]), .Y(n608) );
+  sky130_fd_sc_hd__nand3_1 U781 ( .A(n610), .B(n609), .C(n608), .Y(n745) );
+  sky130_fd_sc_hd__nand2_1 U782 ( .A(n688), .B(pc_wb_i[6]), .Y(n613) );
+  sky130_fd_sc_hd__nand2_1 U783 ( .A(csr_wdata_int[6]), .B(n687), .Y(n612) );
+  sky130_fd_sc_hd__a22oi_1 U784 ( .A1(n685), .A2(pc_id_i[6]), .B1(n686), .B2(
+        pc_if_i[6]), .Y(n611) );
+  sky130_fd_sc_hd__nand3_1 U785 ( .A(n613), .B(n612), .C(n611), .Y(n744) );
+  sky130_fd_sc_hd__a22oi_1 U786 ( .A1(pc_if_i[7]), .A2(n686), .B1(pc_wb_i[7]), 
+        .B2(n688), .Y(n615) );
+  sky130_fd_sc_hd__nand2_1 U787 ( .A(pc_id_i[7]), .B(n685), .Y(n614) );
+  sky130_fd_sc_hd__nand3_1 U788 ( .A(n615), .B(n702), .C(n614), .Y(n743) );
+  sky130_fd_sc_hd__nand2_1 U789 ( .A(pc_if_i[8]), .B(n686), .Y(n618) );
+  sky130_fd_sc_hd__nand2_1 U790 ( .A(csr_wdata_int[8]), .B(n687), .Y(n617) );
+  sky130_fd_sc_hd__a22oi_1 U791 ( .A1(pc_wb_i[8]), .A2(n688), .B1(pc_id_i[8]), 
+        .B2(n685), .Y(n616) );
+  sky130_fd_sc_hd__nand3_1 U792 ( .A(n618), .B(n617), .C(n616), .Y(n742) );
+  sky130_fd_sc_hd__nand2_1 U793 ( .A(n688), .B(pc_wb_i[9]), .Y(n621) );
+  sky130_fd_sc_hd__nand2_1 U794 ( .A(csr_wdata_int[9]), .B(n687), .Y(n620) );
+  sky130_fd_sc_hd__a22oi_1 U795 ( .A1(n685), .A2(pc_id_i[9]), .B1(n686), .B2(
+        pc_if_i[9]), .Y(n619) );
+  sky130_fd_sc_hd__nand3_1 U796 ( .A(n621), .B(n620), .C(n619), .Y(n741) );
+  sky130_fd_sc_hd__nand2_1 U797 ( .A(n688), .B(pc_wb_i[10]), .Y(n624) );
+  sky130_fd_sc_hd__nand2_1 U798 ( .A(csr_wdata_int[10]), .B(n687), .Y(n623) );
+  sky130_fd_sc_hd__a22oi_1 U799 ( .A1(n685), .A2(pc_id_i[10]), .B1(n686), .B2(
+        pc_if_i[10]), .Y(n622) );
+  sky130_fd_sc_hd__nand3_1 U800 ( .A(n624), .B(n623), .C(n622), .Y(n740) );
+  sky130_fd_sc_hd__nand2_1 U801 ( .A(pc_if_i[11]), .B(n686), .Y(n627) );
+  sky130_fd_sc_hd__nand2_1 U802 ( .A(csr_wdata_int[11]), .B(n687), .Y(n626) );
+  sky130_fd_sc_hd__a22oi_1 U803 ( .A1(pc_wb_i[11]), .A2(n688), .B1(pc_id_i[11]), .B2(n685), .Y(n625) );
+  sky130_fd_sc_hd__nand3_1 U804 ( .A(n627), .B(n626), .C(n625), .Y(n739) );
+  sky130_fd_sc_hd__nand2_1 U805 ( .A(pc_if_i[12]), .B(n686), .Y(n630) );
+  sky130_fd_sc_hd__nand2_1 U806 ( .A(csr_wdata_int[12]), .B(n687), .Y(n629) );
+  sky130_fd_sc_hd__a22oi_1 U807 ( .A1(pc_wb_i[12]), .A2(n688), .B1(pc_id_i[12]), .B2(n685), .Y(n628) );
+  sky130_fd_sc_hd__nand3_1 U808 ( .A(n630), .B(n629), .C(n628), .Y(n738) );
+  sky130_fd_sc_hd__nand2_1 U809 ( .A(n688), .B(pc_wb_i[13]), .Y(n633) );
+  sky130_fd_sc_hd__nand2_1 U810 ( .A(csr_wdata_int[13]), .B(n687), .Y(n632) );
+  sky130_fd_sc_hd__a22oi_1 U811 ( .A1(n685), .A2(pc_id_i[13]), .B1(n686), .B2(
+        pc_if_i[13]), .Y(n631) );
+  sky130_fd_sc_hd__nand3_1 U812 ( .A(n633), .B(n632), .C(n631), .Y(n737) );
+  sky130_fd_sc_hd__nand2_1 U813 ( .A(n688), .B(pc_wb_i[14]), .Y(n636) );
+  sky130_fd_sc_hd__nand2_1 U814 ( .A(csr_wdata_int[14]), .B(n687), .Y(n635) );
+  sky130_fd_sc_hd__a22oi_1 U815 ( .A1(n685), .A2(pc_id_i[14]), .B1(n686), .B2(
+        pc_if_i[14]), .Y(n634) );
+  sky130_fd_sc_hd__nand3_1 U816 ( .A(n636), .B(n635), .C(n634), .Y(n736) );
+  sky130_fd_sc_hd__nand2_1 U817 ( .A(n688), .B(pc_wb_i[15]), .Y(n639) );
+  sky130_fd_sc_hd__nand2_1 U818 ( .A(csr_wdata_int[15]), .B(n687), .Y(n638) );
+  sky130_fd_sc_hd__a22oi_1 U819 ( .A1(n685), .A2(pc_id_i[15]), .B1(n686), .B2(
+        pc_if_i[15]), .Y(n637) );
+  sky130_fd_sc_hd__nand3_1 U820 ( .A(n639), .B(n638), .C(n637), .Y(n735) );
+  sky130_fd_sc_hd__nand2_1 U821 ( .A(n688), .B(pc_wb_i[16]), .Y(n642) );
+  sky130_fd_sc_hd__nand2_1 U822 ( .A(csr_wdata_int[16]), .B(n687), .Y(n641) );
+  sky130_fd_sc_hd__a22oi_1 U823 ( .A1(n685), .A2(pc_id_i[16]), .B1(n686), .B2(
+        pc_if_i[16]), .Y(n640) );
+  sky130_fd_sc_hd__nand3_1 U824 ( .A(n642), .B(n641), .C(n640), .Y(n734) );
+  sky130_fd_sc_hd__nand2_1 U825 ( .A(pc_if_i[17]), .B(n686), .Y(n645) );
+  sky130_fd_sc_hd__nand2_1 U826 ( .A(csr_wdata_int[17]), .B(n687), .Y(n644) );
+  sky130_fd_sc_hd__a22oi_1 U827 ( .A1(pc_wb_i[17]), .A2(n688), .B1(pc_id_i[17]), .B2(n685), .Y(n643) );
+  sky130_fd_sc_hd__nand3_1 U828 ( .A(n645), .B(n644), .C(n643), .Y(n733) );
+  sky130_fd_sc_hd__nand2_1 U829 ( .A(n688), .B(pc_wb_i[18]), .Y(n648) );
+  sky130_fd_sc_hd__nand2_1 U830 ( .A(csr_wdata_int[18]), .B(n687), .Y(n647) );
+  sky130_fd_sc_hd__a22oi_1 U831 ( .A1(n685), .A2(pc_id_i[18]), .B1(n686), .B2(
+        pc_if_i[18]), .Y(n646) );
+  sky130_fd_sc_hd__nand3_1 U832 ( .A(n648), .B(n647), .C(n646), .Y(n732) );
+  sky130_fd_sc_hd__nand2_1 U833 ( .A(n688), .B(pc_wb_i[19]), .Y(n651) );
+  sky130_fd_sc_hd__nand2_1 U834 ( .A(csr_wdata_int[19]), .B(n687), .Y(n650) );
+  sky130_fd_sc_hd__a22oi_1 U835 ( .A1(n685), .A2(pc_id_i[19]), .B1(n686), .B2(
+        pc_if_i[19]), .Y(n649) );
+  sky130_fd_sc_hd__nand3_1 U836 ( .A(n651), .B(n650), .C(n649), .Y(n731) );
+  sky130_fd_sc_hd__nand2_1 U837 ( .A(pc_if_i[20]), .B(n686), .Y(n654) );
+  sky130_fd_sc_hd__nand2_1 U838 ( .A(csr_wdata_int[20]), .B(n687), .Y(n653) );
+  sky130_fd_sc_hd__a22oi_1 U839 ( .A1(pc_wb_i[20]), .A2(n688), .B1(pc_id_i[20]), .B2(n685), .Y(n652) );
+  sky130_fd_sc_hd__nand3_1 U840 ( .A(n654), .B(n653), .C(n652), .Y(n730) );
+  sky130_fd_sc_hd__nand2_1 U841 ( .A(pc_if_i[21]), .B(n686), .Y(n657) );
+  sky130_fd_sc_hd__nand2_1 U842 ( .A(csr_wdata_int[21]), .B(n687), .Y(n656) );
+  sky130_fd_sc_hd__a22oi_1 U843 ( .A1(pc_wb_i[21]), .A2(n688), .B1(pc_id_i[21]), .B2(n685), .Y(n655) );
+  sky130_fd_sc_hd__nand3_1 U844 ( .A(n657), .B(n656), .C(n655), .Y(n729) );
+  sky130_fd_sc_hd__nand2_1 U845 ( .A(n688), .B(pc_wb_i[22]), .Y(n660) );
+  sky130_fd_sc_hd__nand2_1 U846 ( .A(csr_wdata_int[22]), .B(n687), .Y(n659) );
+  sky130_fd_sc_hd__a22oi_1 U847 ( .A1(n685), .A2(pc_id_i[22]), .B1(n686), .B2(
+        pc_if_i[22]), .Y(n658) );
+  sky130_fd_sc_hd__nand3_1 U848 ( .A(n660), .B(n659), .C(n658), .Y(n728) );
+  sky130_fd_sc_hd__nand2_1 U849 ( .A(n688), .B(pc_wb_i[23]), .Y(n663) );
+  sky130_fd_sc_hd__nand2_1 U850 ( .A(csr_wdata_int[23]), .B(n687), .Y(n662) );
+  sky130_fd_sc_hd__a22oi_1 U851 ( .A1(n685), .A2(pc_id_i[23]), .B1(n686), .B2(
+        pc_if_i[23]), .Y(n661) );
+  sky130_fd_sc_hd__nand3_1 U852 ( .A(n663), .B(n662), .C(n661), .Y(n727) );
+  sky130_fd_sc_hd__nand2_1 U853 ( .A(n688), .B(pc_wb_i[24]), .Y(n666) );
+  sky130_fd_sc_hd__nand2_1 U854 ( .A(csr_wdata_int[24]), .B(n687), .Y(n665) );
+  sky130_fd_sc_hd__a22oi_1 U855 ( .A1(n685), .A2(pc_id_i[24]), .B1(n686), .B2(
+        pc_if_i[24]), .Y(n664) );
+  sky130_fd_sc_hd__nand3_1 U856 ( .A(n666), .B(n665), .C(n664), .Y(n726) );
+  sky130_fd_sc_hd__nand2_1 U857 ( .A(n688), .B(pc_wb_i[25]), .Y(n669) );
+  sky130_fd_sc_hd__nand2_1 U858 ( .A(csr_wdata_int[25]), .B(n687), .Y(n668) );
+  sky130_fd_sc_hd__a22oi_1 U859 ( .A1(n685), .A2(pc_id_i[25]), .B1(n686), .B2(
+        pc_if_i[25]), .Y(n667) );
+  sky130_fd_sc_hd__nand3_1 U860 ( .A(n669), .B(n668), .C(n667), .Y(n725) );
+  sky130_fd_sc_hd__nand2_1 U861 ( .A(n688), .B(pc_wb_i[26]), .Y(n672) );
+  sky130_fd_sc_hd__nand2_1 U862 ( .A(csr_wdata_int[26]), .B(n687), .Y(n671) );
+  sky130_fd_sc_hd__a22oi_1 U863 ( .A1(n685), .A2(pc_id_i[26]), .B1(n686), .B2(
+        pc_if_i[26]), .Y(n670) );
+  sky130_fd_sc_hd__nand3_1 U864 ( .A(n672), .B(n671), .C(n670), .Y(n724) );
+  sky130_fd_sc_hd__nand2_1 U865 ( .A(n688), .B(pc_wb_i[27]), .Y(n675) );
+  sky130_fd_sc_hd__nand2_1 U866 ( .A(csr_wdata_int[27]), .B(n687), .Y(n674) );
+  sky130_fd_sc_hd__a22oi_1 U867 ( .A1(n685), .A2(pc_id_i[27]), .B1(n686), .B2(
+        pc_if_i[27]), .Y(n673) );
+  sky130_fd_sc_hd__nand3_1 U868 ( .A(n675), .B(n674), .C(n673), .Y(n723) );
+  sky130_fd_sc_hd__nand2_1 U869 ( .A(n688), .B(pc_wb_i[28]), .Y(n678) );
+  sky130_fd_sc_hd__nand2_1 U870 ( .A(csr_wdata_int[28]), .B(n687), .Y(n677) );
+  sky130_fd_sc_hd__a22oi_1 U871 ( .A1(n685), .A2(pc_id_i[28]), .B1(n686), .B2(
+        pc_if_i[28]), .Y(n676) );
+  sky130_fd_sc_hd__nand3_1 U872 ( .A(n678), .B(n677), .C(n676), .Y(n722) );
+  sky130_fd_sc_hd__nand2_1 U873 ( .A(n688), .B(pc_wb_i[29]), .Y(n681) );
+  sky130_fd_sc_hd__nand2_1 U874 ( .A(csr_wdata_int[29]), .B(n687), .Y(n680) );
+  sky130_fd_sc_hd__a22oi_1 U875 ( .A1(n685), .A2(pc_id_i[29]), .B1(n686), .B2(
+        pc_if_i[29]), .Y(n679) );
+  sky130_fd_sc_hd__nand3_1 U876 ( .A(n681), .B(n680), .C(n679), .Y(n721) );
+  sky130_fd_sc_hd__nand2_1 U877 ( .A(pc_if_i[30]), .B(n686), .Y(n684) );
+  sky130_fd_sc_hd__nand2_1 U878 ( .A(csr_wdata_int[30]), .B(n687), .Y(n683) );
+  sky130_fd_sc_hd__a22oi_1 U879 ( .A1(pc_wb_i[30]), .A2(n688), .B1(pc_id_i[30]), .B2(n685), .Y(n682) );
+  sky130_fd_sc_hd__nand3_1 U880 ( .A(n684), .B(n683), .C(n682), .Y(n720) );
+  sky130_fd_sc_hd__a22oi_1 U881 ( .A1(pc_if_i[31]), .A2(n686), .B1(pc_id_i[31]), .B2(n685), .Y(n691) );
+  sky130_fd_sc_hd__nand2_1 U882 ( .A(csr_wdata_int[31]), .B(n687), .Y(n690) );
+  sky130_fd_sc_hd__nand2_1 U883 ( .A(pc_wb_i[31]), .B(n688), .Y(n689) );
+  sky130_fd_sc_hd__nand3_1 U884 ( .A(n691), .B(n690), .C(n689), .Y(n719) );
+  sky130_fd_sc_hd__clkinv_1 U885 ( .A(n692), .Y(n693) );
+  sky130_fd_sc_hd__a211oi_1 U886 ( .A1(n695), .A2(n694), .B1(n693), .C1(n705), 
+        .Y(n698) );
+  sky130_fd_sc_hd__a21oi_1 U887 ( .A1(n711), .A2(priv_mode_id_o[0]), .B1(n698), 
+        .Y(n696) );
+  sky130_fd_sc_hd__o21ai_1 U888 ( .A1(n697), .A2(n712), .B1(n696), .Y(n717) );
+  sky130_fd_sc_hd__a21oi_1 U889 ( .A1(n711), .A2(priv_mode_id_o[1]), .B1(n698), 
+        .Y(n699) );
+  sky130_fd_sc_hd__o21ai_1 U890 ( .A1(n700), .A2(n712), .B1(n699), .Y(n716) );
+  sky130_fd_sc_hd__o22ai_1 U891 ( .A1(n705), .A2(n702), .B1(n712), .B2(n701), 
+        .Y(n703) );
+  sky130_fd_sc_hd__a211o_1 U892 ( .A1(n711), .A2(csr_mstatus_mie_o), .B1(n707), 
+        .C1(n703), .X(n715) );
+  sky130_fd_sc_hd__nor3_1 U893 ( .A(n707), .B(n705), .C(n704), .Y(n706) );
+  sky130_fd_sc_hd__a21oi_1 U894 ( .A1(mstack_d[2]), .A2(n707), .B1(n706), .Y(
+        n708) );
+  sky130_fd_sc_hd__o21ai_1 U895 ( .A1(n712), .A2(n709), .B1(n708), .Y(n714) );
+  sky130_fd_sc_hd__nor2b_1 U76 ( .B_N(csr_wdata_int[31]), .A(csr_mtvec_init_i), 
+        .Y(mtvec_d[31]) );
+  sky130_fd_sc_hd__a21oi_1 U78 ( .A1(n490), .A2(csr_wdata_i[31]), .B1(n278), 
+        .Y(csr_wdata_int[31]) );
+  sky130_fd_sc_hd__or2_0 U79 ( .A(n750), .B(csr_mtvec_init_i), .X(mtvec_en) );
+  sky130_fd_sc_hd__nor4_1 U182 ( .A(n143), .B(csr_addr_i[3]), .C(n142), .D(
+        n176), .Y(n750) );
+  sky130_fd_sc_hd__nand2b_1 U305 ( .A_N(n141), .B(n113), .Y(n176) );
+  sky130_fd_sc_hd__clkinv_1 U717 ( .A(n574), .Y(n705) );
+  sky130_fd_sc_hd__nor2_1 U750 ( .A(n176), .B(n177), .Y(n574) );
+endmodule
+
+
+
+    module opentitan_soc_top_ibex_core_0_00000000_00000004_00000000_00000028_0_2_0_0_1_0_0_00000020_00000016_00000040_0_0_00000001_0_0_0_00000020_00000000_00000000_0 ( 
+        clk_i, rst_ni, hart_id_i, boot_addr_i, instr_req_o, instr_gnt_i, 
+        instr_rvalid_i, instr_addr_o, instr_rdata_i, instr_err_i, data_req_o, 
+        data_gnt_i, data_rvalid_i, data_we_o, data_be_o, data_addr_o, 
+        data_wdata_o, data_rdata_i, data_err_i, dummy_instr_id_o, rf_raddr_a_o, 
+        rf_raddr_b_o, rf_waddr_wb_o, rf_we_wb_o, rf_wdata_wb_ecc_o, 
+        rf_rdata_a_ecc_i, rf_rdata_b_ecc_i, ic_tag_req_o, ic_tag_write_o, 
+        ic_tag_addr_o, ic_tag_wdata_o, ic_tag_rdata_i, ic_data_req_o, 
+        ic_data_write_o, ic_data_addr_o, ic_data_wdata_o, ic_data_rdata_i, 
+        irq_software_i, irq_timer_i, irq_external_i, irq_fast_i, irq_nm_i, 
+        irq_pending_o, debug_req_i, crash_dump_o, alert_minor_o, alert_major_o, 
+        core_busy_o );
+  input [31:0] hart_id_i;
+  input [31:0] boot_addr_i;
+  output [31:0] instr_addr_o;
+  input [31:0] instr_rdata_i;
+  output [3:0] data_be_o;
+  output [31:0] data_addr_o;
+  output [31:0] data_wdata_o;
+  input [31:0] data_rdata_i;
+  output [4:0] rf_raddr_a_o;
+  output [4:0] rf_raddr_b_o;
+  output [4:0] rf_waddr_wb_o;
+  output [31:0] rf_wdata_wb_ecc_o;
+  input [31:0] rf_rdata_a_ecc_i;
+  input [31:0] rf_rdata_b_ecc_i;
+  output [1:0] ic_tag_req_o;
+  output [7:0] ic_tag_addr_o;
+  output [21:0] ic_tag_wdata_o;
+  input [43:0] ic_tag_rdata_i;
+  output [1:0] ic_data_req_o;
+  output [7:0] ic_data_addr_o;
+  output [63:0] ic_data_wdata_o;
+  input [127:0] ic_data_rdata_i;
+  input [14:0] irq_fast_i;
+  output [127:0] crash_dump_o;
+  input clk_i, rst_ni, instr_gnt_i, instr_rvalid_i, instr_err_i, data_gnt_i,
+         data_rvalid_i, data_err_i, irq_software_i, irq_timer_i,
+         irq_external_i, irq_nm_i, debug_req_i;
+  output instr_req_o, data_req_o, data_we_o, dummy_instr_id_o, rf_we_wb_o,
+         ic_tag_write_o, ic_data_write_o, irq_pending_o, alert_minor_o,
+         alert_major_o, core_busy_o;
+  wire   irq_pending_o0, instr_req_int, instr_valid_id, instr_is_compressed_id,
+         instr_fetch_err, instr_fetch_err_plus2, illegal_c_insn_id,
+         instr_valid_clear, pc_set, pc_set_spec, csr_mtvec_init, id_in_ready,
+         branch_decision, instr_first_cycle_id, ex_valid, lsu_resp_valid,
+         mult_en_ex, div_en_ex, mult_sel_ex, div_sel_ex, multdiv_ready_id,
+         csr_access, csr_op_en, csr_save_if, csr_save_id, csr_save_wb,
+         csr_restore_mret_id, csr_restore_dret_id, csr_save_cause,
+         csr_mstatus_tw, illegal_csr_insn_id, lsu_req, lsu_we, lsu_sign_ext,
+         lsu_req_done, lsu_addr_incr_req, lsu_load_err, lsu_store_err,
+         csr_mstatus_mie, irqs_15_, debug_mode, debug_csr_save,
+         debug_single_step, debug_ebreakm, debug_ebreaku, rf_we_id,
+         rf_write_wb, en_wb, instr_perf_count_id, ready_wb,
+         outstanding_load_wb, outstanding_store_wb, lsu_resp_err, rf_we_lsu,
+         perf_instr_ret_wb, n76, n77, n78, n79, n80, n81, n82,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56,
+         SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58,
+         SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60,
+         SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62,
+         SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64,
+         SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66,
+         SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68,
+         SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70,
+         SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72,
+         SYNOPSYS_UNCONNECTED_73, SYNOPSYS_UNCONNECTED_74,
+         SYNOPSYS_UNCONNECTED_75, SYNOPSYS_UNCONNECTED_76,
+         SYNOPSYS_UNCONNECTED_77, SYNOPSYS_UNCONNECTED_78,
+         SYNOPSYS_UNCONNECTED_79, SYNOPSYS_UNCONNECTED_80,
+         SYNOPSYS_UNCONNECTED_81, SYNOPSYS_UNCONNECTED_82,
+         SYNOPSYS_UNCONNECTED_83, SYNOPSYS_UNCONNECTED_84,
+         SYNOPSYS_UNCONNECTED_85, SYNOPSYS_UNCONNECTED_86,
+         SYNOPSYS_UNCONNECTED_87, SYNOPSYS_UNCONNECTED_88,
+         SYNOPSYS_UNCONNECTED_89, SYNOPSYS_UNCONNECTED_90,
+         SYNOPSYS_UNCONNECTED_91, SYNOPSYS_UNCONNECTED_92,
+         SYNOPSYS_UNCONNECTED_93, SYNOPSYS_UNCONNECTED_94,
+         SYNOPSYS_UNCONNECTED_95, SYNOPSYS_UNCONNECTED_96,
+         SYNOPSYS_UNCONNECTED_97, SYNOPSYS_UNCONNECTED_98,
+         SYNOPSYS_UNCONNECTED_99, SYNOPSYS_UNCONNECTED_100,
+         SYNOPSYS_UNCONNECTED_101, SYNOPSYS_UNCONNECTED_102,
+         SYNOPSYS_UNCONNECTED_103, SYNOPSYS_UNCONNECTED_104,
+         SYNOPSYS_UNCONNECTED_105, SYNOPSYS_UNCONNECTED_106,
+         SYNOPSYS_UNCONNECTED_107, SYNOPSYS_UNCONNECTED_108,
+         SYNOPSYS_UNCONNECTED_109, SYNOPSYS_UNCONNECTED_110,
+         SYNOPSYS_UNCONNECTED_111, SYNOPSYS_UNCONNECTED_112,
+         SYNOPSYS_UNCONNECTED_113, SYNOPSYS_UNCONNECTED_114,
+         SYNOPSYS_UNCONNECTED_115, SYNOPSYS_UNCONNECTED_116,
+         SYNOPSYS_UNCONNECTED_117, SYNOPSYS_UNCONNECTED_118,
+         SYNOPSYS_UNCONNECTED_119, SYNOPSYS_UNCONNECTED_120,
+         SYNOPSYS_UNCONNECTED_121, SYNOPSYS_UNCONNECTED_122,
+         SYNOPSYS_UNCONNECTED_123, SYNOPSYS_UNCONNECTED_124,
+         SYNOPSYS_UNCONNECTED_125, SYNOPSYS_UNCONNECTED_126,
+         SYNOPSYS_UNCONNECTED_127, SYNOPSYS_UNCONNECTED_128,
+         SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_130,
+         SYNOPSYS_UNCONNECTED_131, SYNOPSYS_UNCONNECTED_132,
+         SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134,
+         SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136,
+         SYNOPSYS_UNCONNECTED_137, SYNOPSYS_UNCONNECTED_138,
+         SYNOPSYS_UNCONNECTED_139, SYNOPSYS_UNCONNECTED_140,
+         SYNOPSYS_UNCONNECTED_141, SYNOPSYS_UNCONNECTED_142,
+         SYNOPSYS_UNCONNECTED_143, SYNOPSYS_UNCONNECTED_144,
+         SYNOPSYS_UNCONNECTED_145, SYNOPSYS_UNCONNECTED_146,
+         SYNOPSYS_UNCONNECTED_147, SYNOPSYS_UNCONNECTED_148,
+         SYNOPSYS_UNCONNECTED_149, SYNOPSYS_UNCONNECTED_150,
+         SYNOPSYS_UNCONNECTED_151, SYNOPSYS_UNCONNECTED_152,
+         SYNOPSYS_UNCONNECTED_153, SYNOPSYS_UNCONNECTED_154,
+         SYNOPSYS_UNCONNECTED_155, SYNOPSYS_UNCONNECTED_156,
+         SYNOPSYS_UNCONNECTED_157, SYNOPSYS_UNCONNECTED_158,
+         SYNOPSYS_UNCONNECTED_159, SYNOPSYS_UNCONNECTED_160,
+         SYNOPSYS_UNCONNECTED_161, SYNOPSYS_UNCONNECTED_162,
+         SYNOPSYS_UNCONNECTED_163, SYNOPSYS_UNCONNECTED_164,
+         SYNOPSYS_UNCONNECTED_165, SYNOPSYS_UNCONNECTED_166,
+         SYNOPSYS_UNCONNECTED_167, SYNOPSYS_UNCONNECTED_168,
+         SYNOPSYS_UNCONNECTED_169, SYNOPSYS_UNCONNECTED_170,
+         SYNOPSYS_UNCONNECTED_171, SYNOPSYS_UNCONNECTED_172,
+         SYNOPSYS_UNCONNECTED_173, SYNOPSYS_UNCONNECTED_174,
+         SYNOPSYS_UNCONNECTED_175, SYNOPSYS_UNCONNECTED_176,
+         SYNOPSYS_UNCONNECTED_177, SYNOPSYS_UNCONNECTED_178,
+         SYNOPSYS_UNCONNECTED_179, SYNOPSYS_UNCONNECTED_180,
+         SYNOPSYS_UNCONNECTED_181, SYNOPSYS_UNCONNECTED_182,
+         SYNOPSYS_UNCONNECTED_183, SYNOPSYS_UNCONNECTED_184,
+         SYNOPSYS_UNCONNECTED_185, SYNOPSYS_UNCONNECTED_186,
+         SYNOPSYS_UNCONNECTED_187, SYNOPSYS_UNCONNECTED_188,
+         SYNOPSYS_UNCONNECTED_189, SYNOPSYS_UNCONNECTED_190,
+         SYNOPSYS_UNCONNECTED_191, SYNOPSYS_UNCONNECTED_192,
+         SYNOPSYS_UNCONNECTED_193, SYNOPSYS_UNCONNECTED_194,
+         SYNOPSYS_UNCONNECTED_195, SYNOPSYS_UNCONNECTED_196,
+         SYNOPSYS_UNCONNECTED_197, SYNOPSYS_UNCONNECTED_198,
+         SYNOPSYS_UNCONNECTED_199, SYNOPSYS_UNCONNECTED_200,
+         SYNOPSYS_UNCONNECTED_201, SYNOPSYS_UNCONNECTED_202,
+         SYNOPSYS_UNCONNECTED_203, SYNOPSYS_UNCONNECTED_204,
+         SYNOPSYS_UNCONNECTED_205, SYNOPSYS_UNCONNECTED_206,
+         SYNOPSYS_UNCONNECTED_207, SYNOPSYS_UNCONNECTED_208,
+         SYNOPSYS_UNCONNECTED_209, SYNOPSYS_UNCONNECTED_210,
+         SYNOPSYS_UNCONNECTED_211, SYNOPSYS_UNCONNECTED_212,
+         SYNOPSYS_UNCONNECTED_213, SYNOPSYS_UNCONNECTED_214,
+         SYNOPSYS_UNCONNECTED_215, SYNOPSYS_UNCONNECTED_216,
+         SYNOPSYS_UNCONNECTED_217, SYNOPSYS_UNCONNECTED_218,
+         SYNOPSYS_UNCONNECTED_219, SYNOPSYS_UNCONNECTED_220,
+         SYNOPSYS_UNCONNECTED_221, SYNOPSYS_UNCONNECTED_222,
+         SYNOPSYS_UNCONNECTED_223, SYNOPSYS_UNCONNECTED_224,
+         SYNOPSYS_UNCONNECTED_225, SYNOPSYS_UNCONNECTED_226,
+         SYNOPSYS_UNCONNECTED_227, SYNOPSYS_UNCONNECTED_228,
+         SYNOPSYS_UNCONNECTED_229, SYNOPSYS_UNCONNECTED_230,
+         SYNOPSYS_UNCONNECTED_231, SYNOPSYS_UNCONNECTED_232,
+         SYNOPSYS_UNCONNECTED_233, SYNOPSYS_UNCONNECTED_234,
+         SYNOPSYS_UNCONNECTED_235, SYNOPSYS_UNCONNECTED_236,
+         SYNOPSYS_UNCONNECTED_237, SYNOPSYS_UNCONNECTED_238,
+         SYNOPSYS_UNCONNECTED_239, SYNOPSYS_UNCONNECTED_240,
+         SYNOPSYS_UNCONNECTED_241, SYNOPSYS_UNCONNECTED_242,
+         SYNOPSYS_UNCONNECTED_243, SYNOPSYS_UNCONNECTED_244,
+         SYNOPSYS_UNCONNECTED_245, SYNOPSYS_UNCONNECTED_246,
+         SYNOPSYS_UNCONNECTED_247, SYNOPSYS_UNCONNECTED_248,
+         SYNOPSYS_UNCONNECTED_249, SYNOPSYS_UNCONNECTED_250,
+         SYNOPSYS_UNCONNECTED_251, SYNOPSYS_UNCONNECTED_252,
+         SYNOPSYS_UNCONNECTED_253, SYNOPSYS_UNCONNECTED_254,
+         SYNOPSYS_UNCONNECTED_255, SYNOPSYS_UNCONNECTED_256,
+         SYNOPSYS_UNCONNECTED_257, SYNOPSYS_UNCONNECTED_258,
+         SYNOPSYS_UNCONNECTED_259, SYNOPSYS_UNCONNECTED_260,
+         SYNOPSYS_UNCONNECTED_261, SYNOPSYS_UNCONNECTED_262,
+         SYNOPSYS_UNCONNECTED_263, SYNOPSYS_UNCONNECTED_264,
+         SYNOPSYS_UNCONNECTED_265, SYNOPSYS_UNCONNECTED_266,
+         SYNOPSYS_UNCONNECTED_267, SYNOPSYS_UNCONNECTED_268,
+         SYNOPSYS_UNCONNECTED_269, SYNOPSYS_UNCONNECTED_270,
+         SYNOPSYS_UNCONNECTED_271, SYNOPSYS_UNCONNECTED_272,
+         SYNOPSYS_UNCONNECTED_273, SYNOPSYS_UNCONNECTED_274,
+         SYNOPSYS_UNCONNECTED_275, SYNOPSYS_UNCONNECTED_276,
+         SYNOPSYS_UNCONNECTED_277, SYNOPSYS_UNCONNECTED_278,
+         SYNOPSYS_UNCONNECTED_279, SYNOPSYS_UNCONNECTED_280,
+         SYNOPSYS_UNCONNECTED_281, SYNOPSYS_UNCONNECTED_282,
+         SYNOPSYS_UNCONNECTED_283, SYNOPSYS_UNCONNECTED_284,
+         SYNOPSYS_UNCONNECTED_285, SYNOPSYS_UNCONNECTED_286,
+         SYNOPSYS_UNCONNECTED_287, SYNOPSYS_UNCONNECTED_288,
+         SYNOPSYS_UNCONNECTED_289, SYNOPSYS_UNCONNECTED_290,
+         SYNOPSYS_UNCONNECTED_291, SYNOPSYS_UNCONNECTED_292,
+         SYNOPSYS_UNCONNECTED_293, SYNOPSYS_UNCONNECTED_294,
+         SYNOPSYS_UNCONNECTED_295, SYNOPSYS_UNCONNECTED_296,
+         SYNOPSYS_UNCONNECTED_297, SYNOPSYS_UNCONNECTED_298,
+         SYNOPSYS_UNCONNECTED_299, SYNOPSYS_UNCONNECTED_300,
+         SYNOPSYS_UNCONNECTED_301, SYNOPSYS_UNCONNECTED_302,
+         SYNOPSYS_UNCONNECTED_303, SYNOPSYS_UNCONNECTED_304,
+         SYNOPSYS_UNCONNECTED_305, SYNOPSYS_UNCONNECTED_306,
+         SYNOPSYS_UNCONNECTED_307, SYNOPSYS_UNCONNECTED_308,
+         SYNOPSYS_UNCONNECTED_309, SYNOPSYS_UNCONNECTED_310,
+         SYNOPSYS_UNCONNECTED_311, SYNOPSYS_UNCONNECTED_312,
+         SYNOPSYS_UNCONNECTED_313, SYNOPSYS_UNCONNECTED_314,
+         SYNOPSYS_UNCONNECTED_315, SYNOPSYS_UNCONNECTED_316,
+         SYNOPSYS_UNCONNECTED_317, SYNOPSYS_UNCONNECTED_318,
+         SYNOPSYS_UNCONNECTED_319, SYNOPSYS_UNCONNECTED_320,
+         SYNOPSYS_UNCONNECTED_321, SYNOPSYS_UNCONNECTED_322,
+         SYNOPSYS_UNCONNECTED_323, SYNOPSYS_UNCONNECTED_324,
+         SYNOPSYS_UNCONNECTED_325, SYNOPSYS_UNCONNECTED_326,
+         SYNOPSYS_UNCONNECTED_327, SYNOPSYS_UNCONNECTED_328,
+         SYNOPSYS_UNCONNECTED_329, SYNOPSYS_UNCONNECTED_330,
+         SYNOPSYS_UNCONNECTED_331, SYNOPSYS_UNCONNECTED_332,
+         SYNOPSYS_UNCONNECTED_333, SYNOPSYS_UNCONNECTED_334,
+         SYNOPSYS_UNCONNECTED_335, SYNOPSYS_UNCONNECTED_336,
+         SYNOPSYS_UNCONNECTED_337, SYNOPSYS_UNCONNECTED_338,
+         SYNOPSYS_UNCONNECTED_339, SYNOPSYS_UNCONNECTED_340,
+         SYNOPSYS_UNCONNECTED_341, SYNOPSYS_UNCONNECTED_342,
+         SYNOPSYS_UNCONNECTED_343, SYNOPSYS_UNCONNECTED_344,
+         SYNOPSYS_UNCONNECTED_345, SYNOPSYS_UNCONNECTED_346,
+         SYNOPSYS_UNCONNECTED_347, SYNOPSYS_UNCONNECTED_348,
+         SYNOPSYS_UNCONNECTED_349, SYNOPSYS_UNCONNECTED_350,
+         SYNOPSYS_UNCONNECTED_351, SYNOPSYS_UNCONNECTED_352,
+         SYNOPSYS_UNCONNECTED_353, SYNOPSYS_UNCONNECTED_354,
+         SYNOPSYS_UNCONNECTED_355, SYNOPSYS_UNCONNECTED_356,
+         SYNOPSYS_UNCONNECTED_357, SYNOPSYS_UNCONNECTED_358,
+         SYNOPSYS_UNCONNECTED_359, SYNOPSYS_UNCONNECTED_360,
+         SYNOPSYS_UNCONNECTED_361, SYNOPSYS_UNCONNECTED_362,
+         SYNOPSYS_UNCONNECTED_363, SYNOPSYS_UNCONNECTED_364,
+         SYNOPSYS_UNCONNECTED_365, SYNOPSYS_UNCONNECTED_366,
+         SYNOPSYS_UNCONNECTED_367, SYNOPSYS_UNCONNECTED_368,
+         SYNOPSYS_UNCONNECTED_369, SYNOPSYS_UNCONNECTED_370,
+         SYNOPSYS_UNCONNECTED_371, SYNOPSYS_UNCONNECTED_372,
+         SYNOPSYS_UNCONNECTED_373, SYNOPSYS_UNCONNECTED_374,
+         SYNOPSYS_UNCONNECTED_375, SYNOPSYS_UNCONNECTED_376,
+         SYNOPSYS_UNCONNECTED_377, SYNOPSYS_UNCONNECTED_378,
+         SYNOPSYS_UNCONNECTED_379, SYNOPSYS_UNCONNECTED_380,
+         SYNOPSYS_UNCONNECTED_381, SYNOPSYS_UNCONNECTED_382,
+         SYNOPSYS_UNCONNECTED_383, SYNOPSYS_UNCONNECTED_384,
+         SYNOPSYS_UNCONNECTED_385, SYNOPSYS_UNCONNECTED_386,
+         SYNOPSYS_UNCONNECTED_387, SYNOPSYS_UNCONNECTED_388,
+         SYNOPSYS_UNCONNECTED_389, SYNOPSYS_UNCONNECTED_390,
+         SYNOPSYS_UNCONNECTED_391, SYNOPSYS_UNCONNECTED_392,
+         SYNOPSYS_UNCONNECTED_393, SYNOPSYS_UNCONNECTED_394,
+         SYNOPSYS_UNCONNECTED_395, SYNOPSYS_UNCONNECTED_396,
+         SYNOPSYS_UNCONNECTED_397, SYNOPSYS_UNCONNECTED_398,
+         SYNOPSYS_UNCONNECTED_399, SYNOPSYS_UNCONNECTED_400,
+         SYNOPSYS_UNCONNECTED_401, SYNOPSYS_UNCONNECTED_402,
+         SYNOPSYS_UNCONNECTED_403, SYNOPSYS_UNCONNECTED_404,
+         SYNOPSYS_UNCONNECTED_405, SYNOPSYS_UNCONNECTED_406,
+         SYNOPSYS_UNCONNECTED_407, SYNOPSYS_UNCONNECTED_408,
+         SYNOPSYS_UNCONNECTED_409, SYNOPSYS_UNCONNECTED_410,
+         SYNOPSYS_UNCONNECTED_411, SYNOPSYS_UNCONNECTED_412,
+         SYNOPSYS_UNCONNECTED_413, SYNOPSYS_UNCONNECTED_414,
+         SYNOPSYS_UNCONNECTED_415, SYNOPSYS_UNCONNECTED_416,
+         SYNOPSYS_UNCONNECTED_417, SYNOPSYS_UNCONNECTED_418,
+         SYNOPSYS_UNCONNECTED_419, SYNOPSYS_UNCONNECTED_420,
+         SYNOPSYS_UNCONNECTED_421, SYNOPSYS_UNCONNECTED_422,
+         SYNOPSYS_UNCONNECTED_423, SYNOPSYS_UNCONNECTED_424,
+         SYNOPSYS_UNCONNECTED_425, SYNOPSYS_UNCONNECTED_426,
+         SYNOPSYS_UNCONNECTED_427, SYNOPSYS_UNCONNECTED_428,
+         SYNOPSYS_UNCONNECTED_429, SYNOPSYS_UNCONNECTED_430,
+         SYNOPSYS_UNCONNECTED_431, SYNOPSYS_UNCONNECTED_432,
+         SYNOPSYS_UNCONNECTED_433, SYNOPSYS_UNCONNECTED_434,
+         SYNOPSYS_UNCONNECTED_435, SYNOPSYS_UNCONNECTED_436,
+         SYNOPSYS_UNCONNECTED_437, SYNOPSYS_UNCONNECTED_438,
+         SYNOPSYS_UNCONNECTED_439, SYNOPSYS_UNCONNECTED_440,
+         SYNOPSYS_UNCONNECTED_441, SYNOPSYS_UNCONNECTED_442,
+         SYNOPSYS_UNCONNECTED_443, SYNOPSYS_UNCONNECTED_444,
+         SYNOPSYS_UNCONNECTED_445, SYNOPSYS_UNCONNECTED_446,
+         SYNOPSYS_UNCONNECTED_447, SYNOPSYS_UNCONNECTED_448,
+         SYNOPSYS_UNCONNECTED_449;
+  wire   [127:1] crash_dump_o0;
+  wire   [31:0] instr_rdata_id;
+  wire   [31:0] instr_rdata_alu_id;
+  wire   [15:0] instr_rdata_c_id;
+  wire   [2:0] pc_mux_id;
+  wire   [1:0] exc_pc_mux_id;
+  wire   [5:0] exc_cause;
+  wire   [31:1] branch_target_ex;
+  wire   [31:1] csr_depc;
+  wire   [31:8] csr_mtvec;
+  wire   [5:0] alu_operator_ex;
+  wire   [31:0] alu_operand_a_ex;
+  wire   [31:0] alu_operand_b_ex;
+  wire   [67:0] imd_val_q_ex;
+  wire   [67:0] imd_val_d_ex;
+  wire   [1:0] imd_val_we_ex;
+  wire   [1:0] multdiv_operator_ex;
+  wire   [1:0] multdiv_signed_mode_ex;
+  wire   [31:0] multdiv_operand_a_ex;
+  wire   [31:0] multdiv_operand_b_ex;
+  wire   [1:0] csr_op;
+  wire   [31:0] csr_mtval;
+  wire   [1:0] priv_mode_id;
+  wire   [1:0] lsu_type;
+  wire   [31:0] lsu_wdata;
+  wire   [2:0] debug_cause;
+  wire   [31:0] result_ex;
+  wire   [31:0] csr_rdata;
+  wire   [4:0] rf_waddr_id;
+  wire   [31:0] rf_wdata_id;
+  wire   [31:0] rf_wdata_fwd_wb;
+  wire   [1:0] instr_type_wb;
+  wire   [31:0] alu_adder_result_ex;
+  wire   [31:0] rf_wdata_lsu;
+  wire   [31:1] pc_wb;
+  wire   [11:0] csr_addr;
+
+  opentitan_soc_top_ibex_if_stage_00000000_00000000_0_0_0_00000020_00000016_00000040_0_0_0 if_stage_i ( 
+        .clk_i(n76), .rst_ni(n80), .boot_addr_i({n81, n81, n82, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81}), .req_i(
+        instr_req_int), .instr_req_o(instr_req_o), .instr_addr_o({
+        SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3, 
+        SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, 
+        SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18, instr_addr_o[13], SYNOPSYS_UNCONNECTED_19, 
+        instr_addr_o[11:2], SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21}), 
+        .instr_gnt_i(instr_gnt_i), .instr_rvalid_i(instr_rvalid_i), 
+        .instr_rdata_i(instr_rdata_i), .instr_err_i(instr_err_i), 
+        .instr_pmp_err_i(n81), .ic_tag_req_o({SYNOPSYS_UNCONNECTED_22, 
+        SYNOPSYS_UNCONNECTED_23}), .ic_tag_addr_o({SYNOPSYS_UNCONNECTED_24, 
+        SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_31}), .ic_tag_wdata_o({SYNOPSYS_UNCONNECTED_32, 
+        SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34, 
+        SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36, 
+        SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38, 
+        SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40, 
+        SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42, 
+        SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44, 
+        SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46, 
+        SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48, 
+        SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50, 
+        SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52, 
+        SYNOPSYS_UNCONNECTED_53}), .ic_tag_rdata_i({n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81}), 
+        .ic_data_req_o({SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55}), 
+        .ic_data_addr_o({SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57, 
+        SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59, 
+        SYNOPSYS_UNCONNECTED_60, SYNOPSYS_UNCONNECTED_61, 
+        SYNOPSYS_UNCONNECTED_62, SYNOPSYS_UNCONNECTED_63}), .ic_data_wdata_o({
+        SYNOPSYS_UNCONNECTED_64, SYNOPSYS_UNCONNECTED_65, 
+        SYNOPSYS_UNCONNECTED_66, SYNOPSYS_UNCONNECTED_67, 
+        SYNOPSYS_UNCONNECTED_68, SYNOPSYS_UNCONNECTED_69, 
+        SYNOPSYS_UNCONNECTED_70, SYNOPSYS_UNCONNECTED_71, 
+        SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_73, 
+        SYNOPSYS_UNCONNECTED_74, SYNOPSYS_UNCONNECTED_75, 
+        SYNOPSYS_UNCONNECTED_76, SYNOPSYS_UNCONNECTED_77, 
+        SYNOPSYS_UNCONNECTED_78, SYNOPSYS_UNCONNECTED_79, 
+        SYNOPSYS_UNCONNECTED_80, SYNOPSYS_UNCONNECTED_81, 
+        SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_83, 
+        SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_85, 
+        SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_87, 
+        SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_89, 
+        SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_91, 
+        SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_93, 
+        SYNOPSYS_UNCONNECTED_94, SYNOPSYS_UNCONNECTED_95, 
+        SYNOPSYS_UNCONNECTED_96, SYNOPSYS_UNCONNECTED_97, 
+        SYNOPSYS_UNCONNECTED_98, SYNOPSYS_UNCONNECTED_99, 
+        SYNOPSYS_UNCONNECTED_100, SYNOPSYS_UNCONNECTED_101, 
+        SYNOPSYS_UNCONNECTED_102, SYNOPSYS_UNCONNECTED_103, 
+        SYNOPSYS_UNCONNECTED_104, SYNOPSYS_UNCONNECTED_105, 
+        SYNOPSYS_UNCONNECTED_106, SYNOPSYS_UNCONNECTED_107, 
+        SYNOPSYS_UNCONNECTED_108, SYNOPSYS_UNCONNECTED_109, 
+        SYNOPSYS_UNCONNECTED_110, SYNOPSYS_UNCONNECTED_111, 
+        SYNOPSYS_UNCONNECTED_112, SYNOPSYS_UNCONNECTED_113, 
+        SYNOPSYS_UNCONNECTED_114, SYNOPSYS_UNCONNECTED_115, 
+        SYNOPSYS_UNCONNECTED_116, SYNOPSYS_UNCONNECTED_117, 
+        SYNOPSYS_UNCONNECTED_118, SYNOPSYS_UNCONNECTED_119, 
+        SYNOPSYS_UNCONNECTED_120, SYNOPSYS_UNCONNECTED_121, 
+        SYNOPSYS_UNCONNECTED_122, SYNOPSYS_UNCONNECTED_123, 
+        SYNOPSYS_UNCONNECTED_124, SYNOPSYS_UNCONNECTED_125, 
+        SYNOPSYS_UNCONNECTED_126, SYNOPSYS_UNCONNECTED_127}), 
+        .ic_data_rdata_i({n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81}), .instr_valid_id_o(instr_valid_id), 
+        .instr_rdata_id_o(instr_rdata_id), .instr_rdata_alu_id_o({
+        instr_rdata_alu_id[31:25], SYNOPSYS_UNCONNECTED_128, 
+        SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_130, 
+        SYNOPSYS_UNCONNECTED_131, SYNOPSYS_UNCONNECTED_132, 
+        SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134, 
+        SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136, 
+        SYNOPSYS_UNCONNECTED_137, instr_rdata_alu_id[14:12], 
+        SYNOPSYS_UNCONNECTED_138, SYNOPSYS_UNCONNECTED_139, 
+        SYNOPSYS_UNCONNECTED_140, SYNOPSYS_UNCONNECTED_141, 
+        SYNOPSYS_UNCONNECTED_142, instr_rdata_alu_id[6:0]}), 
+        .instr_rdata_c_id_o(instr_rdata_c_id), .instr_is_compressed_id_o(
+        instr_is_compressed_id), .instr_fetch_err_o(instr_fetch_err), 
+        .instr_fetch_err_plus2_o(instr_fetch_err_plus2), .illegal_c_insn_id_o(
+        illegal_c_insn_id), .pc_if_o({crash_dump_o0[95:65], 
+        SYNOPSYS_UNCONNECTED_143}), .pc_id_o({crash_dump_o0[127:97], 
+        SYNOPSYS_UNCONNECTED_144}), .instr_valid_clear_i(instr_valid_clear), 
+        .pc_set_i(pc_set), .pc_set_spec_i(pc_set_spec), .pc_mux_i(pc_mux_id), 
+        .nt_branch_mispredict_i(n81), .exc_pc_mux_i(exc_pc_mux_id), 
+        .exc_cause({1'b0, n81, exc_cause[3:0]}), .dummy_instr_en_i(n81), 
+        .dummy_instr_mask_i({n81, n81, n81}), .dummy_instr_seed_en_i(n81), 
+        .dummy_instr_seed_i({n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81}), .icache_enable_i(n81), 
+        .icache_inval_i(1'b0), .branch_target_ex_i({branch_target_ex, 1'b0}), 
+        .csr_mepc_i({crash_dump_o0[31:1], n81}), .csr_depc_i({csr_depc, n81}), 
+        .csr_mtvec_i({csr_mtvec, n81, n81, n81, n81, n81, n81, n81, n82}), 
+        .csr_mtvec_init_o(csr_mtvec_init), .id_in_ready_i(id_in_ready) );
+  opentitan_soc_top_ibex_id_stage_0_2_0_0_0_0_1_0_0 id_stage_i ( .clk_i(n76), 
+        .rst_ni(n80), .instr_valid_i(instr_valid_id), .instr_rdata_i(
+        instr_rdata_id), .instr_rdata_alu_i({instr_rdata_alu_id[31:25], 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        instr_rdata_alu_id[14:12], 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        instr_rdata_alu_id[6:0]}), .instr_rdata_c_i(instr_rdata_c_id), 
+        .instr_is_compressed_i(instr_is_compressed_id), .instr_bp_taken_i(n81), 
+        .instr_req_o(instr_req_int), .instr_first_cycle_id_o(
+        instr_first_cycle_id), .instr_valid_clear_o(instr_valid_clear), 
+        .id_in_ready_o(id_in_ready), .branch_decision_i(branch_decision), 
+        .pc_set_o(pc_set), .pc_set_spec_o(pc_set_spec), .pc_mux_o(pc_mux_id), 
+        .exc_pc_mux_o(exc_pc_mux_id), .exc_cause_o({exc_cause[5], 
+        SYNOPSYS_UNCONNECTED_145, exc_cause[3:0]}), .illegal_c_insn_i(
+        illegal_c_insn_id), .instr_fetch_err_i(instr_fetch_err), 
+        .instr_fetch_err_plus2_i(instr_fetch_err_plus2), .pc_id_i({
+        crash_dump_o0[127:97], n81}), .ex_valid_i(ex_valid), 
+        .lsu_resp_valid_i(lsu_resp_valid), .alu_operator_ex_o(alu_operator_ex), 
+        .alu_operand_a_ex_o(alu_operand_a_ex), .alu_operand_b_ex_o(
+        alu_operand_b_ex), .imd_val_we_ex_i({imd_val_we_ex[1], n78}), 
+        .imd_val_d_ex_i({imd_val_d_ex[67:34], n81, n81, imd_val_d_ex[31:0]}), 
+        .imd_val_q_ex_o({imd_val_q_ex[67:34], SYNOPSYS_UNCONNECTED_146, 
+        SYNOPSYS_UNCONNECTED_147, imd_val_q_ex[31:0]}), .bt_a_operand_o({
+        SYNOPSYS_UNCONNECTED_148, SYNOPSYS_UNCONNECTED_149, 
+        SYNOPSYS_UNCONNECTED_150, SYNOPSYS_UNCONNECTED_151, 
+        SYNOPSYS_UNCONNECTED_152, SYNOPSYS_UNCONNECTED_153, 
+        SYNOPSYS_UNCONNECTED_154, SYNOPSYS_UNCONNECTED_155, 
+        SYNOPSYS_UNCONNECTED_156, SYNOPSYS_UNCONNECTED_157, 
+        SYNOPSYS_UNCONNECTED_158, SYNOPSYS_UNCONNECTED_159, 
+        SYNOPSYS_UNCONNECTED_160, SYNOPSYS_UNCONNECTED_161, 
+        SYNOPSYS_UNCONNECTED_162, SYNOPSYS_UNCONNECTED_163, 
+        SYNOPSYS_UNCONNECTED_164, SYNOPSYS_UNCONNECTED_165, 
+        SYNOPSYS_UNCONNECTED_166, SYNOPSYS_UNCONNECTED_167, 
+        SYNOPSYS_UNCONNECTED_168, SYNOPSYS_UNCONNECTED_169, 
+        SYNOPSYS_UNCONNECTED_170, SYNOPSYS_UNCONNECTED_171, 
+        SYNOPSYS_UNCONNECTED_172, SYNOPSYS_UNCONNECTED_173, 
+        SYNOPSYS_UNCONNECTED_174, SYNOPSYS_UNCONNECTED_175, 
+        SYNOPSYS_UNCONNECTED_176, SYNOPSYS_UNCONNECTED_177, 
+        SYNOPSYS_UNCONNECTED_178, SYNOPSYS_UNCONNECTED_179}), .bt_b_operand_o(
+        {SYNOPSYS_UNCONNECTED_180, SYNOPSYS_UNCONNECTED_181, 
+        SYNOPSYS_UNCONNECTED_182, SYNOPSYS_UNCONNECTED_183, 
+        SYNOPSYS_UNCONNECTED_184, SYNOPSYS_UNCONNECTED_185, 
+        SYNOPSYS_UNCONNECTED_186, SYNOPSYS_UNCONNECTED_187, 
+        SYNOPSYS_UNCONNECTED_188, SYNOPSYS_UNCONNECTED_189, 
+        SYNOPSYS_UNCONNECTED_190, SYNOPSYS_UNCONNECTED_191, 
+        SYNOPSYS_UNCONNECTED_192, SYNOPSYS_UNCONNECTED_193, 
+        SYNOPSYS_UNCONNECTED_194, SYNOPSYS_UNCONNECTED_195, 
+        SYNOPSYS_UNCONNECTED_196, SYNOPSYS_UNCONNECTED_197, 
+        SYNOPSYS_UNCONNECTED_198, SYNOPSYS_UNCONNECTED_199, 
+        SYNOPSYS_UNCONNECTED_200, SYNOPSYS_UNCONNECTED_201, 
+        SYNOPSYS_UNCONNECTED_202, SYNOPSYS_UNCONNECTED_203, 
+        SYNOPSYS_UNCONNECTED_204, SYNOPSYS_UNCONNECTED_205, 
+        SYNOPSYS_UNCONNECTED_206, SYNOPSYS_UNCONNECTED_207, 
+        SYNOPSYS_UNCONNECTED_208, SYNOPSYS_UNCONNECTED_209, 
+        SYNOPSYS_UNCONNECTED_210, SYNOPSYS_UNCONNECTED_211}), .mult_en_ex_o(
+        mult_en_ex), .div_en_ex_o(div_en_ex), .mult_sel_ex_o(mult_sel_ex), 
+        .div_sel_ex_o(div_sel_ex), .multdiv_operator_ex_o(multdiv_operator_ex), 
+        .multdiv_signed_mode_ex_o(multdiv_signed_mode_ex), 
+        .multdiv_operand_a_ex_o(multdiv_operand_a_ex), 
+        .multdiv_operand_b_ex_o(multdiv_operand_b_ex), .multdiv_ready_id_o(
+        multdiv_ready_id), .csr_access_o(csr_access), .csr_op_o(csr_op), 
+        .csr_op_en_o(csr_op_en), .csr_save_if_o(csr_save_if), .csr_save_id_o(
+        csr_save_id), .csr_save_wb_o(csr_save_wb), .csr_restore_mret_id_o(
+        csr_restore_mret_id), .csr_restore_dret_id_o(csr_restore_dret_id), 
+        .csr_save_cause_o(csr_save_cause), .csr_mtval_o(csr_mtval), 
+        .priv_mode_i(priv_mode_id), .csr_mstatus_tw_i(csr_mstatus_tw), 
+        .illegal_csr_insn_i(illegal_csr_insn_id), .data_ind_timing_i(n81), 
+        .lsu_req_o(lsu_req), .lsu_we_o(lsu_we), .lsu_type_o(lsu_type), 
+        .lsu_sign_ext_o(lsu_sign_ext), .lsu_wdata_o(lsu_wdata), 
+        .lsu_req_done_i(lsu_req_done), .lsu_addr_incr_req_i(lsu_addr_incr_req), 
+        .lsu_addr_last_i(crash_dump_o0[63:32]), .csr_mstatus_mie_i(
+        csr_mstatus_mie), .irq_pending_i(irq_pending_o0), .irqs_i({n81, n81, 
+        irqs_15_, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81}), .irq_nm_i(n81), .lsu_load_err_i(lsu_load_err), 
+        .lsu_store_err_i(lsu_store_err), .debug_mode_o(debug_mode), 
+        .debug_cause_o(debug_cause), .debug_csr_save_o(debug_csr_save), 
+        .debug_req_i(n81), .debug_single_step_i(debug_single_step), 
+        .debug_ebreakm_i(debug_ebreakm), .debug_ebreaku_i(debug_ebreaku), 
+        .trigger_match_i(n81), .result_ex_i(result_ex), .csr_rdata_i(csr_rdata), .rf_raddr_a_o(rf_raddr_a_o), .rf_rdata_a_i(rf_rdata_a_ecc_i), .rf_raddr_b_o(
+        rf_raddr_b_o), .rf_rdata_b_i(rf_rdata_b_ecc_i), .rf_waddr_id_o(
+        rf_waddr_id), .rf_wdata_id_o(rf_wdata_id), .rf_we_id_o(rf_we_id), 
+        .rf_waddr_wb_i(rf_waddr_wb_o), .rf_wdata_fwd_wb_i(rf_wdata_fwd_wb), 
+        .rf_write_wb_i(rf_write_wb), .en_wb_o(en_wb), .instr_type_wb_o(
+        instr_type_wb), .instr_perf_count_id_o(instr_perf_count_id), 
+        .ready_wb_i(ready_wb), .outstanding_load_wb_i(outstanding_load_wb), 
+        .outstanding_store_wb_i(outstanding_store_wb) );
+  opentitan_soc_top_ibex_ex_block_2_0_0_0 ex_block_i ( .clk_i(n76), .rst_ni(
+        n80), .alu_operator_i(alu_operator_ex), .alu_operand_a_i(
+        alu_operand_a_ex), .alu_operand_b_i(alu_operand_b_ex), 
+        .alu_instr_first_cycle_i(instr_first_cycle_id), .bt_a_operand_i({n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81}), .bt_b_operand_i({n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81}), 
+        .multdiv_operator_i(multdiv_operator_ex), .mult_en_i(mult_en_ex), 
+        .div_en_i(div_en_ex), .mult_sel_i(mult_sel_ex), .div_sel_i(div_sel_ex), 
+        .multdiv_signed_mode_i(multdiv_signed_mode_ex), .multdiv_operand_a_i(
+        multdiv_operand_a_ex), .multdiv_operand_b_i(multdiv_operand_b_ex), 
+        .multdiv_ready_id_i(multdiv_ready_id), .data_ind_timing_i(n81), 
+        .imd_val_we_o(imd_val_we_ex), .imd_val_d_o({imd_val_d_ex[67:34], 
+        SYNOPSYS_UNCONNECTED_212, SYNOPSYS_UNCONNECTED_213, imd_val_d_ex[31:0]}), .imd_val_q_i({imd_val_q_ex[67:34], n81, n81, imd_val_q_ex[31:0]}), 
+        .alu_adder_result_ex_o(alu_adder_result_ex), .result_ex_o(result_ex), 
+        .branch_target_o({branch_target_ex, SYNOPSYS_UNCONNECTED_214}), 
+        .branch_decision_o(branch_decision), .ex_valid_o(ex_valid) );
+  opentitan_soc_top_ibex_load_store_unit_0 load_store_unit_i ( .clk_i(n76), 
+        .rst_ni(n80), .data_req_o(data_req_o), .data_gnt_i(data_gnt_i), 
+        .data_rvalid_i(data_rvalid_i), .data_err_i(data_err_i), 
+        .data_pmp_err_i(n81), .data_addr_o({data_addr_o[31:16], 
+        SYNOPSYS_UNCONNECTED_215, SYNOPSYS_UNCONNECTED_216, data_addr_o[13], 
+        SYNOPSYS_UNCONNECTED_217, data_addr_o[11:2], SYNOPSYS_UNCONNECTED_218, 
+        SYNOPSYS_UNCONNECTED_219}), .data_we_o(data_we_o), .data_be_o(
+        data_be_o), .data_wdata_o(data_wdata_o), .data_rdata_i(data_rdata_i), 
+        .lsu_we_i(lsu_we), .lsu_type_i(lsu_type), .lsu_wdata_i(lsu_wdata), 
+        .lsu_sign_ext_i(lsu_sign_ext), .lsu_rdata_o(rf_wdata_lsu), 
+        .lsu_rdata_valid_o(rf_we_lsu), .lsu_req_i(lsu_req), 
+        .adder_result_ex_i(alu_adder_result_ex), .addr_incr_req_o(
+        lsu_addr_incr_req), .addr_last_o(crash_dump_o0[63:32]), 
+        .lsu_req_done_o(lsu_req_done), .lsu_resp_valid_o(lsu_resp_valid), 
+        .load_err_o(lsu_load_err), .store_err_o(lsu_store_err) );
+  opentitan_soc_top_ibex_wb_stage_1_0 wb_stage_i ( .clk_i(n76), .rst_ni(n80), 
+        .en_wb_i(en_wb), .instr_type_wb_i(instr_type_wb), .pc_id_i({
+        crash_dump_o0[127:97], n81}), .instr_is_compressed_id_i(1'b0), 
+        .instr_perf_count_id_i(instr_perf_count_id), .ready_wb_o(ready_wb), 
+        .rf_write_wb_o(rf_write_wb), .outstanding_load_wb_o(
+        outstanding_load_wb), .outstanding_store_wb_o(outstanding_store_wb), 
+        .pc_wb_o({pc_wb, SYNOPSYS_UNCONNECTED_220}), .perf_instr_ret_wb_o(
+        perf_instr_ret_wb), .rf_waddr_id_i(rf_waddr_id), .rf_wdata_id_i(
+        rf_wdata_id), .rf_we_id_i(rf_we_id), .rf_wdata_lsu_i(rf_wdata_lsu), 
+        .rf_we_lsu_i(rf_we_lsu), .rf_wdata_fwd_wb_o(rf_wdata_fwd_wb), 
+        .rf_waddr_wb_o(rf_waddr_wb_o), .rf_wdata_wb_o(rf_wdata_wb_ecc_o), 
+        .rf_we_wb_o(rf_we_wb_o), .lsu_resp_valid_i(lsu_resp_valid), 
+        .lsu_resp_err_i(lsu_resp_err) );
+  opentitan_soc_top_ibex_cs_registers_0_00000001_0_0_0_0_00000000_00000028_0_00000000_00000004_0_2_0_0 cs_registers_i ( 
+        .clk_i(n76), .rst_ni(n80), .hart_id_i({n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81}), 
+        .priv_mode_id_o(priv_mode_id), .priv_mode_if_o({
+        SYNOPSYS_UNCONNECTED_221, SYNOPSYS_UNCONNECTED_222}), 
+        .priv_mode_lsu_o({SYNOPSYS_UNCONNECTED_223, SYNOPSYS_UNCONNECTED_224}), 
+        .csr_mstatus_tw_o(csr_mstatus_tw), .csr_mtvec_o({csr_mtvec, 
+        SYNOPSYS_UNCONNECTED_225, SYNOPSYS_UNCONNECTED_226, 
+        SYNOPSYS_UNCONNECTED_227, SYNOPSYS_UNCONNECTED_228, 
+        SYNOPSYS_UNCONNECTED_229, SYNOPSYS_UNCONNECTED_230, 
+        SYNOPSYS_UNCONNECTED_231, SYNOPSYS_UNCONNECTED_232}), 
+        .csr_mtvec_init_i(csr_mtvec_init), .boot_addr_i({n81, n81, n82, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81, n81}), 
+        .csr_access_i(csr_access), .csr_addr_i(csr_addr), .csr_wdata_i(
+        alu_operand_a_ex), .csr_op_i(csr_op), .csr_op_en_i(csr_op_en), 
+        .csr_rdata_o(csr_rdata), .irq_software_i(n81), .irq_timer_i(n81), 
+        .irq_external_i(irq_external_i), .irq_fast_i({n81, n81, n81, n81, n81, 
+        n81, n81, n81, n81, n81, n81, n81, n81, n81, n81}), .nmi_mode_i(n81), 
+        .irq_pending_o(irq_pending_o0), .irqs_o({SYNOPSYS_UNCONNECTED_233, 
+        SYNOPSYS_UNCONNECTED_234, irqs_15_, SYNOPSYS_UNCONNECTED_235, 
+        SYNOPSYS_UNCONNECTED_236, SYNOPSYS_UNCONNECTED_237, 
+        SYNOPSYS_UNCONNECTED_238, SYNOPSYS_UNCONNECTED_239, 
+        SYNOPSYS_UNCONNECTED_240, SYNOPSYS_UNCONNECTED_241, 
+        SYNOPSYS_UNCONNECTED_242, SYNOPSYS_UNCONNECTED_243, 
+        SYNOPSYS_UNCONNECTED_244, SYNOPSYS_UNCONNECTED_245, 
+        SYNOPSYS_UNCONNECTED_246, SYNOPSYS_UNCONNECTED_247, 
+        SYNOPSYS_UNCONNECTED_248, SYNOPSYS_UNCONNECTED_249}), 
+        .csr_mstatus_mie_o(csr_mstatus_mie), .csr_mepc_o({crash_dump_o0[31:1], 
+        SYNOPSYS_UNCONNECTED_250}), .csr_pmp_cfg_o({SYNOPSYS_UNCONNECTED_251, 
+        SYNOPSYS_UNCONNECTED_252, SYNOPSYS_UNCONNECTED_253, 
+        SYNOPSYS_UNCONNECTED_254, SYNOPSYS_UNCONNECTED_255, 
+        SYNOPSYS_UNCONNECTED_256, SYNOPSYS_UNCONNECTED_257, 
+        SYNOPSYS_UNCONNECTED_258, SYNOPSYS_UNCONNECTED_259, 
+        SYNOPSYS_UNCONNECTED_260, SYNOPSYS_UNCONNECTED_261, 
+        SYNOPSYS_UNCONNECTED_262, SYNOPSYS_UNCONNECTED_263, 
+        SYNOPSYS_UNCONNECTED_264, SYNOPSYS_UNCONNECTED_265, 
+        SYNOPSYS_UNCONNECTED_266, SYNOPSYS_UNCONNECTED_267, 
+        SYNOPSYS_UNCONNECTED_268, SYNOPSYS_UNCONNECTED_269, 
+        SYNOPSYS_UNCONNECTED_270, SYNOPSYS_UNCONNECTED_271, 
+        SYNOPSYS_UNCONNECTED_272, SYNOPSYS_UNCONNECTED_273, 
+        SYNOPSYS_UNCONNECTED_274}), .csr_pmp_addr_o({SYNOPSYS_UNCONNECTED_275, 
+        SYNOPSYS_UNCONNECTED_276, SYNOPSYS_UNCONNECTED_277, 
+        SYNOPSYS_UNCONNECTED_278, SYNOPSYS_UNCONNECTED_279, 
+        SYNOPSYS_UNCONNECTED_280, SYNOPSYS_UNCONNECTED_281, 
+        SYNOPSYS_UNCONNECTED_282, SYNOPSYS_UNCONNECTED_283, 
+        SYNOPSYS_UNCONNECTED_284, SYNOPSYS_UNCONNECTED_285, 
+        SYNOPSYS_UNCONNECTED_286, SYNOPSYS_UNCONNECTED_287, 
+        SYNOPSYS_UNCONNECTED_288, SYNOPSYS_UNCONNECTED_289, 
+        SYNOPSYS_UNCONNECTED_290, SYNOPSYS_UNCONNECTED_291, 
+        SYNOPSYS_UNCONNECTED_292, SYNOPSYS_UNCONNECTED_293, 
+        SYNOPSYS_UNCONNECTED_294, SYNOPSYS_UNCONNECTED_295, 
+        SYNOPSYS_UNCONNECTED_296, SYNOPSYS_UNCONNECTED_297, 
+        SYNOPSYS_UNCONNECTED_298, SYNOPSYS_UNCONNECTED_299, 
+        SYNOPSYS_UNCONNECTED_300, SYNOPSYS_UNCONNECTED_301, 
+        SYNOPSYS_UNCONNECTED_302, SYNOPSYS_UNCONNECTED_303, 
+        SYNOPSYS_UNCONNECTED_304, SYNOPSYS_UNCONNECTED_305, 
+        SYNOPSYS_UNCONNECTED_306, SYNOPSYS_UNCONNECTED_307, 
+        SYNOPSYS_UNCONNECTED_308, SYNOPSYS_UNCONNECTED_309, 
+        SYNOPSYS_UNCONNECTED_310, SYNOPSYS_UNCONNECTED_311, 
+        SYNOPSYS_UNCONNECTED_312, SYNOPSYS_UNCONNECTED_313, 
+        SYNOPSYS_UNCONNECTED_314, SYNOPSYS_UNCONNECTED_315, 
+        SYNOPSYS_UNCONNECTED_316, SYNOPSYS_UNCONNECTED_317, 
+        SYNOPSYS_UNCONNECTED_318, SYNOPSYS_UNCONNECTED_319, 
+        SYNOPSYS_UNCONNECTED_320, SYNOPSYS_UNCONNECTED_321, 
+        SYNOPSYS_UNCONNECTED_322, SYNOPSYS_UNCONNECTED_323, 
+        SYNOPSYS_UNCONNECTED_324, SYNOPSYS_UNCONNECTED_325, 
+        SYNOPSYS_UNCONNECTED_326, SYNOPSYS_UNCONNECTED_327, 
+        SYNOPSYS_UNCONNECTED_328, SYNOPSYS_UNCONNECTED_329, 
+        SYNOPSYS_UNCONNECTED_330, SYNOPSYS_UNCONNECTED_331, 
+        SYNOPSYS_UNCONNECTED_332, SYNOPSYS_UNCONNECTED_333, 
+        SYNOPSYS_UNCONNECTED_334, SYNOPSYS_UNCONNECTED_335, 
+        SYNOPSYS_UNCONNECTED_336, SYNOPSYS_UNCONNECTED_337, 
+        SYNOPSYS_UNCONNECTED_338, SYNOPSYS_UNCONNECTED_339, 
+        SYNOPSYS_UNCONNECTED_340, SYNOPSYS_UNCONNECTED_341, 
+        SYNOPSYS_UNCONNECTED_342, SYNOPSYS_UNCONNECTED_343, 
+        SYNOPSYS_UNCONNECTED_344, SYNOPSYS_UNCONNECTED_345, 
+        SYNOPSYS_UNCONNECTED_346, SYNOPSYS_UNCONNECTED_347, 
+        SYNOPSYS_UNCONNECTED_348, SYNOPSYS_UNCONNECTED_349, 
+        SYNOPSYS_UNCONNECTED_350, SYNOPSYS_UNCONNECTED_351, 
+        SYNOPSYS_UNCONNECTED_352, SYNOPSYS_UNCONNECTED_353, 
+        SYNOPSYS_UNCONNECTED_354, SYNOPSYS_UNCONNECTED_355, 
+        SYNOPSYS_UNCONNECTED_356, SYNOPSYS_UNCONNECTED_357, 
+        SYNOPSYS_UNCONNECTED_358, SYNOPSYS_UNCONNECTED_359, 
+        SYNOPSYS_UNCONNECTED_360, SYNOPSYS_UNCONNECTED_361, 
+        SYNOPSYS_UNCONNECTED_362, SYNOPSYS_UNCONNECTED_363, 
+        SYNOPSYS_UNCONNECTED_364, SYNOPSYS_UNCONNECTED_365, 
+        SYNOPSYS_UNCONNECTED_366, SYNOPSYS_UNCONNECTED_367, 
+        SYNOPSYS_UNCONNECTED_368, SYNOPSYS_UNCONNECTED_369, 
+        SYNOPSYS_UNCONNECTED_370, SYNOPSYS_UNCONNECTED_371, 
+        SYNOPSYS_UNCONNECTED_372, SYNOPSYS_UNCONNECTED_373, 
+        SYNOPSYS_UNCONNECTED_374, SYNOPSYS_UNCONNECTED_375, 
+        SYNOPSYS_UNCONNECTED_376, SYNOPSYS_UNCONNECTED_377, 
+        SYNOPSYS_UNCONNECTED_378, SYNOPSYS_UNCONNECTED_379, 
+        SYNOPSYS_UNCONNECTED_380, SYNOPSYS_UNCONNECTED_381, 
+        SYNOPSYS_UNCONNECTED_382, SYNOPSYS_UNCONNECTED_383, 
+        SYNOPSYS_UNCONNECTED_384, SYNOPSYS_UNCONNECTED_385, 
+        SYNOPSYS_UNCONNECTED_386, SYNOPSYS_UNCONNECTED_387, 
+        SYNOPSYS_UNCONNECTED_388, SYNOPSYS_UNCONNECTED_389, 
+        SYNOPSYS_UNCONNECTED_390, SYNOPSYS_UNCONNECTED_391, 
+        SYNOPSYS_UNCONNECTED_392, SYNOPSYS_UNCONNECTED_393, 
+        SYNOPSYS_UNCONNECTED_394, SYNOPSYS_UNCONNECTED_395, 
+        SYNOPSYS_UNCONNECTED_396, SYNOPSYS_UNCONNECTED_397, 
+        SYNOPSYS_UNCONNECTED_398, SYNOPSYS_UNCONNECTED_399, 
+        SYNOPSYS_UNCONNECTED_400, SYNOPSYS_UNCONNECTED_401, 
+        SYNOPSYS_UNCONNECTED_402, SYNOPSYS_UNCONNECTED_403, 
+        SYNOPSYS_UNCONNECTED_404, SYNOPSYS_UNCONNECTED_405, 
+        SYNOPSYS_UNCONNECTED_406, SYNOPSYS_UNCONNECTED_407, 
+        SYNOPSYS_UNCONNECTED_408, SYNOPSYS_UNCONNECTED_409, 
+        SYNOPSYS_UNCONNECTED_410}), .csr_pmp_mseccfg_o({
+        SYNOPSYS_UNCONNECTED_411, SYNOPSYS_UNCONNECTED_412, 
+        SYNOPSYS_UNCONNECTED_413}), .debug_mode_i(debug_mode), .debug_cause_i(
+        debug_cause), .debug_csr_save_i(debug_csr_save), .csr_depc_o({csr_depc, 
+        SYNOPSYS_UNCONNECTED_414}), .debug_single_step_o(debug_single_step), 
+        .debug_ebreakm_o(debug_ebreakm), .debug_ebreaku_o(debug_ebreaku), 
+        .pc_if_i({crash_dump_o0[95:65], n81}), .pc_id_i({crash_dump_o0[127:97], 
+        n81}), .pc_wb_i({pc_wb, n81}), .dummy_instr_mask_o({
+        SYNOPSYS_UNCONNECTED_415, SYNOPSYS_UNCONNECTED_416, 
+        SYNOPSYS_UNCONNECTED_417}), .dummy_instr_seed_o({
+        SYNOPSYS_UNCONNECTED_418, SYNOPSYS_UNCONNECTED_419, 
+        SYNOPSYS_UNCONNECTED_420, SYNOPSYS_UNCONNECTED_421, 
+        SYNOPSYS_UNCONNECTED_422, SYNOPSYS_UNCONNECTED_423, 
+        SYNOPSYS_UNCONNECTED_424, SYNOPSYS_UNCONNECTED_425, 
+        SYNOPSYS_UNCONNECTED_426, SYNOPSYS_UNCONNECTED_427, 
+        SYNOPSYS_UNCONNECTED_428, SYNOPSYS_UNCONNECTED_429, 
+        SYNOPSYS_UNCONNECTED_430, SYNOPSYS_UNCONNECTED_431, 
+        SYNOPSYS_UNCONNECTED_432, SYNOPSYS_UNCONNECTED_433, 
+        SYNOPSYS_UNCONNECTED_434, SYNOPSYS_UNCONNECTED_435, 
+        SYNOPSYS_UNCONNECTED_436, SYNOPSYS_UNCONNECTED_437, 
+        SYNOPSYS_UNCONNECTED_438, SYNOPSYS_UNCONNECTED_439, 
+        SYNOPSYS_UNCONNECTED_440, SYNOPSYS_UNCONNECTED_441, 
+        SYNOPSYS_UNCONNECTED_442, SYNOPSYS_UNCONNECTED_443, 
+        SYNOPSYS_UNCONNECTED_444, SYNOPSYS_UNCONNECTED_445, 
+        SYNOPSYS_UNCONNECTED_446, SYNOPSYS_UNCONNECTED_447, 
+        SYNOPSYS_UNCONNECTED_448, SYNOPSYS_UNCONNECTED_449}), .csr_save_if_i(
+        csr_save_if), .csr_save_id_i(csr_save_id), .csr_save_wb_i(csr_save_wb), 
+        .csr_restore_mret_i(csr_restore_mret_id), .csr_restore_dret_i(
+        csr_restore_dret_id), .csr_save_cause_i(csr_save_cause), 
+        .csr_mcause_i({exc_cause[5], n81, exc_cause[3:0]}), .csr_mtval_i(
+        csr_mtval), .illegal_csr_insn_o(illegal_csr_insn_id), .instr_ret_i(
+        perf_instr_ret_wb), .instr_ret_compressed_i(1'b0), .iside_wait_i(1'b0), 
+        .jump_i(1'b0), .branch_i(1'b0), .branch_taken_i(1'b0), .mem_load_i(
+        1'b0), .mem_store_i(1'b0), .dside_wait_i(1'b0), .mul_wait_i(1'b0), 
+        .div_wait_i(1'b0) );
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(n77), .Y(n76) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(clk_i), .Y(n77) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(imd_val_we_ex[0]), .X(n78) );
+  sky130_fd_sc_hd__conb_1 U5 ( .LO(n81), .HI(n82) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(csr_access), .B(alu_operand_b_ex[6]), .X(
+        csr_addr[6]) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(csr_access), .B(alu_operand_b_ex[7]), .X(
+        csr_addr[7]) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(csr_access), .B(alu_operand_b_ex[4]), .X(
+        csr_addr[4]) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(csr_access), .B(alu_operand_b_ex[5]), .X(
+        csr_addr[5]) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(csr_access), .B(alu_operand_b_ex[8]), .X(
+        csr_addr[8]) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(csr_access), .B(alu_operand_b_ex[9]), .X(
+        csr_addr[9]) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(csr_access), .B(alu_operand_b_ex[3]), .X(
+        csr_addr[3]) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(csr_access), .B(alu_operand_b_ex[2]), .X(
+        csr_addr[2]) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(csr_access), .B(alu_operand_b_ex[11]), .X(
+        csr_addr[11]) );
+  sky130_fd_sc_hd__clkinv_1 U15 ( .A(rst_ni), .Y(n79) );
+  sky130_fd_sc_hd__inv_2 U16 ( .A(n79), .Y(n80) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(csr_access), .B(alu_operand_b_ex[10]), .X(
+        csr_addr[10]) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(csr_access), .B(alu_operand_b_ex[1]), .X(
+        csr_addr[1]) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(csr_access), .B(alu_operand_b_ex[0]), .X(
+        csr_addr[0]) );
+  sky130_fd_sc_hd__or2_0 U20 ( .A(lsu_load_err), .B(lsu_store_err), .X(
+        lsu_resp_err) );
+endmodule
+
+
+module opentitan_soc_top_ibex_register_file_ff_0_00000020_0_0 ( clk_i, rst_ni, 
+        test_en_i, dummy_instr_id_i, raddr_a_i, rdata_a_o, raddr_b_i, 
+        rdata_b_o, waddr_a_i, wdata_a_i, we_a_i );
+  input [4:0] raddr_a_i;
+  output [31:0] rdata_a_o;
+  input [4:0] raddr_b_i;
+  output [31:0] rdata_b_o;
+  input [4:0] waddr_a_i;
+  input [31:0] wdata_a_i;
+  input clk_i, rst_ni, test_en_i, dummy_instr_id_i, we_a_i;
+  wire   n1536, n1537, n1538, n1539, n1540, n1541, n1542, n1543, n1544, n1545,
+         n1546, n1547, n1548, n1549, n1550, n1551, n1552, n1553, n1554, n1555,
+         n1556, n1557, n1558, n1559, n1560, n1561, n1562, n1563, n1564, n1565,
+         n1566, n1567, n1568, n1569, n1570, n1571, n1572, n1573, n1574, n1575,
+         n1576, n1577, n1578, n1579, n1580, n1581, n1582, n1583, n1584, n1585,
+         n1586, n1587, n1588, n1589, n1590, n1591, n1592, n1593, n1594, n1595,
+         n1596, n1597, n1598, n1599, n1600, n1601, n1602, n1603, n1604, n1605,
+         n1606, n1607, n1608, n1609, n1610, n1611, n1612, n1613, n1614, n1615,
+         n1616, n1617, n1618, n1619, n1620, n1621, n1622, n1623, n1624, n1625,
+         n1626, n1627, n1628, n1629, n1630, n1631, n1632, n1633, n1634, n1635,
+         n1636, n1637, n1638, n1639, n1640, n1641, n1642, n1643, n1644, n1645,
+         n1646, n1647, n1648, n1649, n1650, n1651, n1652, n1653, n1654, n1655,
+         n1656, n1657, n1658, n1659, n1660, n1661, n1662, n1663, n1664, n1665,
+         n1666, n1667, n1668, n1669, n1670, n1671, n1672, n1673, n1674, n1675,
+         n1676, n1677, n1678, n1679, n1680, n1681, n1682, n1683, n1684, n1685,
+         n1686, n1687, n1688, n1689, n1690, n1691, n1692, n1693, n1694, n1695,
+         n1696, n1697, n1698, n1699, n1700, n1701, n1702, n1703, n1704, n1705,
+         n1706, n1707, n1708, n1709, n1710, n1711, n1712, n1713, n1714, n1715,
+         n1716, n1717, n1718, n1719, n1720, n1721, n1722, n1723, n1724, n1725,
+         n1726, n1727, n1728, n1729, n1730, n1731, n1732, n1733, n1734, n1735,
+         n1736, n1737, n1738, n1739, n1740, n1741, n1742, n1743, n1744, n1745,
+         n1746, n1747, n1748, n1749, n1750, n1751, n1752, n1753, n1754, n1755,
+         n1756, n1757, n1758, n1759, n1760, n1761, n1762, n1763, n1764, n1765,
+         n1766, n1767, n1768, n1769, n1770, n1771, n1772, n1773, n1774, n1775,
+         n1776, n1777, n1778, n1779, n1780, n1781, n1782, n1783, n1784, n1785,
+         n1786, n1787, n1788, n1789, n1790, n1791, n1792, n1793, n1794, n1795,
+         n1796, n1797, n1798, n1799, n1800, n1801, n1802, n1803, n1804, n1805,
+         n1806, n1807, n1808, n1809, n1810, n1811, n1812, n1813, n1814, n1815,
+         n1816, n1817, n1818, n1819, n1820, n1821, n1822, n1823, n1824, n1825,
+         n1826, n1827, n1828, n1829, n1830, n1831, n1832, n1833, n1834, n1835,
+         n1836, n1837, n1838, n1839, n1840, n1841, n1842, n1843, n1844, n1845,
+         n1846, n1847, n1848, n1849, n1850, n1851, n1852, n1853, n1854, n1855,
+         n1856, n1857, n1858, n1859, n1860, n1861, n1862, n1863, n1864, n1865,
+         n1866, n1867, n1868, n1869, n1870, n1871, n1872, n1873, n1874, n1875,
+         n1876, n1877, n1878, n1879, n1880, n1881, n1882, n1883, n1884, n1885,
+         n1886, n1887, n1888, n1889, n1890, n1891, n1892, n1893, n1894, n1895,
+         n1896, n1897, n1898, n1899, n1900, n1901, n1902, n1903, n1904, n1905,
+         n1906, n1907, n1908, n1909, n1910, n1911, n1912, n1913, n1914, n1915,
+         n1916, n1917, n1918, n1919, n1920, n1921, n1922, n1923, n1924, n1925,
+         n1926, n1927, n1928, n1929, n1930, n1931, n1932, n1933, n1934, n1935,
+         n1936, n1937, n1938, n1939, n1940, n1941, n1942, n1943, n1944, n1945,
+         n1946, n1947, n1948, n1949, n1950, n1951, n1952, n1953, n1954, n1955,
+         n1956, n1957, n1958, n1959, n1960, n1961, n1962, n1963, n1964, n1965,
+         n1966, n1967, n1968, n1969, n1970, n1971, n1972, n1973, n1974, n1975,
+         n1976, n1977, n1978, n1979, n1980, n1981, n1982, n1983, n1984, n1985,
+         n1986, n1987, n1988, n1989, n1990, n1991, n1992, n1993, n1994, n1995,
+         n1996, n1997, n1998, n1999, n2000, n2001, n2002, n2003, n2004, n2005,
+         n2006, n2007, n2008, n2009, n2010, n2011, n2012, n2013, n2014, n2015,
+         n2016, n2017, n2018, n2019, n2020, n2021, n2022, n2023, n2024, n2025,
+         n2026, n2027, n2028, n2029, n2030, n2031, n2032, n2033, n2034, n2035,
+         n2036, n2037, n2038, n2039, n2040, n2041, n2042, n2043, n2044, n2045,
+         n2046, n2047, n2048, n2049, n2050, n2051, n2052, n2053, n2054, n2055,
+         n2056, n2057, n2058, n2059, n2060, n2061, n2062, n2063, n2064, n2065,
+         n2066, n2067, n2068, n2069, n2070, n2071, n2072, n2073, n2074, n2075,
+         n2076, n2077, n2078, n2079, n2080, n2081, n2082, n2083, n2084, n2085,
+         n2086, n2087, n2088, n2089, n2090, n2091, n2092, n2093, n2094, n2095,
+         n2096, n2097, n2098, n2099, n2100, n2101, n2102, n2103, n2104, n2105,
+         n2106, n2107, n2108, n2109, n2110, n2111, n2112, n2113, n2114, n2115,
+         n2116, n2117, n2118, n2119, n2120, n2121, n2122, n2123, n2124, n2125,
+         n2126, n2127, n2128, n2129, n2130, n2131, n2132, n2133, n2134, n2135,
+         n2136, n2137, n2138, n2139, n2140, n2141, n2142, n2143, n2144, n2145,
+         n2146, n2147, n2148, n2149, n2150, n2151, n2152, n2153, n2154, n2155,
+         n2156, n2157, n2158, n2159, n2160, n2161, n2162, n2163, n2164, n2165,
+         n2166, n2167, n2168, n2169, n2170, n2171, n2172, n2173, n2174, n2175,
+         n2176, n2177, n2178, n2179, n2180, n2181, n2182, n2183, n2184, n2185,
+         n2186, n2187, n2188, n2189, n2190, n2191, n2192, n2193, n2194, n2195,
+         n2196, n2197, n2198, n2199, n2200, n2201, n2202, n2203, n2204, n2205,
+         n2206, n2207, n2208, n2209, n2210, n2211, n2212, n2213, n2214, n2215,
+         n2216, n2217, n2218, n2219, n2220, n2221, n2222, n2223, n2224, n2225,
+         n2226, n2227, n2228, n2229, n2230, n2231, n2232, n2233, n2234, n2235,
+         n2236, n2237, n2238, n2239, n2240, n2241, n2242, n2243, n2244, n2245,
+         n2246, n2247, n2248, n2249, n2250, n2251, n2252, n2253, n2254, n2255,
+         n2256, n2257, n2258, n2259, n2260, n2261, n2262, n2263, n2264, n2265,
+         n2266, n2267, n2268, n2269, n2270, n2271, n2272, n2273, n2274, n2275,
+         n2276, n2277, n2278, n2279, n2280, n2281, n2282, n2283, n2284, n2285,
+         n2286, n2287, n2288, n2289, n2290, n2291, n2292, n2293, n2294, n2295,
+         n2296, n2297, n2298, n2299, n2300, n2301, n2302, n2303, n2304, n2305,
+         n2306, n2307, n2308, n2309, n2310, n2311, n2312, n2313, n2314, n2315,
+         n2316, n2317, n2318, n2319, n2320, n2321, n2322, n2323, n2324, n2325,
+         n2326, n2327, n2328, n2329, n2330, n2331, n2332, n2333, n2334, n2335,
+         n2336, n2337, n2338, n2339, n2340, n2341, n2342, n2343, n2344, n2345,
+         n2346, n2347, n2348, n2349, n2350, n2351, n2352, n2353, n2354, n2355,
+         n2356, n2357, n2358, n2359, n2360, n2361, n2362, n2363, n2364, n2365,
+         n2366, n2367, n2368, n2369, n2370, n2371, n2372, n2373, n2374, n2375,
+         n2376, n2377, n2378, n2379, n2380, n2381, n2382, n2383, n2384, n2385,
+         n2386, n2387, n2388, n2389, n2390, n2391, n2392, n2393, n2394, n2395,
+         n2396, n2397, n2398, n2399, n2400, n2401, n2402, n2403, n2404, n2405,
+         n2406, n2407, n2408, n2409, n2410, n2411, n2412, n2413, n2414, n2415,
+         n2416, n2417, n2418, n2419, n2420, n2421, n2422, n2423, n2424, n2425,
+         n2426, n2427, n2428, n2429, n2430, n2431, n2432, n2433, n2434, n2435,
+         n2436, n2437, n2438, n2439, n2440, n2441, n2442, n2443, n2444, n2445,
+         n2446, n2447, n2448, n2449, n2450, n2451, n2452, n2453, n2454, n2455,
+         n2456, n2457, n2458, n2459, n2460, n2461, n2462, n2463, n2464, n2465,
+         n2466, n2467, n2468, n2469, n2470, n2471, n2472, n2473, n2474, n2475,
+         n2476, n2477, n2478, n2479, n2480, n2481, n2482, n2483, n2484, n2485,
+         n2486, n2487, n2488, n2489, n2490, n2491, n2492, n2493, n2494, n2495,
+         n2496, n2497, n2498, n2499, n2500, n2501, n2502, n2503, n2504, n2505,
+         n2506, n2507, n2508, n2509, n2510, n2511, n2512, n2513, n2514, n2515,
+         n2516, n2517, n2518, n2519, n2520, n2521, n2522, n2523, n2524, n2525,
+         n2526, n2527, n1, n2, n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13,
+         n14, n15, n16, n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27,
+         n28, n29, n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41,
+         n42, n43, n44, n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55,
+         n56, n57, n58, n59, n60, n61, n62, n63, n64, n65, n66, n67, n68, n69,
+         n70, n71, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81, n82, n83,
+         n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96, n97,
+         n98, n99, n100, n101, n102, n103, n104, n105, n106, n107, n108, n109,
+         n110, n111, n112, n113, n114, n115, n116, n117, n118, n119, n120,
+         n121, n122, n123, n124, n125, n126, n127, n128, n129, n130, n131,
+         n132, n133, n134, n135, n136, n137, n138, n139, n140, n141, n142,
+         n143, n144, n145, n146, n147, n148, n149, n150, n151, n152, n153,
+         n154, n155, n156, n157, n158, n159, n160, n161, n162, n163, n164,
+         n165, n166, n167, n168, n169, n170, n171, n172, n173, n174, n175,
+         n176, n177, n178, n179, n180, n181, n182, n183, n184, n185, n186,
+         n187, n188, n189, n190, n191, n192, n193, n194, n195, n196, n197,
+         n198, n199, n200, n201, n202, n203, n204, n205, n206, n207, n208,
+         n209, n210, n211, n212, n213, n214, n215, n216, n217, n218, n219,
+         n220, n221, n222, n223, n224, n225, n226, n227, n228, n229, n230,
+         n231, n232, n233, n234, n235, n236, n237, n238, n239, n240, n241,
+         n242, n243, n244, n245, n246, n247, n248, n249, n250, n251, n252,
+         n253, n254, n255, n256, n257, n258, n259, n260, n261, n262, n263,
+         n264, n265, n266, n267, n268, n269, n270, n271, n272, n273, n274,
+         n275, n276, n277, n278, n279, n280, n281, n282, n283, n284, n285,
+         n286, n287, n288, n289, n290, n291, n292, n293, n294, n295, n296,
+         n297, n298, n299, n300, n301, n302, n303, n304, n305, n306, n307,
+         n308, n309, n310, n311, n312, n313, n314, n315, n316, n317, n318,
+         n319, n320, n321, n322, n323, n324, n325, n326, n327, n328, n329,
+         n330, n331, n332, n333, n334, n335, n336, n337, n338, n339, n340,
+         n341, n342, n343, n344, n345, n346, n347, n348, n349, n350, n351,
+         n352, n353, n354, n355, n356, n357, n358, n359, n360, n361, n362,
+         n363, n364, n365, n366, n367, n368, n369, n370, n371, n372, n373,
+         n374, n375, n376, n377, n378, n379, n380, n381, n382, n383, n384,
+         n385, n386, n387, n388, n389, n390, n391, n392, n393, n394, n395,
+         n396, n397, n398, n399, n400, n401, n402, n403, n404, n405, n406,
+         n407, n408, n409, n410, n411, n412, n413, n414, n415, n416, n417,
+         n418, n419, n420, n421, n422, n423, n424, n425, n426, n427, n428,
+         n429, n430, n431, n432, n433, n434, n435, n436, n437, n438, n439,
+         n440, n441, n442, n443, n444, n445, n446, n447, n448, n449, n450,
+         n451, n452, n453, n454, n455, n456, n457, n458, n459, n460, n461,
+         n462, n463, n464, n465, n466, n467, n468, n469, n470, n471, n472,
+         n473, n474, n475, n476, n477, n478, n479, n480, n481, n482, n483,
+         n484, n485, n486, n487, n488, n489, n490, n491, n492, n493, n494,
+         n495, n496, n497, n498, n499, n500, n501, n502, n503, n504, n505,
+         n506, n507, n508, n509, n510, n511, n512, n513, n514, n515, n516,
+         n517, n518, n519, n520, n521, n522, n523, n524, n525, n526, n527,
+         n528, n529, n530, n531, n532, n533, n534, n535, n536, n537, n538,
+         n539, n540, n541, n542, n543, n544, n545, n546, n547, n548, n549,
+         n550, n551, n552, n553, n554, n555, n556, n557, n558, n559, n560,
+         n561, n562, n563, n564, n565, n566, n567, n568, n569, n570, n571,
+         n572, n573, n574, n575, n576, n577, n578, n579, n580, n581, n582,
+         n583, n584, n585, n586, n587, n588, n589, n590, n591, n592, n593,
+         n594, n595, n596, n597, n598, n599, n600, n601, n602, n603, n604,
+         n605, n606, n607, n608, n609, n610, n611, n612, n613, n614, n615,
+         n616, n617, n618, n619, n620, n621, n622, n623, n624, n625, n626,
+         n627, n628, n629, n630, n631, n632, n633, n634, n635, n636, n637,
+         n638, n639, n640, n641, n642, n643, n644, n645, n646, n647, n648,
+         n649, n650, n651, n652, n653, n654, n655, n656, n657, n658, n659,
+         n660, n661, n662, n663, n664, n665, n666, n667, n668, n669, n670,
+         n671, n672, n673, n674, n675, n676, n677, n678, n679, n680, n681,
+         n682, n683, n684, n685, n686, n687, n688, n689, n690, n691, n692,
+         n693, n694, n695, n696, n697, n698, n699, n700, n701, n702, n703,
+         n704, n705, n706, n707, n708, n709, n710, n711, n712, n713, n714,
+         n715, n716, n717, n718, n719, n720, n721, n722, n723, n724, n725,
+         n726, n727, n728, n729, n730, n731, n732, n733, n734, n735, n736,
+         n737, n738, n739, n740, n741, n742, n743, n744, n745, n746, n747,
+         n748, n749, n750, n751, n752, n753, n754, n755, n756, n757, n758,
+         n759, n760, n761, n762, n763, n764, n765, n766, n767, n768, n769,
+         n770, n771, n772, n773, n774, n775, n776, n777, n778, n779, n780,
+         n781, n782, n783, n784, n785, n786, n787, n788, n789, n790, n791,
+         n792, n793, n794, n795, n796, n797, n798, n799, n800, n801, n802,
+         n803, n804, n805, n806, n807, n808, n809, n810, n811, n812, n813,
+         n814, n815, n816, n817, n818, n819, n820, n821, n822, n823, n824,
+         n825, n826, n827, n828, n829, n830, n831, n832, n833, n834, n835,
+         n836, n837, n838, n839, n840, n841, n842, n843, n844, n845, n846,
+         n847, n848, n849, n850, n851, n852, n853, n854, n855, n856, n857,
+         n858, n859, n860, n861, n862, n863, n864, n865, n866, n867, n868,
+         n869, n870, n871, n872, n873, n874, n875, n876, n877, n878, n879,
+         n880, n881, n882, n883, n884, n885, n886, n887, n888, n889, n890,
+         n891, n892, n893, n894, n895, n896, n897, n898, n899, n900, n901,
+         n902, n903, n904, n905, n906, n907, n908, n909, n910, n911, n912,
+         n913, n914, n915, n916, n917, n918, n919, n920, n921, n922, n923,
+         n924, n925, n926, n927, n928, n929, n930, n931, n932, n933, n934,
+         n935, n936, n937, n938, n939, n940, n941, n942, n943, n944, n945,
+         n946, n947, n948, n949, n950, n951, n952, n953, n954, n955, n956,
+         n957, n958, n959, n960, n961, n962, n963, n964, n965, n966, n967,
+         n968, n969, n970, n971, n972, n973, n974, n975, n976, n977, n978,
+         n979, n980, n981, n982, n983, n984, n985, n986, n987, n988, n989,
+         n990, n991, n992, n993, n994, n995, n996, n997, n998, n999, n1000,
+         n1001, n1002, n1003, n1004, n1005, n1006, n1007, n1008, n1009, n1010,
+         n1011, n1012, n1013, n1014, n1015, n1016, n1017, n1018, n1019, n1020,
+         n1021, n1022, n1023, n1024, n1025, n1026, n1027, n1028, n1029, n1030,
+         n1031, n1032, n1033, n1034, n1035, n1036, n1037, n1038, n1039, n1040,
+         n1041, n1042, n1043, n1044, n1045, n1046, n1047, n1048, n1049, n1050,
+         n1051, n1052, n1053, n1054, n1055, n1056, n1057, n1058, n1059, n1060,
+         n1061, n1062, n1063, n1064, n1065, n1066, n1067, n1068, n1069, n1070,
+         n1071, n1072, n1073, n1074, n1075, n1076, n1077, n1078, n1079, n1080,
+         n1081, n1082, n1083, n1084, n1085, n1086, n1087, n1088, n1089, n1090,
+         n1091, n1092, n1093, n1094, n1095, n1096, n1097, n1098, n1099, n1100,
+         n1101, n1102, n1103, n1104, n1105, n1106, n1107, n1108, n1109, n1110,
+         n1111, n1112, n1113, n1114, n1115, n1116, n1117, n1118, n1119, n1120,
+         n1121, n1122, n1123, n1124, n1125, n1126, n1127, n1128, n1129, n1130,
+         n1131, n1132, n1133, n1134, n1135, n1136, n1137, n1138, n1139, n1140,
+         n1141, n1142, n1143, n1144, n1145, n1146, n1147, n1148, n1149, n1150,
+         n1151, n1152, n1153, n1154, n1155, n1156, n1157, n1158, n1159, n1160,
+         n1161, n1162, n1163, n1164, n1165, n1166, n1167, n1168, n1169, n1170,
+         n1171, n1172, n1173, n1174, n1175, n1176, n1177, n1178, n1179, n1180,
+         n1181, n1182, n1183, n1184, n1185, n1186, n1187, n1188, n1189, n1190,
+         n1191, n1192, n1193, n1194, n1195, n1196, n1197, n1198, n1199, n1200,
+         n1201, n1202, n1203, n1204, n1205, n1206, n1207, n1208, n1209, n1210,
+         n1211, n1212, n1213, n1214, n1215, n1216, n1217, n1218, n1219, n1220,
+         n1221, n1222, n1223, n1224, n1225, n1226, n1227, n1228, n1229, n1230,
+         n1231, n1232, n1233, n1234, n1235, n1236, n1237, n1238, n1239, n1240,
+         n1241, n1242, n1243, n1244, n1245, n1246, n1247, n1248, n1249, n1250,
+         n1251, n1252, n1253, n1254, n1255, n1256, n1257, n1258, n1259, n1260,
+         n1261, n1262, n1263, n1264, n1265, n1266, n1267, n1268, n1269, n1270,
+         n1271, n1272, n1273, n1274, n1275, n1276, n1277, n1278, n1279, n1280,
+         n1281, n1282, n1283, n1284, n1285, n1286, n1287, n1288, n1289, n1290,
+         n1291, n1292, n1293, n1294, n1295, n1296, n1297, n1298, n1299, n1300,
+         n1301, n1302, n1303, n1304, n1305, n1306, n1307, n1308, n1309, n1310,
+         n1311, n1312, n1313, n1314, n1315, n1316, n1317, n1318, n1319, n1320,
+         n1321, n1322, n1323, n1324, n1325, n1326, n1327, n1328, n1329, n1330,
+         n1331, n1332, n1333, n1334, n1335, n1336, n1337, n1338, n1339, n1340,
+         n1341, n1342, n1343, n1344, n1345, n1346, n1347, n1348, n1349, n1350,
+         n1351, n1352, n1353, n1354, n1355, n1356, n1357, n1358, n1359, n1360,
+         n1361, n1362, n1363, n1364, n1365, n1366, n1367, n1368, n1369, n1370,
+         n1371, n1372, n1373, n1374, n1375, n1376, n1377, n1378, n1379, n1380,
+         n1381, n1382, n1383, n1384, n1385, n1386, n1387, n1388, n1389, n1390,
+         n1391, n1392, n1393, n1394, n1395, n1396, n1397, n1398, n1399, n1400,
+         n1401, n1402, n1403, n1404, n1405, n1406, n1407, n1408, n1409, n1410,
+         n1411, n1412, n1413, n1414, n1415, n1416, n1417, n1418, n1419, n1420,
+         n1421, n1422, n1423, n1424, n1425, n1426, n1427, n1428, n1429, n1430,
+         n1431, n1432, n1433, n1434, n1435, n1436, n1437, n1438, n1439, n1440,
+         n1441, n1442, n1443, n1444, n1445, n1446, n1447, n1448, n1449, n1450,
+         n1451, n1452, n1453, n1454, n1455, n1456, n1457, n1458, n1459, n1460,
+         n1461, n1462, n1463, n1464, n1465, n1466, n1467, n1468, n1469, n1470,
+         n1471, n1472, n1473, n1474, n1475, n1476, n1477, n1478, n1479, n1480,
+         n1481, n1482, n1483, n1484, n1485, n1486, n1487, n1488, n1489, n1490,
+         n1491, n1492, n1493, n1494, n1495, n1496, n1497, n1498, n1499, n1500,
+         n1501, n1502, n1503, n1504, n1505, n1506, n1507, n1508, n1509, n1510,
+         n1511, n1512, n1513, n1514, n1515, n1516, n1517, n1518, n1519, n1520,
+         n1521, n1522, n1523, n1524, n1525, n1526, n1527, n1528, n1529, n1530,
+         n1531, n1532, n1533, n1534, n1535, n2528, n2529, n2530, n2531, n2532,
+         n2533, n2534, n2535, n2536, n2537, n2538, n2539, n2540, n2541, n2542,
+         n2543, n2544, n2545, n2546, n2547, n2548, n2549, n2550, n2551, n2552,
+         n2553, n2554, n2555, n2556, n2557, n2558, n2559, n2560, n2561, n2562,
+         n2563, n2564, n2565, n2566, n2567, n2568, n2569, n2570, n2571, n2572,
+         n2575, n2576, n2577, n2579, n2580, n2581, n2582, n2583, n2584, n2586;
+  wire   [1023:32] rf_reg_q;
+
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_63_ ( .D(n2527), .CLK(n19), .RESET_B(
+        rst_ni), .Q(rf_reg_q[63]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_62_ ( .D(n2526), .CLK(n17), .RESET_B(
+        n10), .Q(rf_reg_q[62]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_61_ ( .D(n2525), .CLK(n22), .RESET_B(
+        n2582), .Q(rf_reg_q[61]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_60_ ( .D(n2524), .CLK(n29), .RESET_B(
+        n2584), .Q(rf_reg_q[60]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_59_ ( .D(n2523), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(rf_reg_q[59]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_58_ ( .D(n2522), .CLK(n14), .RESET_B(
+        n9), .Q(rf_reg_q[58]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_57_ ( .D(n2521), .CLK(n32), .RESET_B(
+        n2582), .Q(rf_reg_q[57]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_56_ ( .D(n2520), .CLK(n19), .RESET_B(
+        rst_ni), .Q(rf_reg_q[56]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_55_ ( .D(n2519), .CLK(n32), .RESET_B(
+        n2584), .Q(rf_reg_q[55]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_54_ ( .D(n2518), .CLK(n24), .RESET_B(
+        n2584), .Q(rf_reg_q[54]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_53_ ( .D(n2517), .CLK(n22), .RESET_B(
+        n2584), .Q(rf_reg_q[53]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_52_ ( .D(n2516), .CLK(n18), .RESET_B(
+        n2584), .Q(rf_reg_q[52]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_51_ ( .D(n2515), .CLK(n26), .RESET_B(
+        n10), .Q(rf_reg_q[51]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_50_ ( .D(n2514), .CLK(n14), .RESET_B(
+        n2584), .Q(rf_reg_q[50]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_49_ ( .D(n2513), .CLK(n27), .RESET_B(
+        n9), .Q(rf_reg_q[49]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_48_ ( .D(n2512), .CLK(n26), .RESET_B(
+        n2584), .Q(rf_reg_q[48]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_47_ ( .D(n2511), .CLK(n14), .RESET_B(
+        n21), .Q(rf_reg_q[47]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_46_ ( .D(n2510), .CLK(n30), .RESET_B(
+        n9), .Q(rf_reg_q[46]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_45_ ( .D(n2509), .CLK(n24), .RESET_B(
+        n20), .Q(rf_reg_q[45]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_44_ ( .D(n2508), .CLK(n32), .RESET_B(
+        n10), .Q(rf_reg_q[44]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_43_ ( .D(n2507), .CLK(n18), .RESET_B(
+        n9), .Q(rf_reg_q[43]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_42_ ( .D(n2506), .CLK(n18), .RESET_B(
+        n21), .Q(rf_reg_q[42]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_41_ ( .D(n2505), .CLK(n31), .RESET_B(
+        n20), .Q(rf_reg_q[41]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_40_ ( .D(n2504), .CLK(n17), .RESET_B(
+        n9), .Q(rf_reg_q[40]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_39_ ( .D(n2503), .CLK(n28), .RESET_B(
+        n2584), .Q(rf_reg_q[39]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_38_ ( .D(n2502), .CLK(n29), .RESET_B(
+        n2584), .Q(rf_reg_q[38]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_37_ ( .D(n2501), .CLK(n23), .RESET_B(
+        n2584), .Q(rf_reg_q[37]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_36_ ( .D(n2500), .CLK(n15), .RESET_B(
+        n2584), .Q(rf_reg_q[36]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_35_ ( .D(n2499), .CLK(n30), .RESET_B(
+        n2584), .Q(rf_reg_q[35]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_34_ ( .D(n2498), .CLK(n12), .RESET_B(
+        n2584), .Q(rf_reg_q[34]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_33_ ( .D(n2497), .CLK(n30), .RESET_B(
+        n2584), .Q(rf_reg_q[33]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_32_ ( .D(n2496), .CLK(n26), .RESET_B(
+        n2584), .Q(rf_reg_q[32]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_95_ ( .D(n2495), .CLK(n22), .RESET_B(
+        n2580), .Q(rf_reg_q[95]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_94_ ( .D(n2494), .CLK(n32), .RESET_B(
+        n2582), .Q(rf_reg_q[94]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_93_ ( .D(n2493), .CLK(n16), .RESET_B(
+        n20), .Q(rf_reg_q[93]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_92_ ( .D(n2492), .CLK(n12), .RESET_B(
+        n2584), .Q(rf_reg_q[92]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_91_ ( .D(n2491), .CLK(n30), .RESET_B(
+        n2582), .Q(rf_reg_q[91]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_90_ ( .D(n2490), .CLK(n23), .RESET_B(
+        n2582), .Q(rf_reg_q[90]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_89_ ( .D(n2489), .CLK(n22), .RESET_B(
+        n2582), .Q(rf_reg_q[89]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_88_ ( .D(n2488), .CLK(n14), .RESET_B(
+        n2582), .Q(rf_reg_q[88]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_87_ ( .D(n2487), .CLK(n28), .RESET_B(
+        n2582), .Q(rf_reg_q[87]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_86_ ( .D(n2486), .CLK(n24), .RESET_B(
+        n2582), .Q(rf_reg_q[86]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_85_ ( .D(n2485), .CLK(n14), .RESET_B(
+        n2582), .Q(rf_reg_q[85]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_84_ ( .D(n2484), .CLK(n24), .RESET_B(
+        n2582), .Q(rf_reg_q[84]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_83_ ( .D(n2483), .CLK(n16), .RESET_B(
+        n2582), .Q(rf_reg_q[83]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_82_ ( .D(n2482), .CLK(n18), .RESET_B(
+        rst_ni), .Q(rf_reg_q[82]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_81_ ( .D(n2481), .CLK(n15), .RESET_B(
+        n2582), .Q(rf_reg_q[81]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_80_ ( .D(n2480), .CLK(n31), .RESET_B(
+        rst_ni), .Q(rf_reg_q[80]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_79_ ( .D(n2479), .CLK(clk_i), 
+        .RESET_B(n2582), .Q(rf_reg_q[79]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_78_ ( .D(n2478), .CLK(n29), .RESET_B(
+        rst_ni), .Q(rf_reg_q[78]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_77_ ( .D(n2477), .CLK(n16), .RESET_B(
+        n2582), .Q(rf_reg_q[77]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_76_ ( .D(n2476), .CLK(n11), .RESET_B(
+        rst_ni), .Q(rf_reg_q[76]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_75_ ( .D(n2475), .CLK(n23), .RESET_B(
+        n2582), .Q(rf_reg_q[75]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_74_ ( .D(n2474), .CLK(n18), .RESET_B(
+        n2582), .Q(rf_reg_q[74]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_73_ ( .D(n2473), .CLK(n14), .RESET_B(
+        rst_ni), .Q(rf_reg_q[73]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_72_ ( .D(n2472), .CLK(n28), .RESET_B(
+        rst_ni), .Q(rf_reg_q[72]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_71_ ( .D(n2471), .CLK(n25), .RESET_B(
+        n2582), .Q(rf_reg_q[71]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_70_ ( .D(n2470), .CLK(n14), .RESET_B(
+        n2582), .Q(rf_reg_q[70]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_69_ ( .D(n2469), .CLK(n19), .RESET_B(
+        n2582), .Q(rf_reg_q[69]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_68_ ( .D(n2468), .CLK(n30), .RESET_B(
+        n2582), .Q(rf_reg_q[68]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_67_ ( .D(n2467), .CLK(n17), .RESET_B(
+        n2582), .Q(rf_reg_q[67]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_66_ ( .D(n2466), .CLK(n31), .RESET_B(
+        n2582), .Q(rf_reg_q[66]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_65_ ( .D(n2465), .CLK(n32), .RESET_B(
+        n2582), .Q(rf_reg_q[65]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_64_ ( .D(n2464), .CLK(n18), .RESET_B(
+        n2582), .Q(rf_reg_q[64]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_127_ ( .D(n2463), .CLK(clk_i), 
+        .RESET_B(n2582), .Q(rf_reg_q[127]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_126_ ( .D(n2462), .CLK(n30), .RESET_B(
+        n2582), .Q(rf_reg_q[126]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_125_ ( .D(n2461), .CLK(n31), .RESET_B(
+        n2582), .Q(rf_reg_q[125]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_124_ ( .D(n2460), .CLK(n30), .RESET_B(
+        n2582), .Q(rf_reg_q[124]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_123_ ( .D(n2459), .CLK(n30), .RESET_B(
+        n2582), .Q(rf_reg_q[123]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_122_ ( .D(n2458), .CLK(n26), .RESET_B(
+        n2582), .Q(rf_reg_q[122]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_121_ ( .D(n2457), .CLK(n18), .RESET_B(
+        n2582), .Q(rf_reg_q[121]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_120_ ( .D(n2456), .CLK(n29), .RESET_B(
+        n2582), .Q(rf_reg_q[120]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_119_ ( .D(n2455), .CLK(n12), .RESET_B(
+        n2584), .Q(rf_reg_q[119]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_118_ ( .D(n2454), .CLK(n16), .RESET_B(
+        n2584), .Q(rf_reg_q[118]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_117_ ( .D(n2453), .CLK(n31), .RESET_B(
+        n2584), .Q(rf_reg_q[117]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_116_ ( .D(n2452), .CLK(n14), .RESET_B(
+        n2584), .Q(rf_reg_q[116]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_115_ ( .D(n2451), .CLK(n19), .RESET_B(
+        n2584), .Q(rf_reg_q[115]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_114_ ( .D(n2450), .CLK(n11), .RESET_B(
+        n2584), .Q(rf_reg_q[114]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_113_ ( .D(n2449), .CLK(n26), .RESET_B(
+        n2584), .Q(rf_reg_q[113]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_112_ ( .D(n2448), .CLK(n30), .RESET_B(
+        n2584), .Q(rf_reg_q[112]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_111_ ( .D(n2447), .CLK(n16), .RESET_B(
+        n2582), .Q(rf_reg_q[111]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_110_ ( .D(n2446), .CLK(n24), .RESET_B(
+        n2582), .Q(rf_reg_q[110]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_109_ ( .D(n2445), .CLK(n29), .RESET_B(
+        n2582), .Q(rf_reg_q[109]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_108_ ( .D(n2444), .CLK(n29), .RESET_B(
+        n2582), .Q(rf_reg_q[108]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_107_ ( .D(n2443), .CLK(n30), .RESET_B(
+        n21), .Q(rf_reg_q[107]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_106_ ( .D(n2442), .CLK(n27), .RESET_B(
+        n21), .Q(rf_reg_q[106]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_105_ ( .D(n2441), .CLK(n12), .RESET_B(
+        n21), .Q(rf_reg_q[105]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_104_ ( .D(n2440), .CLK(n13), .RESET_B(
+        n21), .Q(rf_reg_q[104]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_103_ ( .D(n2439), .CLK(n28), .RESET_B(
+        n21), .Q(rf_reg_q[103]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_102_ ( .D(n2438), .CLK(clk_i), 
+        .RESET_B(n21), .Q(rf_reg_q[102]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_101_ ( .D(n2437), .CLK(n29), .RESET_B(
+        n21), .Q(rf_reg_q[101]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_100_ ( .D(n2436), .CLK(n23), .RESET_B(
+        n21), .Q(rf_reg_q[100]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_99_ ( .D(n2435), .CLK(n17), .RESET_B(
+        n20), .Q(rf_reg_q[99]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_98_ ( .D(n2434), .CLK(n29), .RESET_B(
+        n20), .Q(rf_reg_q[98]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_97_ ( .D(n2433), .CLK(n17), .RESET_B(
+        n2581), .Q(rf_reg_q[97]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_96_ ( .D(n2432), .CLK(n16), .RESET_B(
+        n2581), .Q(rf_reg_q[96]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_159_ ( .D(n2431), .CLK(n29), .RESET_B(
+        n2584), .Q(rf_reg_q[159]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_158_ ( .D(n2430), .CLK(n15), .RESET_B(
+        n2579), .Q(rf_reg_q[158]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_157_ ( .D(n2429), .CLK(clk_i), 
+        .RESET_B(n2580), .Q(rf_reg_q[157]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_156_ ( .D(n2428), .CLK(n31), .RESET_B(
+        n2576), .Q(rf_reg_q[156]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_155_ ( .D(n2427), .CLK(n24), .RESET_B(
+        n10), .Q(rf_reg_q[155]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_154_ ( .D(n2426), .CLK(n19), .RESET_B(
+        n2586), .Q(rf_reg_q[154]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_153_ ( .D(n2425), .CLK(n13), .RESET_B(
+        n20), .Q(rf_reg_q[153]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_152_ ( .D(n2424), .CLK(n27), .RESET_B(
+        n2577), .Q(rf_reg_q[152]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_151_ ( .D(n2423), .CLK(n15), .RESET_B(
+        n21), .Q(rf_reg_q[151]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_150_ ( .D(n2422), .CLK(n24), .RESET_B(
+        n21), .Q(rf_reg_q[150]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_149_ ( .D(n2421), .CLK(n19), .RESET_B(
+        n21), .Q(rf_reg_q[149]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_148_ ( .D(n2420), .CLK(n16), .RESET_B(
+        n21), .Q(rf_reg_q[148]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_147_ ( .D(n2419), .CLK(n15), .RESET_B(
+        n2581), .Q(rf_reg_q[147]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_146_ ( .D(n2418), .CLK(n19), .RESET_B(
+        n2581), .Q(rf_reg_q[146]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_145_ ( .D(n2417), .CLK(n26), .RESET_B(
+        n2581), .Q(rf_reg_q[145]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_144_ ( .D(n2416), .CLK(n14), .RESET_B(
+        n2581), .Q(rf_reg_q[144]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_143_ ( .D(n2415), .CLK(n22), .RESET_B(
+        n2581), .Q(rf_reg_q[143]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_142_ ( .D(n2414), .CLK(n31), .RESET_B(
+        n2581), .Q(rf_reg_q[142]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_141_ ( .D(n2413), .CLK(n15), .RESET_B(
+        n2581), .Q(rf_reg_q[141]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_140_ ( .D(n2412), .CLK(n24), .RESET_B(
+        n2581), .Q(rf_reg_q[140]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_139_ ( .D(n2411), .CLK(n25), .RESET_B(
+        n2583), .Q(rf_reg_q[139]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_138_ ( .D(n2410), .CLK(n22), .RESET_B(
+        n2584), .Q(rf_reg_q[138]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_137_ ( .D(n2409), .CLK(n13), .RESET_B(
+        n9), .Q(rf_reg_q[137]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_136_ ( .D(n2408), .CLK(n31), .RESET_B(
+        n2584), .Q(rf_reg_q[136]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_135_ ( .D(n2407), .CLK(n13), .RESET_B(
+        n2582), .Q(rf_reg_q[135]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_134_ ( .D(n2406), .CLK(n17), .RESET_B(
+        rst_ni), .Q(rf_reg_q[134]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_133_ ( .D(n2405), .CLK(n19), .RESET_B(
+        n2582), .Q(rf_reg_q[133]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_132_ ( .D(n2404), .CLK(n28), .RESET_B(
+        rst_ni), .Q(rf_reg_q[132]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_131_ ( .D(n2403), .CLK(n28), .RESET_B(
+        n2582), .Q(rf_reg_q[131]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_130_ ( .D(n2402), .CLK(n31), .RESET_B(
+        rst_ni), .Q(rf_reg_q[130]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_129_ ( .D(n2401), .CLK(n12), .RESET_B(
+        n2582), .Q(rf_reg_q[129]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_128_ ( .D(n2400), .CLK(n11), .RESET_B(
+        rst_ni), .Q(rf_reg_q[128]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_191_ ( .D(n2399), .CLK(clk_i), 
+        .RESET_B(n2581), .Q(rf_reg_q[191]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_190_ ( .D(n2398), .CLK(n29), .RESET_B(
+        n2581), .Q(rf_reg_q[190]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_189_ ( .D(n2397), .CLK(clk_i), 
+        .RESET_B(n2581), .Q(rf_reg_q[189]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_188_ ( .D(n2396), .CLK(n22), .RESET_B(
+        n2581), .Q(rf_reg_q[188]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_187_ ( .D(n2395), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(rf_reg_q[187]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_186_ ( .D(n2394), .CLK(n30), .RESET_B(
+        n21), .Q(rf_reg_q[186]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_185_ ( .D(n2393), .CLK(n13), .RESET_B(
+        n2583), .Q(rf_reg_q[185]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_184_ ( .D(n2392), .CLK(n12), .RESET_B(
+        rst_ni), .Q(rf_reg_q[184]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_183_ ( .D(n2391), .CLK(n12), .RESET_B(
+        n2581), .Q(rf_reg_q[183]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_182_ ( .D(n2390), .CLK(n17), .RESET_B(
+        rst_ni), .Q(rf_reg_q[182]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_181_ ( .D(n2389), .CLK(n12), .RESET_B(
+        n2583), .Q(rf_reg_q[181]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_180_ ( .D(n2388), .CLK(n19), .RESET_B(
+        rst_ni), .Q(rf_reg_q[180]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_179_ ( .D(n2387), .CLK(n16), .RESET_B(
+        n20), .Q(rf_reg_q[179]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_178_ ( .D(n2386), .CLK(n29), .RESET_B(
+        n2583), .Q(rf_reg_q[178]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_177_ ( .D(n2385), .CLK(n18), .RESET_B(
+        n2583), .Q(rf_reg_q[177]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_176_ ( .D(n2384), .CLK(n32), .RESET_B(
+        rst_ni), .Q(rf_reg_q[176]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_175_ ( .D(n2383), .CLK(n31), .RESET_B(
+        n2581), .Q(rf_reg_q[175]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_174_ ( .D(n2382), .CLK(n27), .RESET_B(
+        n2583), .Q(rf_reg_q[174]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_173_ ( .D(n2381), .CLK(n19), .RESET_B(
+        rst_ni), .Q(rf_reg_q[173]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_172_ ( .D(n2380), .CLK(clk_i), 
+        .RESET_B(n20), .Q(rf_reg_q[172]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_171_ ( .D(n2379), .CLK(n29), .RESET_B(
+        rst_ni), .Q(rf_reg_q[171]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_170_ ( .D(n2378), .CLK(n11), .RESET_B(
+        n2583), .Q(rf_reg_q[170]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_169_ ( .D(n2377), .CLK(n23), .RESET_B(
+        rst_ni), .Q(rf_reg_q[169]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_168_ ( .D(n2376), .CLK(n19), .RESET_B(
+        n2583), .Q(rf_reg_q[168]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_167_ ( .D(n2375), .CLK(n26), .RESET_B(
+        n2583), .Q(rf_reg_q[167]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_166_ ( .D(n2374), .CLK(n11), .RESET_B(
+        n2583), .Q(rf_reg_q[166]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_165_ ( .D(n2373), .CLK(n29), .RESET_B(
+        n2583), .Q(rf_reg_q[165]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_164_ ( .D(n2372), .CLK(n27), .RESET_B(
+        rst_ni), .Q(rf_reg_q[164]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_163_ ( .D(n2371), .CLK(n19), .RESET_B(
+        n2581), .Q(rf_reg_q[163]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_162_ ( .D(n2370), .CLK(n25), .RESET_B(
+        n2583), .Q(rf_reg_q[162]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_161_ ( .D(n2369), .CLK(n12), .RESET_B(
+        rst_ni), .Q(rf_reg_q[161]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_160_ ( .D(n2368), .CLK(n14), .RESET_B(
+        n20), .Q(rf_reg_q[160]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_223_ ( .D(n2367), .CLK(n18), .RESET_B(
+        n2583), .Q(rf_reg_q[223]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_222_ ( .D(n2366), .CLK(n23), .RESET_B(
+        n2583), .Q(rf_reg_q[222]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_221_ ( .D(n2365), .CLK(n15), .RESET_B(
+        rst_ni), .Q(rf_reg_q[221]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_220_ ( .D(n2364), .CLK(n24), .RESET_B(
+        n2575), .Q(rf_reg_q[220]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_219_ ( .D(n2363), .CLK(n16), .RESET_B(
+        n21), .Q(rf_reg_q[219]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_218_ ( .D(n2362), .CLK(n14), .RESET_B(
+        n2583), .Q(rf_reg_q[218]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_217_ ( .D(n2361), .CLK(n14), .RESET_B(
+        rst_ni), .Q(rf_reg_q[217]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_216_ ( .D(n2360), .CLK(n11), .RESET_B(
+        n21), .Q(rf_reg_q[216]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_215_ ( .D(n2359), .CLK(n25), .RESET_B(
+        n2581), .Q(rf_reg_q[215]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_214_ ( .D(n2358), .CLK(n26), .RESET_B(
+        n2581), .Q(rf_reg_q[214]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_213_ ( .D(n2357), .CLK(n12), .RESET_B(
+        n2581), .Q(rf_reg_q[213]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_212_ ( .D(n2356), .CLK(n13), .RESET_B(
+        n2581), .Q(rf_reg_q[212]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_211_ ( .D(n2355), .CLK(n16), .RESET_B(
+        n2581), .Q(rf_reg_q[211]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_210_ ( .D(n2354), .CLK(n19), .RESET_B(
+        n2581), .Q(rf_reg_q[210]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_209_ ( .D(n2353), .CLK(n11), .RESET_B(
+        n2581), .Q(rf_reg_q[209]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_208_ ( .D(n2352), .CLK(n19), .RESET_B(
+        n2581), .Q(rf_reg_q[208]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_207_ ( .D(n2351), .CLK(n25), .RESET_B(
+        n21), .Q(rf_reg_q[207]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_206_ ( .D(n2350), .CLK(n19), .RESET_B(
+        n2583), .Q(rf_reg_q[206]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_205_ ( .D(n2349), .CLK(n11), .RESET_B(
+        rst_ni), .Q(rf_reg_q[205]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_204_ ( .D(n2348), .CLK(n19), .RESET_B(
+        n2583), .Q(rf_reg_q[204]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_203_ ( .D(n2347), .CLK(n25), .RESET_B(
+        n2579), .Q(rf_reg_q[203]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_202_ ( .D(n2346), .CLK(n19), .RESET_B(
+        n2579), .Q(rf_reg_q[202]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_201_ ( .D(n2345), .CLK(n17), .RESET_B(
+        n2579), .Q(rf_reg_q[201]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_200_ ( .D(n2344), .CLK(n19), .RESET_B(
+        n2579), .Q(rf_reg_q[200]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_199_ ( .D(n2343), .CLK(n19), .RESET_B(
+        n2579), .Q(rf_reg_q[199]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_198_ ( .D(n2342), .CLK(n19), .RESET_B(
+        n2579), .Q(rf_reg_q[198]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_197_ ( .D(n2341), .CLK(clk_i), 
+        .RESET_B(n2579), .Q(rf_reg_q[197]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_196_ ( .D(n2340), .CLK(n26), .RESET_B(
+        n2579), .Q(rf_reg_q[196]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_195_ ( .D(n2339), .CLK(n19), .RESET_B(
+        n2584), .Q(rf_reg_q[195]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_194_ ( .D(n2338), .CLK(n16), .RESET_B(
+        n2584), .Q(rf_reg_q[194]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_193_ ( .D(n2337), .CLK(n18), .RESET_B(
+        n2584), .Q(rf_reg_q[193]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_192_ ( .D(n2336), .CLK(n26), .RESET_B(
+        n2584), .Q(rf_reg_q[192]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_255_ ( .D(n2335), .CLK(n27), .RESET_B(
+        n2580), .Q(rf_reg_q[255]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_254_ ( .D(n2334), .CLK(n31), .RESET_B(
+        n2580), .Q(rf_reg_q[254]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_253_ ( .D(n2333), .CLK(clk_i), 
+        .RESET_B(n2580), .Q(rf_reg_q[253]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_252_ ( .D(n2332), .CLK(n29), .RESET_B(
+        n2580), .Q(rf_reg_q[252]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_251_ ( .D(n2331), .CLK(n23), .RESET_B(
+        n2580), .Q(rf_reg_q[251]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_250_ ( .D(n2330), .CLK(n23), .RESET_B(
+        n2580), .Q(rf_reg_q[250]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_249_ ( .D(n2329), .CLK(n24), .RESET_B(
+        n2580), .Q(rf_reg_q[249]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_248_ ( .D(n2328), .CLK(n18), .RESET_B(
+        n2580), .Q(rf_reg_q[248]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_247_ ( .D(n2327), .CLK(clk_i), 
+        .RESET_B(n2579), .Q(rf_reg_q[247]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_246_ ( .D(n2326), .CLK(n24), .RESET_B(
+        n2579), .Q(rf_reg_q[246]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_245_ ( .D(n2325), .CLK(n22), .RESET_B(
+        n2579), .Q(rf_reg_q[245]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_244_ ( .D(n2324), .CLK(n13), .RESET_B(
+        n2579), .Q(rf_reg_q[244]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_243_ ( .D(n2323), .CLK(clk_i), 
+        .RESET_B(n9), .Q(rf_reg_q[243]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_242_ ( .D(n2322), .CLK(n23), .RESET_B(
+        n2582), .Q(rf_reg_q[242]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_241_ ( .D(n2321), .CLK(n27), .RESET_B(
+        n9), .Q(rf_reg_q[241]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_240_ ( .D(n2320), .CLK(clk_i), 
+        .RESET_B(n21), .Q(rf_reg_q[240]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_239_ ( .D(n2319), .CLK(n25), .RESET_B(
+        n20), .Q(rf_reg_q[239]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_238_ ( .D(n2318), .CLK(n32), .RESET_B(
+        n10), .Q(rf_reg_q[238]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_237_ ( .D(n2317), .CLK(n15), .RESET_B(
+        n9), .Q(rf_reg_q[237]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_236_ ( .D(n2316), .CLK(n18), .RESET_B(
+        n2582), .Q(rf_reg_q[236]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_235_ ( .D(n2315), .CLK(n16), .RESET_B(
+        n2580), .Q(rf_reg_q[235]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_234_ ( .D(n2314), .CLK(n31), .RESET_B(
+        n2580), .Q(rf_reg_q[234]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_233_ ( .D(n2313), .CLK(n29), .RESET_B(
+        n2580), .Q(rf_reg_q[233]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_232_ ( .D(n2312), .CLK(n30), .RESET_B(
+        n2580), .Q(rf_reg_q[232]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_231_ ( .D(n2311), .CLK(n14), .RESET_B(
+        n2575), .Q(rf_reg_q[231]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_230_ ( .D(n2310), .CLK(n11), .RESET_B(
+        n21), .Q(rf_reg_q[230]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_229_ ( .D(n2309), .CLK(n15), .RESET_B(
+        rst_ni), .Q(rf_reg_q[229]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_228_ ( .D(n2308), .CLK(n31), .RESET_B(
+        n20), .Q(rf_reg_q[228]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_227_ ( .D(n2307), .CLK(n30), .RESET_B(
+        n20), .Q(rf_reg_q[227]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_226_ ( .D(n2306), .CLK(n15), .RESET_B(
+        n21), .Q(rf_reg_q[226]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_225_ ( .D(n2305), .CLK(n26), .RESET_B(
+        n21), .Q(rf_reg_q[225]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_224_ ( .D(n2304), .CLK(n12), .RESET_B(
+        n2581), .Q(rf_reg_q[224]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_287_ ( .D(n2303), .CLK(n24), .RESET_B(
+        n2582), .Q(rf_reg_q[287]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_286_ ( .D(n2302), .CLK(n13), .RESET_B(
+        n21), .Q(rf_reg_q[286]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_285_ ( .D(n2301), .CLK(n16), .RESET_B(
+        n20), .Q(rf_reg_q[285]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_284_ ( .D(n2300), .CLK(n22), .RESET_B(
+        n10), .Q(rf_reg_q[284]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_283_ ( .D(n2299), .CLK(n24), .RESET_B(
+        n2584), .Q(rf_reg_q[283]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_282_ ( .D(n2298), .CLK(n13), .RESET_B(
+        n2584), .Q(rf_reg_q[282]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_281_ ( .D(n2297), .CLK(n19), .RESET_B(
+        n2584), .Q(rf_reg_q[281]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_280_ ( .D(n2296), .CLK(n30), .RESET_B(
+        n2584), .Q(rf_reg_q[280]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_279_ ( .D(n2295), .CLK(n27), .RESET_B(
+        n2584), .Q(rf_reg_q[279]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_278_ ( .D(n2294), .CLK(n23), .RESET_B(
+        n2584), .Q(rf_reg_q[278]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_277_ ( .D(n2293), .CLK(n12), .RESET_B(
+        n2584), .Q(rf_reg_q[277]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_276_ ( .D(n2292), .CLK(n30), .RESET_B(
+        n2584), .Q(rf_reg_q[276]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_275_ ( .D(n2291), .CLK(n15), .RESET_B(
+        n2584), .Q(rf_reg_q[275]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_274_ ( .D(n2290), .CLK(clk_i), 
+        .RESET_B(n2584), .Q(rf_reg_q[274]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_273_ ( .D(n2289), .CLK(clk_i), 
+        .RESET_B(n2584), .Q(rf_reg_q[273]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_272_ ( .D(n2288), .CLK(n12), .RESET_B(
+        n2576), .Q(rf_reg_q[272]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_271_ ( .D(n2287), .CLK(n11), .RESET_B(
+        n2584), .Q(rf_reg_q[271]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_270_ ( .D(n2286), .CLK(n30), .RESET_B(
+        n2584), .Q(rf_reg_q[270]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_269_ ( .D(n2285), .CLK(n12), .RESET_B(
+        n2584), .Q(rf_reg_q[269]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_268_ ( .D(n2284), .CLK(n17), .RESET_B(
+        n2584), .Q(rf_reg_q[268]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_267_ ( .D(n2283), .CLK(n22), .RESET_B(
+        n2584), .Q(rf_reg_q[267]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_266_ ( .D(n2282), .CLK(n15), .RESET_B(
+        n2584), .Q(rf_reg_q[266]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_265_ ( .D(n2281), .CLK(n30), .RESET_B(
+        n2584), .Q(rf_reg_q[265]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_264_ ( .D(n2280), .CLK(n14), .RESET_B(
+        n2584), .Q(rf_reg_q[264]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_263_ ( .D(n2279), .CLK(n31), .RESET_B(
+        n2584), .Q(rf_reg_q[263]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_262_ ( .D(n2278), .CLK(n25), .RESET_B(
+        n2584), .Q(rf_reg_q[262]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_261_ ( .D(n2277), .CLK(n19), .RESET_B(
+        n2584), .Q(rf_reg_q[261]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_260_ ( .D(n2276), .CLK(n29), .RESET_B(
+        n2584), .Q(rf_reg_q[260]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_259_ ( .D(n2275), .CLK(n26), .RESET_B(
+        n2584), .Q(rf_reg_q[259]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_258_ ( .D(n2274), .CLK(n26), .RESET_B(
+        n2584), .Q(rf_reg_q[258]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_257_ ( .D(n2273), .CLK(n32), .RESET_B(
+        n2584), .Q(rf_reg_q[257]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_256_ ( .D(n2272), .CLK(clk_i), 
+        .RESET_B(n2584), .Q(rf_reg_q[256]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_319_ ( .D(n2271), .CLK(n32), .RESET_B(
+        n2584), .Q(rf_reg_q[319]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_318_ ( .D(n2270), .CLK(n17), .RESET_B(
+        n2584), .Q(rf_reg_q[318]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_317_ ( .D(n2269), .CLK(n28), .RESET_B(
+        n2584), .Q(rf_reg_q[317]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_316_ ( .D(n2268), .CLK(n11), .RESET_B(
+        n2584), .Q(rf_reg_q[316]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_315_ ( .D(n2267), .CLK(n12), .RESET_B(
+        n2584), .Q(rf_reg_q[315]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_314_ ( .D(n2266), .CLK(n26), .RESET_B(
+        n2584), .Q(rf_reg_q[314]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_313_ ( .D(n2265), .CLK(n26), .RESET_B(
+        n2584), .Q(rf_reg_q[313]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_312_ ( .D(n2264), .CLK(n15), .RESET_B(
+        n2584), .Q(rf_reg_q[312]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_311_ ( .D(n2263), .CLK(n24), .RESET_B(
+        n2584), .Q(rf_reg_q[311]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_310_ ( .D(n2262), .CLK(n22), .RESET_B(
+        n2584), .Q(rf_reg_q[310]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_309_ ( .D(n2261), .CLK(n29), .RESET_B(
+        n2584), .Q(rf_reg_q[309]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_308_ ( .D(n2260), .CLK(n13), .RESET_B(
+        n2584), .Q(rf_reg_q[308]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_307_ ( .D(n2259), .CLK(n28), .RESET_B(
+        n2584), .Q(rf_reg_q[307]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_306_ ( .D(n2258), .CLK(n22), .RESET_B(
+        n2584), .Q(rf_reg_q[306]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_305_ ( .D(n2257), .CLK(n25), .RESET_B(
+        n2584), .Q(rf_reg_q[305]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_304_ ( .D(n2256), .CLK(n25), .RESET_B(
+        n2584), .Q(rf_reg_q[304]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_303_ ( .D(n2255), .CLK(n24), .RESET_B(
+        n2584), .Q(rf_reg_q[303]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_302_ ( .D(n2254), .CLK(n24), .RESET_B(
+        n2584), .Q(rf_reg_q[302]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_301_ ( .D(n2253), .CLK(n32), .RESET_B(
+        n2584), .Q(rf_reg_q[301]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_300_ ( .D(n2252), .CLK(n17), .RESET_B(
+        n2584), .Q(rf_reg_q[300]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_299_ ( .D(n2251), .CLK(n32), .RESET_B(
+        n2582), .Q(rf_reg_q[299]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_298_ ( .D(n2250), .CLK(n14), .RESET_B(
+        n2582), .Q(rf_reg_q[298]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_297_ ( .D(n2249), .CLK(n18), .RESET_B(
+        n2582), .Q(rf_reg_q[297]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_296_ ( .D(n2248), .CLK(n16), .RESET_B(
+        n2582), .Q(rf_reg_q[296]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_295_ ( .D(n2247), .CLK(n28), .RESET_B(
+        n2582), .Q(rf_reg_q[295]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_294_ ( .D(n2246), .CLK(n28), .RESET_B(
+        n2582), .Q(rf_reg_q[294]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_293_ ( .D(n2245), .CLK(n13), .RESET_B(
+        n2582), .Q(rf_reg_q[293]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_292_ ( .D(n2244), .CLK(n16), .RESET_B(
+        n2582), .Q(rf_reg_q[292]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_291_ ( .D(n2243), .CLK(n27), .RESET_B(
+        n2577), .Q(rf_reg_q[291]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_290_ ( .D(n2242), .CLK(n31), .RESET_B(
+        n2577), .Q(rf_reg_q[290]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_289_ ( .D(n2241), .CLK(n30), .RESET_B(
+        n2577), .Q(rf_reg_q[289]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_288_ ( .D(n2240), .CLK(n14), .RESET_B(
+        n2577), .Q(rf_reg_q[288]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_351_ ( .D(n2239), .CLK(n11), .RESET_B(
+        rst_ni), .Q(rf_reg_q[351]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_350_ ( .D(n2238), .CLK(n28), .RESET_B(
+        n21), .Q(rf_reg_q[350]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_349_ ( .D(n2237), .CLK(n19), .RESET_B(
+        n2584), .Q(rf_reg_q[349]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_348_ ( .D(n2236), .CLK(n27), .RESET_B(
+        n21), .Q(rf_reg_q[348]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_347_ ( .D(n2235), .CLK(clk_i), 
+        .RESET_B(n2584), .Q(rf_reg_q[347]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_346_ ( .D(n2234), .CLK(n29), .RESET_B(
+        n2584), .Q(rf_reg_q[346]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_345_ ( .D(n2233), .CLK(n19), .RESET_B(
+        n2579), .Q(rf_reg_q[345]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_344_ ( .D(n2232), .CLK(n19), .RESET_B(
+        n2580), .Q(rf_reg_q[344]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_343_ ( .D(n2231), .CLK(n12), .RESET_B(
+        n2582), .Q(rf_reg_q[343]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_342_ ( .D(n2230), .CLK(n31), .RESET_B(
+        n2582), .Q(rf_reg_q[342]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_341_ ( .D(n2229), .CLK(n11), .RESET_B(
+        n2582), .Q(rf_reg_q[341]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_340_ ( .D(n2228), .CLK(n16), .RESET_B(
+        n2582), .Q(rf_reg_q[340]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_339_ ( .D(n2227), .CLK(n23), .RESET_B(
+        rst_ni), .Q(rf_reg_q[339]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_338_ ( .D(n2226), .CLK(n17), .RESET_B(
+        n2582), .Q(rf_reg_q[338]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_337_ ( .D(n2225), .CLK(n27), .RESET_B(
+        n21), .Q(rf_reg_q[337]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_336_ ( .D(n2224), .CLK(n29), .RESET_B(
+        n20), .Q(rf_reg_q[336]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_335_ ( .D(n2223), .CLK(n14), .RESET_B(
+        n10), .Q(rf_reg_q[335]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_334_ ( .D(n2222), .CLK(n22), .RESET_B(
+        n9), .Q(rf_reg_q[334]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_333_ ( .D(n2221), .CLK(n13), .RESET_B(
+        rst_ni), .Q(rf_reg_q[333]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_332_ ( .D(n2220), .CLK(clk_i), 
+        .RESET_B(n2582), .Q(rf_reg_q[332]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_331_ ( .D(n2219), .CLK(n31), .RESET_B(
+        rst_ni), .Q(rf_reg_q[331]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_330_ ( .D(n2218), .CLK(n28), .RESET_B(
+        rst_ni), .Q(rf_reg_q[330]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_329_ ( .D(n2217), .CLK(n14), .RESET_B(
+        rst_ni), .Q(rf_reg_q[329]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_328_ ( .D(n2216), .CLK(n14), .RESET_B(
+        rst_ni), .Q(rf_reg_q[328]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_327_ ( .D(n2215), .CLK(n15), .RESET_B(
+        n2579), .Q(rf_reg_q[327]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_326_ ( .D(n2214), .CLK(n11), .RESET_B(
+        n2584), .Q(rf_reg_q[326]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_325_ ( .D(n2213), .CLK(n12), .RESET_B(
+        n2584), .Q(rf_reg_q[325]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_324_ ( .D(n2212), .CLK(clk_i), 
+        .RESET_B(n2584), .Q(rf_reg_q[324]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_323_ ( .D(n2211), .CLK(n28), .RESET_B(
+        n2584), .Q(rf_reg_q[323]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_322_ ( .D(n2210), .CLK(n24), .RESET_B(
+        n2584), .Q(rf_reg_q[322]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_321_ ( .D(n2209), .CLK(n12), .RESET_B(
+        n2584), .Q(rf_reg_q[321]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_320_ ( .D(n2208), .CLK(n27), .RESET_B(
+        rst_ni), .Q(rf_reg_q[320]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_383_ ( .D(n2207), .CLK(n22), .RESET_B(
+        n21), .Q(rf_reg_q[383]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_382_ ( .D(n2206), .CLK(n24), .RESET_B(
+        n20), .Q(rf_reg_q[382]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_381_ ( .D(n2205), .CLK(n23), .RESET_B(
+        n10), .Q(rf_reg_q[381]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_380_ ( .D(n2204), .CLK(n30), .RESET_B(
+        n9), .Q(rf_reg_q[380]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_379_ ( .D(n2203), .CLK(n23), .RESET_B(
+        rst_ni), .Q(rf_reg_q[379]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_378_ ( .D(n2202), .CLK(n15), .RESET_B(
+        rst_ni), .Q(rf_reg_q[378]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_377_ ( .D(n2201), .CLK(n28), .RESET_B(
+        rst_ni), .Q(rf_reg_q[377]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_376_ ( .D(n2200), .CLK(n17), .RESET_B(
+        rst_ni), .Q(rf_reg_q[376]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_375_ ( .D(n2199), .CLK(n11), .RESET_B(
+        n2582), .Q(rf_reg_q[375]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_374_ ( .D(n2198), .CLK(n24), .RESET_B(
+        n2582), .Q(rf_reg_q[374]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_373_ ( .D(n2197), .CLK(n32), .RESET_B(
+        n2582), .Q(rf_reg_q[373]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_372_ ( .D(n2196), .CLK(n14), .RESET_B(
+        n2582), .Q(rf_reg_q[372]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_371_ ( .D(n2195), .CLK(n19), .RESET_B(
+        n2576), .Q(rf_reg_q[371]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_370_ ( .D(n2194), .CLK(n22), .RESET_B(
+        n2576), .Q(rf_reg_q[370]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_369_ ( .D(n2193), .CLK(n12), .RESET_B(
+        n2576), .Q(rf_reg_q[369]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_368_ ( .D(n2192), .CLK(n25), .RESET_B(
+        n2576), .Q(rf_reg_q[368]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_367_ ( .D(n2191), .CLK(n14), .RESET_B(
+        n2581), .Q(rf_reg_q[367]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_366_ ( .D(n2190), .CLK(n23), .RESET_B(
+        n2581), .Q(rf_reg_q[366]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_365_ ( .D(n2189), .CLK(n12), .RESET_B(
+        n2581), .Q(rf_reg_q[365]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_364_ ( .D(n2188), .CLK(n25), .RESET_B(
+        n2581), .Q(rf_reg_q[364]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_363_ ( .D(n2187), .CLK(n27), .RESET_B(
+        n20), .Q(rf_reg_q[363]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_362_ ( .D(n2186), .CLK(n32), .RESET_B(
+        n20), .Q(rf_reg_q[362]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_361_ ( .D(n2185), .CLK(n24), .RESET_B(
+        n20), .Q(rf_reg_q[361]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_360_ ( .D(n2184), .CLK(n27), .RESET_B(
+        n20), .Q(rf_reg_q[360]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_359_ ( .D(n2183), .CLK(n17), .RESET_B(
+        rst_ni), .Q(rf_reg_q[359]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_358_ ( .D(n2182), .CLK(n26), .RESET_B(
+        rst_ni), .Q(rf_reg_q[358]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_357_ ( .D(n2181), .CLK(n28), .RESET_B(
+        rst_ni), .Q(rf_reg_q[357]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_356_ ( .D(n2180), .CLK(n22), .RESET_B(
+        rst_ni), .Q(rf_reg_q[356]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_355_ ( .D(n2179), .CLK(clk_i), 
+        .RESET_B(n9), .Q(rf_reg_q[355]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_354_ ( .D(n2178), .CLK(n23), .RESET_B(
+        n2584), .Q(rf_reg_q[354]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_353_ ( .D(n2177), .CLK(n30), .RESET_B(
+        n10), .Q(rf_reg_q[353]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_352_ ( .D(n2176), .CLK(n16), .RESET_B(
+        n2584), .Q(rf_reg_q[352]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_415_ ( .D(n2175), .CLK(n11), .RESET_B(
+        n9), .Q(rf_reg_q[415]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_414_ ( .D(n2174), .CLK(n15), .RESET_B(
+        n9), .Q(rf_reg_q[414]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_413_ ( .D(n2173), .CLK(n11), .RESET_B(
+        n9), .Q(rf_reg_q[413]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_412_ ( .D(n2172), .CLK(n14), .RESET_B(
+        n9), .Q(rf_reg_q[412]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_411_ ( .D(n2171), .CLK(clk_i), 
+        .RESET_B(n2581), .Q(rf_reg_q[411]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_410_ ( .D(n2170), .CLK(n14), .RESET_B(
+        n2581), .Q(rf_reg_q[410]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_409_ ( .D(n2169), .CLK(n31), .RESET_B(
+        n2581), .Q(rf_reg_q[409]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_408_ ( .D(n2168), .CLK(n31), .RESET_B(
+        n2581), .Q(rf_reg_q[408]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_407_ ( .D(n2167), .CLK(n31), .RESET_B(
+        n2577), .Q(rf_reg_q[407]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_406_ ( .D(n2166), .CLK(n18), .RESET_B(
+        n2577), .Q(rf_reg_q[406]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_405_ ( .D(n2165), .CLK(n15), .RESET_B(
+        n2577), .Q(rf_reg_q[405]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_404_ ( .D(n2164), .CLK(n28), .RESET_B(
+        n2577), .Q(rf_reg_q[404]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_403_ ( .D(n2163), .CLK(n15), .RESET_B(
+        n2577), .Q(rf_reg_q[403]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_402_ ( .D(n2162), .CLK(n31), .RESET_B(
+        n2577), .Q(rf_reg_q[402]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_401_ ( .D(n2161), .CLK(n13), .RESET_B(
+        n2577), .Q(rf_reg_q[401]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_400_ ( .D(n2160), .CLK(n30), .RESET_B(
+        n2577), .Q(rf_reg_q[400]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_399_ ( .D(n2159), .CLK(n24), .RESET_B(
+        n2579), .Q(rf_reg_q[399]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_398_ ( .D(n2158), .CLK(n28), .RESET_B(
+        n2580), .Q(rf_reg_q[398]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_397_ ( .D(n2157), .CLK(n19), .RESET_B(
+        n21), .Q(rf_reg_q[397]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_396_ ( .D(n2156), .CLK(clk_i), 
+        .RESET_B(n20), .Q(rf_reg_q[396]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_395_ ( .D(n2155), .CLK(n29), .RESET_B(
+        n2575), .Q(rf_reg_q[395]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_394_ ( .D(n2154), .CLK(n17), .RESET_B(
+        n2575), .Q(rf_reg_q[394]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_393_ ( .D(n2153), .CLK(n12), .RESET_B(
+        n2575), .Q(rf_reg_q[393]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_392_ ( .D(n2152), .CLK(n13), .RESET_B(
+        n2575), .Q(rf_reg_q[392]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_391_ ( .D(n2151), .CLK(n12), .RESET_B(
+        n2575), .Q(rf_reg_q[391]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_390_ ( .D(n2150), .CLK(n12), .RESET_B(
+        n2575), .Q(rf_reg_q[390]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_389_ ( .D(n2149), .CLK(n19), .RESET_B(
+        n2575), .Q(rf_reg_q[389]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_388_ ( .D(n2148), .CLK(n23), .RESET_B(
+        n2575), .Q(rf_reg_q[388]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_387_ ( .D(n2147), .CLK(n16), .RESET_B(
+        n20), .Q(rf_reg_q[387]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_386_ ( .D(n2146), .CLK(n22), .RESET_B(
+        n20), .Q(rf_reg_q[386]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_385_ ( .D(n2145), .CLK(n32), .RESET_B(
+        n20), .Q(rf_reg_q[385]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_384_ ( .D(n2144), .CLK(n13), .RESET_B(
+        n20), .Q(rf_reg_q[384]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_447_ ( .D(n2143), .CLK(n14), .RESET_B(
+        n21), .Q(rf_reg_q[447]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_446_ ( .D(n2142), .CLK(n17), .RESET_B(
+        n21), .Q(rf_reg_q[446]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_445_ ( .D(n2141), .CLK(n23), .RESET_B(
+        n21), .Q(rf_reg_q[445]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_444_ ( .D(n2140), .CLK(n28), .RESET_B(
+        n21), .Q(rf_reg_q[444]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_443_ ( .D(n2139), .CLK(n13), .RESET_B(
+        n21), .Q(rf_reg_q[443]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_442_ ( .D(n2138), .CLK(n15), .RESET_B(
+        n21), .Q(rf_reg_q[442]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_441_ ( .D(n2137), .CLK(n12), .RESET_B(
+        n21), .Q(rf_reg_q[441]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_440_ ( .D(n2136), .CLK(n18), .RESET_B(
+        n21), .Q(rf_reg_q[440]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_439_ ( .D(n2135), .CLK(n13), .RESET_B(
+        n2575), .Q(rf_reg_q[439]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_438_ ( .D(n2134), .CLK(n25), .RESET_B(
+        n2575), .Q(rf_reg_q[438]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_437_ ( .D(n2133), .CLK(n15), .RESET_B(
+        n2575), .Q(rf_reg_q[437]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_436_ ( .D(n2132), .CLK(n26), .RESET_B(
+        n2575), .Q(rf_reg_q[436]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_435_ ( .D(n2131), .CLK(n25), .RESET_B(
+        n20), .Q(rf_reg_q[435]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_434_ ( .D(n2130), .CLK(n24), .RESET_B(
+        n20), .Q(rf_reg_q[434]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_433_ ( .D(n2129), .CLK(n24), .RESET_B(
+        n20), .Q(rf_reg_q[433]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_432_ ( .D(n2128), .CLK(n13), .RESET_B(
+        n20), .Q(rf_reg_q[432]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_431_ ( .D(n2127), .CLK(n23), .RESET_B(
+        n20), .Q(rf_reg_q[431]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_430_ ( .D(n2126), .CLK(n14), .RESET_B(
+        n20), .Q(rf_reg_q[430]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_429_ ( .D(n2125), .CLK(n16), .RESET_B(
+        n20), .Q(rf_reg_q[429]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_428_ ( .D(n2124), .CLK(n26), .RESET_B(
+        n20), .Q(rf_reg_q[428]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_427_ ( .D(n2123), .CLK(n18), .RESET_B(
+        n21), .Q(rf_reg_q[427]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_426_ ( .D(n2122), .CLK(n29), .RESET_B(
+        n21), .Q(rf_reg_q[426]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_425_ ( .D(n2121), .CLK(n24), .RESET_B(
+        n21), .Q(rf_reg_q[425]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_424_ ( .D(n2120), .CLK(n16), .RESET_B(
+        n21), .Q(rf_reg_q[424]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_423_ ( .D(n2119), .CLK(n28), .RESET_B(
+        n2576), .Q(rf_reg_q[423]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_422_ ( .D(n2118), .CLK(n30), .RESET_B(
+        n2576), .Q(rf_reg_q[422]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_421_ ( .D(n2117), .CLK(n29), .RESET_B(
+        n2576), .Q(rf_reg_q[421]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_420_ ( .D(n2116), .CLK(n22), .RESET_B(
+        n2576), .Q(rf_reg_q[420]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_419_ ( .D(n2115), .CLK(n29), .RESET_B(
+        n2576), .Q(rf_reg_q[419]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_418_ ( .D(n2114), .CLK(n25), .RESET_B(
+        n2576), .Q(rf_reg_q[418]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_417_ ( .D(n2113), .CLK(n28), .RESET_B(
+        n2576), .Q(rf_reg_q[417]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_416_ ( .D(n2112), .CLK(n25), .RESET_B(
+        n2576), .Q(rf_reg_q[416]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_479_ ( .D(n2111), .CLK(n19), .RESET_B(
+        n20), .Q(rf_reg_q[479]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_478_ ( .D(n2110), .CLK(n17), .RESET_B(
+        n20), .Q(rf_reg_q[478]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_477_ ( .D(n2109), .CLK(n11), .RESET_B(
+        n20), .Q(rf_reg_q[477]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_476_ ( .D(n2108), .CLK(n12), .RESET_B(
+        n20), .Q(rf_reg_q[476]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_475_ ( .D(n2107), .CLK(n24), .RESET_B(
+        n2586), .Q(rf_reg_q[475]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_474_ ( .D(n2106), .CLK(n31), .RESET_B(
+        n2586), .Q(rf_reg_q[474]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_473_ ( .D(n2105), .CLK(n31), .RESET_B(
+        n2586), .Q(rf_reg_q[473]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_472_ ( .D(n2104), .CLK(n24), .RESET_B(
+        n2586), .Q(rf_reg_q[472]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_471_ ( .D(n2103), .CLK(n32), .RESET_B(
+        n2586), .Q(rf_reg_q[471]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_470_ ( .D(n2102), .CLK(n31), .RESET_B(
+        n2586), .Q(rf_reg_q[470]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_469_ ( .D(n2101), .CLK(n30), .RESET_B(
+        n2586), .Q(rf_reg_q[469]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_468_ ( .D(n2100), .CLK(n32), .RESET_B(
+        n2586), .Q(rf_reg_q[468]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_467_ ( .D(n2099), .CLK(clk_i), 
+        .RESET_B(n9), .Q(rf_reg_q[467]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_466_ ( .D(n2098), .CLK(n18), .RESET_B(
+        n9), .Q(rf_reg_q[466]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_465_ ( .D(n2097), .CLK(n12), .RESET_B(
+        n9), .Q(rf_reg_q[465]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_464_ ( .D(n2096), .CLK(n29), .RESET_B(
+        n9), .Q(rf_reg_q[464]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_463_ ( .D(n2095), .CLK(n23), .RESET_B(
+        n10), .Q(rf_reg_q[463]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_462_ ( .D(n2094), .CLK(n27), .RESET_B(
+        n9), .Q(rf_reg_q[462]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_461_ ( .D(n2093), .CLK(n25), .RESET_B(
+        n20), .Q(rf_reg_q[461]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_460_ ( .D(n2092), .CLK(clk_i), 
+        .RESET_B(n2582), .Q(rf_reg_q[460]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_459_ ( .D(n2091), .CLK(n30), .RESET_B(
+        n9), .Q(rf_reg_q[459]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_458_ ( .D(n2090), .CLK(n26), .RESET_B(
+        n9), .Q(rf_reg_q[458]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_457_ ( .D(n2089), .CLK(n19), .RESET_B(
+        n20), .Q(rf_reg_q[457]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_456_ ( .D(n2088), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(rf_reg_q[456]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_455_ ( .D(n2087), .CLK(n13), .RESET_B(
+        n2586), .Q(rf_reg_q[455]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_454_ ( .D(n2086), .CLK(n29), .RESET_B(
+        n2586), .Q(rf_reg_q[454]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_453_ ( .D(n2085), .CLK(clk_i), 
+        .RESET_B(n2586), .Q(rf_reg_q[453]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_452_ ( .D(n2084), .CLK(n31), .RESET_B(
+        n2586), .Q(rf_reg_q[452]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_451_ ( .D(n2083), .CLK(n17), .RESET_B(
+        n20), .Q(rf_reg_q[451]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_450_ ( .D(n2082), .CLK(n11), .RESET_B(
+        n21), .Q(rf_reg_q[450]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_449_ ( .D(n2081), .CLK(n25), .RESET_B(
+        n21), .Q(rf_reg_q[449]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_448_ ( .D(n2080), .CLK(n24), .RESET_B(
+        n20), .Q(rf_reg_q[448]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_511_ ( .D(n2079), .CLK(n26), .RESET_B(
+        n2582), .Q(rf_reg_q[511]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_510_ ( .D(n2078), .CLK(n29), .RESET_B(
+        n9), .Q(rf_reg_q[510]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_509_ ( .D(n2077), .CLK(n30), .RESET_B(
+        rst_ni), .Q(rf_reg_q[509]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_508_ ( .D(n2076), .CLK(n18), .RESET_B(
+        n20), .Q(rf_reg_q[508]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_507_ ( .D(n2075), .CLK(n24), .RESET_B(
+        n2580), .Q(rf_reg_q[507]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_506_ ( .D(n2074), .CLK(n27), .RESET_B(
+        n2584), .Q(rf_reg_q[506]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_505_ ( .D(n2073), .CLK(n26), .RESET_B(
+        n10), .Q(rf_reg_q[505]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_504_ ( .D(n2072), .CLK(n19), .RESET_B(
+        n9), .Q(rf_reg_q[504]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_503_ ( .D(n2071), .CLK(n19), .RESET_B(
+        n20), .Q(rf_reg_q[503]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_502_ ( .D(n2070), .CLK(n32), .RESET_B(
+        n20), .Q(rf_reg_q[502]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_501_ ( .D(n2069), .CLK(n19), .RESET_B(
+        n20), .Q(rf_reg_q[501]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_500_ ( .D(n2068), .CLK(n24), .RESET_B(
+        n20), .Q(rf_reg_q[500]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_499_ ( .D(n2067), .CLK(n16), .RESET_B(
+        n20), .Q(rf_reg_q[499]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_498_ ( .D(n2066), .CLK(n14), .RESET_B(
+        n20), .Q(rf_reg_q[498]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_497_ ( .D(n2065), .CLK(n22), .RESET_B(
+        n20), .Q(rf_reg_q[497]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_496_ ( .D(n2064), .CLK(n14), .RESET_B(
+        n20), .Q(rf_reg_q[496]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_495_ ( .D(n2063), .CLK(n22), .RESET_B(
+        n2580), .Q(rf_reg_q[495]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_494_ ( .D(n2062), .CLK(n27), .RESET_B(
+        rst_ni), .Q(rf_reg_q[494]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_493_ ( .D(n2061), .CLK(n26), .RESET_B(
+        n10), .Q(rf_reg_q[493]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_492_ ( .D(n2060), .CLK(n22), .RESET_B(
+        n21), .Q(rf_reg_q[492]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_491_ ( .D(n2059), .CLK(n26), .RESET_B(
+        n20), .Q(rf_reg_q[491]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_490_ ( .D(n2058), .CLK(n30), .RESET_B(
+        n2584), .Q(rf_reg_q[490]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_489_ ( .D(n2057), .CLK(n14), .RESET_B(
+        n20), .Q(rf_reg_q[489]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_488_ ( .D(n2056), .CLK(n22), .RESET_B(
+        n2582), .Q(rf_reg_q[488]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_487_ ( .D(n2055), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(rf_reg_q[487]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_486_ ( .D(n2054), .CLK(n31), .RESET_B(
+        n2584), .Q(rf_reg_q[486]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_485_ ( .D(n2053), .CLK(clk_i), 
+        .RESET_B(n2582), .Q(rf_reg_q[485]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_484_ ( .D(n2052), .CLK(n17), .RESET_B(
+        n2584), .Q(rf_reg_q[484]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_483_ ( .D(n2051), .CLK(n15), .RESET_B(
+        n2584), .Q(rf_reg_q[483]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_482_ ( .D(n2050), .CLK(n17), .RESET_B(
+        n9), .Q(rf_reg_q[482]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_481_ ( .D(n2049), .CLK(n31), .RESET_B(
+        n21), .Q(rf_reg_q[481]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_480_ ( .D(n2048), .CLK(n29), .RESET_B(
+        n20), .Q(rf_reg_q[480]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_543_ ( .D(n2047), .CLK(n13), .RESET_B(
+        n2582), .Q(rf_reg_q[543]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_542_ ( .D(n2046), .CLK(n30), .RESET_B(
+        rst_ni), .Q(rf_reg_q[542]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_541_ ( .D(n2045), .CLK(n22), .RESET_B(
+        n9), .Q(rf_reg_q[541]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_540_ ( .D(n2044), .CLK(n16), .RESET_B(
+        rst_ni), .Q(rf_reg_q[540]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_539_ ( .D(n2043), .CLK(n30), .RESET_B(
+        n21), .Q(rf_reg_q[539]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_538_ ( .D(n2042), .CLK(n11), .RESET_B(
+        n9), .Q(rf_reg_q[538]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_537_ ( .D(n2041), .CLK(n29), .RESET_B(
+        rst_ni), .Q(rf_reg_q[537]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_536_ ( .D(n2040), .CLK(n17), .RESET_B(
+        n2582), .Q(rf_reg_q[536]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_535_ ( .D(n2039), .CLK(n25), .RESET_B(
+        n2582), .Q(rf_reg_q[535]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_534_ ( .D(n2038), .CLK(n31), .RESET_B(
+        n2579), .Q(rf_reg_q[534]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_533_ ( .D(n2037), .CLK(n19), .RESET_B(
+        n20), .Q(rf_reg_q[533]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_532_ ( .D(n2036), .CLK(n25), .RESET_B(
+        n20), .Q(rf_reg_q[532]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_531_ ( .D(n2035), .CLK(n24), .RESET_B(
+        n9), .Q(rf_reg_q[531]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_530_ ( .D(n2034), .CLK(n11), .RESET_B(
+        n9), .Q(rf_reg_q[530]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_529_ ( .D(n2033), .CLK(n25), .RESET_B(
+        n21), .Q(rf_reg_q[529]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_528_ ( .D(n2032), .CLK(n18), .RESET_B(
+        n20), .Q(rf_reg_q[528]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_527_ ( .D(n2031), .CLK(n14), .RESET_B(
+        rst_ni), .Q(rf_reg_q[527]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_526_ ( .D(n2030), .CLK(n23), .RESET_B(
+        n10), .Q(rf_reg_q[526]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_525_ ( .D(n2029), .CLK(n23), .RESET_B(
+        n21), .Q(rf_reg_q[525]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_524_ ( .D(n2028), .CLK(n31), .RESET_B(
+        n9), .Q(rf_reg_q[524]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_523_ ( .D(n2027), .CLK(n30), .RESET_B(
+        n9), .Q(rf_reg_q[523]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_522_ ( .D(n2026), .CLK(n26), .RESET_B(
+        n10), .Q(rf_reg_q[522]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_521_ ( .D(n2025), .CLK(n23), .RESET_B(
+        n9), .Q(rf_reg_q[521]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_520_ ( .D(n2024), .CLK(n13), .RESET_B(
+        n2582), .Q(rf_reg_q[520]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_519_ ( .D(n2023), .CLK(n28), .RESET_B(
+        n9), .Q(rf_reg_q[519]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_518_ ( .D(n2022), .CLK(n15), .RESET_B(
+        n9), .Q(rf_reg_q[518]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_517_ ( .D(n2021), .CLK(n23), .RESET_B(
+        n9), .Q(rf_reg_q[517]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_516_ ( .D(n2020), .CLK(n14), .RESET_B(
+        n9), .Q(rf_reg_q[516]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_515_ ( .D(n2019), .CLK(n26), .RESET_B(
+        n9), .Q(rf_reg_q[515]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_514_ ( .D(n2018), .CLK(n27), .RESET_B(
+        n9), .Q(rf_reg_q[514]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_513_ ( .D(n2017), .CLK(n26), .RESET_B(
+        n9), .Q(rf_reg_q[513]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_512_ ( .D(n2016), .CLK(n27), .RESET_B(
+        n9), .Q(rf_reg_q[512]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_575_ ( .D(n2015), .CLK(n27), .RESET_B(
+        n2575), .Q(rf_reg_q[575]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_574_ ( .D(n2014), .CLK(n32), .RESET_B(
+        rst_ni), .Q(rf_reg_q[574]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_573_ ( .D(n2013), .CLK(n18), .RESET_B(
+        n9), .Q(rf_reg_q[573]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_572_ ( .D(n2012), .CLK(n13), .RESET_B(
+        n21), .Q(rf_reg_q[572]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_571_ ( .D(n2011), .CLK(n15), .RESET_B(
+        n2583), .Q(rf_reg_q[571]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_570_ ( .D(n2010), .CLK(n23), .RESET_B(
+        n2582), .Q(rf_reg_q[570]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_569_ ( .D(n2009), .CLK(n11), .RESET_B(
+        n10), .Q(rf_reg_q[569]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_568_ ( .D(n2008), .CLK(n11), .RESET_B(
+        n2583), .Q(rf_reg_q[568]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_567_ ( .D(n2007), .CLK(n18), .RESET_B(
+        n21), .Q(rf_reg_q[567]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_566_ ( .D(n2006), .CLK(n15), .RESET_B(
+        n2580), .Q(rf_reg_q[566]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_565_ ( .D(n2005), .CLK(n24), .RESET_B(
+        n2584), .Q(rf_reg_q[565]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_564_ ( .D(n2004), .CLK(n27), .RESET_B(
+        n2579), .Q(rf_reg_q[564]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_563_ ( .D(n2003), .CLK(n18), .RESET_B(
+        n21), .Q(rf_reg_q[563]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_562_ ( .D(n2002), .CLK(n15), .RESET_B(
+        n2584), .Q(rf_reg_q[562]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_561_ ( .D(n2001), .CLK(n15), .RESET_B(
+        n21), .Q(rf_reg_q[561]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_560_ ( .D(n2000), .CLK(n11), .RESET_B(
+        n21), .Q(rf_reg_q[560]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_559_ ( .D(n1999), .CLK(n14), .RESET_B(
+        n2583), .Q(rf_reg_q[559]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_558_ ( .D(n1998), .CLK(n22), .RESET_B(
+        n20), .Q(rf_reg_q[558]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_557_ ( .D(n1997), .CLK(n13), .RESET_B(
+        n21), .Q(rf_reg_q[557]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_556_ ( .D(n1996), .CLK(n31), .RESET_B(
+        n20), .Q(rf_reg_q[556]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_555_ ( .D(n1995), .CLK(n13), .RESET_B(
+        n21), .Q(rf_reg_q[555]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_554_ ( .D(n1994), .CLK(n19), .RESET_B(
+        n2584), .Q(rf_reg_q[554]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_553_ ( .D(n1993), .CLK(n23), .RESET_B(
+        n21), .Q(rf_reg_q[553]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_552_ ( .D(n1992), .CLK(n12), .RESET_B(
+        n2580), .Q(rf_reg_q[552]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_551_ ( .D(n1991), .CLK(clk_i), 
+        .RESET_B(n21), .Q(rf_reg_q[551]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_550_ ( .D(n1990), .CLK(n23), .RESET_B(
+        n2577), .Q(rf_reg_q[550]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_549_ ( .D(n1989), .CLK(n26), .RESET_B(
+        n2575), .Q(rf_reg_q[549]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_548_ ( .D(n1988), .CLK(n14), .RESET_B(
+        n2577), .Q(rf_reg_q[548]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_547_ ( .D(n1987), .CLK(n15), .RESET_B(
+        n2576), .Q(rf_reg_q[547]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_546_ ( .D(n1986), .CLK(n30), .RESET_B(
+        n2575), .Q(rf_reg_q[546]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_545_ ( .D(n1985), .CLK(n27), .RESET_B(
+        n2576), .Q(rf_reg_q[545]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_544_ ( .D(n1984), .CLK(n15), .RESET_B(
+        n2575), .Q(rf_reg_q[544]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_607_ ( .D(n1983), .CLK(n28), .RESET_B(
+        n2576), .Q(rf_reg_q[607]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_606_ ( .D(n1982), .CLK(n32), .RESET_B(
+        n2575), .Q(rf_reg_q[606]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_605_ ( .D(n1981), .CLK(n29), .RESET_B(
+        n2577), .Q(rf_reg_q[605]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_604_ ( .D(n1980), .CLK(clk_i), 
+        .RESET_B(n2577), .Q(rf_reg_q[604]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_603_ ( .D(n1979), .CLK(n32), .RESET_B(
+        n2576), .Q(rf_reg_q[603]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_602_ ( .D(n1978), .CLK(clk_i), 
+        .RESET_B(n2576), .Q(rf_reg_q[602]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_601_ ( .D(n1977), .CLK(n26), .RESET_B(
+        n2580), .Q(rf_reg_q[601]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_600_ ( .D(n1976), .CLK(n14), .RESET_B(
+        n2579), .Q(rf_reg_q[600]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_599_ ( .D(n1975), .CLK(n25), .RESET_B(
+        n9), .Q(rf_reg_q[599]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_598_ ( .D(n1974), .CLK(n24), .RESET_B(
+        n20), .Q(rf_reg_q[598]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_597_ ( .D(n1973), .CLK(n23), .RESET_B(
+        n20), .Q(rf_reg_q[597]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_596_ ( .D(n1972), .CLK(n24), .RESET_B(
+        rst_ni), .Q(rf_reg_q[596]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_595_ ( .D(n1971), .CLK(n30), .RESET_B(
+        n10), .Q(rf_reg_q[595]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_594_ ( .D(n1970), .CLK(n16), .RESET_B(
+        n9), .Q(rf_reg_q[594]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_593_ ( .D(n1969), .CLK(n14), .RESET_B(
+        n2583), .Q(rf_reg_q[593]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_592_ ( .D(n1968), .CLK(n25), .RESET_B(
+        n2579), .Q(rf_reg_q[592]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_591_ ( .D(n1967), .CLK(n30), .RESET_B(
+        n2577), .Q(rf_reg_q[591]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_590_ ( .D(n1966), .CLK(n13), .RESET_B(
+        n20), .Q(rf_reg_q[590]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_589_ ( .D(n1965), .CLK(n11), .RESET_B(
+        n2586), .Q(rf_reg_q[589]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_588_ ( .D(n1964), .CLK(n27), .RESET_B(
+        n21), .Q(rf_reg_q[588]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_587_ ( .D(n1963), .CLK(n18), .RESET_B(
+        n10), .Q(rf_reg_q[587]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_586_ ( .D(n1962), .CLK(n32), .RESET_B(
+        n10), .Q(rf_reg_q[586]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_585_ ( .D(n1961), .CLK(n18), .RESET_B(
+        n10), .Q(rf_reg_q[585]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_584_ ( .D(n1960), .CLK(n17), .RESET_B(
+        n10), .Q(rf_reg_q[584]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_583_ ( .D(n1959), .CLK(n29), .RESET_B(
+        n10), .Q(rf_reg_q[583]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_582_ ( .D(n1958), .CLK(n22), .RESET_B(
+        n10), .Q(rf_reg_q[582]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_581_ ( .D(n1957), .CLK(n29), .RESET_B(
+        n10), .Q(rf_reg_q[581]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_580_ ( .D(n1956), .CLK(n32), .RESET_B(
+        n10), .Q(rf_reg_q[580]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_579_ ( .D(n1955), .CLK(n16), .RESET_B(
+        rst_ni), .Q(rf_reg_q[579]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_578_ ( .D(n1954), .CLK(n14), .RESET_B(
+        rst_ni), .Q(rf_reg_q[578]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_577_ ( .D(n1953), .CLK(n27), .RESET_B(
+        rst_ni), .Q(rf_reg_q[577]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_576_ ( .D(n1952), .CLK(n17), .RESET_B(
+        rst_ni), .Q(rf_reg_q[576]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_639_ ( .D(n1951), .CLK(n15), .RESET_B(
+        n2579), .Q(rf_reg_q[639]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_638_ ( .D(n1950), .CLK(n13), .RESET_B(
+        n10), .Q(rf_reg_q[638]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_637_ ( .D(n1949), .CLK(clk_i), 
+        .RESET_B(n2580), .Q(rf_reg_q[637]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_636_ ( .D(n1948), .CLK(n19), .RESET_B(
+        n2584), .Q(rf_reg_q[636]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_635_ ( .D(n1947), .CLK(n26), .RESET_B(
+        n21), .Q(rf_reg_q[635]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_634_ ( .D(n1946), .CLK(n27), .RESET_B(
+        n20), .Q(rf_reg_q[634]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_633_ ( .D(n1945), .CLK(n19), .RESET_B(
+        n9), .Q(rf_reg_q[633]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_632_ ( .D(n1944), .CLK(n15), .RESET_B(
+        rst_ni), .Q(rf_reg_q[632]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_631_ ( .D(n1943), .CLK(n31), .RESET_B(
+        n10), .Q(rf_reg_q[631]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_630_ ( .D(n1942), .CLK(n26), .RESET_B(
+        n10), .Q(rf_reg_q[630]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_629_ ( .D(n1941), .CLK(n22), .RESET_B(
+        n10), .Q(rf_reg_q[629]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_628_ ( .D(n1940), .CLK(clk_i), 
+        .RESET_B(n10), .Q(rf_reg_q[628]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_627_ ( .D(n1939), .CLK(n17), .RESET_B(
+        n9), .Q(rf_reg_q[627]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_626_ ( .D(n1938), .CLK(clk_i), 
+        .RESET_B(n21), .Q(rf_reg_q[626]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_625_ ( .D(n1937), .CLK(n15), .RESET_B(
+        n2582), .Q(rf_reg_q[625]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_624_ ( .D(n1936), .CLK(n12), .RESET_B(
+        n21), .Q(rf_reg_q[624]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_623_ ( .D(n1935), .CLK(n27), .RESET_B(
+        n2582), .Q(rf_reg_q[623]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_622_ ( .D(n1934), .CLK(n13), .RESET_B(
+        n10), .Q(rf_reg_q[622]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_621_ ( .D(n1933), .CLK(n11), .RESET_B(
+        n9), .Q(rf_reg_q[621]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_620_ ( .D(n1932), .CLK(n27), .RESET_B(
+        n2584), .Q(rf_reg_q[620]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_619_ ( .D(n1931), .CLK(clk_i), 
+        .RESET_B(n2580), .Q(rf_reg_q[619]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_618_ ( .D(n1930), .CLK(n26), .RESET_B(
+        n10), .Q(rf_reg_q[618]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_617_ ( .D(n1929), .CLK(n32), .RESET_B(
+        n9), .Q(rf_reg_q[617]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_616_ ( .D(n1928), .CLK(n14), .RESET_B(
+        n2586), .Q(rf_reg_q[616]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_615_ ( .D(n1927), .CLK(n17), .RESET_B(
+        n2576), .Q(rf_reg_q[615]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_614_ ( .D(n1926), .CLK(n18), .RESET_B(
+        n2576), .Q(rf_reg_q[614]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_613_ ( .D(n1925), .CLK(n16), .RESET_B(
+        n2576), .Q(rf_reg_q[613]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_612_ ( .D(n1924), .CLK(n23), .RESET_B(
+        n21), .Q(rf_reg_q[612]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_611_ ( .D(n1923), .CLK(n29), .RESET_B(
+        n21), .Q(rf_reg_q[611]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_610_ ( .D(n1922), .CLK(n26), .RESET_B(
+        n2575), .Q(rf_reg_q[610]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_609_ ( .D(n1921), .CLK(n18), .RESET_B(
+        n2579), .Q(rf_reg_q[609]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_608_ ( .D(n1920), .CLK(n18), .RESET_B(
+        n2575), .Q(rf_reg_q[608]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_671_ ( .D(n1919), .CLK(clk_i), 
+        .RESET_B(n9), .Q(rf_reg_q[671]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_670_ ( .D(n1918), .CLK(n25), .RESET_B(
+        n9), .Q(rf_reg_q[670]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_669_ ( .D(n1917), .CLK(n15), .RESET_B(
+        n9), .Q(rf_reg_q[669]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_668_ ( .D(n1916), .CLK(n11), .RESET_B(
+        n2584), .Q(rf_reg_q[668]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_667_ ( .D(n1915), .CLK(n22), .RESET_B(
+        rst_ni), .Q(rf_reg_q[667]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_666_ ( .D(n1914), .CLK(n27), .RESET_B(
+        n21), .Q(rf_reg_q[666]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_665_ ( .D(n1913), .CLK(n18), .RESET_B(
+        n10), .Q(rf_reg_q[665]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_664_ ( .D(n1912), .CLK(n17), .RESET_B(
+        n2582), .Q(rf_reg_q[664]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_663_ ( .D(n1911), .CLK(n11), .RESET_B(
+        n2584), .Q(rf_reg_q[663]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_662_ ( .D(n1910), .CLK(n12), .RESET_B(
+        n10), .Q(rf_reg_q[662]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_661_ ( .D(n1909), .CLK(n28), .RESET_B(
+        n20), .Q(rf_reg_q[661]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_660_ ( .D(n1908), .CLK(n24), .RESET_B(
+        n9), .Q(rf_reg_q[660]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_659_ ( .D(n1907), .CLK(n25), .RESET_B(
+        n2575), .Q(rf_reg_q[659]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_658_ ( .D(n1906), .CLK(n28), .RESET_B(
+        n2576), .Q(rf_reg_q[658]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_657_ ( .D(n1905), .CLK(n32), .RESET_B(
+        n2577), .Q(rf_reg_q[657]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_656_ ( .D(n1904), .CLK(n17), .RESET_B(
+        n2583), .Q(rf_reg_q[656]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_655_ ( .D(n1903), .CLK(n28), .RESET_B(
+        n2583), .Q(rf_reg_q[655]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_654_ ( .D(n1902), .CLK(n15), .RESET_B(
+        n2577), .Q(rf_reg_q[654]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_653_ ( .D(n1901), .CLK(n24), .RESET_B(
+        n2575), .Q(rf_reg_q[653]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_652_ ( .D(n1900), .CLK(n18), .RESET_B(
+        n2575), .Q(rf_reg_q[652]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_651_ ( .D(n1899), .CLK(n11), .RESET_B(
+        n2575), .Q(rf_reg_q[651]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_650_ ( .D(n1898), .CLK(n12), .RESET_B(
+        n21), .Q(rf_reg_q[650]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_649_ ( .D(n1897), .CLK(n17), .RESET_B(
+        n2577), .Q(rf_reg_q[649]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_648_ ( .D(n1896), .CLK(n16), .RESET_B(
+        n20), .Q(rf_reg_q[648]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_647_ ( .D(n1895), .CLK(n14), .RESET_B(
+        n9), .Q(rf_reg_q[647]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_646_ ( .D(n1894), .CLK(n15), .RESET_B(
+        n10), .Q(rf_reg_q[646]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_645_ ( .D(n1893), .CLK(n22), .RESET_B(
+        n2584), .Q(rf_reg_q[645]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_644_ ( .D(n1892), .CLK(n23), .RESET_B(
+        n20), .Q(rf_reg_q[644]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_643_ ( .D(n1891), .CLK(n18), .RESET_B(
+        n10), .Q(rf_reg_q[643]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_642_ ( .D(n1890), .CLK(n27), .RESET_B(
+        n10), .Q(rf_reg_q[642]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_641_ ( .D(n1889), .CLK(n24), .RESET_B(
+        n10), .Q(rf_reg_q[641]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_640_ ( .D(n1888), .CLK(n27), .RESET_B(
+        n10), .Q(rf_reg_q[640]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_703_ ( .D(n1887), .CLK(n25), .RESET_B(
+        n10), .Q(rf_reg_q[703]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_702_ ( .D(n1886), .CLK(n17), .RESET_B(
+        n10), .Q(rf_reg_q[702]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_701_ ( .D(n1885), .CLK(n29), .RESET_B(
+        n10), .Q(rf_reg_q[701]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_700_ ( .D(n1884), .CLK(n18), .RESET_B(
+        n10), .Q(rf_reg_q[700]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_699_ ( .D(n1883), .CLK(n17), .RESET_B(
+        n20), .Q(rf_reg_q[699]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_698_ ( .D(n1882), .CLK(n12), .RESET_B(
+        n2584), .Q(rf_reg_q[698]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_697_ ( .D(n1881), .CLK(n25), .RESET_B(
+        n10), .Q(rf_reg_q[697]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_696_ ( .D(n1880), .CLK(n16), .RESET_B(
+        n2581), .Q(rf_reg_q[696]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_695_ ( .D(n1879), .CLK(n31), .RESET_B(
+        rst_ni), .Q(rf_reg_q[695]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_694_ ( .D(n1878), .CLK(n32), .RESET_B(
+        rst_ni), .Q(rf_reg_q[694]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_693_ ( .D(n1877), .CLK(n22), .RESET_B(
+        rst_ni), .Q(rf_reg_q[693]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_692_ ( .D(n1876), .CLK(n24), .RESET_B(
+        rst_ni), .Q(rf_reg_q[692]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_691_ ( .D(n1875), .CLK(n28), .RESET_B(
+        n2576), .Q(rf_reg_q[691]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_690_ ( .D(n1874), .CLK(n32), .RESET_B(
+        n20), .Q(rf_reg_q[690]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_689_ ( .D(n1873), .CLK(n17), .RESET_B(
+        n9), .Q(rf_reg_q[689]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_688_ ( .D(n1872), .CLK(n25), .RESET_B(
+        n2584), .Q(rf_reg_q[688]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_687_ ( .D(n1871), .CLK(n17), .RESET_B(
+        n10), .Q(rf_reg_q[687]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_686_ ( .D(n1870), .CLK(n25), .RESET_B(
+        n10), .Q(rf_reg_q[686]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_685_ ( .D(n1869), .CLK(n29), .RESET_B(
+        n10), .Q(rf_reg_q[685]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_684_ ( .D(n1868), .CLK(n13), .RESET_B(
+        n10), .Q(rf_reg_q[684]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_683_ ( .D(n1867), .CLK(n26), .RESET_B(
+        n2580), .Q(rf_reg_q[683]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_682_ ( .D(n1866), .CLK(n32), .RESET_B(
+        n21), .Q(rf_reg_q[682]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_681_ ( .D(n1865), .CLK(n12), .RESET_B(
+        n10), .Q(rf_reg_q[681]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_680_ ( .D(n1864), .CLK(n12), .RESET_B(
+        n2582), .Q(rf_reg_q[680]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_679_ ( .D(n1863), .CLK(n17), .RESET_B(
+        n20), .Q(rf_reg_q[679]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_678_ ( .D(n1862), .CLK(n30), .RESET_B(
+        n2582), .Q(rf_reg_q[678]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_677_ ( .D(n1861), .CLK(n27), .RESET_B(
+        n20), .Q(rf_reg_q[677]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_676_ ( .D(n1860), .CLK(n23), .RESET_B(
+        n2582), .Q(rf_reg_q[676]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_675_ ( .D(n1859), .CLK(clk_i), 
+        .RESET_B(n2584), .Q(rf_reg_q[675]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_674_ ( .D(n1858), .CLK(n13), .RESET_B(
+        n2579), .Q(rf_reg_q[674]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_673_ ( .D(n1857), .CLK(n25), .RESET_B(
+        n2580), .Q(rf_reg_q[673]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_672_ ( .D(n1856), .CLK(n12), .RESET_B(
+        n9), .Q(rf_reg_q[672]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_735_ ( .D(n1855), .CLK(n16), .RESET_B(
+        n9), .Q(rf_reg_q[735]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_734_ ( .D(n1854), .CLK(n18), .RESET_B(
+        n9), .Q(rf_reg_q[734]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_733_ ( .D(n1853), .CLK(n15), .RESET_B(
+        n9), .Q(rf_reg_q[733]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_732_ ( .D(n1852), .CLK(n26), .RESET_B(
+        n9), .Q(rf_reg_q[732]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_731_ ( .D(n1851), .CLK(n15), .RESET_B(
+        n9), .Q(rf_reg_q[731]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_730_ ( .D(n1850), .CLK(n27), .RESET_B(
+        n9), .Q(rf_reg_q[730]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_729_ ( .D(n1849), .CLK(n11), .RESET_B(
+        n9), .Q(rf_reg_q[729]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_728_ ( .D(n1848), .CLK(n19), .RESET_B(
+        n9), .Q(rf_reg_q[728]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_727_ ( .D(n1847), .CLK(n16), .RESET_B(
+        n10), .Q(rf_reg_q[727]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_726_ ( .D(n1846), .CLK(n29), .RESET_B(
+        n2584), .Q(rf_reg_q[726]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_725_ ( .D(n1845), .CLK(n27), .RESET_B(
+        n2584), .Q(rf_reg_q[725]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_724_ ( .D(n1844), .CLK(n12), .RESET_B(
+        n2579), .Q(rf_reg_q[724]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_723_ ( .D(n1843), .CLK(n13), .RESET_B(
+        n21), .Q(rf_reg_q[723]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_722_ ( .D(n1842), .CLK(n17), .RESET_B(
+        n9), .Q(rf_reg_q[722]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_721_ ( .D(n1841), .CLK(n24), .RESET_B(
+        n21), .Q(rf_reg_q[721]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_720_ ( .D(n1840), .CLK(n22), .RESET_B(
+        n2582), .Q(rf_reg_q[720]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_719_ ( .D(n1839), .CLK(n17), .RESET_B(
+        n20), .Q(rf_reg_q[719]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_718_ ( .D(n1838), .CLK(n12), .RESET_B(
+        n20), .Q(rf_reg_q[718]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_717_ ( .D(n1837), .CLK(n27), .RESET_B(
+        n21), .Q(rf_reg_q[717]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_716_ ( .D(n1836), .CLK(n23), .RESET_B(
+        n9), .Q(rf_reg_q[716]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_715_ ( .D(n1835), .CLK(n26), .RESET_B(
+        n9), .Q(rf_reg_q[715]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_714_ ( .D(n1834), .CLK(n13), .RESET_B(
+        n9), .Q(rf_reg_q[714]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_713_ ( .D(n1833), .CLK(n11), .RESET_B(
+        n9), .Q(rf_reg_q[713]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_712_ ( .D(n1832), .CLK(n23), .RESET_B(
+        n9), .Q(rf_reg_q[712]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_711_ ( .D(n1831), .CLK(n11), .RESET_B(
+        n2577), .Q(rf_reg_q[711]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_710_ ( .D(n1830), .CLK(n12), .RESET_B(
+        n2575), .Q(rf_reg_q[710]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_709_ ( .D(n1829), .CLK(n30), .RESET_B(
+        n2576), .Q(rf_reg_q[709]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_708_ ( .D(n1828), .CLK(n16), .RESET_B(
+        n2576), .Q(rf_reg_q[708]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_707_ ( .D(n1827), .CLK(n13), .RESET_B(
+        n2575), .Q(rf_reg_q[707]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_706_ ( .D(n1826), .CLK(n32), .RESET_B(
+        n2576), .Q(rf_reg_q[706]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_705_ ( .D(n1825), .CLK(clk_i), 
+        .RESET_B(n2577), .Q(rf_reg_q[705]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_704_ ( .D(n1824), .CLK(n15), .RESET_B(
+        n2576), .Q(rf_reg_q[704]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_767_ ( .D(n1823), .CLK(n22), .RESET_B(
+        n2580), .Q(rf_reg_q[767]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_766_ ( .D(n1822), .CLK(n24), .RESET_B(
+        n10), .Q(rf_reg_q[766]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_765_ ( .D(n1821), .CLK(n12), .RESET_B(
+        n2575), .Q(rf_reg_q[765]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_764_ ( .D(n1820), .CLK(n16), .RESET_B(
+        n9), .Q(rf_reg_q[764]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_763_ ( .D(n1819), .CLK(n17), .RESET_B(
+        n2586), .Q(rf_reg_q[763]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_762_ ( .D(n1818), .CLK(n11), .RESET_B(
+        n9), .Q(rf_reg_q[762]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_761_ ( .D(n1817), .CLK(n12), .RESET_B(
+        n2586), .Q(rf_reg_q[761]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_760_ ( .D(n1816), .CLK(n31), .RESET_B(
+        n9), .Q(rf_reg_q[760]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_759_ ( .D(n1815), .CLK(n29), .RESET_B(
+        n2584), .Q(rf_reg_q[759]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_758_ ( .D(n1814), .CLK(n28), .RESET_B(
+        n21), .Q(rf_reg_q[758]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_757_ ( .D(n1813), .CLK(n29), .RESET_B(
+        n9), .Q(rf_reg_q[757]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_756_ ( .D(n1812), .CLK(n23), .RESET_B(
+        n2579), .Q(rf_reg_q[756]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_755_ ( .D(n1811), .CLK(n12), .RESET_B(
+        n9), .Q(rf_reg_q[755]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_754_ ( .D(n1810), .CLK(n25), .RESET_B(
+        n2586), .Q(rf_reg_q[754]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_753_ ( .D(n1809), .CLK(n11), .RESET_B(
+        n9), .Q(rf_reg_q[753]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_752_ ( .D(n1808), .CLK(n17), .RESET_B(
+        n21), .Q(rf_reg_q[752]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_751_ ( .D(n1807), .CLK(n25), .RESET_B(
+        n2586), .Q(rf_reg_q[751]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_750_ ( .D(n1806), .CLK(n32), .RESET_B(
+        n2586), .Q(rf_reg_q[750]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_749_ ( .D(n1805), .CLK(n18), .RESET_B(
+        n9), .Q(rf_reg_q[749]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_748_ ( .D(n1804), .CLK(n25), .RESET_B(
+        n9), .Q(rf_reg_q[748]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_747_ ( .D(n1803), .CLK(n16), .RESET_B(
+        n2586), .Q(rf_reg_q[747]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_746_ ( .D(n1802), .CLK(n25), .RESET_B(
+        n9), .Q(rf_reg_q[746]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_745_ ( .D(n1801), .CLK(n22), .RESET_B(
+        n2586), .Q(rf_reg_q[745]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_744_ ( .D(n1800), .CLK(n27), .RESET_B(
+        n2586), .Q(rf_reg_q[744]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_743_ ( .D(n1799), .CLK(n19), .RESET_B(
+        n9), .Q(rf_reg_q[743]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_742_ ( .D(n1798), .CLK(n22), .RESET_B(
+        n9), .Q(rf_reg_q[742]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_741_ ( .D(n1797), .CLK(n28), .RESET_B(
+        n9), .Q(rf_reg_q[741]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_740_ ( .D(n1796), .CLK(n16), .RESET_B(
+        n2586), .Q(rf_reg_q[740]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_739_ ( .D(n1795), .CLK(n27), .RESET_B(
+        n9), .Q(rf_reg_q[739]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_738_ ( .D(n1794), .CLK(n22), .RESET_B(
+        n2586), .Q(rf_reg_q[738]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_737_ ( .D(n1793), .CLK(n24), .RESET_B(
+        n9), .Q(rf_reg_q[737]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_736_ ( .D(n1792), .CLK(n32), .RESET_B(
+        n9), .Q(rf_reg_q[736]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_799_ ( .D(n1791), .CLK(n17), .RESET_B(
+        n2586), .Q(rf_reg_q[799]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_798_ ( .D(n1790), .CLK(n14), .RESET_B(
+        n2586), .Q(rf_reg_q[798]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_797_ ( .D(n1789), .CLK(n30), .RESET_B(
+        n9), .Q(rf_reg_q[797]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_796_ ( .D(n1788), .CLK(n18), .RESET_B(
+        n2586), .Q(rf_reg_q[796]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_795_ ( .D(n1787), .CLK(clk_i), 
+        .RESET_B(n9), .Q(rf_reg_q[795]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_794_ ( .D(n1786), .CLK(n16), .RESET_B(
+        n9), .Q(rf_reg_q[794]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_793_ ( .D(n1785), .CLK(n28), .RESET_B(
+        n2586), .Q(rf_reg_q[793]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_792_ ( .D(n1784), .CLK(n29), .RESET_B(
+        n9), .Q(rf_reg_q[792]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_791_ ( .D(n1783), .CLK(n25), .RESET_B(
+        n9), .Q(rf_reg_q[791]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_790_ ( .D(n1782), .CLK(n23), .RESET_B(
+        n21), .Q(rf_reg_q[790]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_789_ ( .D(n1781), .CLK(n28), .RESET_B(
+        n21), .Q(rf_reg_q[789]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_788_ ( .D(n1780), .CLK(n29), .RESET_B(
+        n2580), .Q(rf_reg_q[788]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_787_ ( .D(n1779), .CLK(n23), .RESET_B(
+        rst_ni), .Q(rf_reg_q[787]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_786_ ( .D(n1778), .CLK(n24), .RESET_B(
+        n2580), .Q(rf_reg_q[786]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_785_ ( .D(n1777), .CLK(n15), .RESET_B(
+        n21), .Q(rf_reg_q[785]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_784_ ( .D(n1776), .CLK(n32), .RESET_B(
+        n20), .Q(rf_reg_q[784]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_783_ ( .D(n1775), .CLK(n23), .RESET_B(
+        n9), .Q(rf_reg_q[783]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_782_ ( .D(n1774), .CLK(n11), .RESET_B(
+        n9), .Q(rf_reg_q[782]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_781_ ( .D(n1773), .CLK(n17), .RESET_B(
+        n2586), .Q(rf_reg_q[781]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_780_ ( .D(n1772), .CLK(n14), .RESET_B(
+        n2586), .Q(rf_reg_q[780]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_779_ ( .D(n1771), .CLK(n25), .RESET_B(
+        n9), .Q(rf_reg_q[779]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_778_ ( .D(n1770), .CLK(n32), .RESET_B(
+        n2584), .Q(rf_reg_q[778]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_777_ ( .D(n1769), .CLK(n18), .RESET_B(
+        n9), .Q(rf_reg_q[777]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_776_ ( .D(n1768), .CLK(n31), .RESET_B(
+        n2584), .Q(rf_reg_q[776]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_775_ ( .D(n1767), .CLK(n31), .RESET_B(
+        n2584), .Q(rf_reg_q[775]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_774_ ( .D(n1766), .CLK(n27), .RESET_B(
+        n2583), .Q(rf_reg_q[774]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_773_ ( .D(n1765), .CLK(n17), .RESET_B(
+        n2583), .Q(rf_reg_q[773]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_772_ ( .D(n1764), .CLK(n23), .RESET_B(
+        n2583), .Q(rf_reg_q[772]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_771_ ( .D(n1763), .CLK(n31), .RESET_B(
+        n9), .Q(rf_reg_q[771]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_770_ ( .D(n1762), .CLK(n11), .RESET_B(
+        n2582), .Q(rf_reg_q[770]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_769_ ( .D(n1761), .CLK(n27), .RESET_B(
+        n9), .Q(rf_reg_q[769]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_768_ ( .D(n1760), .CLK(n28), .RESET_B(
+        n9), .Q(rf_reg_q[768]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_831_ ( .D(n1759), .CLK(n30), .RESET_B(
+        n2577), .Q(rf_reg_q[831]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_830_ ( .D(n1758), .CLK(n15), .RESET_B(
+        n2583), .Q(rf_reg_q[830]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_829_ ( .D(n1757), .CLK(n22), .RESET_B(
+        n2583), .Q(rf_reg_q[829]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_828_ ( .D(n1756), .CLK(n30), .RESET_B(
+        n10), .Q(rf_reg_q[828]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_827_ ( .D(n1755), .CLK(n16), .RESET_B(
+        n9), .Q(rf_reg_q[827]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_826_ ( .D(n1754), .CLK(n18), .RESET_B(
+        n2584), .Q(rf_reg_q[826]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_825_ ( .D(n1753), .CLK(n11), .RESET_B(
+        n2579), .Q(rf_reg_q[825]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_824_ ( .D(n1752), .CLK(n22), .RESET_B(
+        n2577), .Q(rf_reg_q[824]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_823_ ( .D(n1751), .CLK(n22), .RESET_B(
+        n2575), .Q(rf_reg_q[823]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_822_ ( .D(n1750), .CLK(n16), .RESET_B(
+        n2575), .Q(rf_reg_q[822]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_821_ ( .D(n1749), .CLK(n31), .RESET_B(
+        n10), .Q(rf_reg_q[821]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_820_ ( .D(n1748), .CLK(n15), .RESET_B(
+        n10), .Q(rf_reg_q[820]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_819_ ( .D(n1747), .CLK(n14), .RESET_B(
+        n10), .Q(rf_reg_q[819]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_818_ ( .D(n1746), .CLK(n31), .RESET_B(
+        n10), .Q(rf_reg_q[818]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_817_ ( .D(n1745), .CLK(n26), .RESET_B(
+        n10), .Q(rf_reg_q[817]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_816_ ( .D(n1744), .CLK(n30), .RESET_B(
+        n10), .Q(rf_reg_q[816]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_815_ ( .D(n1743), .CLK(clk_i), 
+        .RESET_B(n10), .Q(rf_reg_q[815]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_814_ ( .D(n1742), .CLK(n18), .RESET_B(
+        n10), .Q(rf_reg_q[814]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_813_ ( .D(n1741), .CLK(n16), .RESET_B(
+        n9), .Q(rf_reg_q[813]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_812_ ( .D(n1740), .CLK(clk_i), 
+        .RESET_B(n2579), .Q(rf_reg_q[812]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_811_ ( .D(n1739), .CLK(n32), .RESET_B(
+        n2584), .Q(rf_reg_q[811]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_810_ ( .D(n1738), .CLK(n23), .RESET_B(
+        n2583), .Q(rf_reg_q[810]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_809_ ( .D(n1737), .CLK(n12), .RESET_B(
+        n2583), .Q(rf_reg_q[809]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_808_ ( .D(n1736), .CLK(n29), .RESET_B(
+        n2577), .Q(rf_reg_q[808]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_807_ ( .D(n1735), .CLK(n30), .RESET_B(
+        n9), .Q(rf_reg_q[807]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_806_ ( .D(n1734), .CLK(n23), .RESET_B(
+        n9), .Q(rf_reg_q[806]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_805_ ( .D(n1733), .CLK(n29), .RESET_B(
+        n2584), .Q(rf_reg_q[805]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_804_ ( .D(n1732), .CLK(n15), .RESET_B(
+        n2586), .Q(rf_reg_q[804]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_803_ ( .D(n1731), .CLK(n28), .RESET_B(
+        n2586), .Q(rf_reg_q[803]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_802_ ( .D(n1730), .CLK(n22), .RESET_B(
+        n9), .Q(rf_reg_q[802]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_801_ ( .D(n1729), .CLK(n16), .RESET_B(
+        n10), .Q(rf_reg_q[801]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_800_ ( .D(n1728), .CLK(n18), .RESET_B(
+        n20), .Q(rf_reg_q[800]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_863_ ( .D(n1727), .CLK(n15), .RESET_B(
+        n9), .Q(rf_reg_q[863]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_862_ ( .D(n1726), .CLK(n29), .RESET_B(
+        n9), .Q(rf_reg_q[862]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_861_ ( .D(n1725), .CLK(n27), .RESET_B(
+        n10), .Q(rf_reg_q[861]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_860_ ( .D(n1724), .CLK(n28), .RESET_B(
+        n10), .Q(rf_reg_q[860]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_859_ ( .D(n1723), .CLK(n31), .RESET_B(
+        n10), .Q(rf_reg_q[859]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_858_ ( .D(n1722), .CLK(n29), .RESET_B(
+        n2576), .Q(rf_reg_q[858]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_857_ ( .D(n1721), .CLK(n18), .RESET_B(
+        n21), .Q(rf_reg_q[857]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_856_ ( .D(n1720), .CLK(n30), .RESET_B(
+        n2584), .Q(rf_reg_q[856]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_855_ ( .D(n1719), .CLK(n28), .RESET_B(
+        rst_ni), .Q(rf_reg_q[855]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_854_ ( .D(n1718), .CLK(n28), .RESET_B(
+        n20), .Q(rf_reg_q[854]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_853_ ( .D(n1717), .CLK(n25), .RESET_B(
+        n2575), .Q(rf_reg_q[853]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_852_ ( .D(n1716), .CLK(n22), .RESET_B(
+        n2584), .Q(rf_reg_q[852]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_851_ ( .D(n1715), .CLK(n13), .RESET_B(
+        n2584), .Q(rf_reg_q[851]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_850_ ( .D(n1714), .CLK(n24), .RESET_B(
+        n2576), .Q(rf_reg_q[850]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_849_ ( .D(n1713), .CLK(n19), .RESET_B(
+        n21), .Q(rf_reg_q[849]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_848_ ( .D(n1712), .CLK(n18), .RESET_B(
+        n2579), .Q(rf_reg_q[848]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_847_ ( .D(n1711), .CLK(n27), .RESET_B(
+        rst_ni), .Q(rf_reg_q[847]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_846_ ( .D(n1710), .CLK(n17), .RESET_B(
+        n2582), .Q(rf_reg_q[846]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_845_ ( .D(n1709), .CLK(n28), .RESET_B(
+        n2584), .Q(rf_reg_q[845]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_844_ ( .D(n1708), .CLK(n26), .RESET_B(
+        rst_ni), .Q(rf_reg_q[844]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_843_ ( .D(n1707), .CLK(n17), .RESET_B(
+        n2584), .Q(rf_reg_q[843]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_842_ ( .D(n1706), .CLK(n12), .RESET_B(
+        rst_ni), .Q(rf_reg_q[842]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_841_ ( .D(n1705), .CLK(n14), .RESET_B(
+        n9), .Q(rf_reg_q[841]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_840_ ( .D(n1704), .CLK(n16), .RESET_B(
+        n2579), .Q(rf_reg_q[840]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_839_ ( .D(n1703), .CLK(n25), .RESET_B(
+        n2580), .Q(rf_reg_q[839]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_838_ ( .D(n1702), .CLK(n18), .RESET_B(
+        n2584), .Q(rf_reg_q[838]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_837_ ( .D(n1701), .CLK(n30), .RESET_B(
+        n2577), .Q(rf_reg_q[837]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_836_ ( .D(n1700), .CLK(n18), .RESET_B(
+        rst_ni), .Q(rf_reg_q[836]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_835_ ( .D(n1699), .CLK(n29), .RESET_B(
+        rst_ni), .Q(rf_reg_q[835]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_834_ ( .D(n1698), .CLK(n27), .RESET_B(
+        n20), .Q(rf_reg_q[834]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_833_ ( .D(n1697), .CLK(clk_i), 
+        .RESET_B(n2584), .Q(rf_reg_q[833]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_832_ ( .D(n1696), .CLK(n13), .RESET_B(
+        n2577), .Q(rf_reg_q[832]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_895_ ( .D(n1695), .CLK(n28), .RESET_B(
+        rst_ni), .Q(rf_reg_q[895]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_894_ ( .D(n1694), .CLK(n12), .RESET_B(
+        n2584), .Q(rf_reg_q[894]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_893_ ( .D(n1693), .CLK(n28), .RESET_B(
+        n2576), .Q(rf_reg_q[893]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_892_ ( .D(n1692), .CLK(n24), .RESET_B(
+        rst_ni), .Q(rf_reg_q[892]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_891_ ( .D(n1691), .CLK(n19), .RESET_B(
+        n21), .Q(rf_reg_q[891]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_890_ ( .D(n1690), .CLK(n32), .RESET_B(
+        n21), .Q(rf_reg_q[890]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_889_ ( .D(n1689), .CLK(n31), .RESET_B(
+        n2584), .Q(rf_reg_q[889]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_888_ ( .D(n1688), .CLK(n25), .RESET_B(
+        n10), .Q(rf_reg_q[888]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_887_ ( .D(n1687), .CLK(clk_i), 
+        .RESET_B(n9), .Q(rf_reg_q[887]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_886_ ( .D(n1686), .CLK(clk_i), 
+        .RESET_B(n2577), .Q(rf_reg_q[886]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_885_ ( .D(n1685), .CLK(n19), .RESET_B(
+        n20), .Q(rf_reg_q[885]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_884_ ( .D(n1684), .CLK(n24), .RESET_B(
+        n2583), .Q(rf_reg_q[884]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_883_ ( .D(n1683), .CLK(n26), .RESET_B(
+        n2583), .Q(rf_reg_q[883]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_882_ ( .D(n1682), .CLK(n31), .RESET_B(
+        n2583), .Q(rf_reg_q[882]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_881_ ( .D(n1681), .CLK(n24), .RESET_B(
+        n2583), .Q(rf_reg_q[881]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_880_ ( .D(n1680), .CLK(n11), .RESET_B(
+        n10), .Q(rf_reg_q[880]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_879_ ( .D(n1679), .CLK(n19), .RESET_B(
+        n20), .Q(rf_reg_q[879]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_878_ ( .D(n1678), .CLK(clk_i), 
+        .RESET_B(n2580), .Q(rf_reg_q[878]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_877_ ( .D(n1677), .CLK(n32), .RESET_B(
+        rst_ni), .Q(rf_reg_q[877]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_876_ ( .D(n1676), .CLK(n13), .RESET_B(
+        n9), .Q(rf_reg_q[876]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_875_ ( .D(n1675), .CLK(n31), .RESET_B(
+        n10), .Q(rf_reg_q[875]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_874_ ( .D(n1674), .CLK(n15), .RESET_B(
+        n20), .Q(rf_reg_q[874]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_873_ ( .D(n1673), .CLK(n28), .RESET_B(
+        n10), .Q(rf_reg_q[873]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_872_ ( .D(n1672), .CLK(n26), .RESET_B(
+        n20), .Q(rf_reg_q[872]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_871_ ( .D(n1671), .CLK(n13), .RESET_B(
+        n10), .Q(rf_reg_q[871]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_870_ ( .D(n1670), .CLK(n25), .RESET_B(
+        n20), .Q(rf_reg_q[870]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_869_ ( .D(n1669), .CLK(n29), .RESET_B(
+        n10), .Q(rf_reg_q[869]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_868_ ( .D(n1668), .CLK(n32), .RESET_B(
+        n20), .Q(rf_reg_q[868]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_867_ ( .D(n1667), .CLK(n30), .RESET_B(
+        n20), .Q(rf_reg_q[867]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_866_ ( .D(n1666), .CLK(n16), .RESET_B(
+        n20), .Q(rf_reg_q[866]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_865_ ( .D(n1665), .CLK(n16), .RESET_B(
+        n20), .Q(rf_reg_q[865]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_864_ ( .D(n1664), .CLK(n23), .RESET_B(
+        n20), .Q(rf_reg_q[864]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_927_ ( .D(n1663), .CLK(n32), .RESET_B(
+        n2580), .Q(rf_reg_q[927]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_926_ ( .D(n1662), .CLK(n26), .RESET_B(
+        n10), .Q(rf_reg_q[926]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_925_ ( .D(n1661), .CLK(n15), .RESET_B(
+        n10), .Q(rf_reg_q[925]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_924_ ( .D(n1660), .CLK(n32), .RESET_B(
+        n20), .Q(rf_reg_q[924]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_923_ ( .D(n1659), .CLK(n32), .RESET_B(
+        n10), .Q(rf_reg_q[923]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_922_ ( .D(n1658), .CLK(clk_i), 
+        .RESET_B(n10), .Q(rf_reg_q[922]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_921_ ( .D(n1657), .CLK(n18), .RESET_B(
+        n20), .Q(rf_reg_q[921]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_920_ ( .D(n1656), .CLK(n30), .RESET_B(
+        n20), .Q(rf_reg_q[920]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_919_ ( .D(n1655), .CLK(n24), .RESET_B(
+        n10), .Q(rf_reg_q[919]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_918_ ( .D(n1654), .CLK(n25), .RESET_B(
+        n20), .Q(rf_reg_q[918]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_917_ ( .D(n1653), .CLK(n13), .RESET_B(
+        n10), .Q(rf_reg_q[917]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_916_ ( .D(n1652), .CLK(n13), .RESET_B(
+        n20), .Q(rf_reg_q[916]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_915_ ( .D(n1651), .CLK(n23), .RESET_B(
+        n20), .Q(rf_reg_q[915]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_914_ ( .D(n1650), .CLK(n27), .RESET_B(
+        n20), .Q(rf_reg_q[914]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_913_ ( .D(n1649), .CLK(n32), .RESET_B(
+        n20), .Q(rf_reg_q[913]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_912_ ( .D(n1648), .CLK(n32), .RESET_B(
+        n20), .Q(rf_reg_q[912]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_911_ ( .D(n1647), .CLK(n17), .RESET_B(
+        n20), .Q(rf_reg_q[911]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_910_ ( .D(n1646), .CLK(n15), .RESET_B(
+        n20), .Q(rf_reg_q[910]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_909_ ( .D(n1645), .CLK(n16), .RESET_B(
+        n20), .Q(rf_reg_q[909]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_908_ ( .D(n1644), .CLK(n22), .RESET_B(
+        n20), .Q(rf_reg_q[908]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_907_ ( .D(n1643), .CLK(n32), .RESET_B(
+        n10), .Q(rf_reg_q[907]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_906_ ( .D(n1642), .CLK(n22), .RESET_B(
+        n2579), .Q(rf_reg_q[906]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_905_ ( .D(n1641), .CLK(n14), .RESET_B(
+        n10), .Q(rf_reg_q[905]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_904_ ( .D(n1640), .CLK(n13), .RESET_B(
+        n10), .Q(rf_reg_q[904]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_903_ ( .D(n1639), .CLK(n14), .RESET_B(
+        n20), .Q(rf_reg_q[903]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_902_ ( .D(n1638), .CLK(n11), .RESET_B(
+        n2577), .Q(rf_reg_q[902]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_901_ ( .D(n1637), .CLK(n11), .RESET_B(
+        n2579), .Q(rf_reg_q[901]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_900_ ( .D(n1636), .CLK(n17), .RESET_B(
+        n2577), .Q(rf_reg_q[900]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_899_ ( .D(n1635), .CLK(n13), .RESET_B(
+        n2584), .Q(rf_reg_q[899]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_898_ ( .D(n1634), .CLK(n12), .RESET_B(
+        n2575), .Q(rf_reg_q[898]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_897_ ( .D(n1633), .CLK(n26), .RESET_B(
+        n2580), .Q(rf_reg_q[897]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_896_ ( .D(n1632), .CLK(n14), .RESET_B(
+        rst_ni), .Q(rf_reg_q[896]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_959_ ( .D(n1631), .CLK(n13), .RESET_B(
+        n2584), .Q(rf_reg_q[959]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_958_ ( .D(n1630), .CLK(n31), .RESET_B(
+        n20), .Q(rf_reg_q[958]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_957_ ( .D(n1629), .CLK(n17), .RESET_B(
+        n20), .Q(rf_reg_q[957]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_956_ ( .D(n1628), .CLK(n24), .RESET_B(
+        n20), .Q(rf_reg_q[956]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_955_ ( .D(n1627), .CLK(n11), .RESET_B(
+        n2577), .Q(rf_reg_q[955]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_954_ ( .D(n1626), .CLK(n14), .RESET_B(
+        n2576), .Q(rf_reg_q[954]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_953_ ( .D(n1625), .CLK(n23), .RESET_B(
+        n2575), .Q(rf_reg_q[953]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_952_ ( .D(n1624), .CLK(n28), .RESET_B(
+        n2577), .Q(rf_reg_q[952]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_951_ ( .D(n1623), .CLK(n28), .RESET_B(
+        n2575), .Q(rf_reg_q[951]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_950_ ( .D(n1622), .CLK(n16), .RESET_B(
+        n2583), .Q(rf_reg_q[950]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_949_ ( .D(n1621), .CLK(n19), .RESET_B(
+        n2576), .Q(rf_reg_q[949]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_948_ ( .D(n1620), .CLK(n13), .RESET_B(
+        n2576), .Q(rf_reg_q[948]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_947_ ( .D(n1619), .CLK(n27), .RESET_B(
+        n2579), .Q(rf_reg_q[947]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_946_ ( .D(n1618), .CLK(n16), .RESET_B(
+        n9), .Q(rf_reg_q[946]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_945_ ( .D(n1617), .CLK(n16), .RESET_B(
+        n2584), .Q(rf_reg_q[945]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_944_ ( .D(n1616), .CLK(n19), .RESET_B(
+        n9), .Q(rf_reg_q[944]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_943_ ( .D(n1615), .CLK(n18), .RESET_B(
+        n2584), .Q(rf_reg_q[943]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_942_ ( .D(n1614), .CLK(n11), .RESET_B(
+        n2584), .Q(rf_reg_q[942]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_941_ ( .D(n1613), .CLK(n18), .RESET_B(
+        n2584), .Q(rf_reg_q[941]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_940_ ( .D(n1612), .CLK(n16), .RESET_B(
+        n2584), .Q(rf_reg_q[940]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_939_ ( .D(n1611), .CLK(n15), .RESET_B(
+        n2584), .Q(rf_reg_q[939]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_938_ ( .D(n1610), .CLK(n25), .RESET_B(
+        n2584), .Q(rf_reg_q[938]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_937_ ( .D(n1609), .CLK(n30), .RESET_B(
+        n2584), .Q(rf_reg_q[937]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_936_ ( .D(n1608), .CLK(n28), .RESET_B(
+        n2584), .Q(rf_reg_q[936]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_935_ ( .D(n1607), .CLK(n32), .RESET_B(
+        n20), .Q(rf_reg_q[935]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_934_ ( .D(n1606), .CLK(n12), .RESET_B(
+        n2582), .Q(rf_reg_q[934]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_933_ ( .D(n1605), .CLK(n13), .RESET_B(
+        n2576), .Q(rf_reg_q[933]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_932_ ( .D(n1604), .CLK(n13), .RESET_B(
+        n9), .Q(rf_reg_q[932]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_931_ ( .D(n1603), .CLK(n25), .RESET_B(
+        n2582), .Q(rf_reg_q[931]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_930_ ( .D(n1602), .CLK(n13), .RESET_B(
+        n20), .Q(rf_reg_q[930]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_929_ ( .D(n1601), .CLK(n19), .RESET_B(
+        n20), .Q(rf_reg_q[929]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_928_ ( .D(n1600), .CLK(n18), .RESET_B(
+        n2577), .Q(rf_reg_q[928]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_991_ ( .D(n1599), .CLK(n17), .RESET_B(
+        n2579), .Q(rf_reg_q[991]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_990_ ( .D(n1598), .CLK(n13), .RESET_B(
+        n2575), .Q(rf_reg_q[990]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_989_ ( .D(n1597), .CLK(n17), .RESET_B(
+        n2580), .Q(rf_reg_q[989]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_988_ ( .D(n1596), .CLK(n31), .RESET_B(
+        n2577), .Q(rf_reg_q[988]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_987_ ( .D(n1595), .CLK(n26), .RESET_B(
+        n2584), .Q(rf_reg_q[987]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_986_ ( .D(n1594), .CLK(n22), .RESET_B(
+        n2584), .Q(rf_reg_q[986]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_985_ ( .D(n1593), .CLK(n16), .RESET_B(
+        n2584), .Q(rf_reg_q[985]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_984_ ( .D(n1592), .CLK(n26), .RESET_B(
+        n2584), .Q(rf_reg_q[984]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_983_ ( .D(n1591), .CLK(n18), .RESET_B(
+        n20), .Q(rf_reg_q[983]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_982_ ( .D(n1590), .CLK(n26), .RESET_B(
+        n20), .Q(rf_reg_q[982]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_981_ ( .D(n1589), .CLK(n17), .RESET_B(
+        n20), .Q(rf_reg_q[981]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_980_ ( .D(n1588), .CLK(n18), .RESET_B(
+        n20), .Q(rf_reg_q[980]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_979_ ( .D(n1587), .CLK(clk_i), 
+        .RESET_B(n20), .Q(rf_reg_q[979]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_978_ ( .D(n1586), .CLK(n23), .RESET_B(
+        n20), .Q(rf_reg_q[978]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_977_ ( .D(n1585), .CLK(n16), .RESET_B(
+        n20), .Q(rf_reg_q[977]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_976_ ( .D(n1584), .CLK(n26), .RESET_B(
+        n20), .Q(rf_reg_q[976]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_975_ ( .D(n1583), .CLK(n28), .RESET_B(
+        n2577), .Q(rf_reg_q[975]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_974_ ( .D(n1582), .CLK(n32), .RESET_B(
+        n2584), .Q(rf_reg_q[974]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_973_ ( .D(n1581), .CLK(n23), .RESET_B(
+        n21), .Q(rf_reg_q[973]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_972_ ( .D(n1580), .CLK(n23), .RESET_B(
+        n2575), .Q(rf_reg_q[972]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_971_ ( .D(n1579), .CLK(n27), .RESET_B(
+        rst_ni), .Q(rf_reg_q[971]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_970_ ( .D(n1578), .CLK(n12), .RESET_B(
+        n2586), .Q(rf_reg_q[970]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_969_ ( .D(n1577), .CLK(n25), .RESET_B(
+        n21), .Q(rf_reg_q[969]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_968_ ( .D(n1576), .CLK(n29), .RESET_B(
+        n10), .Q(rf_reg_q[968]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_967_ ( .D(n1575), .CLK(n30), .RESET_B(
+        n10), .Q(rf_reg_q[967]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_966_ ( .D(n1574), .CLK(n11), .RESET_B(
+        n20), .Q(rf_reg_q[966]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_965_ ( .D(n1573), .CLK(n23), .RESET_B(
+        n21), .Q(rf_reg_q[965]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_964_ ( .D(n1572), .CLK(n11), .RESET_B(
+        n2584), .Q(rf_reg_q[964]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_963_ ( .D(n1571), .CLK(n11), .RESET_B(
+        n20), .Q(rf_reg_q[963]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_962_ ( .D(n1570), .CLK(clk_i), 
+        .RESET_B(n2584), .Q(rf_reg_q[962]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_961_ ( .D(n1569), .CLK(n13), .RESET_B(
+        n2579), .Q(rf_reg_q[961]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_960_ ( .D(n1568), .CLK(n31), .RESET_B(
+        n2580), .Q(rf_reg_q[960]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1023_ ( .D(n1567), .CLK(n30), 
+        .RESET_B(n2580), .Q(rf_reg_q[1023]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1022_ ( .D(n1566), .CLK(n30), 
+        .RESET_B(n9), .Q(rf_reg_q[1022]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1021_ ( .D(n1565), .CLK(n28), 
+        .RESET_B(n9), .Q(rf_reg_q[1021]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1020_ ( .D(n1564), .CLK(n14), 
+        .RESET_B(n2586), .Q(rf_reg_q[1020]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1019_ ( .D(n1563), .CLK(n27), 
+        .RESET_B(n21), .Q(rf_reg_q[1019]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1018_ ( .D(n1562), .CLK(n11), 
+        .RESET_B(n2582), .Q(rf_reg_q[1018]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1017_ ( .D(n1561), .CLK(n14), 
+        .RESET_B(n20), .Q(rf_reg_q[1017]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1016_ ( .D(n1560), .CLK(n12), 
+        .RESET_B(n9), .Q(rf_reg_q[1016]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1015_ ( .D(n1559), .CLK(clk_i), 
+        .RESET_B(n10), .Q(rf_reg_q[1015]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1014_ ( .D(n1558), .CLK(n25), 
+        .RESET_B(n2579), .Q(rf_reg_q[1014]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1013_ ( .D(n1557), .CLK(n28), 
+        .RESET_B(n20), .Q(rf_reg_q[1013]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1012_ ( .D(n1556), .CLK(n12), 
+        .RESET_B(n2579), .Q(rf_reg_q[1012]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1011_ ( .D(n1555), .CLK(n13), 
+        .RESET_B(n2580), .Q(rf_reg_q[1011]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1010_ ( .D(n1554), .CLK(n11), 
+        .RESET_B(n2576), .Q(rf_reg_q[1010]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1009_ ( .D(n1553), .CLK(n32), 
+        .RESET_B(n9), .Q(rf_reg_q[1009]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1008_ ( .D(n1552), .CLK(n26), 
+        .RESET_B(n9), .Q(rf_reg_q[1008]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1007_ ( .D(n1551), .CLK(n26), 
+        .RESET_B(n9), .Q(rf_reg_q[1007]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1006_ ( .D(n1550), .CLK(n15), 
+        .RESET_B(n21), .Q(rf_reg_q[1006]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1005_ ( .D(n1549), .CLK(n14), 
+        .RESET_B(n2582), .Q(rf_reg_q[1005]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1004_ ( .D(n1548), .CLK(n15), 
+        .RESET_B(rst_ni), .Q(rf_reg_q[1004]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1003_ ( .D(n1547), .CLK(n28), 
+        .RESET_B(n9), .Q(rf_reg_q[1003]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1002_ ( .D(n1546), .CLK(n25), 
+        .RESET_B(n10), .Q(rf_reg_q[1002]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1001_ ( .D(n1545), .CLK(n31), 
+        .RESET_B(n20), .Q(rf_reg_q[1001]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_1000_ ( .D(n1544), .CLK(n29), 
+        .RESET_B(n20), .Q(rf_reg_q[1000]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_999_ ( .D(n1543), .CLK(n27), .RESET_B(
+        n9), .Q(rf_reg_q[999]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_998_ ( .D(n1542), .CLK(clk_i), 
+        .RESET_B(n9), .Q(rf_reg_q[998]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_997_ ( .D(n1541), .CLK(n11), .RESET_B(
+        n21), .Q(rf_reg_q[997]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_996_ ( .D(n1540), .CLK(n32), .RESET_B(
+        n10), .Q(rf_reg_q[996]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_995_ ( .D(n1539), .CLK(n19), .RESET_B(
+        rst_ni), .Q(rf_reg_q[995]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_994_ ( .D(n1538), .CLK(n25), .RESET_B(
+        n9), .Q(rf_reg_q[994]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_993_ ( .D(n1537), .CLK(clk_i), 
+        .RESET_B(n20), .Q(rf_reg_q[993]) );
+  sky130_fd_sc_hd__dfrtp_1 rf_reg_q_reg_992_ ( .D(n1536), .CLK(n32), .RESET_B(
+        n21), .Q(rf_reg_q[992]) );
+  sky130_fd_sc_hd__buf_2 U2 ( .A(n1521), .X(n1) );
+  sky130_fd_sc_hd__buf_2 U3 ( .A(n1507), .X(n2) );
+  sky130_fd_sc_hd__buf_2 U4 ( .A(n1508), .X(n3) );
+  sky130_fd_sc_hd__buf_2 U5 ( .A(n1532), .X(n4) );
+  sky130_fd_sc_hd__nor2_2 U6 ( .A(raddr_b_i[3]), .B(n114), .Y(n594) );
+  sky130_fd_sc_hd__buf_2 U7 ( .A(n482), .X(n5) );
+  sky130_fd_sc_hd__buf_2 U8 ( .A(n517), .X(n6) );
+  sky130_fd_sc_hd__buf_2 U9 ( .A(n817), .X(n7) );
+  sky130_fd_sc_hd__buf_2 U10 ( .A(n816), .X(n8) );
+  sky130_fd_sc_hd__buf_2 U12 ( .A(n20), .X(n10) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(n29), .X(n11) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(n31), .X(n12) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(n30), .X(n13) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(n25), .X(n14) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(n27), .X(n15) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(n28), .X(n16) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(n24), .X(n17) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(n26), .X(n18) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(n32), .X(n19) );
+  sky130_fd_sc_hd__buf_2 U22 ( .A(rst_ni), .X(n2582) );
+  sky130_fd_sc_hd__buf_2 U24 ( .A(n2583), .X(n21) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(n23), .X(n22) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(clk_i), .X(n23) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(n22), .X(n24) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(n22), .X(n25) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(n22), .X(n26) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(n22), .X(n27) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(n22), .X(n28) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(n27), .X(n29) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(n28), .X(n30) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(n23), .X(n31) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(n31), .X(n32) );
+  sky130_fd_sc_hd__and2_0 U36 ( .A(n1507), .B(n868), .X(n2560) );
+  sky130_fd_sc_hd__buf_2 U37 ( .A(n1321), .X(n1500) );
+  sky130_fd_sc_hd__nor2_2 U38 ( .A(raddr_a_i[3]), .B(n850), .Y(n1498) );
+  sky130_fd_sc_hd__nor2_2 U39 ( .A(n851), .B(n850), .Y(n1499) );
+  sky130_fd_sc_hd__nor2b_1 U40 ( .B_N(n594), .A(raddr_b_i[1]), .Y(n838) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(n10), .X(n2586) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(rst_ni), .X(n2576) );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(rst_ni), .X(n2581) );
+  sky130_fd_sc_hd__clkbuf_1 U49 ( .A(rst_ni), .X(n2583) );
+  sky130_fd_sc_hd__clkbuf_1 U50 ( .A(rst_ni), .X(n2577) );
+  sky130_fd_sc_hd__clkbuf_1 U52 ( .A(rst_ni), .X(n2575) );
+  sky130_fd_sc_hd__clkbuf_1 U53 ( .A(n2584), .X(n2579) );
+  sky130_fd_sc_hd__clkbuf_1 U54 ( .A(n2584), .X(n2580) );
+  sky130_fd_sc_hd__clkinv_1 U55 ( .A(waddr_a_i[2]), .Y(n42) );
+  sky130_fd_sc_hd__nand4_1 U56 ( .A(we_a_i), .B(waddr_a_i[0]), .C(waddr_a_i[1]), .D(n42), .Y(n35) );
+  sky130_fd_sc_hd__nand2_1 U57 ( .A(waddr_a_i[3]), .B(waddr_a_i[4]), .Y(n49)
+         );
+  sky130_fd_sc_hd__or2_1 U58 ( .A(n35), .B(n49), .X(n95) );
+  sky130_fd_sc_hd__clkinv_1 U59 ( .A(wdata_a_i[6]), .Y(n56) );
+  sky130_fd_sc_hd__o2bb2ai_1 U60 ( .B1(n95), .B2(n56), .A1_N(n95), .A2_N(
+        rf_reg_q[870]), .Y(n1670) );
+  sky130_fd_sc_hd__clkinv_1 U61 ( .A(wdata_a_i[0]), .Y(n54) );
+  sky130_fd_sc_hd__o2bb2ai_1 U62 ( .B1(n95), .B2(n54), .A1_N(n95), .A2_N(
+        rf_reg_q[864]), .Y(n1664) );
+  sky130_fd_sc_hd__clkinv_1 U63 ( .A(wdata_a_i[7]), .Y(n57) );
+  sky130_fd_sc_hd__o2bb2ai_1 U64 ( .B1(n95), .B2(n57), .A1_N(n95), .A2_N(
+        rf_reg_q[871]), .Y(n1671) );
+  sky130_fd_sc_hd__clkinv_1 U65 ( .A(waddr_a_i[3]), .Y(n34) );
+  sky130_fd_sc_hd__nand2_1 U66 ( .A(waddr_a_i[4]), .B(n34), .Y(n44) );
+  sky130_fd_sc_hd__or2_1 U67 ( .A(n35), .B(n44), .X(n74) );
+  sky130_fd_sc_hd__o2bb2ai_1 U68 ( .B1(n74), .B2(n56), .A1_N(n74), .A2_N(
+        rf_reg_q[614]), .Y(n1926) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69 ( .B1(n74), .B2(n54), .A1_N(n74), .A2_N(
+        rf_reg_q[608]), .Y(n1920) );
+  sky130_fd_sc_hd__clkinv_1 U70 ( .A(wdata_a_i[2]), .Y(n51) );
+  sky130_fd_sc_hd__o2bb2ai_1 U71 ( .B1(n74), .B2(n51), .A1_N(n74), .A2_N(
+        rf_reg_q[610]), .Y(n1922) );
+  sky130_fd_sc_hd__clkinv_1 U72 ( .A(wdata_a_i[4]), .Y(n53) );
+  sky130_fd_sc_hd__o2bb2ai_1 U73 ( .B1(n95), .B2(n53), .A1_N(n95), .A2_N(
+        rf_reg_q[868]), .Y(n1668) );
+  sky130_fd_sc_hd__o2bb2ai_1 U74 ( .B1(n74), .B2(n57), .A1_N(n74), .A2_N(
+        rf_reg_q[615]), .Y(n1927) );
+  sky130_fd_sc_hd__clkinv_1 U75 ( .A(wdata_a_i[5]), .Y(n58) );
+  sky130_fd_sc_hd__o2bb2ai_1 U76 ( .B1(n74), .B2(n58), .A1_N(n74), .A2_N(
+        rf_reg_q[613]), .Y(n1925) );
+  sky130_fd_sc_hd__clkinv_1 U77 ( .A(waddr_a_i[4]), .Y(n33) );
+  sky130_fd_sc_hd__nand2_1 U78 ( .A(waddr_a_i[3]), .B(n33), .Y(n46) );
+  sky130_fd_sc_hd__or2_1 U79 ( .A(n35), .B(n46), .X(n78) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80 ( .B1(n78), .B2(n57), .A1_N(n78), .A2_N(
+        rf_reg_q[359]), .Y(n2183) );
+  sky130_fd_sc_hd__o2bb2ai_1 U81 ( .B1(n78), .B2(n51), .A1_N(n78), .A2_N(
+        rf_reg_q[354]), .Y(n2178) );
+  sky130_fd_sc_hd__o2bb2ai_1 U82 ( .B1(n74), .B2(n53), .A1_N(n74), .A2_N(
+        rf_reg_q[612]), .Y(n1924) );
+  sky130_fd_sc_hd__clkinv_1 U83 ( .A(wdata_a_i[3]), .Y(n52) );
+  sky130_fd_sc_hd__o2bb2ai_1 U84 ( .B1(n74), .B2(n52), .A1_N(n74), .A2_N(
+        rf_reg_q[611]), .Y(n1923) );
+  sky130_fd_sc_hd__o2bb2ai_1 U85 ( .B1(n78), .B2(n53), .A1_N(n78), .A2_N(
+        rf_reg_q[356]), .Y(n2180) );
+  sky130_fd_sc_hd__o2bb2ai_1 U86 ( .B1(n95), .B2(n58), .A1_N(n95), .A2_N(
+        rf_reg_q[869]), .Y(n1669) );
+  sky130_fd_sc_hd__o2bb2ai_1 U87 ( .B1(n95), .B2(n51), .A1_N(n95), .A2_N(
+        rf_reg_q[866]), .Y(n1666) );
+  sky130_fd_sc_hd__nor2_1 U88 ( .A(waddr_a_i[2]), .B(waddr_a_i[1]), .Y(n39) );
+  sky130_fd_sc_hd__nand3_1 U89 ( .A(waddr_a_i[0]), .B(we_a_i), .C(n39), .Y(n37) );
+  sky130_fd_sc_hd__nand2_1 U90 ( .A(n34), .B(n33), .Y(n48) );
+  sky130_fd_sc_hd__or2_1 U91 ( .A(n37), .B(n48), .X(n108) );
+  sky130_fd_sc_hd__clkinv_1 U92 ( .A(wdata_a_i[1]), .Y(n55) );
+  sky130_fd_sc_hd__o2bb2ai_1 U93 ( .B1(n108), .B2(n55), .A1_N(n108), .A2_N(
+        rf_reg_q[33]), .Y(n2497) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94 ( .B1(n108), .B2(n54), .A1_N(n108), .A2_N(
+        rf_reg_q[32]), .Y(n2496) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95 ( .B1(n108), .B2(n51), .A1_N(n108), .A2_N(
+        rf_reg_q[34]), .Y(n2498) );
+  sky130_fd_sc_hd__o2bb2ai_1 U96 ( .B1(n108), .B2(n58), .A1_N(n108), .A2_N(
+        rf_reg_q[37]), .Y(n2501) );
+  sky130_fd_sc_hd__nor2_1 U97 ( .A(waddr_a_i[1]), .B(n42), .Y(n40) );
+  sky130_fd_sc_hd__nand3_1 U98 ( .A(waddr_a_i[0]), .B(we_a_i), .C(n40), .Y(n36) );
+  sky130_fd_sc_hd__or2_1 U99 ( .A(n36), .B(n49), .X(n67) );
+  sky130_fd_sc_hd__o2bb2ai_1 U100 ( .B1(n67), .B2(n58), .A1_N(n67), .A2_N(
+        rf_reg_q[933]), .Y(n1605) );
+  sky130_fd_sc_hd__o2bb2ai_1 U101 ( .B1(n67), .B2(n54), .A1_N(n67), .A2_N(
+        rf_reg_q[928]), .Y(n1600) );
+  sky130_fd_sc_hd__or2_1 U102 ( .A(n36), .B(n46), .X(n70) );
+  sky130_fd_sc_hd__o2bb2ai_1 U103 ( .B1(n70), .B2(n57), .A1_N(n70), .A2_N(
+        rf_reg_q[423]), .Y(n2119) );
+  sky130_fd_sc_hd__or2_1 U104 ( .A(n36), .B(n44), .X(n84) );
+  sky130_fd_sc_hd__o2bb2ai_1 U105 ( .B1(n84), .B2(n51), .A1_N(n84), .A2_N(
+        rf_reg_q[674]), .Y(n1858) );
+  sky130_fd_sc_hd__o2bb2ai_1 U106 ( .B1(n84), .B2(n56), .A1_N(n84), .A2_N(
+        rf_reg_q[678]), .Y(n1862) );
+  sky130_fd_sc_hd__or2_1 U107 ( .A(n37), .B(n46), .X(n68) );
+  sky130_fd_sc_hd__o2bb2ai_1 U108 ( .B1(n68), .B2(n51), .A1_N(n68), .A2_N(
+        rf_reg_q[290]), .Y(n2242) );
+  sky130_fd_sc_hd__o2bb2ai_1 U109 ( .B1(n67), .B2(n51), .A1_N(n67), .A2_N(
+        rf_reg_q[930]), .Y(n1602) );
+  sky130_fd_sc_hd__o2bb2ai_1 U110 ( .B1(n84), .B2(n53), .A1_N(n84), .A2_N(
+        rf_reg_q[676]), .Y(n1860) );
+  sky130_fd_sc_hd__or2_1 U111 ( .A(n37), .B(n44), .X(n80) );
+  sky130_fd_sc_hd__o2bb2ai_1 U112 ( .B1(n80), .B2(n53), .A1_N(n80), .A2_N(
+        rf_reg_q[548]), .Y(n1988) );
+  sky130_fd_sc_hd__o2bb2ai_1 U113 ( .B1(n80), .B2(n51), .A1_N(n80), .A2_N(
+        rf_reg_q[546]), .Y(n1986) );
+  sky130_fd_sc_hd__o2bb2ai_1 U114 ( .B1(n70), .B2(n56), .A1_N(n70), .A2_N(
+        rf_reg_q[422]), .Y(n2118) );
+  sky130_fd_sc_hd__o2bb2ai_1 U115 ( .B1(n80), .B2(n52), .A1_N(n80), .A2_N(
+        rf_reg_q[547]), .Y(n1987) );
+  sky130_fd_sc_hd__o2bb2ai_1 U116 ( .B1(n80), .B2(n54), .A1_N(n80), .A2_N(
+        rf_reg_q[544]), .Y(n1984) );
+  sky130_fd_sc_hd__o2bb2ai_1 U117 ( .B1(n80), .B2(n56), .A1_N(n80), .A2_N(
+        rf_reg_q[550]), .Y(n1990) );
+  sky130_fd_sc_hd__o2bb2ai_1 U118 ( .B1(n80), .B2(n58), .A1_N(n80), .A2_N(
+        rf_reg_q[549]), .Y(n1989) );
+  sky130_fd_sc_hd__o2bb2ai_1 U119 ( .B1(n80), .B2(n55), .A1_N(n80), .A2_N(
+        rf_reg_q[545]), .Y(n1985) );
+  sky130_fd_sc_hd__o2bb2ai_1 U120 ( .B1(n70), .B2(n51), .A1_N(n70), .A2_N(
+        rf_reg_q[418]), .Y(n2114) );
+  sky130_fd_sc_hd__o2bb2ai_1 U121 ( .B1(n68), .B2(n52), .A1_N(n68), .A2_N(
+        rf_reg_q[291]), .Y(n2243) );
+  sky130_fd_sc_hd__o2bb2ai_1 U122 ( .B1(n70), .B2(n53), .A1_N(n70), .A2_N(
+        rf_reg_q[420]), .Y(n2116) );
+  sky130_fd_sc_hd__o2bb2ai_1 U123 ( .B1(n68), .B2(n55), .A1_N(n68), .A2_N(
+        rf_reg_q[289]), .Y(n2241) );
+  sky130_fd_sc_hd__o2bb2ai_1 U124 ( .B1(n70), .B2(n54), .A1_N(n70), .A2_N(
+        rf_reg_q[416]), .Y(n2112) );
+  sky130_fd_sc_hd__o2bb2ai_1 U125 ( .B1(n70), .B2(n55), .A1_N(n70), .A2_N(
+        rf_reg_q[417]), .Y(n2113) );
+  sky130_fd_sc_hd__o2bb2ai_1 U126 ( .B1(n70), .B2(n58), .A1_N(n70), .A2_N(
+        rf_reg_q[421]), .Y(n2117) );
+  sky130_fd_sc_hd__o2bb2ai_1 U127 ( .B1(n68), .B2(n58), .A1_N(n68), .A2_N(
+        rf_reg_q[293]), .Y(n2245) );
+  sky130_fd_sc_hd__o2bb2ai_1 U128 ( .B1(n70), .B2(n52), .A1_N(n70), .A2_N(
+        rf_reg_q[419]), .Y(n2115) );
+  sky130_fd_sc_hd__o2bb2ai_1 U129 ( .B1(n68), .B2(n53), .A1_N(n68), .A2_N(
+        rf_reg_q[292]), .Y(n2244) );
+  sky130_fd_sc_hd__o2bb2ai_1 U130 ( .B1(n67), .B2(n57), .A1_N(n67), .A2_N(
+        rf_reg_q[935]), .Y(n1607) );
+  sky130_fd_sc_hd__o2bb2ai_1 U131 ( .B1(n68), .B2(n57), .A1_N(n68), .A2_N(
+        rf_reg_q[295]), .Y(n2247) );
+  sky130_fd_sc_hd__o2bb2ai_1 U132 ( .B1(n68), .B2(n56), .A1_N(n68), .A2_N(
+        rf_reg_q[294]), .Y(n2246) );
+  sky130_fd_sc_hd__o2bb2ai_1 U133 ( .B1(n68), .B2(n54), .A1_N(n68), .A2_N(
+        rf_reg_q[288]), .Y(n2240) );
+  sky130_fd_sc_hd__o2bb2ai_1 U134 ( .B1(n67), .B2(n52), .A1_N(n67), .A2_N(
+        rf_reg_q[931]), .Y(n1603) );
+  sky130_fd_sc_hd__o2bb2ai_1 U135 ( .B1(n67), .B2(n55), .A1_N(n67), .A2_N(
+        rf_reg_q[929]), .Y(n1601) );
+  sky130_fd_sc_hd__o2bb2ai_1 U136 ( .B1(n67), .B2(n53), .A1_N(n67), .A2_N(
+        rf_reg_q[932]), .Y(n1604) );
+  sky130_fd_sc_hd__o2bb2ai_1 U137 ( .B1(n80), .B2(n57), .A1_N(n80), .A2_N(
+        rf_reg_q[551]), .Y(n1991) );
+  sky130_fd_sc_hd__o2bb2ai_1 U138 ( .B1(n95), .B2(n52), .A1_N(n95), .A2_N(
+        rf_reg_q[867]), .Y(n1667) );
+  sky130_fd_sc_hd__o2bb2ai_1 U139 ( .B1(n78), .B2(n58), .A1_N(n78), .A2_N(
+        rf_reg_q[357]), .Y(n2181) );
+  sky130_fd_sc_hd__o2bb2ai_1 U140 ( .B1(n95), .B2(n55), .A1_N(n95), .A2_N(
+        rf_reg_q[865]), .Y(n1665) );
+  sky130_fd_sc_hd__o2bb2ai_1 U141 ( .B1(n78), .B2(n54), .A1_N(n78), .A2_N(
+        rf_reg_q[352]), .Y(n2176) );
+  sky130_fd_sc_hd__o2bb2ai_1 U142 ( .B1(n78), .B2(n52), .A1_N(n78), .A2_N(
+        rf_reg_q[355]), .Y(n2179) );
+  sky130_fd_sc_hd__or2_1 U143 ( .A(n48), .B(n35), .X(n87) );
+  sky130_fd_sc_hd__o2bb2ai_1 U144 ( .B1(n87), .B2(n56), .A1_N(n87), .A2_N(
+        rf_reg_q[102]), .Y(n2438) );
+  sky130_fd_sc_hd__o2bb2ai_1 U145 ( .B1(n87), .B2(n55), .A1_N(n87), .A2_N(
+        rf_reg_q[97]), .Y(n2433) );
+  sky130_fd_sc_hd__o2bb2ai_1 U146 ( .B1(n87), .B2(n54), .A1_N(n87), .A2_N(
+        rf_reg_q[96]), .Y(n2432) );
+  sky130_fd_sc_hd__o2bb2ai_1 U147 ( .B1(n78), .B2(n56), .A1_N(n78), .A2_N(
+        rf_reg_q[358]), .Y(n2182) );
+  sky130_fd_sc_hd__o2bb2ai_1 U148 ( .B1(n74), .B2(n55), .A1_N(n74), .A2_N(
+        rf_reg_q[609]), .Y(n1921) );
+  sky130_fd_sc_hd__o2bb2ai_1 U149 ( .B1(n78), .B2(n55), .A1_N(n78), .A2_N(
+        rf_reg_q[353]), .Y(n2177) );
+  sky130_fd_sc_hd__nand4_1 U150 ( .A(waddr_a_i[0]), .B(we_a_i), .C(
+        waddr_a_i[2]), .D(waddr_a_i[1]), .Y(n38) );
+  sky130_fd_sc_hd__or2_1 U151 ( .A(n38), .B(n49), .X(n82) );
+  sky130_fd_sc_hd__o2bb2ai_1 U152 ( .B1(n82), .B2(n51), .A1_N(n82), .A2_N(
+        rf_reg_q[994]), .Y(n1538) );
+  sky130_fd_sc_hd__o2bb2ai_1 U153 ( .B1(n82), .B2(n52), .A1_N(n82), .A2_N(
+        rf_reg_q[995]), .Y(n1539) );
+  sky130_fd_sc_hd__o2bb2ai_1 U154 ( .B1(n82), .B2(n56), .A1_N(n82), .A2_N(
+        rf_reg_q[998]), .Y(n1542) );
+  sky130_fd_sc_hd__or2_1 U155 ( .A(n48), .B(n36), .X(n73) );
+  sky130_fd_sc_hd__o2bb2ai_1 U156 ( .B1(n73), .B2(n58), .A1_N(n73), .A2_N(
+        rf_reg_q[165]), .Y(n2373) );
+  sky130_fd_sc_hd__o2bb2ai_1 U157 ( .B1(n73), .B2(n54), .A1_N(n73), .A2_N(
+        rf_reg_q[160]), .Y(n2368) );
+  sky130_fd_sc_hd__o2bb2ai_1 U158 ( .B1(n67), .B2(n56), .A1_N(n67), .A2_N(
+        rf_reg_q[934]), .Y(n1606) );
+  sky130_fd_sc_hd__o2bb2ai_1 U159 ( .B1(n73), .B2(n53), .A1_N(n73), .A2_N(
+        rf_reg_q[164]), .Y(n2372) );
+  sky130_fd_sc_hd__or2_1 U160 ( .A(n38), .B(n46), .X(n90) );
+  sky130_fd_sc_hd__o2bb2ai_1 U161 ( .B1(n90), .B2(n51), .A1_N(n90), .A2_N(
+        rf_reg_q[482]), .Y(n2050) );
+  sky130_fd_sc_hd__o2bb2ai_1 U162 ( .B1(n73), .B2(n52), .A1_N(n73), .A2_N(
+        rf_reg_q[163]), .Y(n2371) );
+  sky130_fd_sc_hd__o2bb2ai_1 U163 ( .B1(n90), .B2(n52), .A1_N(n90), .A2_N(
+        rf_reg_q[483]), .Y(n2051) );
+  sky130_fd_sc_hd__o2bb2ai_1 U164 ( .B1(n90), .B2(n58), .A1_N(n90), .A2_N(
+        rf_reg_q[485]), .Y(n2053) );
+  sky130_fd_sc_hd__o2bb2ai_1 U165 ( .B1(n87), .B2(n52), .A1_N(n87), .A2_N(
+        rf_reg_q[99]), .Y(n2435) );
+  sky130_fd_sc_hd__o2bb2ai_1 U166 ( .B1(n87), .B2(n58), .A1_N(n87), .A2_N(
+        rf_reg_q[101]), .Y(n2437) );
+  sky130_fd_sc_hd__o2bb2ai_1 U167 ( .B1(n84), .B2(n55), .A1_N(n84), .A2_N(
+        rf_reg_q[673]), .Y(n1857) );
+  sky130_fd_sc_hd__o2bb2ai_1 U168 ( .B1(n84), .B2(n58), .A1_N(n84), .A2_N(
+        rf_reg_q[677]), .Y(n1861) );
+  sky130_fd_sc_hd__o2bb2ai_1 U169 ( .B1(n84), .B2(n57), .A1_N(n84), .A2_N(
+        rf_reg_q[679]), .Y(n1863) );
+  sky130_fd_sc_hd__o2bb2ai_1 U170 ( .B1(n84), .B2(n52), .A1_N(n84), .A2_N(
+        rf_reg_q[675]), .Y(n1859) );
+  sky130_fd_sc_hd__o2bb2ai_1 U171 ( .B1(n84), .B2(n54), .A1_N(n84), .A2_N(
+        rf_reg_q[672]), .Y(n1856) );
+  sky130_fd_sc_hd__o2bb2ai_1 U172 ( .B1(n90), .B2(n54), .A1_N(n90), .A2_N(
+        rf_reg_q[480]), .Y(n2048) );
+  sky130_fd_sc_hd__o2bb2ai_1 U173 ( .B1(n90), .B2(n55), .A1_N(n90), .A2_N(
+        rf_reg_q[481]), .Y(n2049) );
+  sky130_fd_sc_hd__o2bb2ai_1 U174 ( .B1(n90), .B2(n53), .A1_N(n90), .A2_N(
+        rf_reg_q[484]), .Y(n2052) );
+  sky130_fd_sc_hd__o2bb2ai_1 U175 ( .B1(n90), .B2(n56), .A1_N(n90), .A2_N(
+        rf_reg_q[486]), .Y(n2054) );
+  sky130_fd_sc_hd__or2_1 U176 ( .A(n48), .B(n38), .X(n86) );
+  sky130_fd_sc_hd__o2bb2ai_1 U177 ( .B1(n86), .B2(n57), .A1_N(n86), .A2_N(
+        rf_reg_q[231]), .Y(n2311) );
+  sky130_fd_sc_hd__o2bb2ai_1 U178 ( .B1(n86), .B2(n53), .A1_N(n86), .A2_N(
+        rf_reg_q[228]), .Y(n2308) );
+  sky130_fd_sc_hd__o2bb2ai_1 U179 ( .B1(n86), .B2(n52), .A1_N(n86), .A2_N(
+        rf_reg_q[227]), .Y(n2307) );
+  sky130_fd_sc_hd__o2bb2ai_1 U180 ( .B1(n73), .B2(n56), .A1_N(n73), .A2_N(
+        rf_reg_q[166]), .Y(n2374) );
+  sky130_fd_sc_hd__o2bb2ai_1 U181 ( .B1(n90), .B2(n57), .A1_N(n90), .A2_N(
+        rf_reg_q[487]), .Y(n2055) );
+  sky130_fd_sc_hd__o2bb2ai_1 U182 ( .B1(n87), .B2(n51), .A1_N(n87), .A2_N(
+        rf_reg_q[98]), .Y(n2434) );
+  sky130_fd_sc_hd__o2bb2ai_1 U183 ( .B1(n87), .B2(n53), .A1_N(n87), .A2_N(
+        rf_reg_q[100]), .Y(n2436) );
+  sky130_fd_sc_hd__o2bb2ai_1 U184 ( .B1(n87), .B2(n57), .A1_N(n87), .A2_N(
+        rf_reg_q[103]), .Y(n2439) );
+  sky130_fd_sc_hd__o2bb2ai_1 U185 ( .B1(n82), .B2(n57), .A1_N(n82), .A2_N(
+        rf_reg_q[999]), .Y(n1543) );
+  sky130_fd_sc_hd__o2bb2ai_1 U186 ( .B1(n82), .B2(n53), .A1_N(n82), .A2_N(
+        rf_reg_q[996]), .Y(n1540) );
+  sky130_fd_sc_hd__o2bb2ai_1 U187 ( .B1(n82), .B2(n55), .A1_N(n82), .A2_N(
+        rf_reg_q[993]), .Y(n1537) );
+  sky130_fd_sc_hd__o2bb2ai_1 U188 ( .B1(n82), .B2(n58), .A1_N(n82), .A2_N(
+        rf_reg_q[997]), .Y(n1541) );
+  sky130_fd_sc_hd__o2bb2ai_1 U189 ( .B1(n82), .B2(n54), .A1_N(n82), .A2_N(
+        rf_reg_q[992]), .Y(n1536) );
+  sky130_fd_sc_hd__o2bb2ai_1 U190 ( .B1(n108), .B2(n52), .A1_N(n108), .A2_N(
+        rf_reg_q[35]), .Y(n2499) );
+  sky130_fd_sc_hd__o2bb2ai_1 U191 ( .B1(n73), .B2(n57), .A1_N(n73), .A2_N(
+        rf_reg_q[167]), .Y(n2375) );
+  sky130_fd_sc_hd__o2bb2ai_1 U192 ( .B1(n108), .B2(n53), .A1_N(n108), .A2_N(
+        rf_reg_q[36]), .Y(n2500) );
+  sky130_fd_sc_hd__o2bb2ai_1 U193 ( .B1(n73), .B2(n51), .A1_N(n73), .A2_N(
+        rf_reg_q[162]), .Y(n2370) );
+  sky130_fd_sc_hd__o2bb2ai_1 U194 ( .B1(n73), .B2(n55), .A1_N(n73), .A2_N(
+        rf_reg_q[161]), .Y(n2369) );
+  sky130_fd_sc_hd__o2bb2ai_1 U195 ( .B1(n86), .B2(n54), .A1_N(n86), .A2_N(
+        rf_reg_q[224]), .Y(n2304) );
+  sky130_fd_sc_hd__o2bb2ai_1 U196 ( .B1(n86), .B2(n55), .A1_N(n86), .A2_N(
+        rf_reg_q[225]), .Y(n2305) );
+  sky130_fd_sc_hd__o2bb2ai_1 U197 ( .B1(n86), .B2(n58), .A1_N(n86), .A2_N(
+        rf_reg_q[229]), .Y(n2309) );
+  sky130_fd_sc_hd__o2bb2ai_1 U198 ( .B1(n86), .B2(n56), .A1_N(n86), .A2_N(
+        rf_reg_q[230]), .Y(n2310) );
+  sky130_fd_sc_hd__o2bb2ai_1 U199 ( .B1(n86), .B2(n51), .A1_N(n86), .A2_N(
+        rf_reg_q[226]), .Y(n2306) );
+  sky130_fd_sc_hd__or2_1 U200 ( .A(n37), .B(n49), .X(n92) );
+  sky130_fd_sc_hd__o2bb2ai_1 U201 ( .B1(n92), .B2(n57), .A1_N(n92), .A2_N(
+        rf_reg_q[807]), .Y(n1735) );
+  sky130_fd_sc_hd__o2bb2ai_1 U202 ( .B1(n108), .B2(n57), .A1_N(n108), .A2_N(
+        rf_reg_q[39]), .Y(n2503) );
+  sky130_fd_sc_hd__o2bb2ai_1 U203 ( .B1(n92), .B2(n53), .A1_N(n92), .A2_N(
+        rf_reg_q[804]), .Y(n1732) );
+  sky130_fd_sc_hd__o2bb2ai_1 U204 ( .B1(n92), .B2(n52), .A1_N(n92), .A2_N(
+        rf_reg_q[803]), .Y(n1731) );
+  sky130_fd_sc_hd__o2bb2ai_1 U205 ( .B1(n92), .B2(n54), .A1_N(n92), .A2_N(
+        rf_reg_q[800]), .Y(n1728) );
+  sky130_fd_sc_hd__o2bb2ai_1 U206 ( .B1(n92), .B2(n51), .A1_N(n92), .A2_N(
+        rf_reg_q[802]), .Y(n1730) );
+  sky130_fd_sc_hd__o2bb2ai_1 U207 ( .B1(n92), .B2(n55), .A1_N(n92), .A2_N(
+        rf_reg_q[801]), .Y(n1729) );
+  sky130_fd_sc_hd__o2bb2ai_1 U208 ( .B1(n92), .B2(n58), .A1_N(n92), .A2_N(
+        rf_reg_q[805]), .Y(n1733) );
+  sky130_fd_sc_hd__o2bb2ai_1 U209 ( .B1(n108), .B2(n56), .A1_N(n108), .A2_N(
+        rf_reg_q[38]), .Y(n2502) );
+  sky130_fd_sc_hd__o2bb2ai_1 U210 ( .B1(n92), .B2(n56), .A1_N(n92), .A2_N(
+        rf_reg_q[806]), .Y(n1734) );
+  sky130_fd_sc_hd__or2_1 U211 ( .A(n38), .B(n44), .X(n111) );
+  sky130_fd_sc_hd__o2bb2ai_1 U212 ( .B1(n111), .B2(n53), .A1_N(n111), .A2_N(
+        rf_reg_q[740]), .Y(n1796) );
+  sky130_fd_sc_hd__o2bb2ai_1 U213 ( .B1(n111), .B2(n56), .A1_N(n111), .A2_N(
+        rf_reg_q[742]), .Y(n1798) );
+  sky130_fd_sc_hd__o2bb2ai_1 U214 ( .B1(n111), .B2(n58), .A1_N(n111), .A2_N(
+        rf_reg_q[741]), .Y(n1797) );
+  sky130_fd_sc_hd__o2bb2ai_1 U215 ( .B1(n111), .B2(n54), .A1_N(n111), .A2_N(
+        rf_reg_q[736]), .Y(n1792) );
+  sky130_fd_sc_hd__o2bb2ai_1 U216 ( .B1(n111), .B2(n57), .A1_N(n111), .A2_N(
+        rf_reg_q[743]), .Y(n1799) );
+  sky130_fd_sc_hd__o2bb2ai_1 U217 ( .B1(n111), .B2(n52), .A1_N(n111), .A2_N(
+        rf_reg_q[739]), .Y(n1795) );
+  sky130_fd_sc_hd__o2bb2ai_1 U218 ( .B1(n111), .B2(n55), .A1_N(n111), .A2_N(
+        rf_reg_q[737]), .Y(n1793) );
+  sky130_fd_sc_hd__o2bb2ai_1 U219 ( .B1(n111), .B2(n51), .A1_N(n111), .A2_N(
+        rf_reg_q[738]), .Y(n1794) );
+  sky130_fd_sc_hd__nor2b_1 U220 ( .B_N(we_a_i), .A(waddr_a_i[0]), .Y(n43) );
+  sky130_fd_sc_hd__nand2_1 U221 ( .A(n39), .B(n43), .Y(n45) );
+  sky130_fd_sc_hd__or2_1 U222 ( .A(n45), .B(n46), .X(n71) );
+  sky130_fd_sc_hd__o2bb2ai_1 U223 ( .B1(n71), .B2(n58), .A1_N(n71), .A2_N(
+        rf_reg_q[261]), .Y(n2277) );
+  sky130_fd_sc_hd__o2bb2ai_1 U224 ( .B1(n71), .B2(n52), .A1_N(n71), .A2_N(
+        rf_reg_q[259]), .Y(n2275) );
+  sky130_fd_sc_hd__o2bb2ai_1 U225 ( .B1(n71), .B2(n53), .A1_N(n71), .A2_N(
+        rf_reg_q[260]), .Y(n2276) );
+  sky130_fd_sc_hd__o2bb2ai_1 U226 ( .B1(n71), .B2(n51), .A1_N(n71), .A2_N(
+        rf_reg_q[258]), .Y(n2274) );
+  sky130_fd_sc_hd__o2bb2ai_1 U227 ( .B1(n71), .B2(n55), .A1_N(n71), .A2_N(
+        rf_reg_q[257]), .Y(n2273) );
+  sky130_fd_sc_hd__o2bb2ai_1 U228 ( .B1(n71), .B2(n54), .A1_N(n71), .A2_N(
+        rf_reg_q[256]), .Y(n2272) );
+  sky130_fd_sc_hd__o2bb2ai_1 U229 ( .B1(n71), .B2(n57), .A1_N(n71), .A2_N(
+        rf_reg_q[263]), .Y(n2279) );
+  sky130_fd_sc_hd__o2bb2ai_1 U230 ( .B1(n71), .B2(n56), .A1_N(n71), .A2_N(
+        rf_reg_q[262]), .Y(n2278) );
+  sky130_fd_sc_hd__or2_1 U231 ( .A(n45), .B(n44), .X(n79) );
+  sky130_fd_sc_hd__o2bb2ai_1 U232 ( .B1(n79), .B2(n54), .A1_N(n79), .A2_N(
+        rf_reg_q[512]), .Y(n2016) );
+  sky130_fd_sc_hd__o2bb2ai_1 U233 ( .B1(n79), .B2(n56), .A1_N(n79), .A2_N(
+        rf_reg_q[518]), .Y(n2022) );
+  sky130_fd_sc_hd__o2bb2ai_1 U234 ( .B1(n79), .B2(n51), .A1_N(n79), .A2_N(
+        rf_reg_q[514]), .Y(n2018) );
+  sky130_fd_sc_hd__nand2_1 U235 ( .A(n43), .B(n40), .Y(n41) );
+  sky130_fd_sc_hd__or2_1 U236 ( .A(n41), .B(n49), .X(n72) );
+  sky130_fd_sc_hd__o2bb2ai_1 U237 ( .B1(n72), .B2(n57), .A1_N(n72), .A2_N(
+        rf_reg_q[903]), .Y(n1639) );
+  sky130_fd_sc_hd__o2bb2ai_1 U238 ( .B1(n72), .B2(n58), .A1_N(n72), .A2_N(
+        rf_reg_q[901]), .Y(n1637) );
+  sky130_fd_sc_hd__o2bb2ai_1 U239 ( .B1(n72), .B2(n51), .A1_N(n72), .A2_N(
+        rf_reg_q[898]), .Y(n1634) );
+  sky130_fd_sc_hd__o2bb2ai_1 U240 ( .B1(n72), .B2(n52), .A1_N(n72), .A2_N(
+        rf_reg_q[899]), .Y(n1635) );
+  sky130_fd_sc_hd__o2bb2ai_1 U241 ( .B1(n72), .B2(n56), .A1_N(n72), .A2_N(
+        rf_reg_q[902]), .Y(n1638) );
+  sky130_fd_sc_hd__o2bb2ai_1 U242 ( .B1(n72), .B2(n53), .A1_N(n72), .A2_N(
+        rf_reg_q[900]), .Y(n1636) );
+  sky130_fd_sc_hd__o2bb2ai_1 U243 ( .B1(n72), .B2(n54), .A1_N(n72), .A2_N(
+        rf_reg_q[896]), .Y(n1632) );
+  sky130_fd_sc_hd__o2bb2ai_1 U244 ( .B1(n72), .B2(n55), .A1_N(n72), .A2_N(
+        rf_reg_q[897]), .Y(n1633) );
+  sky130_fd_sc_hd__or2_1 U245 ( .A(n41), .B(n44), .X(n75) );
+  sky130_fd_sc_hd__o2bb2ai_1 U246 ( .B1(n75), .B2(n55), .A1_N(n75), .A2_N(
+        rf_reg_q[641]), .Y(n1889) );
+  sky130_fd_sc_hd__o2bb2ai_1 U247 ( .B1(n75), .B2(n52), .A1_N(n75), .A2_N(
+        rf_reg_q[643]), .Y(n1891) );
+  sky130_fd_sc_hd__o2bb2ai_1 U248 ( .B1(n75), .B2(n58), .A1_N(n75), .A2_N(
+        rf_reg_q[645]), .Y(n1893) );
+  sky130_fd_sc_hd__o2bb2ai_1 U249 ( .B1(n75), .B2(n57), .A1_N(n75), .A2_N(
+        rf_reg_q[647]), .Y(n1895) );
+  sky130_fd_sc_hd__or2_1 U250 ( .A(n41), .B(n46), .X(n77) );
+  sky130_fd_sc_hd__o2bb2ai_1 U251 ( .B1(n77), .B2(n55), .A1_N(n77), .A2_N(
+        rf_reg_q[385]), .Y(n2145) );
+  sky130_fd_sc_hd__o2bb2ai_1 U252 ( .B1(n77), .B2(n54), .A1_N(n77), .A2_N(
+        rf_reg_q[384]), .Y(n2144) );
+  sky130_fd_sc_hd__o2bb2ai_1 U253 ( .B1(n77), .B2(n52), .A1_N(n77), .A2_N(
+        rf_reg_q[387]), .Y(n2147) );
+  sky130_fd_sc_hd__o2bb2ai_1 U254 ( .B1(n77), .B2(n58), .A1_N(n77), .A2_N(
+        rf_reg_q[389]), .Y(n2149) );
+  sky130_fd_sc_hd__o2bb2ai_1 U255 ( .B1(n77), .B2(n57), .A1_N(n77), .A2_N(
+        rf_reg_q[391]), .Y(n2151) );
+  sky130_fd_sc_hd__o2bb2ai_1 U256 ( .B1(n77), .B2(n51), .A1_N(n77), .A2_N(
+        rf_reg_q[386]), .Y(n2146) );
+  sky130_fd_sc_hd__o2bb2ai_1 U257 ( .B1(n79), .B2(n55), .A1_N(n79), .A2_N(
+        rf_reg_q[513]), .Y(n2017) );
+  sky130_fd_sc_hd__o2bb2ai_1 U258 ( .B1(n79), .B2(n57), .A1_N(n79), .A2_N(
+        rf_reg_q[519]), .Y(n2023) );
+  sky130_fd_sc_hd__o2bb2ai_1 U259 ( .B1(n79), .B2(n53), .A1_N(n79), .A2_N(
+        rf_reg_q[516]), .Y(n2020) );
+  sky130_fd_sc_hd__o2bb2ai_1 U260 ( .B1(n79), .B2(n58), .A1_N(n79), .A2_N(
+        rf_reg_q[517]), .Y(n2021) );
+  sky130_fd_sc_hd__o2bb2ai_1 U261 ( .B1(n79), .B2(n52), .A1_N(n79), .A2_N(
+        rf_reg_q[515]), .Y(n2019) );
+  sky130_fd_sc_hd__or2_1 U262 ( .A(n48), .B(n41), .X(n85) );
+  sky130_fd_sc_hd__o2bb2ai_1 U263 ( .B1(n85), .B2(n51), .A1_N(n85), .A2_N(
+        rf_reg_q[130]), .Y(n2402) );
+  sky130_fd_sc_hd__o2bb2ai_1 U264 ( .B1(n85), .B2(n58), .A1_N(n85), .A2_N(
+        rf_reg_q[133]), .Y(n2405) );
+  sky130_fd_sc_hd__o2bb2ai_1 U265 ( .B1(n85), .B2(n55), .A1_N(n85), .A2_N(
+        rf_reg_q[129]), .Y(n2401) );
+  sky130_fd_sc_hd__o2bb2ai_1 U266 ( .B1(n77), .B2(n56), .A1_N(n77), .A2_N(
+        rf_reg_q[390]), .Y(n2150) );
+  sky130_fd_sc_hd__o2bb2ai_1 U267 ( .B1(n85), .B2(n53), .A1_N(n85), .A2_N(
+        rf_reg_q[132]), .Y(n2404) );
+  sky130_fd_sc_hd__o2bb2ai_1 U268 ( .B1(n77), .B2(n53), .A1_N(n77), .A2_N(
+        rf_reg_q[388]), .Y(n2148) );
+  sky130_fd_sc_hd__o2bb2ai_1 U269 ( .B1(n85), .B2(n52), .A1_N(n85), .A2_N(
+        rf_reg_q[131]), .Y(n2403) );
+  sky130_fd_sc_hd__o2bb2ai_1 U270 ( .B1(n75), .B2(n56), .A1_N(n75), .A2_N(
+        rf_reg_q[646]), .Y(n1894) );
+  sky130_fd_sc_hd__o2bb2ai_1 U271 ( .B1(n75), .B2(n51), .A1_N(n75), .A2_N(
+        rf_reg_q[642]), .Y(n1890) );
+  sky130_fd_sc_hd__o2bb2ai_1 U272 ( .B1(n75), .B2(n54), .A1_N(n75), .A2_N(
+        rf_reg_q[640]), .Y(n1888) );
+  sky130_fd_sc_hd__o2bb2ai_1 U273 ( .B1(n75), .B2(n53), .A1_N(n75), .A2_N(
+        rf_reg_q[644]), .Y(n1892) );
+  sky130_fd_sc_hd__o2bb2ai_1 U274 ( .B1(n85), .B2(n54), .A1_N(n85), .A2_N(
+        rf_reg_q[128]), .Y(n2400) );
+  sky130_fd_sc_hd__o2bb2ai_1 U275 ( .B1(n85), .B2(n56), .A1_N(n85), .A2_N(
+        rf_reg_q[134]), .Y(n2406) );
+  sky130_fd_sc_hd__o2bb2ai_1 U276 ( .B1(n85), .B2(n57), .A1_N(n85), .A2_N(
+        rf_reg_q[135]), .Y(n2407) );
+  sky130_fd_sc_hd__nand3_1 U277 ( .A(waddr_a_i[2]), .B(waddr_a_i[1]), .C(n43), 
+        .Y(n47) );
+  sky130_fd_sc_hd__or2_1 U278 ( .A(n47), .B(n44), .X(n83) );
+  sky130_fd_sc_hd__o2bb2ai_1 U279 ( .B1(n83), .B2(n54), .A1_N(n83), .A2_N(
+        rf_reg_q[704]), .Y(n1824) );
+  sky130_fd_sc_hd__o2bb2ai_1 U280 ( .B1(n83), .B2(n58), .A1_N(n83), .A2_N(
+        rf_reg_q[709]), .Y(n1829) );
+  sky130_fd_sc_hd__o2bb2ai_1 U281 ( .B1(n83), .B2(n57), .A1_N(n83), .A2_N(
+        rf_reg_q[711]), .Y(n1831) );
+  sky130_fd_sc_hd__o2bb2ai_1 U282 ( .B1(n83), .B2(n53), .A1_N(n83), .A2_N(
+        rf_reg_q[708]), .Y(n1828) );
+  sky130_fd_sc_hd__o2bb2ai_1 U283 ( .B1(n83), .B2(n55), .A1_N(n83), .A2_N(
+        rf_reg_q[705]), .Y(n1825) );
+  sky130_fd_sc_hd__o2bb2ai_1 U284 ( .B1(n83), .B2(n51), .A1_N(n83), .A2_N(
+        rf_reg_q[706]), .Y(n1826) );
+  sky130_fd_sc_hd__o2bb2ai_1 U285 ( .B1(n83), .B2(n56), .A1_N(n83), .A2_N(
+        rf_reg_q[710]), .Y(n1830) );
+  sky130_fd_sc_hd__o2bb2ai_1 U286 ( .B1(n83), .B2(n52), .A1_N(n83), .A2_N(
+        rf_reg_q[707]), .Y(n1827) );
+  sky130_fd_sc_hd__nand3_1 U287 ( .A(waddr_a_i[1]), .B(n43), .C(n42), .Y(n50)
+         );
+  sky130_fd_sc_hd__or2_1 U288 ( .A(n48), .B(n50), .X(n96) );
+  sky130_fd_sc_hd__o2bb2ai_1 U289 ( .B1(n96), .B2(n57), .A1_N(n96), .A2_N(
+        rf_reg_q[71]), .Y(n2471) );
+  sky130_fd_sc_hd__o2bb2ai_1 U290 ( .B1(n96), .B2(n55), .A1_N(n96), .A2_N(
+        rf_reg_q[65]), .Y(n2465) );
+  sky130_fd_sc_hd__o2bb2ai_1 U291 ( .B1(n96), .B2(n51), .A1_N(n96), .A2_N(
+        rf_reg_q[66]), .Y(n2466) );
+  sky130_fd_sc_hd__o2bb2ai_1 U292 ( .B1(n96), .B2(n58), .A1_N(n96), .A2_N(
+        rf_reg_q[69]), .Y(n2469) );
+  sky130_fd_sc_hd__or2_1 U293 ( .A(n47), .B(n49), .X(n81) );
+  sky130_fd_sc_hd__o2bb2ai_1 U294 ( .B1(n81), .B2(n58), .A1_N(n81), .A2_N(
+        rf_reg_q[965]), .Y(n1573) );
+  sky130_fd_sc_hd__o2bb2ai_1 U295 ( .B1(n81), .B2(n53), .A1_N(n81), .A2_N(
+        rf_reg_q[964]), .Y(n1572) );
+  sky130_fd_sc_hd__o2bb2ai_1 U296 ( .B1(n81), .B2(n51), .A1_N(n81), .A2_N(
+        rf_reg_q[962]), .Y(n1570) );
+  sky130_fd_sc_hd__o2bb2ai_1 U297 ( .B1(n81), .B2(n54), .A1_N(n81), .A2_N(
+        rf_reg_q[960]), .Y(n1568) );
+  sky130_fd_sc_hd__or2_1 U298 ( .A(n50), .B(n44), .X(n89) );
+  sky130_fd_sc_hd__o2bb2ai_1 U299 ( .B1(n89), .B2(n55), .A1_N(n89), .A2_N(
+        rf_reg_q[577]), .Y(n1953) );
+  sky130_fd_sc_hd__o2bb2ai_1 U300 ( .B1(n89), .B2(n56), .A1_N(n89), .A2_N(
+        rf_reg_q[582]), .Y(n1958) );
+  sky130_fd_sc_hd__o2bb2ai_1 U301 ( .B1(n89), .B2(n53), .A1_N(n89), .A2_N(
+        rf_reg_q[580]), .Y(n1956) );
+  sky130_fd_sc_hd__or2_1 U302 ( .A(n45), .B(n49), .X(n113) );
+  sky130_fd_sc_hd__clkinv_1 U303 ( .A(wdata_a_i[15]), .Y(n59) );
+  sky130_fd_sc_hd__o2bb2ai_1 U304 ( .B1(n113), .B2(n59), .A1_N(n113), .A2_N(
+        rf_reg_q[783]), .Y(n1775) );
+  sky130_fd_sc_hd__or2_1 U305 ( .A(n47), .B(n46), .X(n69) );
+  sky130_fd_sc_hd__o2bb2ai_1 U306 ( .B1(n69), .B2(n54), .A1_N(n69), .A2_N(
+        rf_reg_q[448]), .Y(n2080) );
+  sky130_fd_sc_hd__o2bb2ai_1 U307 ( .B1(n69), .B2(n55), .A1_N(n69), .A2_N(
+        rf_reg_q[449]), .Y(n2081) );
+  sky130_fd_sc_hd__o2bb2ai_1 U308 ( .B1(n89), .B2(n54), .A1_N(n89), .A2_N(
+        rf_reg_q[576]), .Y(n1952) );
+  sky130_fd_sc_hd__o2bb2ai_1 U309 ( .B1(n89), .B2(n52), .A1_N(n89), .A2_N(
+        rf_reg_q[579]), .Y(n1955) );
+  sky130_fd_sc_hd__o2bb2ai_1 U310 ( .B1(n89), .B2(n58), .A1_N(n89), .A2_N(
+        rf_reg_q[581]), .Y(n1957) );
+  sky130_fd_sc_hd__or2_1 U311 ( .A(n50), .B(n46), .X(n88) );
+  sky130_fd_sc_hd__o2bb2ai_1 U312 ( .B1(n88), .B2(n55), .A1_N(n88), .A2_N(
+        rf_reg_q[321]), .Y(n2209) );
+  sky130_fd_sc_hd__o2bb2ai_1 U313 ( .B1(n72), .B2(n59), .A1_N(n72), .A2_N(
+        rf_reg_q[911]), .Y(n1647) );
+  sky130_fd_sc_hd__o2bb2ai_1 U314 ( .B1(n81), .B2(n59), .A1_N(n81), .A2_N(
+        rf_reg_q[975]), .Y(n1583) );
+  sky130_fd_sc_hd__o2bb2ai_1 U315 ( .B1(n67), .B2(n59), .A1_N(n67), .A2_N(
+        rf_reg_q[943]), .Y(n1615) );
+  sky130_fd_sc_hd__o2bb2ai_1 U316 ( .B1(n88), .B2(n52), .A1_N(n88), .A2_N(
+        rf_reg_q[323]), .Y(n2211) );
+  sky130_fd_sc_hd__o2bb2ai_1 U317 ( .B1(n89), .B2(n57), .A1_N(n89), .A2_N(
+        rf_reg_q[583]), .Y(n1959) );
+  sky130_fd_sc_hd__o2bb2ai_1 U318 ( .B1(n88), .B2(n54), .A1_N(n88), .A2_N(
+        rf_reg_q[320]), .Y(n2208) );
+  sky130_fd_sc_hd__o2bb2ai_1 U319 ( .B1(n88), .B2(n51), .A1_N(n88), .A2_N(
+        rf_reg_q[322]), .Y(n2210) );
+  sky130_fd_sc_hd__o2bb2ai_1 U320 ( .B1(n89), .B2(n51), .A1_N(n89), .A2_N(
+        rf_reg_q[578]), .Y(n1954) );
+  sky130_fd_sc_hd__or2_1 U321 ( .A(n48), .B(n47), .X(n76) );
+  sky130_fd_sc_hd__o2bb2ai_1 U322 ( .B1(n76), .B2(n57), .A1_N(n76), .A2_N(
+        rf_reg_q[199]), .Y(n2343) );
+  sky130_fd_sc_hd__o2bb2ai_1 U323 ( .B1(n76), .B2(n56), .A1_N(n76), .A2_N(
+        rf_reg_q[198]), .Y(n2342) );
+  sky130_fd_sc_hd__o2bb2ai_1 U324 ( .B1(n74), .B2(n59), .A1_N(n74), .A2_N(
+        rf_reg_q[623]), .Y(n1935) );
+  sky130_fd_sc_hd__o2bb2ai_1 U325 ( .B1(n71), .B2(n59), .A1_N(n71), .A2_N(
+        rf_reg_q[271]), .Y(n2287) );
+  sky130_fd_sc_hd__o2bb2ai_1 U326 ( .B1(n89), .B2(n59), .A1_N(n89), .A2_N(
+        rf_reg_q[591]), .Y(n1967) );
+  sky130_fd_sc_hd__o2bb2ai_1 U327 ( .B1(n68), .B2(n59), .A1_N(n68), .A2_N(
+        rf_reg_q[303]), .Y(n2255) );
+  sky130_fd_sc_hd__o2bb2ai_1 U328 ( .B1(n75), .B2(n59), .A1_N(n75), .A2_N(
+        rf_reg_q[655]), .Y(n1903) );
+  sky130_fd_sc_hd__o2bb2ai_1 U329 ( .B1(n81), .B2(n57), .A1_N(n81), .A2_N(
+        rf_reg_q[967]), .Y(n1575) );
+  sky130_fd_sc_hd__o2bb2ai_1 U330 ( .B1(n69), .B2(n59), .A1_N(n69), .A2_N(
+        rf_reg_q[463]), .Y(n2095) );
+  sky130_fd_sc_hd__o2bb2ai_1 U331 ( .B1(n81), .B2(n56), .A1_N(n81), .A2_N(
+        rf_reg_q[966]), .Y(n1574) );
+  sky130_fd_sc_hd__o2bb2ai_1 U332 ( .B1(n81), .B2(n55), .A1_N(n81), .A2_N(
+        rf_reg_q[961]), .Y(n1569) );
+  sky130_fd_sc_hd__o2bb2ai_1 U333 ( .B1(n70), .B2(n59), .A1_N(n70), .A2_N(
+        rf_reg_q[431]), .Y(n2127) );
+  sky130_fd_sc_hd__o2bb2ai_1 U334 ( .B1(n81), .B2(n52), .A1_N(n81), .A2_N(
+        rf_reg_q[963]), .Y(n1571) );
+  sky130_fd_sc_hd__o2bb2ai_1 U335 ( .B1(n79), .B2(n59), .A1_N(n79), .A2_N(
+        rf_reg_q[527]), .Y(n2031) );
+  sky130_fd_sc_hd__o2bb2ai_1 U336 ( .B1(n78), .B2(n59), .A1_N(n78), .A2_N(
+        rf_reg_q[367]), .Y(n2191) );
+  sky130_fd_sc_hd__o2bb2ai_1 U337 ( .B1(n77), .B2(n59), .A1_N(n77), .A2_N(
+        rf_reg_q[399]), .Y(n2159) );
+  sky130_fd_sc_hd__clkinv_1 U338 ( .A(wdata_a_i[13]), .Y(n65) );
+  sky130_fd_sc_hd__o2bb2ai_1 U339 ( .B1(n96), .B2(n65), .A1_N(n96), .A2_N(
+        rf_reg_q[77]), .Y(n2477) );
+  sky130_fd_sc_hd__clkinv_1 U340 ( .A(wdata_a_i[9]), .Y(n63) );
+  sky130_fd_sc_hd__o2bb2ai_1 U341 ( .B1(n83), .B2(n63), .A1_N(n83), .A2_N(
+        rf_reg_q[713]), .Y(n1833) );
+  sky130_fd_sc_hd__clkinv_1 U342 ( .A(wdata_a_i[14]), .Y(n64) );
+  sky130_fd_sc_hd__o2bb2ai_1 U343 ( .B1(n96), .B2(n64), .A1_N(n96), .A2_N(
+        rf_reg_q[78]), .Y(n2478) );
+  sky130_fd_sc_hd__clkinv_1 U344 ( .A(wdata_a_i[8]), .Y(n66) );
+  sky130_fd_sc_hd__o2bb2ai_1 U345 ( .B1(n83), .B2(n66), .A1_N(n83), .A2_N(
+        rf_reg_q[712]), .Y(n1832) );
+  sky130_fd_sc_hd__clkinv_1 U346 ( .A(wdata_a_i[12]), .Y(n62) );
+  sky130_fd_sc_hd__o2bb2ai_1 U347 ( .B1(n113), .B2(n62), .A1_N(n113), .A2_N(
+        rf_reg_q[780]), .Y(n1772) );
+  sky130_fd_sc_hd__o2bb2ai_1 U348 ( .B1(n96), .B2(n66), .A1_N(n96), .A2_N(
+        rf_reg_q[72]), .Y(n2472) );
+  sky130_fd_sc_hd__o2bb2ai_1 U349 ( .B1(n113), .B2(n65), .A1_N(n113), .A2_N(
+        rf_reg_q[781]), .Y(n1773) );
+  sky130_fd_sc_hd__clkinv_1 U350 ( .A(wdata_a_i[10]), .Y(n60) );
+  sky130_fd_sc_hd__o2bb2ai_1 U351 ( .B1(n83), .B2(n60), .A1_N(n83), .A2_N(
+        rf_reg_q[714]), .Y(n1834) );
+  sky130_fd_sc_hd__o2bb2ai_1 U352 ( .B1(n96), .B2(n60), .A1_N(n96), .A2_N(
+        rf_reg_q[74]), .Y(n2474) );
+  sky130_fd_sc_hd__o2bb2ai_1 U353 ( .B1(n113), .B2(n60), .A1_N(n113), .A2_N(
+        rf_reg_q[778]), .Y(n1770) );
+  sky130_fd_sc_hd__clkinv_1 U354 ( .A(wdata_a_i[11]), .Y(n61) );
+  sky130_fd_sc_hd__o2bb2ai_1 U355 ( .B1(n113), .B2(n61), .A1_N(n113), .A2_N(
+        rf_reg_q[779]), .Y(n1771) );
+  sky130_fd_sc_hd__o2bb2ai_1 U356 ( .B1(n113), .B2(n64), .A1_N(n113), .A2_N(
+        rf_reg_q[782]), .Y(n1774) );
+  sky130_fd_sc_hd__o2bb2ai_1 U357 ( .B1(n67), .B2(n64), .A1_N(n67), .A2_N(
+        rf_reg_q[942]), .Y(n1614) );
+  sky130_fd_sc_hd__o2bb2ai_1 U358 ( .B1(n82), .B2(n60), .A1_N(n82), .A2_N(
+        rf_reg_q[1002]), .Y(n1546) );
+  sky130_fd_sc_hd__o2bb2ai_1 U359 ( .B1(n72), .B2(n60), .A1_N(n72), .A2_N(
+        rf_reg_q[906]), .Y(n1642) );
+  sky130_fd_sc_hd__o2bb2ai_1 U360 ( .B1(n67), .B2(n60), .A1_N(n67), .A2_N(
+        rf_reg_q[938]), .Y(n1610) );
+  sky130_fd_sc_hd__o2bb2ai_1 U361 ( .B1(n67), .B2(n63), .A1_N(n67), .A2_N(
+        rf_reg_q[937]), .Y(n1609) );
+  sky130_fd_sc_hd__o2bb2ai_1 U362 ( .B1(n72), .B2(n64), .A1_N(n72), .A2_N(
+        rf_reg_q[910]), .Y(n1646) );
+  sky130_fd_sc_hd__o2bb2ai_1 U363 ( .B1(n81), .B2(n62), .A1_N(n81), .A2_N(
+        rf_reg_q[972]), .Y(n1580) );
+  sky130_fd_sc_hd__o2bb2ai_1 U364 ( .B1(n81), .B2(n63), .A1_N(n81), .A2_N(
+        rf_reg_q[969]), .Y(n1577) );
+  sky130_fd_sc_hd__o2bb2ai_1 U365 ( .B1(n76), .B2(n52), .A1_N(n76), .A2_N(
+        rf_reg_q[195]), .Y(n2339) );
+  sky130_fd_sc_hd__o2bb2ai_1 U366 ( .B1(n76), .B2(n58), .A1_N(n76), .A2_N(
+        rf_reg_q[197]), .Y(n2341) );
+  sky130_fd_sc_hd__o2bb2ai_1 U367 ( .B1(n76), .B2(n53), .A1_N(n76), .A2_N(
+        rf_reg_q[196]), .Y(n2340) );
+  sky130_fd_sc_hd__o2bb2ai_1 U368 ( .B1(n76), .B2(n55), .A1_N(n76), .A2_N(
+        rf_reg_q[193]), .Y(n2337) );
+  sky130_fd_sc_hd__o2bb2ai_1 U369 ( .B1(n96), .B2(n56), .A1_N(n96), .A2_N(
+        rf_reg_q[70]), .Y(n2470) );
+  sky130_fd_sc_hd__o2bb2ai_1 U370 ( .B1(n96), .B2(n53), .A1_N(n96), .A2_N(
+        rf_reg_q[68]), .Y(n2468) );
+  sky130_fd_sc_hd__o2bb2ai_1 U371 ( .B1(n76), .B2(n54), .A1_N(n76), .A2_N(
+        rf_reg_q[192]), .Y(n2336) );
+  sky130_fd_sc_hd__o2bb2ai_1 U372 ( .B1(n96), .B2(n54), .A1_N(n96), .A2_N(
+        rf_reg_q[64]), .Y(n2464) );
+  sky130_fd_sc_hd__o2bb2ai_1 U373 ( .B1(n76), .B2(n51), .A1_N(n76), .A2_N(
+        rf_reg_q[194]), .Y(n2338) );
+  sky130_fd_sc_hd__o2bb2ai_1 U374 ( .B1(n96), .B2(n52), .A1_N(n96), .A2_N(
+        rf_reg_q[67]), .Y(n2467) );
+  sky130_fd_sc_hd__o2bb2ai_1 U375 ( .B1(n84), .B2(n64), .A1_N(n84), .A2_N(
+        rf_reg_q[686]), .Y(n1870) );
+  sky130_fd_sc_hd__o2bb2ai_1 U376 ( .B1(n68), .B2(n66), .A1_N(n68), .A2_N(
+        rf_reg_q[296]), .Y(n2248) );
+  sky130_fd_sc_hd__o2bb2ai_1 U377 ( .B1(n83), .B2(n61), .A1_N(n83), .A2_N(
+        rf_reg_q[715]), .Y(n1835) );
+  sky130_fd_sc_hd__o2bb2ai_1 U378 ( .B1(n74), .B2(n66), .A1_N(n74), .A2_N(
+        rf_reg_q[616]), .Y(n1928) );
+  sky130_fd_sc_hd__o2bb2ai_1 U379 ( .B1(n68), .B2(n60), .A1_N(n68), .A2_N(
+        rf_reg_q[298]), .Y(n2250) );
+  sky130_fd_sc_hd__o2bb2ai_1 U380 ( .B1(n71), .B2(n65), .A1_N(n71), .A2_N(
+        rf_reg_q[269]), .Y(n2285) );
+  sky130_fd_sc_hd__o2bb2ai_1 U381 ( .B1(n71), .B2(n63), .A1_N(n71), .A2_N(
+        rf_reg_q[265]), .Y(n2281) );
+  sky130_fd_sc_hd__o2bb2ai_1 U382 ( .B1(n71), .B2(n62), .A1_N(n71), .A2_N(
+        rf_reg_q[268]), .Y(n2284) );
+  sky130_fd_sc_hd__o2bb2ai_1 U383 ( .B1(n84), .B2(n61), .A1_N(n84), .A2_N(
+        rf_reg_q[683]), .Y(n1867) );
+  sky130_fd_sc_hd__o2bb2ai_1 U384 ( .B1(n68), .B2(n64), .A1_N(n68), .A2_N(
+        rf_reg_q[302]), .Y(n2254) );
+  sky130_fd_sc_hd__o2bb2ai_1 U385 ( .B1(n68), .B2(n65), .A1_N(n68), .A2_N(
+        rf_reg_q[301]), .Y(n2253) );
+  sky130_fd_sc_hd__o2bb2ai_1 U386 ( .B1(n75), .B2(n63), .A1_N(n75), .A2_N(
+        rf_reg_q[649]), .Y(n1897) );
+  sky130_fd_sc_hd__o2bb2ai_1 U387 ( .B1(n83), .B2(n65), .A1_N(n83), .A2_N(
+        rf_reg_q[717]), .Y(n1837) );
+  sky130_fd_sc_hd__o2bb2ai_1 U388 ( .B1(n71), .B2(n64), .A1_N(n71), .A2_N(
+        rf_reg_q[270]), .Y(n2286) );
+  sky130_fd_sc_hd__o2bb2ai_1 U389 ( .B1(n74), .B2(n61), .A1_N(n74), .A2_N(
+        rf_reg_q[619]), .Y(n1931) );
+  sky130_fd_sc_hd__o2bb2ai_1 U390 ( .B1(n89), .B2(n63), .A1_N(n89), .A2_N(
+        rf_reg_q[585]), .Y(n1961) );
+  sky130_fd_sc_hd__o2bb2ai_1 U391 ( .B1(n68), .B2(n61), .A1_N(n68), .A2_N(
+        rf_reg_q[299]), .Y(n2251) );
+  sky130_fd_sc_hd__o2bb2ai_1 U392 ( .B1(n74), .B2(n63), .A1_N(n74), .A2_N(
+        rf_reg_q[617]), .Y(n1929) );
+  sky130_fd_sc_hd__o2bb2ai_1 U393 ( .B1(n74), .B2(n62), .A1_N(n74), .A2_N(
+        rf_reg_q[620]), .Y(n1932) );
+  sky130_fd_sc_hd__o2bb2ai_1 U394 ( .B1(n75), .B2(n65), .A1_N(n75), .A2_N(
+        rf_reg_q[653]), .Y(n1901) );
+  sky130_fd_sc_hd__o2bb2ai_1 U395 ( .B1(n68), .B2(n62), .A1_N(n68), .A2_N(
+        rf_reg_q[300]), .Y(n2252) );
+  sky130_fd_sc_hd__o2bb2ai_1 U396 ( .B1(n71), .B2(n60), .A1_N(n71), .A2_N(
+        rf_reg_q[266]), .Y(n2282) );
+  sky130_fd_sc_hd__o2bb2ai_1 U397 ( .B1(n74), .B2(n65), .A1_N(n74), .A2_N(
+        rf_reg_q[621]), .Y(n1933) );
+  sky130_fd_sc_hd__o2bb2ai_1 U398 ( .B1(n68), .B2(n63), .A1_N(n68), .A2_N(
+        rf_reg_q[297]), .Y(n2249) );
+  sky130_fd_sc_hd__o2bb2ai_1 U399 ( .B1(n75), .B2(n61), .A1_N(n75), .A2_N(
+        rf_reg_q[651]), .Y(n1899) );
+  sky130_fd_sc_hd__o2bb2ai_1 U400 ( .B1(n74), .B2(n64), .A1_N(n74), .A2_N(
+        rf_reg_q[622]), .Y(n1934) );
+  sky130_fd_sc_hd__o2bb2ai_1 U401 ( .B1(n71), .B2(n66), .A1_N(n71), .A2_N(
+        rf_reg_q[264]), .Y(n2280) );
+  sky130_fd_sc_hd__o2bb2ai_1 U402 ( .B1(n75), .B2(n62), .A1_N(n75), .A2_N(
+        rf_reg_q[652]), .Y(n1900) );
+  sky130_fd_sc_hd__o2bb2ai_1 U403 ( .B1(n74), .B2(n60), .A1_N(n74), .A2_N(
+        rf_reg_q[618]), .Y(n1930) );
+  sky130_fd_sc_hd__o2bb2ai_1 U404 ( .B1(n89), .B2(n60), .A1_N(n89), .A2_N(
+        rf_reg_q[586]), .Y(n1962) );
+  sky130_fd_sc_hd__o2bb2ai_1 U405 ( .B1(n75), .B2(n64), .A1_N(n75), .A2_N(
+        rf_reg_q[654]), .Y(n1902) );
+  sky130_fd_sc_hd__o2bb2ai_1 U406 ( .B1(n89), .B2(n61), .A1_N(n89), .A2_N(
+        rf_reg_q[587]), .Y(n1963) );
+  sky130_fd_sc_hd__o2bb2ai_1 U407 ( .B1(n84), .B2(n60), .A1_N(n84), .A2_N(
+        rf_reg_q[682]), .Y(n1866) );
+  sky130_fd_sc_hd__o2bb2ai_1 U408 ( .B1(n71), .B2(n61), .A1_N(n71), .A2_N(
+        rf_reg_q[267]), .Y(n2283) );
+  sky130_fd_sc_hd__o2bb2ai_1 U409 ( .B1(n72), .B2(n62), .A1_N(n72), .A2_N(
+        rf_reg_q[908]), .Y(n1644) );
+  sky130_fd_sc_hd__o2bb2ai_1 U410 ( .B1(n67), .B2(n62), .A1_N(n67), .A2_N(
+        rf_reg_q[940]), .Y(n1612) );
+  sky130_fd_sc_hd__o2bb2ai_1 U411 ( .B1(n70), .B2(n60), .A1_N(n70), .A2_N(
+        rf_reg_q[426]), .Y(n2122) );
+  sky130_fd_sc_hd__o2bb2ai_1 U412 ( .B1(n70), .B2(n62), .A1_N(n70), .A2_N(
+        rf_reg_q[428]), .Y(n2124) );
+  sky130_fd_sc_hd__o2bb2ai_1 U413 ( .B1(n70), .B2(n66), .A1_N(n70), .A2_N(
+        rf_reg_q[424]), .Y(n2120) );
+  sky130_fd_sc_hd__o2bb2ai_1 U414 ( .B1(n95), .B2(n63), .A1_N(n95), .A2_N(
+        rf_reg_q[873]), .Y(n1673) );
+  sky130_fd_sc_hd__o2bb2ai_1 U415 ( .B1(n70), .B2(n61), .A1_N(n70), .A2_N(
+        rf_reg_q[427]), .Y(n2123) );
+  sky130_fd_sc_hd__o2bb2ai_1 U416 ( .B1(n70), .B2(n65), .A1_N(n70), .A2_N(
+        rf_reg_q[429]), .Y(n2125) );
+  sky130_fd_sc_hd__o2bb2ai_1 U417 ( .B1(n70), .B2(n64), .A1_N(n70), .A2_N(
+        rf_reg_q[430]), .Y(n2126) );
+  sky130_fd_sc_hd__o2bb2ai_1 U418 ( .B1(n70), .B2(n63), .A1_N(n70), .A2_N(
+        rf_reg_q[425]), .Y(n2121) );
+  sky130_fd_sc_hd__o2bb2ai_1 U419 ( .B1(n79), .B2(n62), .A1_N(n79), .A2_N(
+        rf_reg_q[524]), .Y(n2028) );
+  sky130_fd_sc_hd__o2bb2ai_1 U420 ( .B1(n72), .B2(n66), .A1_N(n72), .A2_N(
+        rf_reg_q[904]), .Y(n1640) );
+  sky130_fd_sc_hd__o2bb2ai_1 U421 ( .B1(n72), .B2(n63), .A1_N(n72), .A2_N(
+        rf_reg_q[905]), .Y(n1641) );
+  sky130_fd_sc_hd__o2bb2ai_1 U422 ( .B1(n67), .B2(n65), .A1_N(n67), .A2_N(
+        rf_reg_q[941]), .Y(n1613) );
+  sky130_fd_sc_hd__o2bb2ai_1 U423 ( .B1(n89), .B2(n66), .A1_N(n89), .A2_N(
+        rf_reg_q[584]), .Y(n1960) );
+  sky130_fd_sc_hd__o2bb2ai_1 U424 ( .B1(n79), .B2(n66), .A1_N(n79), .A2_N(
+        rf_reg_q[520]), .Y(n2024) );
+  sky130_fd_sc_hd__o2bb2ai_1 U425 ( .B1(n67), .B2(n66), .A1_N(n67), .A2_N(
+        rf_reg_q[936]), .Y(n1608) );
+  sky130_fd_sc_hd__o2bb2ai_1 U426 ( .B1(n77), .B2(n62), .A1_N(n77), .A2_N(
+        rf_reg_q[396]), .Y(n2156) );
+  sky130_fd_sc_hd__o2bb2ai_1 U427 ( .B1(n72), .B2(n65), .A1_N(n72), .A2_N(
+        rf_reg_q[909]), .Y(n1645) );
+  sky130_fd_sc_hd__o2bb2ai_1 U428 ( .B1(n89), .B2(n65), .A1_N(n89), .A2_N(
+        rf_reg_q[589]), .Y(n1965) );
+  sky130_fd_sc_hd__o2bb2ai_1 U429 ( .B1(n79), .B2(n61), .A1_N(n79), .A2_N(
+        rf_reg_q[523]), .Y(n2027) );
+  sky130_fd_sc_hd__o2bb2ai_1 U430 ( .B1(n77), .B2(n65), .A1_N(n77), .A2_N(
+        rf_reg_q[397]), .Y(n2157) );
+  sky130_fd_sc_hd__o2bb2ai_1 U431 ( .B1(n77), .B2(n63), .A1_N(n77), .A2_N(
+        rf_reg_q[393]), .Y(n2153) );
+  sky130_fd_sc_hd__o2bb2ai_1 U432 ( .B1(n78), .B2(n61), .A1_N(n78), .A2_N(
+        rf_reg_q[363]), .Y(n2187) );
+  sky130_fd_sc_hd__o2bb2ai_1 U433 ( .B1(n78), .B2(n66), .A1_N(n78), .A2_N(
+        rf_reg_q[360]), .Y(n2184) );
+  sky130_fd_sc_hd__o2bb2ai_1 U434 ( .B1(n80), .B2(n61), .A1_N(n80), .A2_N(
+        rf_reg_q[555]), .Y(n1995) );
+  sky130_fd_sc_hd__o2bb2ai_1 U435 ( .B1(n78), .B2(n65), .A1_N(n78), .A2_N(
+        rf_reg_q[365]), .Y(n2189) );
+  sky130_fd_sc_hd__o2bb2ai_1 U436 ( .B1(n80), .B2(n65), .A1_N(n80), .A2_N(
+        rf_reg_q[557]), .Y(n1997) );
+  sky130_fd_sc_hd__o2bb2ai_1 U437 ( .B1(n95), .B2(n61), .A1_N(n95), .A2_N(
+        rf_reg_q[875]), .Y(n1675) );
+  sky130_fd_sc_hd__o2bb2ai_1 U438 ( .B1(n80), .B2(n63), .A1_N(n80), .A2_N(
+        rf_reg_q[553]), .Y(n1993) );
+  sky130_fd_sc_hd__o2bb2ai_1 U439 ( .B1(n80), .B2(n59), .A1_N(n80), .A2_N(
+        rf_reg_q[559]), .Y(n1999) );
+  sky130_fd_sc_hd__o2bb2ai_1 U440 ( .B1(n87), .B2(n59), .A1_N(n87), .A2_N(
+        rf_reg_q[111]), .Y(n2447) );
+  sky130_fd_sc_hd__o2bb2ai_1 U441 ( .B1(n73), .B2(n59), .A1_N(n73), .A2_N(
+        rf_reg_q[175]), .Y(n2383) );
+  sky130_fd_sc_hd__o2bb2ai_1 U442 ( .B1(n76), .B2(n59), .A1_N(n76), .A2_N(
+        rf_reg_q[207]), .Y(n2351) );
+  sky130_fd_sc_hd__o2bb2ai_1 U443 ( .B1(n83), .B2(n59), .A1_N(n83), .A2_N(
+        rf_reg_q[719]), .Y(n1839) );
+  sky130_fd_sc_hd__o2bb2ai_1 U444 ( .B1(n82), .B2(n59), .A1_N(n82), .A2_N(
+        rf_reg_q[1007]), .Y(n1551) );
+  sky130_fd_sc_hd__o2bb2ai_1 U445 ( .B1(n84), .B2(n59), .A1_N(n84), .A2_N(
+        rf_reg_q[687]), .Y(n1871) );
+  sky130_fd_sc_hd__o2bb2ai_1 U446 ( .B1(n73), .B2(n60), .A1_N(n73), .A2_N(
+        rf_reg_q[170]), .Y(n2378) );
+  sky130_fd_sc_hd__o2bb2ai_1 U447 ( .B1(n85), .B2(n62), .A1_N(n85), .A2_N(
+        rf_reg_q[140]), .Y(n2412) );
+  sky130_fd_sc_hd__o2bb2ai_1 U448 ( .B1(n86), .B2(n61), .A1_N(n86), .A2_N(
+        rf_reg_q[235]), .Y(n2315) );
+  sky130_fd_sc_hd__o2bb2ai_1 U449 ( .B1(n87), .B2(n62), .A1_N(n87), .A2_N(
+        rf_reg_q[108]), .Y(n2444) );
+  sky130_fd_sc_hd__o2bb2ai_1 U450 ( .B1(n85), .B2(n64), .A1_N(n85), .A2_N(
+        rf_reg_q[142]), .Y(n2414) );
+  sky130_fd_sc_hd__o2bb2ai_1 U451 ( .B1(n87), .B2(n65), .A1_N(n87), .A2_N(
+        rf_reg_q[109]), .Y(n2445) );
+  sky130_fd_sc_hd__o2bb2ai_1 U452 ( .B1(n85), .B2(n60), .A1_N(n85), .A2_N(
+        rf_reg_q[138]), .Y(n2410) );
+  sky130_fd_sc_hd__o2bb2ai_1 U453 ( .B1(n85), .B2(n66), .A1_N(n85), .A2_N(
+        rf_reg_q[136]), .Y(n2408) );
+  sky130_fd_sc_hd__o2bb2ai_1 U454 ( .B1(n88), .B2(n56), .A1_N(n88), .A2_N(
+        rf_reg_q[326]), .Y(n2214) );
+  sky130_fd_sc_hd__o2bb2ai_1 U455 ( .B1(n88), .B2(n58), .A1_N(n88), .A2_N(
+        rf_reg_q[325]), .Y(n2213) );
+  sky130_fd_sc_hd__o2bb2ai_1 U456 ( .B1(n88), .B2(n57), .A1_N(n88), .A2_N(
+        rf_reg_q[327]), .Y(n2215) );
+  sky130_fd_sc_hd__o2bb2ai_1 U457 ( .B1(n88), .B2(n53), .A1_N(n88), .A2_N(
+        rf_reg_q[324]), .Y(n2212) );
+  sky130_fd_sc_hd__o2bb2ai_1 U458 ( .B1(n113), .B2(n57), .A1_N(n113), .A2_N(
+        rf_reg_q[775]), .Y(n1767) );
+  sky130_fd_sc_hd__o2bb2ai_1 U459 ( .B1(n113), .B2(n58), .A1_N(n113), .A2_N(
+        rf_reg_q[773]), .Y(n1765) );
+  sky130_fd_sc_hd__o2bb2ai_1 U460 ( .B1(n113), .B2(n54), .A1_N(n113), .A2_N(
+        rf_reg_q[768]), .Y(n1760) );
+  sky130_fd_sc_hd__o2bb2ai_1 U461 ( .B1(n113), .B2(n56), .A1_N(n113), .A2_N(
+        rf_reg_q[774]), .Y(n1766) );
+  sky130_fd_sc_hd__o2bb2ai_1 U462 ( .B1(n87), .B2(n64), .A1_N(n87), .A2_N(
+        rf_reg_q[110]), .Y(n2446) );
+  sky130_fd_sc_hd__o2bb2ai_1 U463 ( .B1(n113), .B2(n53), .A1_N(n113), .A2_N(
+        rf_reg_q[772]), .Y(n1764) );
+  sky130_fd_sc_hd__o2bb2ai_1 U464 ( .B1(n113), .B2(n51), .A1_N(n113), .A2_N(
+        rf_reg_q[770]), .Y(n1762) );
+  sky130_fd_sc_hd__o2bb2ai_1 U465 ( .B1(n113), .B2(n52), .A1_N(n113), .A2_N(
+        rf_reg_q[771]), .Y(n1763) );
+  sky130_fd_sc_hd__o2bb2ai_1 U466 ( .B1(n113), .B2(n55), .A1_N(n113), .A2_N(
+        rf_reg_q[769]), .Y(n1761) );
+  sky130_fd_sc_hd__o2bb2ai_1 U467 ( .B1(n95), .B2(n64), .A1_N(n95), .A2_N(
+        rf_reg_q[878]), .Y(n1678) );
+  sky130_fd_sc_hd__o2bb2ai_1 U468 ( .B1(n67), .B2(n61), .A1_N(n67), .A2_N(
+        rf_reg_q[939]), .Y(n1611) );
+  sky130_fd_sc_hd__o2bb2ai_1 U469 ( .B1(n79), .B2(n60), .A1_N(n79), .A2_N(
+        rf_reg_q[522]), .Y(n2026) );
+  sky130_fd_sc_hd__o2bb2ai_1 U470 ( .B1(n80), .B2(n62), .A1_N(n80), .A2_N(
+        rf_reg_q[556]), .Y(n1996) );
+  sky130_fd_sc_hd__o2bb2ai_1 U471 ( .B1(n79), .B2(n63), .A1_N(n79), .A2_N(
+        rf_reg_q[521]), .Y(n2025) );
+  sky130_fd_sc_hd__o2bb2ai_1 U472 ( .B1(n80), .B2(n66), .A1_N(n80), .A2_N(
+        rf_reg_q[552]), .Y(n1992) );
+  sky130_fd_sc_hd__o2bb2ai_1 U473 ( .B1(n80), .B2(n60), .A1_N(n80), .A2_N(
+        rf_reg_q[554]), .Y(n1994) );
+  sky130_fd_sc_hd__o2bb2ai_1 U474 ( .B1(n79), .B2(n65), .A1_N(n79), .A2_N(
+        rf_reg_q[525]), .Y(n2029) );
+  sky130_fd_sc_hd__o2bb2ai_1 U475 ( .B1(n80), .B2(n64), .A1_N(n80), .A2_N(
+        rf_reg_q[558]), .Y(n1998) );
+  sky130_fd_sc_hd__o2bb2ai_1 U476 ( .B1(n72), .B2(n61), .A1_N(n72), .A2_N(
+        rf_reg_q[907]), .Y(n1643) );
+  sky130_fd_sc_hd__o2bb2ai_1 U477 ( .B1(n95), .B2(n66), .A1_N(n95), .A2_N(
+        rf_reg_q[872]), .Y(n1672) );
+  sky130_fd_sc_hd__o2bb2ai_1 U478 ( .B1(n77), .B2(n66), .A1_N(n77), .A2_N(
+        rf_reg_q[392]), .Y(n2152) );
+  sky130_fd_sc_hd__o2bb2ai_1 U479 ( .B1(n95), .B2(n65), .A1_N(n95), .A2_N(
+        rf_reg_q[877]), .Y(n1677) );
+  sky130_fd_sc_hd__o2bb2ai_1 U480 ( .B1(n89), .B2(n62), .A1_N(n89), .A2_N(
+        rf_reg_q[588]), .Y(n1964) );
+  sky130_fd_sc_hd__o2bb2ai_1 U481 ( .B1(n78), .B2(n63), .A1_N(n78), .A2_N(
+        rf_reg_q[361]), .Y(n2185) );
+  sky130_fd_sc_hd__o2bb2ai_1 U482 ( .B1(n76), .B2(n60), .A1_N(n76), .A2_N(
+        rf_reg_q[202]), .Y(n2346) );
+  sky130_fd_sc_hd__o2bb2ai_1 U483 ( .B1(n77), .B2(n64), .A1_N(n77), .A2_N(
+        rf_reg_q[398]), .Y(n2158) );
+  sky130_fd_sc_hd__o2bb2ai_1 U484 ( .B1(n76), .B2(n64), .A1_N(n76), .A2_N(
+        rf_reg_q[206]), .Y(n2350) );
+  sky130_fd_sc_hd__o2bb2ai_1 U485 ( .B1(n77), .B2(n61), .A1_N(n77), .A2_N(
+        rf_reg_q[395]), .Y(n2155) );
+  sky130_fd_sc_hd__o2bb2ai_1 U486 ( .B1(n76), .B2(n61), .A1_N(n76), .A2_N(
+        rf_reg_q[203]), .Y(n2347) );
+  sky130_fd_sc_hd__o2bb2ai_1 U487 ( .B1(n79), .B2(n64), .A1_N(n79), .A2_N(
+        rf_reg_q[526]), .Y(n2030) );
+  sky130_fd_sc_hd__o2bb2ai_1 U488 ( .B1(n76), .B2(n66), .A1_N(n76), .A2_N(
+        rf_reg_q[200]), .Y(n2344) );
+  sky130_fd_sc_hd__o2bb2ai_1 U489 ( .B1(n76), .B2(n62), .A1_N(n76), .A2_N(
+        rf_reg_q[204]), .Y(n2348) );
+  sky130_fd_sc_hd__o2bb2ai_1 U490 ( .B1(n76), .B2(n65), .A1_N(n76), .A2_N(
+        rf_reg_q[205]), .Y(n2349) );
+  sky130_fd_sc_hd__o2bb2ai_1 U491 ( .B1(n78), .B2(n64), .A1_N(n78), .A2_N(
+        rf_reg_q[366]), .Y(n2190) );
+  sky130_fd_sc_hd__o2bb2ai_1 U492 ( .B1(n77), .B2(n60), .A1_N(n77), .A2_N(
+        rf_reg_q[394]), .Y(n2154) );
+  sky130_fd_sc_hd__o2bb2ai_1 U493 ( .B1(n73), .B2(n66), .A1_N(n73), .A2_N(
+        rf_reg_q[168]), .Y(n2376) );
+  sky130_fd_sc_hd__o2bb2ai_1 U494 ( .B1(n78), .B2(n62), .A1_N(n78), .A2_N(
+        rf_reg_q[364]), .Y(n2188) );
+  sky130_fd_sc_hd__o2bb2ai_1 U495 ( .B1(n78), .B2(n60), .A1_N(n78), .A2_N(
+        rf_reg_q[362]), .Y(n2186) );
+  sky130_fd_sc_hd__o2bb2ai_1 U496 ( .B1(n73), .B2(n65), .A1_N(n73), .A2_N(
+        rf_reg_q[173]), .Y(n2381) );
+  sky130_fd_sc_hd__o2bb2ai_1 U497 ( .B1(n89), .B2(n64), .A1_N(n89), .A2_N(
+        rf_reg_q[590]), .Y(n1966) );
+  sky130_fd_sc_hd__o2bb2ai_1 U498 ( .B1(n73), .B2(n61), .A1_N(n73), .A2_N(
+        rf_reg_q[171]), .Y(n2379) );
+  sky130_fd_sc_hd__o2bb2ai_1 U499 ( .B1(n76), .B2(n63), .A1_N(n76), .A2_N(
+        rf_reg_q[201]), .Y(n2345) );
+  sky130_fd_sc_hd__o2bb2ai_1 U500 ( .B1(n73), .B2(n64), .A1_N(n73), .A2_N(
+        rf_reg_q[174]), .Y(n2382) );
+  sky130_fd_sc_hd__o2bb2ai_1 U501 ( .B1(n85), .B2(n59), .A1_N(n85), .A2_N(
+        rf_reg_q[143]), .Y(n2415) );
+  sky130_fd_sc_hd__o2bb2ai_1 U502 ( .B1(n86), .B2(n59), .A1_N(n86), .A2_N(
+        rf_reg_q[239]), .Y(n2319) );
+  sky130_fd_sc_hd__o2bb2ai_1 U503 ( .B1(n81), .B2(n60), .A1_N(n81), .A2_N(
+        rf_reg_q[970]), .Y(n1578) );
+  sky130_fd_sc_hd__o2bb2ai_1 U504 ( .B1(n83), .B2(n62), .A1_N(n83), .A2_N(
+        rf_reg_q[716]), .Y(n1836) );
+  sky130_fd_sc_hd__o2bb2ai_1 U505 ( .B1(n82), .B2(n63), .A1_N(n82), .A2_N(
+        rf_reg_q[1001]), .Y(n1545) );
+  sky130_fd_sc_hd__o2bb2ai_1 U506 ( .B1(n84), .B2(n62), .A1_N(n84), .A2_N(
+        rf_reg_q[684]), .Y(n1868) );
+  sky130_fd_sc_hd__o2bb2ai_1 U507 ( .B1(n75), .B2(n60), .A1_N(n75), .A2_N(
+        rf_reg_q[650]), .Y(n1898) );
+  sky130_fd_sc_hd__o2bb2ai_1 U508 ( .B1(n82), .B2(n66), .A1_N(n82), .A2_N(
+        rf_reg_q[1000]), .Y(n1544) );
+  sky130_fd_sc_hd__o2bb2ai_1 U509 ( .B1(n82), .B2(n61), .A1_N(n82), .A2_N(
+        rf_reg_q[1003]), .Y(n1547) );
+  sky130_fd_sc_hd__o2bb2ai_1 U510 ( .B1(n82), .B2(n64), .A1_N(n82), .A2_N(
+        rf_reg_q[1006]), .Y(n1550) );
+  sky130_fd_sc_hd__o2bb2ai_1 U511 ( .B1(n82), .B2(n65), .A1_N(n82), .A2_N(
+        rf_reg_q[1005]), .Y(n1549) );
+  sky130_fd_sc_hd__o2bb2ai_1 U512 ( .B1(n82), .B2(n62), .A1_N(n82), .A2_N(
+        rf_reg_q[1004]), .Y(n1548) );
+  sky130_fd_sc_hd__o2bb2ai_1 U513 ( .B1(n81), .B2(n66), .A1_N(n81), .A2_N(
+        rf_reg_q[968]), .Y(n1576) );
+  sky130_fd_sc_hd__o2bb2ai_1 U514 ( .B1(n83), .B2(n64), .A1_N(n83), .A2_N(
+        rf_reg_q[718]), .Y(n1838) );
+  sky130_fd_sc_hd__o2bb2ai_1 U515 ( .B1(n84), .B2(n66), .A1_N(n84), .A2_N(
+        rf_reg_q[680]), .Y(n1864) );
+  sky130_fd_sc_hd__o2bb2ai_1 U516 ( .B1(n84), .B2(n63), .A1_N(n84), .A2_N(
+        rf_reg_q[681]), .Y(n1865) );
+  sky130_fd_sc_hd__o2bb2ai_1 U517 ( .B1(n81), .B2(n65), .A1_N(n81), .A2_N(
+        rf_reg_q[973]), .Y(n1581) );
+  sky130_fd_sc_hd__o2bb2ai_1 U518 ( .B1(n84), .B2(n65), .A1_N(n84), .A2_N(
+        rf_reg_q[685]), .Y(n1869) );
+  sky130_fd_sc_hd__o2bb2ai_1 U519 ( .B1(n81), .B2(n61), .A1_N(n81), .A2_N(
+        rf_reg_q[971]), .Y(n1579) );
+  sky130_fd_sc_hd__o2bb2ai_1 U520 ( .B1(n75), .B2(n66), .A1_N(n75), .A2_N(
+        rf_reg_q[648]), .Y(n1896) );
+  sky130_fd_sc_hd__o2bb2ai_1 U521 ( .B1(n81), .B2(n64), .A1_N(n81), .A2_N(
+        rf_reg_q[974]), .Y(n1582) );
+  sky130_fd_sc_hd__o2bb2ai_1 U522 ( .B1(n86), .B2(n62), .A1_N(n86), .A2_N(
+        rf_reg_q[236]), .Y(n2316) );
+  sky130_fd_sc_hd__o2bb2ai_1 U523 ( .B1(n87), .B2(n66), .A1_N(n87), .A2_N(
+        rf_reg_q[104]), .Y(n2440) );
+  sky130_fd_sc_hd__o2bb2ai_1 U524 ( .B1(n87), .B2(n63), .A1_N(n87), .A2_N(
+        rf_reg_q[105]), .Y(n2441) );
+  sky130_fd_sc_hd__o2bb2ai_1 U525 ( .B1(n73), .B2(n63), .A1_N(n73), .A2_N(
+        rf_reg_q[169]), .Y(n2377) );
+  sky130_fd_sc_hd__o2bb2ai_1 U526 ( .B1(n85), .B2(n63), .A1_N(n85), .A2_N(
+        rf_reg_q[137]), .Y(n2409) );
+  sky130_fd_sc_hd__o2bb2ai_1 U527 ( .B1(n87), .B2(n61), .A1_N(n87), .A2_N(
+        rf_reg_q[107]), .Y(n2443) );
+  sky130_fd_sc_hd__o2bb2ai_1 U528 ( .B1(n85), .B2(n65), .A1_N(n85), .A2_N(
+        rf_reg_q[141]), .Y(n2413) );
+  sky130_fd_sc_hd__o2bb2ai_1 U529 ( .B1(n86), .B2(n63), .A1_N(n86), .A2_N(
+        rf_reg_q[233]), .Y(n2313) );
+  sky130_fd_sc_hd__o2bb2ai_1 U530 ( .B1(n86), .B2(n65), .A1_N(n86), .A2_N(
+        rf_reg_q[237]), .Y(n2317) );
+  sky130_fd_sc_hd__o2bb2ai_1 U531 ( .B1(n86), .B2(n66), .A1_N(n86), .A2_N(
+        rf_reg_q[232]), .Y(n2312) );
+  sky130_fd_sc_hd__o2bb2ai_1 U532 ( .B1(n85), .B2(n61), .A1_N(n85), .A2_N(
+        rf_reg_q[139]), .Y(n2411) );
+  sky130_fd_sc_hd__o2bb2ai_1 U533 ( .B1(n86), .B2(n64), .A1_N(n86), .A2_N(
+        rf_reg_q[238]), .Y(n2318) );
+  sky130_fd_sc_hd__o2bb2ai_1 U534 ( .B1(n96), .B2(n59), .A1_N(n96), .A2_N(
+        rf_reg_q[79]), .Y(n2479) );
+  sky130_fd_sc_hd__o2bb2ai_1 U535 ( .B1(n69), .B2(n58), .A1_N(n69), .A2_N(
+        rf_reg_q[453]), .Y(n2085) );
+  sky130_fd_sc_hd__o2bb2ai_1 U536 ( .B1(n69), .B2(n56), .A1_N(n69), .A2_N(
+        rf_reg_q[454]), .Y(n2086) );
+  sky130_fd_sc_hd__o2bb2ai_1 U537 ( .B1(n69), .B2(n57), .A1_N(n69), .A2_N(
+        rf_reg_q[455]), .Y(n2087) );
+  sky130_fd_sc_hd__o2bb2ai_1 U538 ( .B1(n69), .B2(n51), .A1_N(n69), .A2_N(
+        rf_reg_q[450]), .Y(n2082) );
+  sky130_fd_sc_hd__o2bb2ai_1 U539 ( .B1(n69), .B2(n52), .A1_N(n69), .A2_N(
+        rf_reg_q[451]), .Y(n2083) );
+  sky130_fd_sc_hd__o2bb2ai_1 U540 ( .B1(n69), .B2(n53), .A1_N(n69), .A2_N(
+        rf_reg_q[452]), .Y(n2084) );
+  sky130_fd_sc_hd__or2_1 U541 ( .A(n50), .B(n49), .X(n91) );
+  sky130_fd_sc_hd__o2bb2ai_1 U542 ( .B1(n91), .B2(n51), .A1_N(n91), .A2_N(
+        rf_reg_q[834]), .Y(n1698) );
+  sky130_fd_sc_hd__o2bb2ai_1 U543 ( .B1(n91), .B2(n52), .A1_N(n91), .A2_N(
+        rf_reg_q[835]), .Y(n1699) );
+  sky130_fd_sc_hd__o2bb2ai_1 U544 ( .B1(n91), .B2(n53), .A1_N(n91), .A2_N(
+        rf_reg_q[836]), .Y(n1700) );
+  sky130_fd_sc_hd__o2bb2ai_1 U545 ( .B1(n91), .B2(n54), .A1_N(n91), .A2_N(
+        rf_reg_q[832]), .Y(n1696) );
+  sky130_fd_sc_hd__o2bb2ai_1 U546 ( .B1(n91), .B2(n55), .A1_N(n91), .A2_N(
+        rf_reg_q[833]), .Y(n1697) );
+  sky130_fd_sc_hd__o2bb2ai_1 U547 ( .B1(n91), .B2(n56), .A1_N(n91), .A2_N(
+        rf_reg_q[838]), .Y(n1702) );
+  sky130_fd_sc_hd__o2bb2ai_1 U548 ( .B1(n91), .B2(n57), .A1_N(n91), .A2_N(
+        rf_reg_q[839]), .Y(n1703) );
+  sky130_fd_sc_hd__o2bb2ai_1 U549 ( .B1(n91), .B2(n58), .A1_N(n91), .A2_N(
+        rf_reg_q[837]), .Y(n1701) );
+  sky130_fd_sc_hd__o2bb2ai_1 U550 ( .B1(n87), .B2(n60), .A1_N(n87), .A2_N(
+        rf_reg_q[106]), .Y(n2442) );
+  sky130_fd_sc_hd__o2bb2ai_1 U551 ( .B1(n73), .B2(n62), .A1_N(n73), .A2_N(
+        rf_reg_q[172]), .Y(n2380) );
+  sky130_fd_sc_hd__o2bb2ai_1 U552 ( .B1(n86), .B2(n60), .A1_N(n86), .A2_N(
+        rf_reg_q[234]), .Y(n2314) );
+  sky130_fd_sc_hd__o2bb2ai_1 U553 ( .B1(n96), .B2(n63), .A1_N(n96), .A2_N(
+        rf_reg_q[73]), .Y(n2473) );
+  sky130_fd_sc_hd__o2bb2ai_1 U554 ( .B1(n96), .B2(n61), .A1_N(n96), .A2_N(
+        rf_reg_q[75]), .Y(n2475) );
+  sky130_fd_sc_hd__o2bb2ai_1 U555 ( .B1(n96), .B2(n62), .A1_N(n96), .A2_N(
+        rf_reg_q[76]), .Y(n2476) );
+  sky130_fd_sc_hd__o2bb2ai_1 U556 ( .B1(n90), .B2(n59), .A1_N(n90), .A2_N(
+        rf_reg_q[495]), .Y(n2063) );
+  sky130_fd_sc_hd__o2bb2ai_1 U557 ( .B1(n88), .B2(n59), .A1_N(n88), .A2_N(
+        rf_reg_q[335]), .Y(n2223) );
+  sky130_fd_sc_hd__o2bb2ai_1 U558 ( .B1(n95), .B2(n60), .A1_N(n95), .A2_N(
+        rf_reg_q[874]), .Y(n1674) );
+  sky130_fd_sc_hd__o2bb2ai_1 U559 ( .B1(n88), .B2(n60), .A1_N(n88), .A2_N(
+        rf_reg_q[330]), .Y(n2218) );
+  sky130_fd_sc_hd__o2bb2ai_1 U560 ( .B1(n90), .B2(n64), .A1_N(n90), .A2_N(
+        rf_reg_q[494]), .Y(n2062) );
+  sky130_fd_sc_hd__o2bb2ai_1 U561 ( .B1(n88), .B2(n63), .A1_N(n88), .A2_N(
+        rf_reg_q[329]), .Y(n2217) );
+  sky130_fd_sc_hd__o2bb2ai_1 U562 ( .B1(n88), .B2(n64), .A1_N(n88), .A2_N(
+        rf_reg_q[334]), .Y(n2222) );
+  sky130_fd_sc_hd__o2bb2ai_1 U563 ( .B1(n90), .B2(n60), .A1_N(n90), .A2_N(
+        rf_reg_q[490]), .Y(n2058) );
+  sky130_fd_sc_hd__o2bb2ai_1 U564 ( .B1(n90), .B2(n63), .A1_N(n90), .A2_N(
+        rf_reg_q[489]), .Y(n2057) );
+  sky130_fd_sc_hd__o2bb2ai_1 U565 ( .B1(n88), .B2(n62), .A1_N(n88), .A2_N(
+        rf_reg_q[332]), .Y(n2220) );
+  sky130_fd_sc_hd__o2bb2ai_1 U566 ( .B1(n90), .B2(n61), .A1_N(n90), .A2_N(
+        rf_reg_q[491]), .Y(n2059) );
+  sky130_fd_sc_hd__o2bb2ai_1 U567 ( .B1(n88), .B2(n61), .A1_N(n88), .A2_N(
+        rf_reg_q[331]), .Y(n2219) );
+  sky130_fd_sc_hd__o2bb2ai_1 U568 ( .B1(n88), .B2(n65), .A1_N(n88), .A2_N(
+        rf_reg_q[333]), .Y(n2221) );
+  sky130_fd_sc_hd__o2bb2ai_1 U569 ( .B1(n88), .B2(n66), .A1_N(n88), .A2_N(
+        rf_reg_q[328]), .Y(n2216) );
+  sky130_fd_sc_hd__o2bb2ai_1 U570 ( .B1(n90), .B2(n65), .A1_N(n90), .A2_N(
+        rf_reg_q[493]), .Y(n2061) );
+  sky130_fd_sc_hd__o2bb2ai_1 U571 ( .B1(n90), .B2(n62), .A1_N(n90), .A2_N(
+        rf_reg_q[492]), .Y(n2060) );
+  sky130_fd_sc_hd__o2bb2ai_1 U572 ( .B1(n90), .B2(n66), .A1_N(n90), .A2_N(
+        rf_reg_q[488]), .Y(n2056) );
+  sky130_fd_sc_hd__o2bb2ai_1 U573 ( .B1(n95), .B2(n59), .A1_N(n95), .A2_N(
+        rf_reg_q[879]), .Y(n1679) );
+  sky130_fd_sc_hd__o2bb2ai_1 U574 ( .B1(n91), .B2(n59), .A1_N(n91), .A2_N(
+        rf_reg_q[847]), .Y(n1711) );
+  sky130_fd_sc_hd__o2bb2ai_1 U575 ( .B1(n108), .B2(n59), .A1_N(n108), .A2_N(
+        rf_reg_q[47]), .Y(n2511) );
+  sky130_fd_sc_hd__o2bb2ai_1 U576 ( .B1(n111), .B2(n59), .A1_N(n111), .A2_N(
+        rf_reg_q[751]), .Y(n1807) );
+  sky130_fd_sc_hd__o2bb2ai_1 U577 ( .B1(n92), .B2(n59), .A1_N(n92), .A2_N(
+        rf_reg_q[815]), .Y(n1743) );
+  sky130_fd_sc_hd__o2bb2ai_1 U578 ( .B1(n69), .B2(n66), .A1_N(n69), .A2_N(
+        rf_reg_q[456]), .Y(n2088) );
+  sky130_fd_sc_hd__o2bb2ai_1 U579 ( .B1(n69), .B2(n61), .A1_N(n69), .A2_N(
+        rf_reg_q[459]), .Y(n2091) );
+  sky130_fd_sc_hd__o2bb2ai_1 U580 ( .B1(n69), .B2(n63), .A1_N(n69), .A2_N(
+        rf_reg_q[457]), .Y(n2089) );
+  sky130_fd_sc_hd__o2bb2ai_1 U581 ( .B1(n69), .B2(n60), .A1_N(n69), .A2_N(
+        rf_reg_q[458]), .Y(n2090) );
+  sky130_fd_sc_hd__o2bb2ai_1 U582 ( .B1(n69), .B2(n64), .A1_N(n69), .A2_N(
+        rf_reg_q[462]), .Y(n2094) );
+  sky130_fd_sc_hd__o2bb2ai_1 U583 ( .B1(n69), .B2(n65), .A1_N(n69), .A2_N(
+        rf_reg_q[461]), .Y(n2093) );
+  sky130_fd_sc_hd__o2bb2ai_1 U584 ( .B1(n95), .B2(n62), .A1_N(n95), .A2_N(
+        rf_reg_q[876]), .Y(n1676) );
+  sky130_fd_sc_hd__o2bb2ai_1 U585 ( .B1(n69), .B2(n62), .A1_N(n69), .A2_N(
+        rf_reg_q[460]), .Y(n2092) );
+  sky130_fd_sc_hd__o2bb2ai_1 U586 ( .B1(n91), .B2(n61), .A1_N(n91), .A2_N(
+        rf_reg_q[843]), .Y(n1707) );
+  sky130_fd_sc_hd__o2bb2ai_1 U587 ( .B1(n108), .B2(n66), .A1_N(n108), .A2_N(
+        rf_reg_q[40]), .Y(n2504) );
+  sky130_fd_sc_hd__o2bb2ai_1 U588 ( .B1(n91), .B2(n62), .A1_N(n91), .A2_N(
+        rf_reg_q[844]), .Y(n1708) );
+  sky130_fd_sc_hd__o2bb2ai_1 U589 ( .B1(n111), .B2(n61), .A1_N(n111), .A2_N(
+        rf_reg_q[747]), .Y(n1803) );
+  sky130_fd_sc_hd__o2bb2ai_1 U590 ( .B1(n91), .B2(n66), .A1_N(n91), .A2_N(
+        rf_reg_q[840]), .Y(n1704) );
+  sky130_fd_sc_hd__o2bb2ai_1 U591 ( .B1(n91), .B2(n65), .A1_N(n91), .A2_N(
+        rf_reg_q[845]), .Y(n1709) );
+  sky130_fd_sc_hd__o2bb2ai_1 U592 ( .B1(n108), .B2(n63), .A1_N(n108), .A2_N(
+        rf_reg_q[41]), .Y(n2505) );
+  sky130_fd_sc_hd__o2bb2ai_1 U593 ( .B1(n111), .B2(n62), .A1_N(n111), .A2_N(
+        rf_reg_q[748]), .Y(n1804) );
+  sky130_fd_sc_hd__o2bb2ai_1 U594 ( .B1(n111), .B2(n60), .A1_N(n111), .A2_N(
+        rf_reg_q[746]), .Y(n1802) );
+  sky130_fd_sc_hd__o2bb2ai_1 U595 ( .B1(n108), .B2(n62), .A1_N(n108), .A2_N(
+        rf_reg_q[44]), .Y(n2508) );
+  sky130_fd_sc_hd__o2bb2ai_1 U596 ( .B1(n108), .B2(n61), .A1_N(n108), .A2_N(
+        rf_reg_q[43]), .Y(n2507) );
+  sky130_fd_sc_hd__o2bb2ai_1 U597 ( .B1(n108), .B2(n60), .A1_N(n108), .A2_N(
+        rf_reg_q[42]), .Y(n2506) );
+  sky130_fd_sc_hd__o2bb2ai_1 U598 ( .B1(n91), .B2(n60), .A1_N(n91), .A2_N(
+        rf_reg_q[842]), .Y(n1706) );
+  sky130_fd_sc_hd__o2bb2ai_1 U599 ( .B1(n111), .B2(n64), .A1_N(n111), .A2_N(
+        rf_reg_q[750]), .Y(n1806) );
+  sky130_fd_sc_hd__o2bb2ai_1 U600 ( .B1(n111), .B2(n65), .A1_N(n111), .A2_N(
+        rf_reg_q[749]), .Y(n1805) );
+  sky130_fd_sc_hd__o2bb2ai_1 U601 ( .B1(n92), .B2(n66), .A1_N(n92), .A2_N(
+        rf_reg_q[808]), .Y(n1736) );
+  sky130_fd_sc_hd__o2bb2ai_1 U602 ( .B1(n92), .B2(n63), .A1_N(n92), .A2_N(
+        rf_reg_q[809]), .Y(n1737) );
+  sky130_fd_sc_hd__o2bb2ai_1 U603 ( .B1(n111), .B2(n63), .A1_N(n111), .A2_N(
+        rf_reg_q[745]), .Y(n1801) );
+  sky130_fd_sc_hd__o2bb2ai_1 U604 ( .B1(n113), .B2(n63), .A1_N(n113), .A2_N(
+        rf_reg_q[777]), .Y(n1769) );
+  sky130_fd_sc_hd__o2bb2ai_1 U605 ( .B1(n91), .B2(n64), .A1_N(n91), .A2_N(
+        rf_reg_q[846]), .Y(n1710) );
+  sky130_fd_sc_hd__o2bb2ai_1 U606 ( .B1(n92), .B2(n60), .A1_N(n92), .A2_N(
+        rf_reg_q[810]), .Y(n1738) );
+  sky130_fd_sc_hd__o2bb2ai_1 U607 ( .B1(n92), .B2(n61), .A1_N(n92), .A2_N(
+        rf_reg_q[811]), .Y(n1739) );
+  sky130_fd_sc_hd__o2bb2ai_1 U608 ( .B1(n113), .B2(n66), .A1_N(n113), .A2_N(
+        rf_reg_q[776]), .Y(n1768) );
+  sky130_fd_sc_hd__o2bb2ai_1 U609 ( .B1(n92), .B2(n62), .A1_N(n92), .A2_N(
+        rf_reg_q[812]), .Y(n1740) );
+  sky130_fd_sc_hd__o2bb2ai_1 U610 ( .B1(n92), .B2(n65), .A1_N(n92), .A2_N(
+        rf_reg_q[813]), .Y(n1741) );
+  sky130_fd_sc_hd__o2bb2ai_1 U611 ( .B1(n91), .B2(n63), .A1_N(n91), .A2_N(
+        rf_reg_q[841]), .Y(n1705) );
+  sky130_fd_sc_hd__o2bb2ai_1 U612 ( .B1(n92), .B2(n64), .A1_N(n92), .A2_N(
+        rf_reg_q[814]), .Y(n1742) );
+  sky130_fd_sc_hd__o2bb2ai_1 U613 ( .B1(n108), .B2(n64), .A1_N(n108), .A2_N(
+        rf_reg_q[46]), .Y(n2510) );
+  sky130_fd_sc_hd__o2bb2ai_1 U614 ( .B1(n108), .B2(n65), .A1_N(n108), .A2_N(
+        rf_reg_q[45]), .Y(n2509) );
+  sky130_fd_sc_hd__o2bb2ai_1 U615 ( .B1(n111), .B2(n66), .A1_N(n111), .A2_N(
+        rf_reg_q[744]), .Y(n1800) );
+  sky130_fd_sc_hd__clkinv_1 U616 ( .A(wdata_a_i[19]), .Y(n104) );
+  sky130_fd_sc_hd__o2bb2ai_1 U617 ( .B1(n113), .B2(n104), .A1_N(n113), .A2_N(
+        rf_reg_q[787]), .Y(n1779) );
+  sky130_fd_sc_hd__clkinv_1 U618 ( .A(wdata_a_i[20]), .Y(n103) );
+  sky130_fd_sc_hd__o2bb2ai_1 U619 ( .B1(n113), .B2(n103), .A1_N(n113), .A2_N(
+        rf_reg_q[788]), .Y(n1780) );
+  sky130_fd_sc_hd__clkinv_1 U620 ( .A(wdata_a_i[21]), .Y(n101) );
+  sky130_fd_sc_hd__o2bb2ai_1 U621 ( .B1(n113), .B2(n101), .A1_N(n113), .A2_N(
+        rf_reg_q[789]), .Y(n1781) );
+  sky130_fd_sc_hd__clkinv_1 U622 ( .A(wdata_a_i[22]), .Y(n99) );
+  sky130_fd_sc_hd__o2bb2ai_1 U623 ( .B1(n113), .B2(n99), .A1_N(n113), .A2_N(
+        rf_reg_q[790]), .Y(n1782) );
+  sky130_fd_sc_hd__o2bb2ai_1 U624 ( .B1(n92), .B2(n101), .A1_N(n92), .A2_N(
+        rf_reg_q[821]), .Y(n1749) );
+  sky130_fd_sc_hd__clkinv_1 U625 ( .A(wdata_a_i[31]), .Y(n94) );
+  sky130_fd_sc_hd__o2bb2ai_1 U626 ( .B1(n111), .B2(n94), .A1_N(n111), .A2_N(
+        rf_reg_q[767]), .Y(n1823) );
+  sky130_fd_sc_hd__o2bb2ai_1 U627 ( .B1(n92), .B2(n103), .A1_N(n92), .A2_N(
+        rf_reg_q[820]), .Y(n1748) );
+  sky130_fd_sc_hd__clkinv_1 U628 ( .A(wdata_a_i[30]), .Y(n93) );
+  sky130_fd_sc_hd__o2bb2ai_1 U629 ( .B1(n108), .B2(n93), .A1_N(n108), .A2_N(
+        rf_reg_q[62]), .Y(n2526) );
+  sky130_fd_sc_hd__o2bb2ai_1 U630 ( .B1(n111), .B2(n93), .A1_N(n111), .A2_N(
+        rf_reg_q[766]), .Y(n1822) );
+  sky130_fd_sc_hd__clkinv_1 U631 ( .A(wdata_a_i[25]), .Y(n100) );
+  sky130_fd_sc_hd__o2bb2ai_1 U632 ( .B1(n92), .B2(n100), .A1_N(n92), .A2_N(
+        rf_reg_q[825]), .Y(n1753) );
+  sky130_fd_sc_hd__o2bb2ai_1 U633 ( .B1(n96), .B2(n104), .A1_N(n96), .A2_N(
+        rf_reg_q[83]), .Y(n2483) );
+  sky130_fd_sc_hd__clkinv_1 U634 ( .A(wdata_a_i[26]), .Y(n112) );
+  sky130_fd_sc_hd__o2bb2ai_1 U635 ( .B1(n92), .B2(n112), .A1_N(n92), .A2_N(
+        rf_reg_q[826]), .Y(n1754) );
+  sky130_fd_sc_hd__clkinv_1 U636 ( .A(wdata_a_i[18]), .Y(n105) );
+  sky130_fd_sc_hd__o2bb2ai_1 U637 ( .B1(n113), .B2(n105), .A1_N(n113), .A2_N(
+        rf_reg_q[786]), .Y(n1778) );
+  sky130_fd_sc_hd__o2bb2ai_1 U638 ( .B1(n92), .B2(n99), .A1_N(n92), .A2_N(
+        rf_reg_q[822]), .Y(n1750) );
+  sky130_fd_sc_hd__clkinv_1 U639 ( .A(wdata_a_i[17]), .Y(n106) );
+  sky130_fd_sc_hd__o2bb2ai_1 U640 ( .B1(n92), .B2(n106), .A1_N(n92), .A2_N(
+        rf_reg_q[817]), .Y(n1745) );
+  sky130_fd_sc_hd__o2bb2ai_1 U641 ( .B1(n92), .B2(n104), .A1_N(n92), .A2_N(
+        rf_reg_q[819]), .Y(n1747) );
+  sky130_fd_sc_hd__o2bb2ai_1 U642 ( .B1(n113), .B2(n106), .A1_N(n113), .A2_N(
+        rf_reg_q[785]), .Y(n1777) );
+  sky130_fd_sc_hd__clkinv_1 U643 ( .A(wdata_a_i[16]), .Y(n107) );
+  sky130_fd_sc_hd__o2bb2ai_1 U644 ( .B1(n113), .B2(n107), .A1_N(n113), .A2_N(
+        rf_reg_q[784]), .Y(n1776) );
+  sky130_fd_sc_hd__clkinv_1 U645 ( .A(wdata_a_i[23]), .Y(n98) );
+  sky130_fd_sc_hd__o2bb2ai_1 U646 ( .B1(n92), .B2(n98), .A1_N(n92), .A2_N(
+        rf_reg_q[823]), .Y(n1751) );
+  sky130_fd_sc_hd__clkinv_1 U647 ( .A(wdata_a_i[29]), .Y(n97) );
+  sky130_fd_sc_hd__o2bb2ai_1 U648 ( .B1(n87), .B2(n97), .A1_N(n87), .A2_N(
+        rf_reg_q[125]), .Y(n2461) );
+  sky130_fd_sc_hd__clkinv_1 U649 ( .A(wdata_a_i[27]), .Y(n109) );
+  sky130_fd_sc_hd__o2bb2ai_1 U650 ( .B1(n92), .B2(n109), .A1_N(n92), .A2_N(
+        rf_reg_q[827]), .Y(n1755) );
+  sky130_fd_sc_hd__o2bb2ai_1 U651 ( .B1(n92), .B2(n107), .A1_N(n92), .A2_N(
+        rf_reg_q[816]), .Y(n1744) );
+  sky130_fd_sc_hd__clkinv_1 U652 ( .A(wdata_a_i[28]), .Y(n102) );
+  sky130_fd_sc_hd__o2bb2ai_1 U653 ( .B1(n92), .B2(n102), .A1_N(n92), .A2_N(
+        rf_reg_q[828]), .Y(n1756) );
+  sky130_fd_sc_hd__o2bb2ai_1 U654 ( .B1(n92), .B2(n105), .A1_N(n92), .A2_N(
+        rf_reg_q[818]), .Y(n1746) );
+  sky130_fd_sc_hd__o2bb2ai_1 U655 ( .B1(n96), .B2(n93), .A1_N(n96), .A2_N(
+        rf_reg_q[94]), .Y(n2494) );
+  sky130_fd_sc_hd__clkinv_1 U656 ( .A(wdata_a_i[24]), .Y(n110) );
+  sky130_fd_sc_hd__o2bb2ai_1 U657 ( .B1(n92), .B2(n110), .A1_N(n92), .A2_N(
+        rf_reg_q[824]), .Y(n1752) );
+  sky130_fd_sc_hd__o2bb2ai_1 U658 ( .B1(n96), .B2(n106), .A1_N(n96), .A2_N(
+        rf_reg_q[81]), .Y(n2481) );
+  sky130_fd_sc_hd__o2bb2ai_1 U659 ( .B1(n96), .B2(n109), .A1_N(n96), .A2_N(
+        rf_reg_q[91]), .Y(n2491) );
+  sky130_fd_sc_hd__o2bb2ai_1 U660 ( .B1(n96), .B2(n103), .A1_N(n96), .A2_N(
+        rf_reg_q[84]), .Y(n2484) );
+  sky130_fd_sc_hd__o2bb2ai_1 U661 ( .B1(n72), .B2(n94), .A1_N(n72), .A2_N(
+        rf_reg_q[927]), .Y(n1663) );
+  sky130_fd_sc_hd__o2bb2ai_1 U662 ( .B1(n81), .B2(n105), .A1_N(n81), .A2_N(
+        rf_reg_q[978]), .Y(n1586) );
+  sky130_fd_sc_hd__o2bb2ai_1 U663 ( .B1(n67), .B2(n93), .A1_N(n67), .A2_N(
+        rf_reg_q[958]), .Y(n1630) );
+  sky130_fd_sc_hd__o2bb2ai_1 U664 ( .B1(n81), .B2(n103), .A1_N(n81), .A2_N(
+        rf_reg_q[980]), .Y(n1588) );
+  sky130_fd_sc_hd__o2bb2ai_1 U665 ( .B1(n82), .B2(n98), .A1_N(n82), .A2_N(
+        rf_reg_q[1015]), .Y(n1559) );
+  sky130_fd_sc_hd__o2bb2ai_1 U666 ( .B1(n67), .B2(n94), .A1_N(n67), .A2_N(
+        rf_reg_q[959]), .Y(n1631) );
+  sky130_fd_sc_hd__o2bb2ai_1 U667 ( .B1(n67), .B2(n102), .A1_N(n67), .A2_N(
+        rf_reg_q[956]), .Y(n1628) );
+  sky130_fd_sc_hd__o2bb2ai_1 U668 ( .B1(n82), .B2(n112), .A1_N(n82), .A2_N(
+        rf_reg_q[1018]), .Y(n1562) );
+  sky130_fd_sc_hd__o2bb2ai_1 U669 ( .B1(n81), .B2(n107), .A1_N(n81), .A2_N(
+        rf_reg_q[976]), .Y(n1584) );
+  sky130_fd_sc_hd__o2bb2ai_1 U670 ( .B1(n67), .B2(n107), .A1_N(n67), .A2_N(
+        rf_reg_q[944]), .Y(n1616) );
+  sky130_fd_sc_hd__o2bb2ai_1 U671 ( .B1(n82), .B2(n97), .A1_N(n82), .A2_N(
+        rf_reg_q[1021]), .Y(n1565) );
+  sky130_fd_sc_hd__o2bb2ai_1 U672 ( .B1(n72), .B2(n109), .A1_N(n72), .A2_N(
+        rf_reg_q[923]), .Y(n1659) );
+  sky130_fd_sc_hd__o2bb2ai_1 U673 ( .B1(n67), .B2(n105), .A1_N(n67), .A2_N(
+        rf_reg_q[946]), .Y(n1618) );
+  sky130_fd_sc_hd__o2bb2ai_1 U674 ( .B1(n67), .B2(n100), .A1_N(n67), .A2_N(
+        rf_reg_q[953]), .Y(n1625) );
+  sky130_fd_sc_hd__o2bb2ai_1 U675 ( .B1(n67), .B2(n104), .A1_N(n67), .A2_N(
+        rf_reg_q[947]), .Y(n1619) );
+  sky130_fd_sc_hd__o2bb2ai_1 U676 ( .B1(n67), .B2(n110), .A1_N(n67), .A2_N(
+        rf_reg_q[952]), .Y(n1624) );
+  sky130_fd_sc_hd__o2bb2ai_1 U677 ( .B1(n67), .B2(n97), .A1_N(n67), .A2_N(
+        rf_reg_q[957]), .Y(n1629) );
+  sky130_fd_sc_hd__o2bb2ai_1 U678 ( .B1(n67), .B2(n106), .A1_N(n67), .A2_N(
+        rf_reg_q[945]), .Y(n1617) );
+  sky130_fd_sc_hd__o2bb2ai_1 U679 ( .B1(n67), .B2(n103), .A1_N(n67), .A2_N(
+        rf_reg_q[948]), .Y(n1620) );
+  sky130_fd_sc_hd__o2bb2ai_1 U680 ( .B1(n67), .B2(n112), .A1_N(n67), .A2_N(
+        rf_reg_q[954]), .Y(n1626) );
+  sky130_fd_sc_hd__o2bb2ai_1 U681 ( .B1(n72), .B2(n103), .A1_N(n72), .A2_N(
+        rf_reg_q[916]), .Y(n1652) );
+  sky130_fd_sc_hd__o2bb2ai_1 U682 ( .B1(n81), .B2(n99), .A1_N(n81), .A2_N(
+        rf_reg_q[982]), .Y(n1590) );
+  sky130_fd_sc_hd__o2bb2ai_1 U683 ( .B1(n67), .B2(n109), .A1_N(n67), .A2_N(
+        rf_reg_q[955]), .Y(n1627) );
+  sky130_fd_sc_hd__o2bb2ai_1 U684 ( .B1(n81), .B2(n110), .A1_N(n81), .A2_N(
+        rf_reg_q[984]), .Y(n1592) );
+  sky130_fd_sc_hd__o2bb2ai_1 U685 ( .B1(n81), .B2(n112), .A1_N(n81), .A2_N(
+        rf_reg_q[986]), .Y(n1594) );
+  sky130_fd_sc_hd__o2bb2ai_1 U686 ( .B1(n81), .B2(n102), .A1_N(n81), .A2_N(
+        rf_reg_q[988]), .Y(n1596) );
+  sky130_fd_sc_hd__o2bb2ai_1 U687 ( .B1(n67), .B2(n101), .A1_N(n67), .A2_N(
+        rf_reg_q[949]), .Y(n1621) );
+  sky130_fd_sc_hd__o2bb2ai_1 U688 ( .B1(n81), .B2(n93), .A1_N(n81), .A2_N(
+        rf_reg_q[990]), .Y(n1598) );
+  sky130_fd_sc_hd__o2bb2ai_1 U689 ( .B1(n67), .B2(n98), .A1_N(n67), .A2_N(
+        rf_reg_q[951]), .Y(n1623) );
+  sky130_fd_sc_hd__o2bb2ai_1 U690 ( .B1(n67), .B2(n99), .A1_N(n67), .A2_N(
+        rf_reg_q[950]), .Y(n1622) );
+  sky130_fd_sc_hd__o2bb2ai_1 U691 ( .B1(n88), .B2(n103), .A1_N(n88), .A2_N(
+        rf_reg_q[340]), .Y(n2228) );
+  sky130_fd_sc_hd__o2bb2ai_1 U692 ( .B1(n68), .B2(n97), .A1_N(n68), .A2_N(
+        rf_reg_q[317]), .Y(n2269) );
+  sky130_fd_sc_hd__o2bb2ai_1 U693 ( .B1(n88), .B2(n104), .A1_N(n88), .A2_N(
+        rf_reg_q[339]), .Y(n2227) );
+  sky130_fd_sc_hd__o2bb2ai_1 U694 ( .B1(n75), .B2(n109), .A1_N(n75), .A2_N(
+        rf_reg_q[667]), .Y(n1915) );
+  sky130_fd_sc_hd__o2bb2ai_1 U695 ( .B1(n88), .B2(n106), .A1_N(n88), .A2_N(
+        rf_reg_q[337]), .Y(n2225) );
+  sky130_fd_sc_hd__o2bb2ai_1 U696 ( .B1(n68), .B2(n93), .A1_N(n68), .A2_N(
+        rf_reg_q[318]), .Y(n2270) );
+  sky130_fd_sc_hd__o2bb2ai_1 U697 ( .B1(n88), .B2(n99), .A1_N(n88), .A2_N(
+        rf_reg_q[342]), .Y(n2230) );
+  sky130_fd_sc_hd__o2bb2ai_1 U698 ( .B1(n75), .B2(n97), .A1_N(n75), .A2_N(
+        rf_reg_q[669]), .Y(n1917) );
+  sky130_fd_sc_hd__o2bb2ai_1 U699 ( .B1(n74), .B2(n109), .A1_N(n74), .A2_N(
+        rf_reg_q[635]), .Y(n1947) );
+  sky130_fd_sc_hd__o2bb2ai_1 U700 ( .B1(n68), .B2(n94), .A1_N(n68), .A2_N(
+        rf_reg_q[319]), .Y(n2271) );
+  sky130_fd_sc_hd__o2bb2ai_1 U701 ( .B1(n88), .B2(n98), .A1_N(n88), .A2_N(
+        rf_reg_q[343]), .Y(n2231) );
+  sky130_fd_sc_hd__o2bb2ai_1 U702 ( .B1(n68), .B2(n102), .A1_N(n68), .A2_N(
+        rf_reg_q[316]), .Y(n2268) );
+  sky130_fd_sc_hd__o2bb2ai_1 U703 ( .B1(n75), .B2(n110), .A1_N(n75), .A2_N(
+        rf_reg_q[664]), .Y(n1912) );
+  sky130_fd_sc_hd__o2bb2ai_1 U704 ( .B1(n88), .B2(n105), .A1_N(n88), .A2_N(
+        rf_reg_q[338]), .Y(n2226) );
+  sky130_fd_sc_hd__o2bb2ai_1 U705 ( .B1(n68), .B2(n109), .A1_N(n68), .A2_N(
+        rf_reg_q[315]), .Y(n2267) );
+  sky130_fd_sc_hd__o2bb2ai_1 U706 ( .B1(n88), .B2(n110), .A1_N(n88), .A2_N(
+        rf_reg_q[344]), .Y(n2232) );
+  sky130_fd_sc_hd__o2bb2ai_1 U707 ( .B1(n88), .B2(n100), .A1_N(n88), .A2_N(
+        rf_reg_q[345]), .Y(n2233) );
+  sky130_fd_sc_hd__o2bb2ai_1 U708 ( .B1(n68), .B2(n112), .A1_N(n68), .A2_N(
+        rf_reg_q[314]), .Y(n2266) );
+  sky130_fd_sc_hd__o2bb2ai_1 U709 ( .B1(n89), .B2(n94), .A1_N(n89), .A2_N(
+        rf_reg_q[607]), .Y(n1983) );
+  sky130_fd_sc_hd__o2bb2ai_1 U710 ( .B1(n89), .B2(n93), .A1_N(n89), .A2_N(
+        rf_reg_q[606]), .Y(n1982) );
+  sky130_fd_sc_hd__o2bb2ai_1 U711 ( .B1(n68), .B2(n100), .A1_N(n68), .A2_N(
+        rf_reg_q[313]), .Y(n2265) );
+  sky130_fd_sc_hd__o2bb2ai_1 U712 ( .B1(n83), .B2(n100), .A1_N(n83), .A2_N(
+        rf_reg_q[729]), .Y(n1849) );
+  sky130_fd_sc_hd__o2bb2ai_1 U713 ( .B1(n71), .B2(n107), .A1_N(n71), .A2_N(
+        rf_reg_q[272]), .Y(n2288) );
+  sky130_fd_sc_hd__o2bb2ai_1 U714 ( .B1(n89), .B2(n97), .A1_N(n89), .A2_N(
+        rf_reg_q[605]), .Y(n1981) );
+  sky130_fd_sc_hd__o2bb2ai_1 U715 ( .B1(n88), .B2(n112), .A1_N(n88), .A2_N(
+        rf_reg_q[346]), .Y(n2234) );
+  sky130_fd_sc_hd__o2bb2ai_1 U716 ( .B1(n88), .B2(n101), .A1_N(n88), .A2_N(
+        rf_reg_q[341]), .Y(n2229) );
+  sky130_fd_sc_hd__o2bb2ai_1 U717 ( .B1(n68), .B2(n110), .A1_N(n68), .A2_N(
+        rf_reg_q[312]), .Y(n2264) );
+  sky130_fd_sc_hd__o2bb2ai_1 U718 ( .B1(n71), .B2(n106), .A1_N(n71), .A2_N(
+        rf_reg_q[273]), .Y(n2289) );
+  sky130_fd_sc_hd__o2bb2ai_1 U719 ( .B1(n74), .B2(n100), .A1_N(n74), .A2_N(
+        rf_reg_q[633]), .Y(n1945) );
+  sky130_fd_sc_hd__o2bb2ai_1 U720 ( .B1(n88), .B2(n109), .A1_N(n88), .A2_N(
+        rf_reg_q[347]), .Y(n2235) );
+  sky130_fd_sc_hd__o2bb2ai_1 U721 ( .B1(n89), .B2(n109), .A1_N(n89), .A2_N(
+        rf_reg_q[603]), .Y(n1979) );
+  sky130_fd_sc_hd__o2bb2ai_1 U722 ( .B1(n68), .B2(n98), .A1_N(n68), .A2_N(
+        rf_reg_q[311]), .Y(n2263) );
+  sky130_fd_sc_hd__o2bb2ai_1 U723 ( .B1(n68), .B2(n99), .A1_N(n68), .A2_N(
+        rf_reg_q[310]), .Y(n2262) );
+  sky130_fd_sc_hd__o2bb2ai_1 U724 ( .B1(n88), .B2(n102), .A1_N(n88), .A2_N(
+        rf_reg_q[348]), .Y(n2236) );
+  sky130_fd_sc_hd__o2bb2ai_1 U725 ( .B1(n68), .B2(n101), .A1_N(n68), .A2_N(
+        rf_reg_q[309]), .Y(n2261) );
+  sky130_fd_sc_hd__o2bb2ai_1 U726 ( .B1(n89), .B2(n112), .A1_N(n89), .A2_N(
+        rf_reg_q[602]), .Y(n1978) );
+  sky130_fd_sc_hd__o2bb2ai_1 U727 ( .B1(n83), .B2(n94), .A1_N(n83), .A2_N(
+        rf_reg_q[735]), .Y(n1855) );
+  sky130_fd_sc_hd__o2bb2ai_1 U728 ( .B1(n75), .B2(n98), .A1_N(n75), .A2_N(
+        rf_reg_q[663]), .Y(n1911) );
+  sky130_fd_sc_hd__o2bb2ai_1 U729 ( .B1(n88), .B2(n97), .A1_N(n88), .A2_N(
+        rf_reg_q[349]), .Y(n2237) );
+  sky130_fd_sc_hd__o2bb2ai_1 U730 ( .B1(n68), .B2(n103), .A1_N(n68), .A2_N(
+        rf_reg_q[308]), .Y(n2260) );
+  sky130_fd_sc_hd__o2bb2ai_1 U731 ( .B1(n68), .B2(n104), .A1_N(n68), .A2_N(
+        rf_reg_q[307]), .Y(n2259) );
+  sky130_fd_sc_hd__o2bb2ai_1 U732 ( .B1(n88), .B2(n93), .A1_N(n88), .A2_N(
+        rf_reg_q[350]), .Y(n2238) );
+  sky130_fd_sc_hd__o2bb2ai_1 U733 ( .B1(n68), .B2(n105), .A1_N(n68), .A2_N(
+        rf_reg_q[306]), .Y(n2258) );
+  sky130_fd_sc_hd__o2bb2ai_1 U734 ( .B1(n89), .B2(n102), .A1_N(n89), .A2_N(
+        rf_reg_q[604]), .Y(n1980) );
+  sky130_fd_sc_hd__o2bb2ai_1 U735 ( .B1(n68), .B2(n106), .A1_N(n68), .A2_N(
+        rf_reg_q[305]), .Y(n2257) );
+  sky130_fd_sc_hd__o2bb2ai_1 U736 ( .B1(n88), .B2(n94), .A1_N(n88), .A2_N(
+        rf_reg_q[351]), .Y(n2239) );
+  sky130_fd_sc_hd__o2bb2ai_1 U737 ( .B1(n68), .B2(n107), .A1_N(n68), .A2_N(
+        rf_reg_q[304]), .Y(n2256) );
+  sky130_fd_sc_hd__o2bb2ai_1 U738 ( .B1(n75), .B2(n99), .A1_N(n75), .A2_N(
+        rf_reg_q[662]), .Y(n1910) );
+  sky130_fd_sc_hd__o2bb2ai_1 U739 ( .B1(n89), .B2(n103), .A1_N(n89), .A2_N(
+        rf_reg_q[596]), .Y(n1972) );
+  sky130_fd_sc_hd__o2bb2ai_1 U740 ( .B1(n83), .B2(n93), .A1_N(n83), .A2_N(
+        rf_reg_q[734]), .Y(n1854) );
+  sky130_fd_sc_hd__o2bb2ai_1 U741 ( .B1(n89), .B2(n105), .A1_N(n89), .A2_N(
+        rf_reg_q[594]), .Y(n1970) );
+  sky130_fd_sc_hd__o2bb2ai_1 U742 ( .B1(n89), .B2(n106), .A1_N(n89), .A2_N(
+        rf_reg_q[593]), .Y(n1969) );
+  sky130_fd_sc_hd__o2bb2ai_1 U743 ( .B1(n75), .B2(n101), .A1_N(n75), .A2_N(
+        rf_reg_q[661]), .Y(n1909) );
+  sky130_fd_sc_hd__o2bb2ai_1 U744 ( .B1(n75), .B2(n103), .A1_N(n75), .A2_N(
+        rf_reg_q[660]), .Y(n1908) );
+  sky130_fd_sc_hd__o2bb2ai_1 U745 ( .B1(n75), .B2(n104), .A1_N(n75), .A2_N(
+        rf_reg_q[659]), .Y(n1907) );
+  sky130_fd_sc_hd__o2bb2ai_1 U746 ( .B1(n74), .B2(n102), .A1_N(n74), .A2_N(
+        rf_reg_q[636]), .Y(n1948) );
+  sky130_fd_sc_hd__o2bb2ai_1 U747 ( .B1(n74), .B2(n107), .A1_N(n74), .A2_N(
+        rf_reg_q[624]), .Y(n1936) );
+  sky130_fd_sc_hd__o2bb2ai_1 U748 ( .B1(n83), .B2(n98), .A1_N(n83), .A2_N(
+        rf_reg_q[727]), .Y(n1847) );
+  sky130_fd_sc_hd__o2bb2ai_1 U749 ( .B1(n89), .B2(n98), .A1_N(n89), .A2_N(
+        rf_reg_q[599]), .Y(n1975) );
+  sky130_fd_sc_hd__o2bb2ai_1 U750 ( .B1(n74), .B2(n106), .A1_N(n74), .A2_N(
+        rf_reg_q[625]), .Y(n1937) );
+  sky130_fd_sc_hd__o2bb2ai_1 U751 ( .B1(n75), .B2(n105), .A1_N(n75), .A2_N(
+        rf_reg_q[658]), .Y(n1906) );
+  sky130_fd_sc_hd__o2bb2ai_1 U752 ( .B1(n75), .B2(n106), .A1_N(n75), .A2_N(
+        rf_reg_q[657]), .Y(n1905) );
+  sky130_fd_sc_hd__o2bb2ai_1 U753 ( .B1(n74), .B2(n105), .A1_N(n74), .A2_N(
+        rf_reg_q[626]), .Y(n1938) );
+  sky130_fd_sc_hd__o2bb2ai_1 U754 ( .B1(n75), .B2(n107), .A1_N(n75), .A2_N(
+        rf_reg_q[656]), .Y(n1904) );
+  sky130_fd_sc_hd__o2bb2ai_1 U755 ( .B1(n83), .B2(n104), .A1_N(n83), .A2_N(
+        rf_reg_q[723]), .Y(n1843) );
+  sky130_fd_sc_hd__o2bb2ai_1 U756 ( .B1(n75), .B2(n94), .A1_N(n75), .A2_N(
+        rf_reg_q[671]), .Y(n1919) );
+  sky130_fd_sc_hd__o2bb2ai_1 U757 ( .B1(n83), .B2(n107), .A1_N(n83), .A2_N(
+        rf_reg_q[720]), .Y(n1840) );
+  sky130_fd_sc_hd__o2bb2ai_1 U758 ( .B1(n74), .B2(n98), .A1_N(n74), .A2_N(
+        rf_reg_q[631]), .Y(n1943) );
+  sky130_fd_sc_hd__o2bb2ai_1 U759 ( .B1(n83), .B2(n109), .A1_N(n83), .A2_N(
+        rf_reg_q[731]), .Y(n1851) );
+  sky130_fd_sc_hd__o2bb2ai_1 U760 ( .B1(n83), .B2(n106), .A1_N(n83), .A2_N(
+        rf_reg_q[721]), .Y(n1841) );
+  sky130_fd_sc_hd__o2bb2ai_1 U761 ( .B1(n83), .B2(n99), .A1_N(n83), .A2_N(
+        rf_reg_q[726]), .Y(n1846) );
+  sky130_fd_sc_hd__o2bb2ai_1 U762 ( .B1(n74), .B2(n103), .A1_N(n74), .A2_N(
+        rf_reg_q[628]), .Y(n1940) );
+  sky130_fd_sc_hd__o2bb2ai_1 U763 ( .B1(n74), .B2(n93), .A1_N(n74), .A2_N(
+        rf_reg_q[638]), .Y(n1950) );
+  sky130_fd_sc_hd__o2bb2ai_1 U764 ( .B1(n70), .B2(n106), .A1_N(n70), .A2_N(
+        rf_reg_q[433]), .Y(n2129) );
+  sky130_fd_sc_hd__o2bb2ai_1 U765 ( .B1(n69), .B2(n112), .A1_N(n69), .A2_N(
+        rf_reg_q[474]), .Y(n2106) );
+  sky130_fd_sc_hd__o2bb2ai_1 U766 ( .B1(n72), .B2(n106), .A1_N(n72), .A2_N(
+        rf_reg_q[913]), .Y(n1649) );
+  sky130_fd_sc_hd__o2bb2ai_1 U767 ( .B1(n90), .B2(n93), .A1_N(n90), .A2_N(
+        rf_reg_q[510]), .Y(n2078) );
+  sky130_fd_sc_hd__o2bb2ai_1 U768 ( .B1(n69), .B2(n99), .A1_N(n69), .A2_N(
+        rf_reg_q[470]), .Y(n2102) );
+  sky130_fd_sc_hd__o2bb2ai_1 U769 ( .B1(n69), .B2(n101), .A1_N(n69), .A2_N(
+        rf_reg_q[469]), .Y(n2101) );
+  sky130_fd_sc_hd__o2bb2ai_1 U770 ( .B1(n90), .B2(n112), .A1_N(n90), .A2_N(
+        rf_reg_q[506]), .Y(n2074) );
+  sky130_fd_sc_hd__o2bb2ai_1 U771 ( .B1(n70), .B2(n101), .A1_N(n70), .A2_N(
+        rf_reg_q[437]), .Y(n2133) );
+  sky130_fd_sc_hd__o2bb2ai_1 U772 ( .B1(n90), .B2(n102), .A1_N(n90), .A2_N(
+        rf_reg_q[508]), .Y(n2076) );
+  sky130_fd_sc_hd__o2bb2ai_1 U773 ( .B1(n69), .B2(n98), .A1_N(n69), .A2_N(
+        rf_reg_q[471]), .Y(n2103) );
+  sky130_fd_sc_hd__o2bb2ai_1 U774 ( .B1(n90), .B2(n109), .A1_N(n90), .A2_N(
+        rf_reg_q[507]), .Y(n2075) );
+  sky130_fd_sc_hd__o2bb2ai_1 U775 ( .B1(n69), .B2(n107), .A1_N(n69), .A2_N(
+        rf_reg_q[464]), .Y(n2096) );
+  sky130_fd_sc_hd__o2bb2ai_1 U776 ( .B1(n90), .B2(n98), .A1_N(n90), .A2_N(
+        rf_reg_q[503]), .Y(n2071) );
+  sky130_fd_sc_hd__o2bb2ai_1 U777 ( .B1(n69), .B2(n102), .A1_N(n69), .A2_N(
+        rf_reg_q[476]), .Y(n2108) );
+  sky130_fd_sc_hd__o2bb2ai_1 U778 ( .B1(n90), .B2(n97), .A1_N(n90), .A2_N(
+        rf_reg_q[509]), .Y(n2077) );
+  sky130_fd_sc_hd__o2bb2ai_1 U779 ( .B1(n70), .B2(n103), .A1_N(n70), .A2_N(
+        rf_reg_q[436]), .Y(n2132) );
+  sky130_fd_sc_hd__o2bb2ai_1 U780 ( .B1(n90), .B2(n99), .A1_N(n90), .A2_N(
+        rf_reg_q[502]), .Y(n2070) );
+  sky130_fd_sc_hd__o2bb2ai_1 U781 ( .B1(n69), .B2(n97), .A1_N(n69), .A2_N(
+        rf_reg_q[477]), .Y(n2109) );
+  sky130_fd_sc_hd__o2bb2ai_1 U782 ( .B1(n69), .B2(n93), .A1_N(n69), .A2_N(
+        rf_reg_q[478]), .Y(n2110) );
+  sky130_fd_sc_hd__o2bb2ai_1 U783 ( .B1(n69), .B2(n103), .A1_N(n69), .A2_N(
+        rf_reg_q[468]), .Y(n2100) );
+  sky130_fd_sc_hd__o2bb2ai_1 U784 ( .B1(n90), .B2(n101), .A1_N(n90), .A2_N(
+        rf_reg_q[501]), .Y(n2069) );
+  sky130_fd_sc_hd__o2bb2ai_1 U785 ( .B1(n70), .B2(n104), .A1_N(n70), .A2_N(
+        rf_reg_q[435]), .Y(n2131) );
+  sky130_fd_sc_hd__o2bb2ai_1 U786 ( .B1(n69), .B2(n100), .A1_N(n69), .A2_N(
+        rf_reg_q[473]), .Y(n2105) );
+  sky130_fd_sc_hd__o2bb2ai_1 U787 ( .B1(n90), .B2(n100), .A1_N(n90), .A2_N(
+        rf_reg_q[505]), .Y(n2073) );
+  sky130_fd_sc_hd__o2bb2ai_1 U788 ( .B1(n69), .B2(n104), .A1_N(n69), .A2_N(
+        rf_reg_q[467]), .Y(n2099) );
+  sky130_fd_sc_hd__o2bb2ai_1 U789 ( .B1(n72), .B2(n99), .A1_N(n72), .A2_N(
+        rf_reg_q[918]), .Y(n1654) );
+  sky130_fd_sc_hd__o2bb2ai_1 U790 ( .B1(n69), .B2(n105), .A1_N(n69), .A2_N(
+        rf_reg_q[466]), .Y(n2098) );
+  sky130_fd_sc_hd__o2bb2ai_1 U791 ( .B1(n69), .B2(n106), .A1_N(n69), .A2_N(
+        rf_reg_q[465]), .Y(n2097) );
+  sky130_fd_sc_hd__o2bb2ai_1 U792 ( .B1(n69), .B2(n110), .A1_N(n69), .A2_N(
+        rf_reg_q[472]), .Y(n2104) );
+  sky130_fd_sc_hd__o2bb2ai_1 U793 ( .B1(n70), .B2(n105), .A1_N(n70), .A2_N(
+        rf_reg_q[434]), .Y(n2130) );
+  sky130_fd_sc_hd__o2bb2ai_1 U794 ( .B1(n90), .B2(n94), .A1_N(n90), .A2_N(
+        rf_reg_q[511]), .Y(n2079) );
+  sky130_fd_sc_hd__o2bb2ai_1 U795 ( .B1(n69), .B2(n109), .A1_N(n69), .A2_N(
+        rf_reg_q[475]), .Y(n2107) );
+  sky130_fd_sc_hd__o2bb2ai_1 U796 ( .B1(n72), .B2(n93), .A1_N(n72), .A2_N(
+        rf_reg_q[926]), .Y(n1662) );
+  sky130_fd_sc_hd__o2bb2ai_1 U797 ( .B1(n72), .B2(n100), .A1_N(n72), .A2_N(
+        rf_reg_q[921]), .Y(n1657) );
+  sky130_fd_sc_hd__o2bb2ai_1 U798 ( .B1(n69), .B2(n94), .A1_N(n69), .A2_N(
+        rf_reg_q[479]), .Y(n2111) );
+  sky130_fd_sc_hd__o2bb2ai_1 U799 ( .B1(n70), .B2(n107), .A1_N(n70), .A2_N(
+        rf_reg_q[432]), .Y(n2128) );
+  sky130_fd_sc_hd__o2bb2ai_1 U800 ( .B1(n90), .B2(n110), .A1_N(n90), .A2_N(
+        rf_reg_q[504]), .Y(n2072) );
+  sky130_fd_sc_hd__o2bb2ai_1 U801 ( .B1(n79), .B2(n94), .A1_N(n79), .A2_N(
+        rf_reg_q[543]), .Y(n2047) );
+  sky130_fd_sc_hd__o2bb2ai_1 U802 ( .B1(n70), .B2(n94), .A1_N(n70), .A2_N(
+        rf_reg_q[447]), .Y(n2143) );
+  sky130_fd_sc_hd__o2bb2ai_1 U803 ( .B1(n70), .B2(n102), .A1_N(n70), .A2_N(
+        rf_reg_q[444]), .Y(n2140) );
+  sky130_fd_sc_hd__o2bb2ai_1 U804 ( .B1(n70), .B2(n93), .A1_N(n70), .A2_N(
+        rf_reg_q[446]), .Y(n2142) );
+  sky130_fd_sc_hd__o2bb2ai_1 U805 ( .B1(n80), .B2(n106), .A1_N(n80), .A2_N(
+        rf_reg_q[561]), .Y(n2001) );
+  sky130_fd_sc_hd__o2bb2ai_1 U806 ( .B1(n72), .B2(n101), .A1_N(n72), .A2_N(
+        rf_reg_q[917]), .Y(n1653) );
+  sky130_fd_sc_hd__o2bb2ai_1 U807 ( .B1(n79), .B2(n98), .A1_N(n79), .A2_N(
+        rf_reg_q[535]), .Y(n2039) );
+  sky130_fd_sc_hd__o2bb2ai_1 U808 ( .B1(n79), .B2(n102), .A1_N(n79), .A2_N(
+        rf_reg_q[540]), .Y(n2044) );
+  sky130_fd_sc_hd__o2bb2ai_1 U809 ( .B1(n74), .B2(n99), .A1_N(n74), .A2_N(
+        rf_reg_q[630]), .Y(n1942) );
+  sky130_fd_sc_hd__o2bb2ai_1 U810 ( .B1(n70), .B2(n112), .A1_N(n70), .A2_N(
+        rf_reg_q[442]), .Y(n2138) );
+  sky130_fd_sc_hd__o2bb2ai_1 U811 ( .B1(n77), .B2(n103), .A1_N(n77), .A2_N(
+        rf_reg_q[404]), .Y(n2164) );
+  sky130_fd_sc_hd__o2bb2ai_1 U812 ( .B1(n80), .B2(n109), .A1_N(n80), .A2_N(
+        rf_reg_q[571]), .Y(n2011) );
+  sky130_fd_sc_hd__o2bb2ai_1 U813 ( .B1(n70), .B2(n109), .A1_N(n70), .A2_N(
+        rf_reg_q[443]), .Y(n2139) );
+  sky130_fd_sc_hd__o2bb2ai_1 U814 ( .B1(n75), .B2(n112), .A1_N(n75), .A2_N(
+        rf_reg_q[666]), .Y(n1914) );
+  sky130_fd_sc_hd__o2bb2ai_1 U815 ( .B1(n72), .B2(n110), .A1_N(n72), .A2_N(
+        rf_reg_q[920]), .Y(n1656) );
+  sky130_fd_sc_hd__o2bb2ai_1 U816 ( .B1(n72), .B2(n105), .A1_N(n72), .A2_N(
+        rf_reg_q[914]), .Y(n1650) );
+  sky130_fd_sc_hd__o2bb2ai_1 U817 ( .B1(n77), .B2(n98), .A1_N(n77), .A2_N(
+        rf_reg_q[407]), .Y(n2167) );
+  sky130_fd_sc_hd__o2bb2ai_1 U818 ( .B1(n72), .B2(n104), .A1_N(n72), .A2_N(
+        rf_reg_q[915]), .Y(n1651) );
+  sky130_fd_sc_hd__o2bb2ai_1 U819 ( .B1(n74), .B2(n112), .A1_N(n74), .A2_N(
+        rf_reg_q[634]), .Y(n1946) );
+  sky130_fd_sc_hd__o2bb2ai_1 U820 ( .B1(n75), .B2(n93), .A1_N(n75), .A2_N(
+        rf_reg_q[670]), .Y(n1918) );
+  sky130_fd_sc_hd__o2bb2ai_1 U821 ( .B1(n79), .B2(n104), .A1_N(n79), .A2_N(
+        rf_reg_q[531]), .Y(n2035) );
+  sky130_fd_sc_hd__o2bb2ai_1 U822 ( .B1(n70), .B2(n97), .A1_N(n70), .A2_N(
+        rf_reg_q[445]), .Y(n2141) );
+  sky130_fd_sc_hd__o2bb2ai_1 U823 ( .B1(n77), .B2(n104), .A1_N(n77), .A2_N(
+        rf_reg_q[403]), .Y(n2163) );
+  sky130_fd_sc_hd__o2bb2ai_1 U824 ( .B1(n72), .B2(n112), .A1_N(n72), .A2_N(
+        rf_reg_q[922]), .Y(n1658) );
+  sky130_fd_sc_hd__o2bb2ai_1 U825 ( .B1(n80), .B2(n94), .A1_N(n80), .A2_N(
+        rf_reg_q[575]), .Y(n2015) );
+  sky130_fd_sc_hd__o2bb2ai_1 U826 ( .B1(n80), .B2(n104), .A1_N(n80), .A2_N(
+        rf_reg_q[563]), .Y(n2003) );
+  sky130_fd_sc_hd__o2bb2ai_1 U827 ( .B1(n75), .B2(n100), .A1_N(n75), .A2_N(
+        rf_reg_q[665]), .Y(n1913) );
+  sky130_fd_sc_hd__o2bb2ai_1 U828 ( .B1(n74), .B2(n94), .A1_N(n74), .A2_N(
+        rf_reg_q[639]), .Y(n1951) );
+  sky130_fd_sc_hd__o2bb2ai_1 U829 ( .B1(n80), .B2(n107), .A1_N(n80), .A2_N(
+        rf_reg_q[560]), .Y(n2000) );
+  sky130_fd_sc_hd__o2bb2ai_1 U830 ( .B1(n79), .B2(n106), .A1_N(n79), .A2_N(
+        rf_reg_q[529]), .Y(n2033) );
+  sky130_fd_sc_hd__o2bb2ai_1 U831 ( .B1(n79), .B2(n101), .A1_N(n79), .A2_N(
+        rf_reg_q[533]), .Y(n2037) );
+  sky130_fd_sc_hd__o2bb2ai_1 U832 ( .B1(n77), .B2(n109), .A1_N(n77), .A2_N(
+        rf_reg_q[411]), .Y(n2171) );
+  sky130_fd_sc_hd__o2bb2ai_1 U833 ( .B1(n77), .B2(n102), .A1_N(n77), .A2_N(
+        rf_reg_q[412]), .Y(n2172) );
+  sky130_fd_sc_hd__o2bb2ai_1 U834 ( .B1(n79), .B2(n100), .A1_N(n79), .A2_N(
+        rf_reg_q[537]), .Y(n2041) );
+  sky130_fd_sc_hd__o2bb2ai_1 U835 ( .B1(n70), .B2(n100), .A1_N(n70), .A2_N(
+        rf_reg_q[441]), .Y(n2137) );
+  sky130_fd_sc_hd__o2bb2ai_1 U836 ( .B1(n74), .B2(n101), .A1_N(n74), .A2_N(
+        rf_reg_q[629]), .Y(n1941) );
+  sky130_fd_sc_hd__o2bb2ai_1 U837 ( .B1(n78), .B2(n110), .A1_N(n78), .A2_N(
+        rf_reg_q[376]), .Y(n2200) );
+  sky130_fd_sc_hd__o2bb2ai_1 U838 ( .B1(n71), .B2(n98), .A1_N(n71), .A2_N(
+        rf_reg_q[279]), .Y(n2295) );
+  sky130_fd_sc_hd__o2bb2ai_1 U839 ( .B1(n89), .B2(n99), .A1_N(n89), .A2_N(
+        rf_reg_q[598]), .Y(n1974) );
+  sky130_fd_sc_hd__o2bb2ai_1 U840 ( .B1(n78), .B2(n109), .A1_N(n78), .A2_N(
+        rf_reg_q[379]), .Y(n2203) );
+  sky130_fd_sc_hd__o2bb2ai_1 U841 ( .B1(n80), .B2(n98), .A1_N(n80), .A2_N(
+        rf_reg_q[567]), .Y(n2007) );
+  sky130_fd_sc_hd__o2bb2ai_1 U842 ( .B1(n77), .B2(n93), .A1_N(n77), .A2_N(
+        rf_reg_q[414]), .Y(n2174) );
+  sky130_fd_sc_hd__o2bb2ai_1 U843 ( .B1(n71), .B2(n105), .A1_N(n71), .A2_N(
+        rf_reg_q[274]), .Y(n2290) );
+  sky130_fd_sc_hd__o2bb2ai_1 U844 ( .B1(n71), .B2(n112), .A1_N(n71), .A2_N(
+        rf_reg_q[282]), .Y(n2298) );
+  sky130_fd_sc_hd__o2bb2ai_1 U845 ( .B1(n71), .B2(n104), .A1_N(n71), .A2_N(
+        rf_reg_q[275]), .Y(n2291) );
+  sky130_fd_sc_hd__o2bb2ai_1 U846 ( .B1(n71), .B2(n109), .A1_N(n71), .A2_N(
+        rf_reg_q[283]), .Y(n2299) );
+  sky130_fd_sc_hd__o2bb2ai_1 U847 ( .B1(n71), .B2(n102), .A1_N(n71), .A2_N(
+        rf_reg_q[284]), .Y(n2300) );
+  sky130_fd_sc_hd__o2bb2ai_1 U848 ( .B1(n70), .B2(n110), .A1_N(n70), .A2_N(
+        rf_reg_q[440]), .Y(n2136) );
+  sky130_fd_sc_hd__o2bb2ai_1 U849 ( .B1(n71), .B2(n97), .A1_N(n71), .A2_N(
+        rf_reg_q[285]), .Y(n2301) );
+  sky130_fd_sc_hd__o2bb2ai_1 U850 ( .B1(n71), .B2(n100), .A1_N(n71), .A2_N(
+        rf_reg_q[281]), .Y(n2297) );
+  sky130_fd_sc_hd__o2bb2ai_1 U851 ( .B1(n71), .B2(n101), .A1_N(n71), .A2_N(
+        rf_reg_q[277]), .Y(n2293) );
+  sky130_fd_sc_hd__o2bb2ai_1 U852 ( .B1(n71), .B2(n93), .A1_N(n71), .A2_N(
+        rf_reg_q[286]), .Y(n2302) );
+  sky130_fd_sc_hd__o2bb2ai_1 U853 ( .B1(n78), .B2(n94), .A1_N(n78), .A2_N(
+        rf_reg_q[383]), .Y(n2207) );
+  sky130_fd_sc_hd__o2bb2ai_1 U854 ( .B1(n78), .B2(n106), .A1_N(n78), .A2_N(
+        rf_reg_q[369]), .Y(n2193) );
+  sky130_fd_sc_hd__o2bb2ai_1 U855 ( .B1(n70), .B2(n98), .A1_N(n70), .A2_N(
+        rf_reg_q[439]), .Y(n2135) );
+  sky130_fd_sc_hd__o2bb2ai_1 U856 ( .B1(n72), .B2(n97), .A1_N(n72), .A2_N(
+        rf_reg_q[925]), .Y(n1661) );
+  sky130_fd_sc_hd__o2bb2ai_1 U857 ( .B1(n71), .B2(n103), .A1_N(n71), .A2_N(
+        rf_reg_q[276]), .Y(n2292) );
+  sky130_fd_sc_hd__o2bb2ai_1 U858 ( .B1(n78), .B2(n101), .A1_N(n78), .A2_N(
+        rf_reg_q[373]), .Y(n2197) );
+  sky130_fd_sc_hd__o2bb2ai_1 U859 ( .B1(n74), .B2(n104), .A1_N(n74), .A2_N(
+        rf_reg_q[627]), .Y(n1939) );
+  sky130_fd_sc_hd__o2bb2ai_1 U860 ( .B1(n78), .B2(n93), .A1_N(n78), .A2_N(
+        rf_reg_q[382]), .Y(n2206) );
+  sky130_fd_sc_hd__o2bb2ai_1 U861 ( .B1(n78), .B2(n104), .A1_N(n78), .A2_N(
+        rf_reg_q[371]), .Y(n2195) );
+  sky130_fd_sc_hd__o2bb2ai_1 U862 ( .B1(n80), .B2(n110), .A1_N(n80), .A2_N(
+        rf_reg_q[568]), .Y(n2008) );
+  sky130_fd_sc_hd__o2bb2ai_1 U863 ( .B1(n89), .B2(n107), .A1_N(n89), .A2_N(
+        rf_reg_q[592]), .Y(n1968) );
+  sky130_fd_sc_hd__o2bb2ai_1 U864 ( .B1(n71), .B2(n99), .A1_N(n71), .A2_N(
+        rf_reg_q[278]), .Y(n2294) );
+  sky130_fd_sc_hd__o2bb2ai_1 U865 ( .B1(n71), .B2(n110), .A1_N(n71), .A2_N(
+        rf_reg_q[280]), .Y(n2296) );
+  sky130_fd_sc_hd__o2bb2ai_1 U866 ( .B1(n70), .B2(n99), .A1_N(n70), .A2_N(
+        rf_reg_q[438]), .Y(n2134) );
+  sky130_fd_sc_hd__o2bb2ai_1 U867 ( .B1(n76), .B2(n112), .A1_N(n76), .A2_N(
+        rf_reg_q[218]), .Y(n2362) );
+  sky130_fd_sc_hd__o2bb2ai_1 U868 ( .B1(n87), .B2(n100), .A1_N(n87), .A2_N(
+        rf_reg_q[121]), .Y(n2457) );
+  sky130_fd_sc_hd__o2bb2ai_1 U869 ( .B1(n76), .B2(n101), .A1_N(n76), .A2_N(
+        rf_reg_q[213]), .Y(n2357) );
+  sky130_fd_sc_hd__o2bb2ai_1 U870 ( .B1(n85), .B2(n94), .A1_N(n85), .A2_N(
+        rf_reg_q[159]), .Y(n2431) );
+  sky130_fd_sc_hd__o2bb2ai_1 U871 ( .B1(n85), .B2(n98), .A1_N(n85), .A2_N(
+        rf_reg_q[151]), .Y(n2423) );
+  sky130_fd_sc_hd__o2bb2ai_1 U872 ( .B1(n76), .B2(n102), .A1_N(n76), .A2_N(
+        rf_reg_q[220]), .Y(n2364) );
+  sky130_fd_sc_hd__o2bb2ai_1 U873 ( .B1(n71), .B2(n94), .A1_N(n71), .A2_N(
+        rf_reg_q[287]), .Y(n2303) );
+  sky130_fd_sc_hd__o2bb2ai_1 U874 ( .B1(n76), .B2(n99), .A1_N(n76), .A2_N(
+        rf_reg_q[214]), .Y(n2358) );
+  sky130_fd_sc_hd__o2bb2ai_1 U875 ( .B1(n85), .B2(n103), .A1_N(n85), .A2_N(
+        rf_reg_q[148]), .Y(n2420) );
+  sky130_fd_sc_hd__o2bb2ai_1 U876 ( .B1(n76), .B2(n94), .A1_N(n76), .A2_N(
+        rf_reg_q[223]), .Y(n2367) );
+  sky130_fd_sc_hd__o2bb2ai_1 U877 ( .B1(n85), .B2(n99), .A1_N(n85), .A2_N(
+        rf_reg_q[150]), .Y(n2422) );
+  sky130_fd_sc_hd__o2bb2ai_1 U878 ( .B1(n76), .B2(n93), .A1_N(n76), .A2_N(
+        rf_reg_q[222]), .Y(n2366) );
+  sky130_fd_sc_hd__o2bb2ai_1 U879 ( .B1(n76), .B2(n97), .A1_N(n76), .A2_N(
+        rf_reg_q[221]), .Y(n2365) );
+  sky130_fd_sc_hd__o2bb2ai_1 U880 ( .B1(n76), .B2(n103), .A1_N(n76), .A2_N(
+        rf_reg_q[212]), .Y(n2356) );
+  sky130_fd_sc_hd__o2bb2ai_1 U881 ( .B1(n87), .B2(n106), .A1_N(n87), .A2_N(
+        rf_reg_q[113]), .Y(n2449) );
+  sky130_fd_sc_hd__o2bb2ai_1 U882 ( .B1(n85), .B2(n93), .A1_N(n85), .A2_N(
+        rf_reg_q[158]), .Y(n2430) );
+  sky130_fd_sc_hd__o2bb2ai_1 U883 ( .B1(n76), .B2(n104), .A1_N(n76), .A2_N(
+        rf_reg_q[211]), .Y(n2355) );
+  sky130_fd_sc_hd__o2bb2ai_1 U884 ( .B1(n76), .B2(n100), .A1_N(n76), .A2_N(
+        rf_reg_q[217]), .Y(n2361) );
+  sky130_fd_sc_hd__o2bb2ai_1 U885 ( .B1(n76), .B2(n98), .A1_N(n76), .A2_N(
+        rf_reg_q[215]), .Y(n2359) );
+  sky130_fd_sc_hd__o2bb2ai_1 U886 ( .B1(n85), .B2(n102), .A1_N(n85), .A2_N(
+        rf_reg_q[156]), .Y(n2428) );
+  sky130_fd_sc_hd__o2bb2ai_1 U887 ( .B1(n87), .B2(n112), .A1_N(n87), .A2_N(
+        rf_reg_q[122]), .Y(n2458) );
+  sky130_fd_sc_hd__o2bb2ai_1 U888 ( .B1(n76), .B2(n110), .A1_N(n76), .A2_N(
+        rf_reg_q[216]), .Y(n2360) );
+  sky130_fd_sc_hd__o2bb2ai_1 U889 ( .B1(n87), .B2(n99), .A1_N(n87), .A2_N(
+        rf_reg_q[118]), .Y(n2454) );
+  sky130_fd_sc_hd__o2bb2ai_1 U890 ( .B1(n76), .B2(n109), .A1_N(n76), .A2_N(
+        rf_reg_q[219]), .Y(n2363) );
+  sky130_fd_sc_hd__o2bb2ai_1 U891 ( .B1(n85), .B2(n110), .A1_N(n85), .A2_N(
+        rf_reg_q[152]), .Y(n2424) );
+  sky130_fd_sc_hd__o2bb2ai_1 U892 ( .B1(n85), .B2(n105), .A1_N(n85), .A2_N(
+        rf_reg_q[146]), .Y(n2418) );
+  sky130_fd_sc_hd__o2bb2ai_1 U893 ( .B1(n85), .B2(n109), .A1_N(n85), .A2_N(
+        rf_reg_q[155]), .Y(n2427) );
+  sky130_fd_sc_hd__o2bb2ai_1 U894 ( .B1(n85), .B2(n112), .A1_N(n85), .A2_N(
+        rf_reg_q[154]), .Y(n2426) );
+  sky130_fd_sc_hd__o2bb2ai_1 U895 ( .B1(n85), .B2(n100), .A1_N(n85), .A2_N(
+        rf_reg_q[153]), .Y(n2425) );
+  sky130_fd_sc_hd__o2bb2ai_1 U896 ( .B1(n85), .B2(n107), .A1_N(n85), .A2_N(
+        rf_reg_q[144]), .Y(n2416) );
+  sky130_fd_sc_hd__o2bb2ai_1 U897 ( .B1(n85), .B2(n101), .A1_N(n85), .A2_N(
+        rf_reg_q[149]), .Y(n2421) );
+  sky130_fd_sc_hd__o2bb2ai_1 U898 ( .B1(n85), .B2(n97), .A1_N(n85), .A2_N(
+        rf_reg_q[157]), .Y(n2429) );
+  sky130_fd_sc_hd__o2bb2ai_1 U899 ( .B1(n87), .B2(n104), .A1_N(n87), .A2_N(
+        rf_reg_q[115]), .Y(n2451) );
+  sky130_fd_sc_hd__o2bb2ai_1 U900 ( .B1(n73), .B2(n103), .A1_N(n73), .A2_N(
+        rf_reg_q[180]), .Y(n2388) );
+  sky130_fd_sc_hd__o2bb2ai_1 U901 ( .B1(n89), .B2(n104), .A1_N(n89), .A2_N(
+        rf_reg_q[595]), .Y(n1971) );
+  sky130_fd_sc_hd__o2bb2ai_1 U902 ( .B1(n89), .B2(n100), .A1_N(n89), .A2_N(
+        rf_reg_q[601]), .Y(n1977) );
+  sky130_fd_sc_hd__o2bb2ai_1 U903 ( .B1(n73), .B2(n104), .A1_N(n73), .A2_N(
+        rf_reg_q[179]), .Y(n2387) );
+  sky130_fd_sc_hd__o2bb2ai_1 U904 ( .B1(n73), .B2(n98), .A1_N(n73), .A2_N(
+        rf_reg_q[183]), .Y(n2391) );
+  sky130_fd_sc_hd__o2bb2ai_1 U905 ( .B1(n73), .B2(n100), .A1_N(n73), .A2_N(
+        rf_reg_q[185]), .Y(n2393) );
+  sky130_fd_sc_hd__o2bb2ai_1 U906 ( .B1(n73), .B2(n109), .A1_N(n73), .A2_N(
+        rf_reg_q[187]), .Y(n2395) );
+  sky130_fd_sc_hd__o2bb2ai_1 U907 ( .B1(n74), .B2(n97), .A1_N(n74), .A2_N(
+        rf_reg_q[637]), .Y(n1949) );
+  sky130_fd_sc_hd__o2bb2ai_1 U908 ( .B1(n73), .B2(n101), .A1_N(n73), .A2_N(
+        rf_reg_q[181]), .Y(n2389) );
+  sky130_fd_sc_hd__o2bb2ai_1 U909 ( .B1(n87), .B2(n103), .A1_N(n87), .A2_N(
+        rf_reg_q[116]), .Y(n2452) );
+  sky130_fd_sc_hd__o2bb2ai_1 U910 ( .B1(n73), .B2(n110), .A1_N(n73), .A2_N(
+        rf_reg_q[184]), .Y(n2392) );
+  sky130_fd_sc_hd__o2bb2ai_1 U911 ( .B1(n73), .B2(n97), .A1_N(n73), .A2_N(
+        rf_reg_q[189]), .Y(n2397) );
+  sky130_fd_sc_hd__o2bb2ai_1 U912 ( .B1(n72), .B2(n107), .A1_N(n72), .A2_N(
+        rf_reg_q[912]), .Y(n1648) );
+  sky130_fd_sc_hd__o2bb2ai_1 U913 ( .B1(n73), .B2(n93), .A1_N(n73), .A2_N(
+        rf_reg_q[190]), .Y(n2398) );
+  sky130_fd_sc_hd__o2bb2ai_1 U914 ( .B1(n72), .B2(n98), .A1_N(n72), .A2_N(
+        rf_reg_q[919]), .Y(n1655) );
+  sky130_fd_sc_hd__o2bb2ai_1 U915 ( .B1(n73), .B2(n102), .A1_N(n73), .A2_N(
+        rf_reg_q[188]), .Y(n2396) );
+  sky130_fd_sc_hd__o2bb2ai_1 U916 ( .B1(n89), .B2(n110), .A1_N(n89), .A2_N(
+        rf_reg_q[600]), .Y(n1976) );
+  sky130_fd_sc_hd__o2bb2ai_1 U917 ( .B1(n73), .B2(n112), .A1_N(n73), .A2_N(
+        rf_reg_q[186]), .Y(n2394) );
+  sky130_fd_sc_hd__o2bb2ai_1 U918 ( .B1(n73), .B2(n99), .A1_N(n73), .A2_N(
+        rf_reg_q[182]), .Y(n2390) );
+  sky130_fd_sc_hd__o2bb2ai_1 U919 ( .B1(n87), .B2(n110), .A1_N(n87), .A2_N(
+        rf_reg_q[120]), .Y(n2456) );
+  sky130_fd_sc_hd__o2bb2ai_1 U920 ( .B1(n72), .B2(n102), .A1_N(n72), .A2_N(
+        rf_reg_q[924]), .Y(n1660) );
+  sky130_fd_sc_hd__o2bb2ai_1 U921 ( .B1(n87), .B2(n101), .A1_N(n87), .A2_N(
+        rf_reg_q[117]), .Y(n2453) );
+  sky130_fd_sc_hd__o2bb2ai_1 U922 ( .B1(n73), .B2(n107), .A1_N(n73), .A2_N(
+        rf_reg_q[176]), .Y(n2384) );
+  sky130_fd_sc_hd__o2bb2ai_1 U923 ( .B1(n73), .B2(n94), .A1_N(n73), .A2_N(
+        rf_reg_q[191]), .Y(n2399) );
+  sky130_fd_sc_hd__o2bb2ai_1 U924 ( .B1(n73), .B2(n106), .A1_N(n73), .A2_N(
+        rf_reg_q[177]), .Y(n2385) );
+  sky130_fd_sc_hd__o2bb2ai_1 U925 ( .B1(n73), .B2(n105), .A1_N(n73), .A2_N(
+        rf_reg_q[178]), .Y(n2386) );
+  sky130_fd_sc_hd__o2bb2ai_1 U926 ( .B1(n74), .B2(n110), .A1_N(n74), .A2_N(
+        rf_reg_q[632]), .Y(n1944) );
+  sky130_fd_sc_hd__o2bb2ai_1 U927 ( .B1(n75), .B2(n102), .A1_N(n75), .A2_N(
+        rf_reg_q[668]), .Y(n1916) );
+  sky130_fd_sc_hd__o2bb2ai_1 U928 ( .B1(n87), .B2(n105), .A1_N(n87), .A2_N(
+        rf_reg_q[114]), .Y(n2450) );
+  sky130_fd_sc_hd__o2bb2ai_1 U929 ( .B1(n76), .B2(n105), .A1_N(n76), .A2_N(
+        rf_reg_q[210]), .Y(n2354) );
+  sky130_fd_sc_hd__o2bb2ai_1 U930 ( .B1(n76), .B2(n107), .A1_N(n76), .A2_N(
+        rf_reg_q[208]), .Y(n2352) );
+  sky130_fd_sc_hd__o2bb2ai_1 U931 ( .B1(n77), .B2(n100), .A1_N(n77), .A2_N(
+        rf_reg_q[409]), .Y(n2169) );
+  sky130_fd_sc_hd__o2bb2ai_1 U932 ( .B1(n76), .B2(n106), .A1_N(n76), .A2_N(
+        rf_reg_q[209]), .Y(n2353) );
+  sky130_fd_sc_hd__o2bb2ai_1 U933 ( .B1(n79), .B2(n97), .A1_N(n79), .A2_N(
+        rf_reg_q[541]), .Y(n2045) );
+  sky130_fd_sc_hd__o2bb2ai_1 U934 ( .B1(n77), .B2(n106), .A1_N(n77), .A2_N(
+        rf_reg_q[401]), .Y(n2161) );
+  sky130_fd_sc_hd__o2bb2ai_1 U935 ( .B1(n78), .B2(n98), .A1_N(n78), .A2_N(
+        rf_reg_q[375]), .Y(n2199) );
+  sky130_fd_sc_hd__o2bb2ai_1 U936 ( .B1(n77), .B2(n101), .A1_N(n77), .A2_N(
+        rf_reg_q[405]), .Y(n2165) );
+  sky130_fd_sc_hd__o2bb2ai_1 U937 ( .B1(n79), .B2(n99), .A1_N(n79), .A2_N(
+        rf_reg_q[534]), .Y(n2038) );
+  sky130_fd_sc_hd__o2bb2ai_1 U938 ( .B1(n78), .B2(n107), .A1_N(n78), .A2_N(
+        rf_reg_q[368]), .Y(n2192) );
+  sky130_fd_sc_hd__o2bb2ai_1 U939 ( .B1(n77), .B2(n105), .A1_N(n77), .A2_N(
+        rf_reg_q[402]), .Y(n2162) );
+  sky130_fd_sc_hd__o2bb2ai_1 U940 ( .B1(n78), .B2(n105), .A1_N(n78), .A2_N(
+        rf_reg_q[370]), .Y(n2194) );
+  sky130_fd_sc_hd__o2bb2ai_1 U941 ( .B1(n77), .B2(n107), .A1_N(n77), .A2_N(
+        rf_reg_q[400]), .Y(n2160) );
+  sky130_fd_sc_hd__o2bb2ai_1 U942 ( .B1(n78), .B2(n99), .A1_N(n78), .A2_N(
+        rf_reg_q[374]), .Y(n2198) );
+  sky130_fd_sc_hd__o2bb2ai_1 U943 ( .B1(n80), .B2(n103), .A1_N(n80), .A2_N(
+        rf_reg_q[564]), .Y(n2004) );
+  sky130_fd_sc_hd__o2bb2ai_1 U944 ( .B1(n80), .B2(n99), .A1_N(n80), .A2_N(
+        rf_reg_q[566]), .Y(n2006) );
+  sky130_fd_sc_hd__o2bb2ai_1 U945 ( .B1(n79), .B2(n107), .A1_N(n79), .A2_N(
+        rf_reg_q[528]), .Y(n2032) );
+  sky130_fd_sc_hd__o2bb2ai_1 U946 ( .B1(n78), .B2(n100), .A1_N(n78), .A2_N(
+        rf_reg_q[377]), .Y(n2201) );
+  sky130_fd_sc_hd__o2bb2ai_1 U947 ( .B1(n77), .B2(n110), .A1_N(n77), .A2_N(
+        rf_reg_q[408]), .Y(n2168) );
+  sky130_fd_sc_hd__o2bb2ai_1 U948 ( .B1(n79), .B2(n103), .A1_N(n79), .A2_N(
+        rf_reg_q[532]), .Y(n2036) );
+  sky130_fd_sc_hd__o2bb2ai_1 U949 ( .B1(n78), .B2(n102), .A1_N(n78), .A2_N(
+        rf_reg_q[380]), .Y(n2204) );
+  sky130_fd_sc_hd__o2bb2ai_1 U950 ( .B1(n79), .B2(n109), .A1_N(n79), .A2_N(
+        rf_reg_q[539]), .Y(n2043) );
+  sky130_fd_sc_hd__o2bb2ai_1 U951 ( .B1(n77), .B2(n94), .A1_N(n77), .A2_N(
+        rf_reg_q[415]), .Y(n2175) );
+  sky130_fd_sc_hd__o2bb2ai_1 U952 ( .B1(n78), .B2(n97), .A1_N(n78), .A2_N(
+        rf_reg_q[381]), .Y(n2205) );
+  sky130_fd_sc_hd__o2bb2ai_1 U953 ( .B1(n79), .B2(n93), .A1_N(n79), .A2_N(
+        rf_reg_q[542]), .Y(n2046) );
+  sky130_fd_sc_hd__o2bb2ai_1 U954 ( .B1(n77), .B2(n112), .A1_N(n77), .A2_N(
+        rf_reg_q[410]), .Y(n2170) );
+  sky130_fd_sc_hd__o2bb2ai_1 U955 ( .B1(n78), .B2(n112), .A1_N(n78), .A2_N(
+        rf_reg_q[378]), .Y(n2202) );
+  sky130_fd_sc_hd__o2bb2ai_1 U956 ( .B1(n80), .B2(n101), .A1_N(n80), .A2_N(
+        rf_reg_q[565]), .Y(n2005) );
+  sky130_fd_sc_hd__o2bb2ai_1 U957 ( .B1(n79), .B2(n105), .A1_N(n79), .A2_N(
+        rf_reg_q[530]), .Y(n2034) );
+  sky130_fd_sc_hd__o2bb2ai_1 U958 ( .B1(n77), .B2(n99), .A1_N(n77), .A2_N(
+        rf_reg_q[406]), .Y(n2166) );
+  sky130_fd_sc_hd__o2bb2ai_1 U959 ( .B1(n79), .B2(n110), .A1_N(n79), .A2_N(
+        rf_reg_q[536]), .Y(n2040) );
+  sky130_fd_sc_hd__o2bb2ai_1 U960 ( .B1(n77), .B2(n97), .A1_N(n77), .A2_N(
+        rf_reg_q[413]), .Y(n2173) );
+  sky130_fd_sc_hd__o2bb2ai_1 U961 ( .B1(n80), .B2(n112), .A1_N(n80), .A2_N(
+        rf_reg_q[570]), .Y(n2010) );
+  sky130_fd_sc_hd__o2bb2ai_1 U962 ( .B1(n80), .B2(n105), .A1_N(n80), .A2_N(
+        rf_reg_q[562]), .Y(n2002) );
+  sky130_fd_sc_hd__o2bb2ai_1 U963 ( .B1(n80), .B2(n97), .A1_N(n80), .A2_N(
+        rf_reg_q[573]), .Y(n2013) );
+  sky130_fd_sc_hd__o2bb2ai_1 U964 ( .B1(n78), .B2(n103), .A1_N(n78), .A2_N(
+        rf_reg_q[372]), .Y(n2196) );
+  sky130_fd_sc_hd__o2bb2ai_1 U965 ( .B1(n80), .B2(n102), .A1_N(n80), .A2_N(
+        rf_reg_q[572]), .Y(n2012) );
+  sky130_fd_sc_hd__o2bb2ai_1 U966 ( .B1(n79), .B2(n112), .A1_N(n79), .A2_N(
+        rf_reg_q[538]), .Y(n2042) );
+  sky130_fd_sc_hd__o2bb2ai_1 U967 ( .B1(n80), .B2(n100), .A1_N(n80), .A2_N(
+        rf_reg_q[569]), .Y(n2009) );
+  sky130_fd_sc_hd__o2bb2ai_1 U968 ( .B1(n80), .B2(n93), .A1_N(n80), .A2_N(
+        rf_reg_q[574]), .Y(n2014) );
+  sky130_fd_sc_hd__o2bb2ai_1 U969 ( .B1(n83), .B2(n102), .A1_N(n83), .A2_N(
+        rf_reg_q[732]), .Y(n1852) );
+  sky130_fd_sc_hd__o2bb2ai_1 U970 ( .B1(n81), .B2(n100), .A1_N(n81), .A2_N(
+        rf_reg_q[985]), .Y(n1593) );
+  sky130_fd_sc_hd__o2bb2ai_1 U971 ( .B1(n82), .B2(n99), .A1_N(n82), .A2_N(
+        rf_reg_q[1014]), .Y(n1558) );
+  sky130_fd_sc_hd__o2bb2ai_1 U972 ( .B1(n83), .B2(n97), .A1_N(n83), .A2_N(
+        rf_reg_q[733]), .Y(n1853) );
+  sky130_fd_sc_hd__o2bb2ai_1 U973 ( .B1(n81), .B2(n101), .A1_N(n81), .A2_N(
+        rf_reg_q[981]), .Y(n1589) );
+  sky130_fd_sc_hd__o2bb2ai_1 U974 ( .B1(n81), .B2(n106), .A1_N(n81), .A2_N(
+        rf_reg_q[977]), .Y(n1585) );
+  sky130_fd_sc_hd__o2bb2ai_1 U975 ( .B1(n82), .B2(n110), .A1_N(n82), .A2_N(
+        rf_reg_q[1016]), .Y(n1560) );
+  sky130_fd_sc_hd__o2bb2ai_1 U976 ( .B1(n81), .B2(n109), .A1_N(n81), .A2_N(
+        rf_reg_q[987]), .Y(n1595) );
+  sky130_fd_sc_hd__o2bb2ai_1 U977 ( .B1(n84), .B2(n106), .A1_N(n84), .A2_N(
+        rf_reg_q[689]), .Y(n1873) );
+  sky130_fd_sc_hd__o2bb2ai_1 U978 ( .B1(n81), .B2(n98), .A1_N(n81), .A2_N(
+        rf_reg_q[983]), .Y(n1591) );
+  sky130_fd_sc_hd__o2bb2ai_1 U979 ( .B1(n82), .B2(n101), .A1_N(n82), .A2_N(
+        rf_reg_q[1013]), .Y(n1557) );
+  sky130_fd_sc_hd__o2bb2ai_1 U980 ( .B1(n82), .B2(n100), .A1_N(n82), .A2_N(
+        rf_reg_q[1017]), .Y(n1561) );
+  sky130_fd_sc_hd__o2bb2ai_1 U981 ( .B1(n82), .B2(n104), .A1_N(n82), .A2_N(
+        rf_reg_q[1011]), .Y(n1555) );
+  sky130_fd_sc_hd__o2bb2ai_1 U982 ( .B1(n81), .B2(n104), .A1_N(n81), .A2_N(
+        rf_reg_q[979]), .Y(n1587) );
+  sky130_fd_sc_hd__o2bb2ai_1 U983 ( .B1(n84), .B2(n104), .A1_N(n84), .A2_N(
+        rf_reg_q[691]), .Y(n1875) );
+  sky130_fd_sc_hd__o2bb2ai_1 U984 ( .B1(n82), .B2(n94), .A1_N(n82), .A2_N(
+        rf_reg_q[1023]), .Y(n1567) );
+  sky130_fd_sc_hd__o2bb2ai_1 U985 ( .B1(n84), .B2(n103), .A1_N(n84), .A2_N(
+        rf_reg_q[692]), .Y(n1876) );
+  sky130_fd_sc_hd__o2bb2ai_1 U986 ( .B1(n81), .B2(n97), .A1_N(n81), .A2_N(
+        rf_reg_q[989]), .Y(n1597) );
+  sky130_fd_sc_hd__o2bb2ai_1 U987 ( .B1(n82), .B2(n105), .A1_N(n82), .A2_N(
+        rf_reg_q[1010]), .Y(n1554) );
+  sky130_fd_sc_hd__o2bb2ai_1 U988 ( .B1(n83), .B2(n105), .A1_N(n83), .A2_N(
+        rf_reg_q[722]), .Y(n1842) );
+  sky130_fd_sc_hd__o2bb2ai_1 U989 ( .B1(n82), .B2(n103), .A1_N(n82), .A2_N(
+        rf_reg_q[1012]), .Y(n1556) );
+  sky130_fd_sc_hd__o2bb2ai_1 U990 ( .B1(n84), .B2(n107), .A1_N(n84), .A2_N(
+        rf_reg_q[688]), .Y(n1872) );
+  sky130_fd_sc_hd__o2bb2ai_1 U991 ( .B1(n82), .B2(n106), .A1_N(n82), .A2_N(
+        rf_reg_q[1009]), .Y(n1553) );
+  sky130_fd_sc_hd__o2bb2ai_1 U992 ( .B1(n82), .B2(n107), .A1_N(n82), .A2_N(
+        rf_reg_q[1008]), .Y(n1552) );
+  sky130_fd_sc_hd__o2bb2ai_1 U993 ( .B1(n81), .B2(n94), .A1_N(n81), .A2_N(
+        rf_reg_q[991]), .Y(n1599) );
+  sky130_fd_sc_hd__o2bb2ai_1 U994 ( .B1(n84), .B2(n98), .A1_N(n84), .A2_N(
+        rf_reg_q[695]), .Y(n1879) );
+  sky130_fd_sc_hd__o2bb2ai_1 U995 ( .B1(n82), .B2(n93), .A1_N(n82), .A2_N(
+        rf_reg_q[1022]), .Y(n1566) );
+  sky130_fd_sc_hd__o2bb2ai_1 U996 ( .B1(n84), .B2(n102), .A1_N(n84), .A2_N(
+        rf_reg_q[700]), .Y(n1884) );
+  sky130_fd_sc_hd__o2bb2ai_1 U997 ( .B1(n84), .B2(n97), .A1_N(n84), .A2_N(
+        rf_reg_q[701]), .Y(n1885) );
+  sky130_fd_sc_hd__o2bb2ai_1 U998 ( .B1(n83), .B2(n103), .A1_N(n83), .A2_N(
+        rf_reg_q[724]), .Y(n1844) );
+  sky130_fd_sc_hd__o2bb2ai_1 U999 ( .B1(n83), .B2(n112), .A1_N(n83), .A2_N(
+        rf_reg_q[730]), .Y(n1850) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1000 ( .B1(n82), .B2(n102), .A1_N(n82), .A2_N(
+        rf_reg_q[1020]), .Y(n1564) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1001 ( .B1(n82), .B2(n109), .A1_N(n82), .A2_N(
+        rf_reg_q[1019]), .Y(n1563) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1002 ( .B1(n84), .B2(n101), .A1_N(n84), .A2_N(
+        rf_reg_q[693]), .Y(n1877) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1003 ( .B1(n83), .B2(n110), .A1_N(n83), .A2_N(
+        rf_reg_q[728]), .Y(n1848) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1004 ( .B1(n83), .B2(n101), .A1_N(n83), .A2_N(
+        rf_reg_q[725]), .Y(n1845) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1005 ( .B1(n84), .B2(n105), .A1_N(n84), .A2_N(
+        rf_reg_q[690]), .Y(n1874) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1006 ( .B1(n84), .B2(n94), .A1_N(n84), .A2_N(
+        rf_reg_q[703]), .Y(n1887) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1007 ( .B1(n84), .B2(n99), .A1_N(n84), .A2_N(
+        rf_reg_q[694]), .Y(n1878) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1008 ( .B1(n84), .B2(n100), .A1_N(n84), .A2_N(
+        rf_reg_q[697]), .Y(n1881) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1009 ( .B1(n84), .B2(n110), .A1_N(n84), .A2_N(
+        rf_reg_q[696]), .Y(n1880) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1010 ( .B1(n84), .B2(n93), .A1_N(n84), .A2_N(
+        rf_reg_q[702]), .Y(n1886) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1011 ( .B1(n84), .B2(n109), .A1_N(n84), .A2_N(
+        rf_reg_q[699]), .Y(n1883) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1012 ( .B1(n84), .B2(n112), .A1_N(n84), .A2_N(
+        rf_reg_q[698]), .Y(n1882) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1013 ( .B1(n86), .B2(n105), .A1_N(n86), .A2_N(
+        rf_reg_q[242]), .Y(n2322) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1014 ( .B1(n86), .B2(n98), .A1_N(n86), .A2_N(
+        rf_reg_q[247]), .Y(n2327) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1015 ( .B1(n85), .B2(n106), .A1_N(n85), .A2_N(
+        rf_reg_q[145]), .Y(n2417) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1016 ( .B1(n86), .B2(n107), .A1_N(n86), .A2_N(
+        rf_reg_q[240]), .Y(n2320) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1017 ( .B1(n86), .B2(n106), .A1_N(n86), .A2_N(
+        rf_reg_q[241]), .Y(n2321) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1018 ( .B1(n86), .B2(n104), .A1_N(n86), .A2_N(
+        rf_reg_q[243]), .Y(n2323) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1019 ( .B1(n86), .B2(n103), .A1_N(n86), .A2_N(
+        rf_reg_q[244]), .Y(n2324) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1020 ( .B1(n86), .B2(n110), .A1_N(n86), .A2_N(
+        rf_reg_q[248]), .Y(n2328) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1021 ( .B1(n85), .B2(n104), .A1_N(n85), .A2_N(
+        rf_reg_q[147]), .Y(n2419) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1022 ( .B1(n86), .B2(n99), .A1_N(n86), .A2_N(
+        rf_reg_q[246]), .Y(n2326) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1023 ( .B1(n86), .B2(n101), .A1_N(n86), .A2_N(
+        rf_reg_q[245]), .Y(n2325) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1024 ( .B1(n87), .B2(n98), .A1_N(n87), .A2_N(
+        rf_reg_q[119]), .Y(n2455) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1025 ( .B1(n86), .B2(n93), .A1_N(n86), .A2_N(
+        rf_reg_q[254]), .Y(n2334) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1026 ( .B1(n86), .B2(n109), .A1_N(n86), .A2_N(
+        rf_reg_q[251]), .Y(n2331) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1027 ( .B1(n86), .B2(n102), .A1_N(n86), .A2_N(
+        rf_reg_q[252]), .Y(n2332) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1028 ( .B1(n86), .B2(n97), .A1_N(n86), .A2_N(
+        rf_reg_q[253]), .Y(n2333) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1029 ( .B1(n86), .B2(n100), .A1_N(n86), .A2_N(
+        rf_reg_q[249]), .Y(n2329) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1030 ( .B1(n86), .B2(n94), .A1_N(n86), .A2_N(
+        rf_reg_q[255]), .Y(n2335) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1031 ( .B1(n86), .B2(n112), .A1_N(n86), .A2_N(
+        rf_reg_q[250]), .Y(n2330) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1032 ( .B1(n87), .B2(n107), .A1_N(n87), .A2_N(
+        rf_reg_q[112]), .Y(n2448) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1033 ( .B1(n108), .B2(n97), .A1_N(n108), .A2_N(
+        rf_reg_q[61]), .Y(n2525) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1034 ( .B1(n96), .B2(n112), .A1_N(n96), .A2_N(
+        rf_reg_q[90]), .Y(n2490) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1035 ( .B1(n108), .B2(n94), .A1_N(n108), .A2_N(
+        rf_reg_q[63]), .Y(n2527) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1036 ( .B1(n87), .B2(n94), .A1_N(n87), .A2_N(
+        rf_reg_q[127]), .Y(n2463) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1037 ( .B1(n96), .B2(n105), .A1_N(n96), .A2_N(
+        rf_reg_q[82]), .Y(n2482) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1038 ( .B1(n96), .B2(n100), .A1_N(n96), .A2_N(
+        rf_reg_q[89]), .Y(n2489) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1039 ( .B1(n96), .B2(n94), .A1_N(n96), .A2_N(
+        rf_reg_q[95]), .Y(n2495) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1040 ( .B1(n96), .B2(n97), .A1_N(n96), .A2_N(
+        rf_reg_q[93]), .Y(n2493) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1041 ( .B1(n96), .B2(n102), .A1_N(n96), .A2_N(
+        rf_reg_q[92]), .Y(n2492) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1042 ( .B1(n96), .B2(n107), .A1_N(n96), .A2_N(
+        rf_reg_q[80]), .Y(n2480) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1043 ( .B1(n108), .B2(n102), .A1_N(n108), .A2_N(
+        rf_reg_q[60]), .Y(n2524) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1044 ( .B1(n87), .B2(n109), .A1_N(n87), .A2_N(
+        rf_reg_q[123]), .Y(n2459) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1045 ( .B1(n87), .B2(n93), .A1_N(n87), .A2_N(
+        rf_reg_q[126]), .Y(n2462) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1046 ( .B1(n87), .B2(n102), .A1_N(n87), .A2_N(
+        rf_reg_q[124]), .Y(n2460) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1047 ( .B1(n90), .B2(n105), .A1_N(n90), .A2_N(
+        rf_reg_q[498]), .Y(n2066) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1048 ( .B1(n90), .B2(n103), .A1_N(n90), .A2_N(
+        rf_reg_q[500]), .Y(n2068) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1049 ( .B1(n88), .B2(n107), .A1_N(n88), .A2_N(
+        rf_reg_q[336]), .Y(n2224) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1050 ( .B1(n90), .B2(n107), .A1_N(n90), .A2_N(
+        rf_reg_q[496]), .Y(n2064) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1051 ( .B1(n89), .B2(n101), .A1_N(n89), .A2_N(
+        rf_reg_q[597]), .Y(n1973) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1052 ( .B1(n90), .B2(n104), .A1_N(n90), .A2_N(
+        rf_reg_q[499]), .Y(n2067) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1053 ( .B1(n90), .B2(n106), .A1_N(n90), .A2_N(
+        rf_reg_q[497]), .Y(n2065) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1054 ( .B1(n91), .B2(n105), .A1_N(n91), .A2_N(
+        rf_reg_q[850]), .Y(n1714) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1055 ( .B1(n113), .B2(n97), .A1_N(n113), .A2_N(
+        rf_reg_q[797]), .Y(n1789) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1056 ( .B1(n91), .B2(n93), .A1_N(n91), .A2_N(
+        rf_reg_q[862]), .Y(n1726) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1057 ( .B1(n91), .B2(n94), .A1_N(n91), .A2_N(
+        rf_reg_q[863]), .Y(n1727) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1058 ( .B1(n91), .B2(n106), .A1_N(n91), .A2_N(
+        rf_reg_q[849]), .Y(n1713) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1059 ( .B1(n91), .B2(n97), .A1_N(n91), .A2_N(
+        rf_reg_q[861]), .Y(n1725) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1060 ( .B1(n108), .B2(n112), .A1_N(n108), .A2_N(
+        rf_reg_q[58]), .Y(n2522) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1061 ( .B1(n113), .B2(n93), .A1_N(n113), .A2_N(
+        rf_reg_q[798]), .Y(n1790) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1062 ( .B1(n111), .B2(n100), .A1_N(n111), .A2_N(
+        rf_reg_q[761]), .Y(n1817) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1063 ( .B1(n91), .B2(n102), .A1_N(n91), .A2_N(
+        rf_reg_q[860]), .Y(n1724) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1064 ( .B1(n113), .B2(n94), .A1_N(n113), .A2_N(
+        rf_reg_q[799]), .Y(n1791) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1065 ( .B1(n91), .B2(n107), .A1_N(n91), .A2_N(
+        rf_reg_q[848]), .Y(n1712) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1066 ( .B1(n108), .B2(n109), .A1_N(n108), .A2_N(
+        rf_reg_q[59]), .Y(n2523) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1067 ( .B1(n108), .B2(n100), .A1_N(n108), .A2_N(
+        rf_reg_q[57]), .Y(n2521) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1068 ( .B1(n91), .B2(n109), .A1_N(n91), .A2_N(
+        rf_reg_q[859]), .Y(n1723) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1069 ( .B1(n91), .B2(n104), .A1_N(n91), .A2_N(
+        rf_reg_q[851]), .Y(n1715) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1070 ( .B1(n91), .B2(n112), .A1_N(n91), .A2_N(
+        rf_reg_q[858]), .Y(n1722) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1071 ( .B1(n111), .B2(n112), .A1_N(n111), .A2_N(
+        rf_reg_q[762]), .Y(n1818) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1072 ( .B1(n91), .B2(n100), .A1_N(n91), .A2_N(
+        rf_reg_q[857]), .Y(n1721) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1073 ( .B1(n91), .B2(n101), .A1_N(n91), .A2_N(
+        rf_reg_q[853]), .Y(n1717) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1074 ( .B1(n91), .B2(n103), .A1_N(n91), .A2_N(
+        rf_reg_q[852]), .Y(n1716) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1075 ( .B1(n91), .B2(n110), .A1_N(n91), .A2_N(
+        rf_reg_q[856]), .Y(n1720) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1076 ( .B1(n91), .B2(n98), .A1_N(n91), .A2_N(
+        rf_reg_q[855]), .Y(n1719) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1077 ( .B1(n91), .B2(n99), .A1_N(n91), .A2_N(
+        rf_reg_q[854]), .Y(n1718) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1078 ( .B1(n95), .B2(n109), .A1_N(n95), .A2_N(
+        rf_reg_q[891]), .Y(n1691) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1079 ( .B1(n95), .B2(n112), .A1_N(n95), .A2_N(
+        rf_reg_q[890]), .Y(n1690) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1080 ( .B1(n95), .B2(n100), .A1_N(n95), .A2_N(
+        rf_reg_q[889]), .Y(n1689) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1081 ( .B1(n111), .B2(n104), .A1_N(n111), .A2_N(
+        rf_reg_q[755]), .Y(n1811) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1082 ( .B1(n92), .B2(n97), .A1_N(n92), .A2_N(
+        rf_reg_q[829]), .Y(n1757) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1083 ( .B1(n95), .B2(n102), .A1_N(n95), .A2_N(
+        rf_reg_q[892]), .Y(n1692) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1084 ( .B1(n95), .B2(n110), .A1_N(n95), .A2_N(
+        rf_reg_q[888]), .Y(n1688) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1085 ( .B1(n111), .B2(n101), .A1_N(n111), .A2_N(
+        rf_reg_q[757]), .Y(n1813) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1086 ( .B1(n95), .B2(n98), .A1_N(n95), .A2_N(
+        rf_reg_q[887]), .Y(n1687) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1087 ( .B1(n92), .B2(n93), .A1_N(n92), .A2_N(
+        rf_reg_q[830]), .Y(n1758) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1088 ( .B1(n111), .B2(n105), .A1_N(n111), .A2_N(
+        rf_reg_q[754]), .Y(n1810) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1089 ( .B1(n95), .B2(n99), .A1_N(n95), .A2_N(
+        rf_reg_q[886]), .Y(n1686) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1090 ( .B1(n95), .B2(n97), .A1_N(n95), .A2_N(
+        rf_reg_q[893]), .Y(n1693) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1091 ( .B1(n92), .B2(n94), .A1_N(n92), .A2_N(
+        rf_reg_q[831]), .Y(n1759) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1092 ( .B1(n111), .B2(n106), .A1_N(n111), .A2_N(
+        rf_reg_q[753]), .Y(n1809) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1093 ( .B1(n95), .B2(n101), .A1_N(n95), .A2_N(
+        rf_reg_q[885]), .Y(n1685) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1094 ( .B1(n111), .B2(n107), .A1_N(n111), .A2_N(
+        rf_reg_q[752]), .Y(n1808) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1095 ( .B1(n111), .B2(n99), .A1_N(n111), .A2_N(
+        rf_reg_q[758]), .Y(n1814) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1096 ( .B1(n95), .B2(n103), .A1_N(n95), .A2_N(
+        rf_reg_q[884]), .Y(n1684) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1097 ( .B1(n95), .B2(n93), .A1_N(n95), .A2_N(
+        rf_reg_q[894]), .Y(n1694) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1098 ( .B1(n95), .B2(n104), .A1_N(n95), .A2_N(
+        rf_reg_q[883]), .Y(n1683) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1099 ( .B1(n95), .B2(n94), .A1_N(n95), .A2_N(
+        rf_reg_q[895]), .Y(n1695) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1100 ( .B1(n95), .B2(n105), .A1_N(n95), .A2_N(
+        rf_reg_q[882]), .Y(n1682) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1101 ( .B1(n96), .B2(n101), .A1_N(n96), .A2_N(
+        rf_reg_q[85]), .Y(n2485) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1102 ( .B1(n95), .B2(n106), .A1_N(n95), .A2_N(
+        rf_reg_q[881]), .Y(n1681) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1103 ( .B1(n96), .B2(n99), .A1_N(n96), .A2_N(
+        rf_reg_q[86]), .Y(n2486) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1104 ( .B1(n111), .B2(n98), .A1_N(n111), .A2_N(
+        rf_reg_q[759]), .Y(n1815) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1105 ( .B1(n95), .B2(n107), .A1_N(n95), .A2_N(
+        rf_reg_q[880]), .Y(n1680) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1106 ( .B1(n96), .B2(n98), .A1_N(n96), .A2_N(
+        rf_reg_q[87]), .Y(n2487) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1107 ( .B1(n111), .B2(n103), .A1_N(n111), .A2_N(
+        rf_reg_q[756]), .Y(n1812) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1108 ( .B1(n96), .B2(n110), .A1_N(n96), .A2_N(
+        rf_reg_q[88]), .Y(n2488) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1109 ( .B1(n113), .B2(n98), .A1_N(n113), .A2_N(
+        rf_reg_q[791]), .Y(n1783) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1110 ( .B1(n113), .B2(n110), .A1_N(n113), .A2_N(
+        rf_reg_q[792]), .Y(n1784) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1111 ( .B1(n111), .B2(n97), .A1_N(n111), .A2_N(
+        rf_reg_q[765]), .Y(n1821) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1112 ( .B1(n113), .B2(n102), .A1_N(n113), .A2_N(
+        rf_reg_q[796]), .Y(n1788) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1113 ( .B1(n108), .B2(n98), .A1_N(n108), .A2_N(
+        rf_reg_q[55]), .Y(n2519) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1114 ( .B1(n111), .B2(n109), .A1_N(n111), .A2_N(
+        rf_reg_q[763]), .Y(n1819) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1115 ( .B1(n108), .B2(n99), .A1_N(n108), .A2_N(
+        rf_reg_q[54]), .Y(n2518) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1116 ( .B1(n113), .B2(n100), .A1_N(n113), .A2_N(
+        rf_reg_q[793]), .Y(n1785) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1117 ( .B1(n108), .B2(n101), .A1_N(n108), .A2_N(
+        rf_reg_q[53]), .Y(n2517) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1118 ( .B1(n111), .B2(n102), .A1_N(n111), .A2_N(
+        rf_reg_q[764]), .Y(n1820) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1119 ( .B1(n108), .B2(n103), .A1_N(n108), .A2_N(
+        rf_reg_q[52]), .Y(n2516) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1120 ( .B1(n108), .B2(n104), .A1_N(n108), .A2_N(
+        rf_reg_q[51]), .Y(n2515) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1121 ( .B1(n108), .B2(n105), .A1_N(n108), .A2_N(
+        rf_reg_q[50]), .Y(n2514) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1122 ( .B1(n108), .B2(n106), .A1_N(n108), .A2_N(
+        rf_reg_q[49]), .Y(n2513) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1123 ( .B1(n108), .B2(n107), .A1_N(n108), .A2_N(
+        rf_reg_q[48]), .Y(n2512) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1124 ( .B1(n108), .B2(n110), .A1_N(n108), .A2_N(
+        rf_reg_q[56]), .Y(n2520) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1125 ( .B1(n113), .B2(n109), .A1_N(n113), .A2_N(
+        rf_reg_q[795]), .Y(n1787) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1126 ( .B1(n111), .B2(n110), .A1_N(n111), .A2_N(
+        rf_reg_q[760]), .Y(n1816) );
+  sky130_fd_sc_hd__o2bb2ai_1 U1127 ( .B1(n113), .B2(n112), .A1_N(n113), .A2_N(
+        rf_reg_q[794]), .Y(n1786) );
+  sky130_fd_sc_hd__clkinv_1 U1128 ( .A(raddr_b_i[0]), .Y(n132) );
+  sky130_fd_sc_hd__clkinv_1 U1129 ( .A(raddr_b_i[1]), .Y(n133) );
+  sky130_fd_sc_hd__nor2_1 U1130 ( .A(n132), .B(n133), .Y(n825) );
+  sky130_fd_sc_hd__nor3_1 U1131 ( .A(raddr_b_i[3]), .B(raddr_b_i[2]), .C(
+        raddr_b_i[4]), .Y(n816) );
+  sky130_fd_sc_hd__nand2_1 U1132 ( .A(raddr_b_i[2]), .B(raddr_b_i[4]), .Y(n114) );
+  sky130_fd_sc_hd__clkbuf_1 U1133 ( .A(n594), .X(n814) );
+  sky130_fd_sc_hd__a22oi_1 U1134 ( .A1(n8), .A2(rf_reg_q[96]), .B1(n814), .B2(
+        rf_reg_q[736]), .Y(n121) );
+  sky130_fd_sc_hd__clkinv_1 U1135 ( .A(raddr_b_i[3]), .Y(n115) );
+  sky130_fd_sc_hd__nor3_1 U1136 ( .A(raddr_b_i[2]), .B(raddr_b_i[4]), .C(n115), 
+        .Y(n813) );
+  sky130_fd_sc_hd__clkbuf_1 U1137 ( .A(n813), .X(n751) );
+  sky130_fd_sc_hd__clkinv_1 U1138 ( .A(raddr_b_i[4]), .Y(n116) );
+  sky130_fd_sc_hd__nor3_1 U1139 ( .A(raddr_b_i[2]), .B(n115), .C(n116), .Y(
+        n482) );
+  sky130_fd_sc_hd__a22oi_1 U1140 ( .A1(n751), .A2(rf_reg_q[352]), .B1(n5), 
+        .B2(rf_reg_q[864]), .Y(n120) );
+  sky130_fd_sc_hd__clkinv_1 U1141 ( .A(raddr_b_i[2]), .Y(n117) );
+  sky130_fd_sc_hd__nor3_1 U1142 ( .A(raddr_b_i[4]), .B(n117), .C(n115), .Y(
+        n796) );
+  sky130_fd_sc_hd__clkbuf_1 U1143 ( .A(n796), .X(n828) );
+  sky130_fd_sc_hd__nor2_1 U1144 ( .A(n115), .B(n114), .Y(n817) );
+  sky130_fd_sc_hd__a22oi_1 U1145 ( .A1(n828), .A2(rf_reg_q[480]), .B1(n7), 
+        .B2(rf_reg_q[992]), .Y(n119) );
+  sky130_fd_sc_hd__nor3_1 U1146 ( .A(raddr_b_i[3]), .B(raddr_b_i[2]), .C(n116), 
+        .Y(n517) );
+  sky130_fd_sc_hd__nor3_1 U1147 ( .A(raddr_b_i[3]), .B(raddr_b_i[4]), .C(n117), 
+        .Y(n744) );
+  sky130_fd_sc_hd__a22oi_1 U1148 ( .A1(n6), .A2(rf_reg_q[608]), .B1(n744), 
+        .B2(rf_reg_q[224]), .Y(n118) );
+  sky130_fd_sc_hd__nand4_1 U1149 ( .A(n121), .B(n120), .C(n119), .D(n118), .Y(
+        n127) );
+  sky130_fd_sc_hd__nor2_1 U1150 ( .A(raddr_b_i[0]), .B(n133), .Y(n823) );
+  sky130_fd_sc_hd__a22oi_1 U1151 ( .A1(n8), .A2(rf_reg_q[64]), .B1(n751), .B2(
+        rf_reg_q[320]), .Y(n125) );
+  sky130_fd_sc_hd__a22oi_1 U1152 ( .A1(n7), .A2(rf_reg_q[960]), .B1(n5), .B2(
+        rf_reg_q[832]), .Y(n124) );
+  sky130_fd_sc_hd__clkbuf_1 U1153 ( .A(n796), .X(n639) );
+  sky130_fd_sc_hd__a22oi_1 U1154 ( .A1(n639), .A2(rf_reg_q[448]), .B1(n744), 
+        .B2(rf_reg_q[192]), .Y(n123) );
+  sky130_fd_sc_hd__a22oi_1 U1155 ( .A1(n6), .A2(rf_reg_q[576]), .B1(n594), 
+        .B2(rf_reg_q[704]), .Y(n122) );
+  sky130_fd_sc_hd__nand4_1 U1156 ( .A(n125), .B(n124), .C(n123), .D(n122), .Y(
+        n126) );
+  sky130_fd_sc_hd__a22oi_1 U1157 ( .A1(n825), .A2(n127), .B1(n823), .B2(n126), 
+        .Y(n141) );
+  sky130_fd_sc_hd__a22oi_1 U1158 ( .A1(rf_reg_q[544]), .A2(n6), .B1(
+        rf_reg_q[32]), .B2(n8), .Y(n131) );
+  sky130_fd_sc_hd__a22oi_1 U1159 ( .A1(rf_reg_q[416]), .A2(n828), .B1(
+        rf_reg_q[160]), .B2(n744), .Y(n130) );
+  sky130_fd_sc_hd__a22oi_1 U1160 ( .A1(rf_reg_q[928]), .A2(n7), .B1(
+        rf_reg_q[672]), .B2(n594), .Y(n129) );
+  sky130_fd_sc_hd__a22oi_1 U1161 ( .A1(rf_reg_q[288]), .A2(n751), .B1(
+        rf_reg_q[800]), .B2(n5), .Y(n128) );
+  sky130_fd_sc_hd__nand4_1 U1162 ( .A(n131), .B(n130), .C(n129), .D(n128), .Y(
+        n139) );
+  sky130_fd_sc_hd__nor2_1 U1163 ( .A(raddr_b_i[1]), .B(n132), .Y(n845) );
+  sky130_fd_sc_hd__and2_0 U1164 ( .A(n482), .B(n133), .X(n836) );
+  sky130_fd_sc_hd__a22oi_1 U1165 ( .A1(rf_reg_q[768]), .A2(n836), .B1(
+        rf_reg_q[640]), .B2(n838), .Y(n137) );
+  sky130_fd_sc_hd__and2_0 U1166 ( .A(n639), .B(n133), .X(n835) );
+  sky130_fd_sc_hd__and2_0 U1167 ( .A(n517), .B(n133), .X(n834) );
+  sky130_fd_sc_hd__a22oi_1 U1168 ( .A1(rf_reg_q[384]), .A2(n835), .B1(
+        rf_reg_q[512]), .B2(n834), .Y(n136) );
+  sky130_fd_sc_hd__nor2b_1 U1169 ( .B_N(n7), .A(raddr_b_i[1]), .Y(n840) );
+  sky130_fd_sc_hd__and2_0 U1170 ( .A(n813), .B(n133), .X(n833) );
+  sky130_fd_sc_hd__and2_0 U1171 ( .A(n744), .B(n133), .X(n837) );
+  sky130_fd_sc_hd__a22o_1 U1172 ( .A1(rf_reg_q[256]), .A2(n833), .B1(
+        rf_reg_q[128]), .B2(n837), .X(n134) );
+  sky130_fd_sc_hd__a21oi_1 U1173 ( .A1(rf_reg_q[896]), .A2(n840), .B1(n134), 
+        .Y(n135) );
+  sky130_fd_sc_hd__a31oi_1 U1174 ( .A1(n137), .A2(n136), .A3(n135), .B1(
+        raddr_b_i[0]), .Y(n138) );
+  sky130_fd_sc_hd__a21oi_1 U1175 ( .A1(n139), .A2(n845), .B1(n138), .Y(n140)
+         );
+  sky130_fd_sc_hd__nand2_1 U1176 ( .A(n141), .B(n140), .Y(rdata_b_o[0]) );
+  sky130_fd_sc_hd__a22oi_1 U1177 ( .A1(n744), .A2(rf_reg_q[225]), .B1(n594), 
+        .B2(rf_reg_q[737]), .Y(n145) );
+  sky130_fd_sc_hd__a22oi_1 U1178 ( .A1(n6), .A2(rf_reg_q[609]), .B1(n639), 
+        .B2(rf_reg_q[481]), .Y(n144) );
+  sky130_fd_sc_hd__a22oi_1 U1179 ( .A1(n751), .A2(rf_reg_q[353]), .B1(n5), 
+        .B2(rf_reg_q[865]), .Y(n143) );
+  sky130_fd_sc_hd__a22oi_1 U1180 ( .A1(n8), .A2(rf_reg_q[97]), .B1(n7), .B2(
+        rf_reg_q[993]), .Y(n142) );
+  sky130_fd_sc_hd__nand4_1 U1181 ( .A(n145), .B(n144), .C(n143), .D(n142), .Y(
+        n151) );
+  sky130_fd_sc_hd__a22oi_1 U1182 ( .A1(n828), .A2(rf_reg_q[449]), .B1(n5), 
+        .B2(rf_reg_q[833]), .Y(n149) );
+  sky130_fd_sc_hd__a22oi_1 U1183 ( .A1(n6), .A2(rf_reg_q[577]), .B1(n744), 
+        .B2(rf_reg_q[193]), .Y(n148) );
+  sky130_fd_sc_hd__a22oi_1 U1184 ( .A1(n8), .A2(rf_reg_q[65]), .B1(n594), .B2(
+        rf_reg_q[705]), .Y(n147) );
+  sky130_fd_sc_hd__clkbuf_1 U1185 ( .A(n813), .X(n826) );
+  sky130_fd_sc_hd__a22oi_1 U1186 ( .A1(n7), .A2(rf_reg_q[961]), .B1(n826), 
+        .B2(rf_reg_q[321]), .Y(n146) );
+  sky130_fd_sc_hd__nand4_1 U1187 ( .A(n149), .B(n148), .C(n147), .D(n146), .Y(
+        n150) );
+  sky130_fd_sc_hd__a22oi_1 U1188 ( .A1(n825), .A2(n151), .B1(n823), .B2(n150), 
+        .Y(n163) );
+  sky130_fd_sc_hd__a22oi_1 U1189 ( .A1(n8), .A2(rf_reg_q[33]), .B1(n826), .B2(
+        rf_reg_q[289]), .Y(n155) );
+  sky130_fd_sc_hd__a22oi_1 U1190 ( .A1(n828), .A2(rf_reg_q[417]), .B1(n594), 
+        .B2(rf_reg_q[673]), .Y(n154) );
+  sky130_fd_sc_hd__a22oi_1 U1191 ( .A1(n7), .A2(rf_reg_q[929]), .B1(n5), .B2(
+        rf_reg_q[801]), .Y(n153) );
+  sky130_fd_sc_hd__a22oi_1 U1192 ( .A1(n6), .A2(rf_reg_q[545]), .B1(n744), 
+        .B2(rf_reg_q[161]), .Y(n152) );
+  sky130_fd_sc_hd__nand4_1 U1193 ( .A(n155), .B(n154), .C(n153), .D(n152), .Y(
+        n161) );
+  sky130_fd_sc_hd__a22oi_1 U1194 ( .A1(n840), .A2(rf_reg_q[897]), .B1(n837), 
+        .B2(rf_reg_q[129]), .Y(n159) );
+  sky130_fd_sc_hd__a22oi_1 U1195 ( .A1(n836), .A2(rf_reg_q[769]), .B1(n835), 
+        .B2(rf_reg_q[385]), .Y(n158) );
+  sky130_fd_sc_hd__a22o_1 U1196 ( .A1(n838), .A2(rf_reg_q[641]), .B1(n833), 
+        .B2(rf_reg_q[257]), .X(n156) );
+  sky130_fd_sc_hd__a21oi_1 U1197 ( .A1(n834), .A2(rf_reg_q[513]), .B1(n156), 
+        .Y(n157) );
+  sky130_fd_sc_hd__a31oi_1 U1198 ( .A1(n159), .A2(n158), .A3(n157), .B1(
+        raddr_b_i[0]), .Y(n160) );
+  sky130_fd_sc_hd__a21oi_1 U1199 ( .A1(n161), .A2(n845), .B1(n160), .Y(n162)
+         );
+  sky130_fd_sc_hd__nand2_1 U1200 ( .A(n163), .B(n162), .Y(rdata_b_o[1]) );
+  sky130_fd_sc_hd__a22oi_1 U1201 ( .A1(n8), .A2(rf_reg_q[98]), .B1(n7), .B2(
+        rf_reg_q[994]), .Y(n167) );
+  sky130_fd_sc_hd__a22oi_1 U1202 ( .A1(n6), .A2(rf_reg_q[610]), .B1(n5), .B2(
+        rf_reg_q[866]), .Y(n166) );
+  sky130_fd_sc_hd__clkbuf_1 U1203 ( .A(n744), .X(n827) );
+  sky130_fd_sc_hd__a22oi_1 U1204 ( .A1(n827), .A2(rf_reg_q[226]), .B1(n594), 
+        .B2(rf_reg_q[738]), .Y(n165) );
+  sky130_fd_sc_hd__a22oi_1 U1205 ( .A1(n639), .A2(rf_reg_q[482]), .B1(n826), 
+        .B2(rf_reg_q[354]), .Y(n164) );
+  sky130_fd_sc_hd__nand4_1 U1206 ( .A(n167), .B(n166), .C(n165), .D(n164), .Y(
+        n173) );
+  sky130_fd_sc_hd__a22oi_1 U1207 ( .A1(n6), .A2(rf_reg_q[578]), .B1(n826), 
+        .B2(rf_reg_q[322]), .Y(n171) );
+  sky130_fd_sc_hd__a22oi_1 U1208 ( .A1(n827), .A2(rf_reg_q[194]), .B1(n7), 
+        .B2(rf_reg_q[962]), .Y(n170) );
+  sky130_fd_sc_hd__a22oi_1 U1209 ( .A1(n8), .A2(rf_reg_q[66]), .B1(n639), .B2(
+        rf_reg_q[450]), .Y(n169) );
+  sky130_fd_sc_hd__a22oi_1 U1210 ( .A1(n594), .A2(rf_reg_q[706]), .B1(n5), 
+        .B2(rf_reg_q[834]), .Y(n168) );
+  sky130_fd_sc_hd__nand4_1 U1211 ( .A(n171), .B(n170), .C(n169), .D(n168), .Y(
+        n172) );
+  sky130_fd_sc_hd__a22oi_1 U1212 ( .A1(n825), .A2(n173), .B1(n823), .B2(n172), 
+        .Y(n185) );
+  sky130_fd_sc_hd__a22oi_1 U1213 ( .A1(n8), .A2(rf_reg_q[34]), .B1(n5), .B2(
+        rf_reg_q[802]), .Y(n177) );
+  sky130_fd_sc_hd__a22oi_1 U1214 ( .A1(n6), .A2(rf_reg_q[546]), .B1(n744), 
+        .B2(rf_reg_q[162]), .Y(n176) );
+  sky130_fd_sc_hd__a22oi_1 U1215 ( .A1(n594), .A2(rf_reg_q[674]), .B1(n826), 
+        .B2(rf_reg_q[290]), .Y(n175) );
+  sky130_fd_sc_hd__a22oi_1 U1216 ( .A1(n828), .A2(rf_reg_q[418]), .B1(n7), 
+        .B2(rf_reg_q[930]), .Y(n174) );
+  sky130_fd_sc_hd__nand4_1 U1217 ( .A(n177), .B(n176), .C(n175), .D(n174), .Y(
+        n183) );
+  sky130_fd_sc_hd__a22oi_1 U1218 ( .A1(n840), .A2(rf_reg_q[898]), .B1(n836), 
+        .B2(rf_reg_q[770]), .Y(n181) );
+  sky130_fd_sc_hd__a22oi_1 U1219 ( .A1(n833), .A2(rf_reg_q[258]), .B1(n837), 
+        .B2(rf_reg_q[130]), .Y(n180) );
+  sky130_fd_sc_hd__a22o_1 U1220 ( .A1(n838), .A2(rf_reg_q[642]), .B1(n835), 
+        .B2(rf_reg_q[386]), .X(n178) );
+  sky130_fd_sc_hd__a21oi_1 U1221 ( .A1(n834), .A2(rf_reg_q[514]), .B1(n178), 
+        .Y(n179) );
+  sky130_fd_sc_hd__a31oi_1 U1222 ( .A1(n181), .A2(n180), .A3(n179), .B1(
+        raddr_b_i[0]), .Y(n182) );
+  sky130_fd_sc_hd__a21oi_1 U1223 ( .A1(n183), .A2(n845), .B1(n182), .Y(n184)
+         );
+  sky130_fd_sc_hd__nand2_1 U1224 ( .A(n185), .B(n184), .Y(rdata_b_o[2]) );
+  sky130_fd_sc_hd__a22oi_1 U1225 ( .A1(n7), .A2(rf_reg_q[931]), .B1(n814), 
+        .B2(rf_reg_q[675]), .Y(n189) );
+  sky130_fd_sc_hd__a22oi_1 U1226 ( .A1(n6), .A2(rf_reg_q[547]), .B1(n639), 
+        .B2(rf_reg_q[419]), .Y(n188) );
+  sky130_fd_sc_hd__a22oi_1 U1227 ( .A1(n8), .A2(rf_reg_q[35]), .B1(n826), .B2(
+        rf_reg_q[291]), .Y(n187) );
+  sky130_fd_sc_hd__a22oi_1 U1228 ( .A1(n827), .A2(rf_reg_q[163]), .B1(n5), 
+        .B2(rf_reg_q[803]), .Y(n186) );
+  sky130_fd_sc_hd__nand4_1 U1229 ( .A(n189), .B(n188), .C(n187), .D(n186), .Y(
+        n195) );
+  sky130_fd_sc_hd__a22oi_1 U1230 ( .A1(n6), .A2(rf_reg_q[579]), .B1(n744), 
+        .B2(rf_reg_q[195]), .Y(n193) );
+  sky130_fd_sc_hd__a22oi_1 U1231 ( .A1(n828), .A2(rf_reg_q[451]), .B1(n594), 
+        .B2(rf_reg_q[707]), .Y(n192) );
+  sky130_fd_sc_hd__a22oi_1 U1232 ( .A1(n8), .A2(rf_reg_q[67]), .B1(n7), .B2(
+        rf_reg_q[963]), .Y(n191) );
+  sky130_fd_sc_hd__a22oi_1 U1233 ( .A1(n751), .A2(rf_reg_q[323]), .B1(n5), 
+        .B2(rf_reg_q[835]), .Y(n190) );
+  sky130_fd_sc_hd__nand4_1 U1234 ( .A(n193), .B(n192), .C(n191), .D(n190), .Y(
+        n194) );
+  sky130_fd_sc_hd__a22oi_1 U1235 ( .A1(n845), .A2(n195), .B1(n823), .B2(n194), 
+        .Y(n207) );
+  sky130_fd_sc_hd__a22oi_1 U1236 ( .A1(n8), .A2(rf_reg_q[99]), .B1(n7), .B2(
+        rf_reg_q[995]), .Y(n199) );
+  sky130_fd_sc_hd__a22oi_1 U1237 ( .A1(n6), .A2(rf_reg_q[611]), .B1(n826), 
+        .B2(rf_reg_q[355]), .Y(n198) );
+  sky130_fd_sc_hd__a22oi_1 U1238 ( .A1(n827), .A2(rf_reg_q[227]), .B1(n594), 
+        .B2(rf_reg_q[739]), .Y(n197) );
+  sky130_fd_sc_hd__a22oi_1 U1239 ( .A1(n828), .A2(rf_reg_q[483]), .B1(n5), 
+        .B2(rf_reg_q[867]), .Y(n196) );
+  sky130_fd_sc_hd__nand4_1 U1240 ( .A(n199), .B(n198), .C(n197), .D(n196), .Y(
+        n205) );
+  sky130_fd_sc_hd__a22oi_1 U1241 ( .A1(n835), .A2(rf_reg_q[387]), .B1(n837), 
+        .B2(rf_reg_q[131]), .Y(n203) );
+  sky130_fd_sc_hd__a22oi_1 U1242 ( .A1(n836), .A2(rf_reg_q[771]), .B1(n833), 
+        .B2(rf_reg_q[259]), .Y(n202) );
+  sky130_fd_sc_hd__a22o_1 U1243 ( .A1(n838), .A2(rf_reg_q[643]), .B1(n834), 
+        .B2(rf_reg_q[515]), .X(n200) );
+  sky130_fd_sc_hd__a21oi_1 U1244 ( .A1(n840), .A2(rf_reg_q[899]), .B1(n200), 
+        .Y(n201) );
+  sky130_fd_sc_hd__a31oi_1 U1245 ( .A1(n203), .A2(n202), .A3(n201), .B1(
+        raddr_b_i[0]), .Y(n204) );
+  sky130_fd_sc_hd__a21oi_1 U1246 ( .A1(n205), .A2(n825), .B1(n204), .Y(n206)
+         );
+  sky130_fd_sc_hd__nand2_1 U1247 ( .A(n207), .B(n206), .Y(rdata_b_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U1248 ( .A(n744), .X(n815) );
+  sky130_fd_sc_hd__a22oi_1 U1249 ( .A1(n815), .A2(rf_reg_q[228]), .B1(n594), 
+        .B2(rf_reg_q[740]), .Y(n211) );
+  sky130_fd_sc_hd__a22oi_1 U1250 ( .A1(n7), .A2(rf_reg_q[996]), .B1(n826), 
+        .B2(rf_reg_q[356]), .Y(n210) );
+  sky130_fd_sc_hd__a22oi_1 U1251 ( .A1(n796), .A2(rf_reg_q[484]), .B1(n5), 
+        .B2(rf_reg_q[868]), .Y(n209) );
+  sky130_fd_sc_hd__a22oi_1 U1252 ( .A1(n6), .A2(rf_reg_q[612]), .B1(n8), .B2(
+        rf_reg_q[100]), .Y(n208) );
+  sky130_fd_sc_hd__nand4_1 U1253 ( .A(n211), .B(n210), .C(n209), .D(n208), .Y(
+        n217) );
+  sky130_fd_sc_hd__a22oi_1 U1254 ( .A1(n639), .A2(rf_reg_q[452]), .B1(n5), 
+        .B2(rf_reg_q[836]), .Y(n215) );
+  sky130_fd_sc_hd__a22oi_1 U1255 ( .A1(n517), .A2(rf_reg_q[580]), .B1(n8), 
+        .B2(rf_reg_q[68]), .Y(n214) );
+  sky130_fd_sc_hd__a22oi_1 U1256 ( .A1(n7), .A2(rf_reg_q[964]), .B1(n826), 
+        .B2(rf_reg_q[324]), .Y(n213) );
+  sky130_fd_sc_hd__a22oi_1 U1257 ( .A1(n815), .A2(rf_reg_q[196]), .B1(n594), 
+        .B2(rf_reg_q[708]), .Y(n212) );
+  sky130_fd_sc_hd__nand4_1 U1258 ( .A(n215), .B(n214), .C(n213), .D(n212), .Y(
+        n216) );
+  sky130_fd_sc_hd__a22oi_1 U1259 ( .A1(n825), .A2(n217), .B1(n823), .B2(n216), 
+        .Y(n229) );
+  sky130_fd_sc_hd__a22oi_1 U1260 ( .A1(n517), .A2(rf_reg_q[548]), .B1(n8), 
+        .B2(rf_reg_q[36]), .Y(n221) );
+  sky130_fd_sc_hd__a22oi_1 U1261 ( .A1(n827), .A2(rf_reg_q[164]), .B1(n7), 
+        .B2(rf_reg_q[932]), .Y(n220) );
+  sky130_fd_sc_hd__a22oi_1 U1262 ( .A1(n594), .A2(rf_reg_q[676]), .B1(n5), 
+        .B2(rf_reg_q[804]), .Y(n219) );
+  sky130_fd_sc_hd__a22oi_1 U1263 ( .A1(n828), .A2(rf_reg_q[420]), .B1(n813), 
+        .B2(rf_reg_q[292]), .Y(n218) );
+  sky130_fd_sc_hd__nand4_1 U1264 ( .A(n221), .B(n220), .C(n219), .D(n218), .Y(
+        n227) );
+  sky130_fd_sc_hd__a22oi_1 U1265 ( .A1(n834), .A2(rf_reg_q[516]), .B1(n833), 
+        .B2(rf_reg_q[260]), .Y(n225) );
+  sky130_fd_sc_hd__a22oi_1 U1266 ( .A1(n840), .A2(rf_reg_q[900]), .B1(n835), 
+        .B2(rf_reg_q[388]), .Y(n224) );
+  sky130_fd_sc_hd__a22o_1 U1267 ( .A1(n836), .A2(rf_reg_q[772]), .B1(n837), 
+        .B2(rf_reg_q[132]), .X(n222) );
+  sky130_fd_sc_hd__a21oi_1 U1268 ( .A1(n838), .A2(rf_reg_q[644]), .B1(n222), 
+        .Y(n223) );
+  sky130_fd_sc_hd__a31oi_1 U1269 ( .A1(n225), .A2(n224), .A3(n223), .B1(
+        raddr_b_i[0]), .Y(n226) );
+  sky130_fd_sc_hd__a21oi_1 U1270 ( .A1(n227), .A2(n845), .B1(n226), .Y(n228)
+         );
+  sky130_fd_sc_hd__nand2_1 U1271 ( .A(n229), .B(n228), .Y(rdata_b_o[4]) );
+  sky130_fd_sc_hd__a22oi_1 U1272 ( .A1(n815), .A2(rf_reg_q[229]), .B1(n594), 
+        .B2(rf_reg_q[741]), .Y(n233) );
+  sky130_fd_sc_hd__a22oi_1 U1273 ( .A1(n517), .A2(rf_reg_q[613]), .B1(n8), 
+        .B2(rf_reg_q[101]), .Y(n232) );
+  sky130_fd_sc_hd__a22oi_1 U1274 ( .A1(n828), .A2(rf_reg_q[485]), .B1(n813), 
+        .B2(rf_reg_q[357]), .Y(n231) );
+  sky130_fd_sc_hd__a22oi_1 U1275 ( .A1(n7), .A2(rf_reg_q[997]), .B1(n5), .B2(
+        rf_reg_q[869]), .Y(n230) );
+  sky130_fd_sc_hd__nand4_1 U1276 ( .A(n233), .B(n232), .C(n231), .D(n230), .Y(
+        n239) );
+  sky130_fd_sc_hd__a22oi_1 U1277 ( .A1(n8), .A2(rf_reg_q[69]), .B1(n813), .B2(
+        rf_reg_q[325]), .Y(n237) );
+  sky130_fd_sc_hd__a22oi_1 U1278 ( .A1(n827), .A2(rf_reg_q[197]), .B1(n7), 
+        .B2(rf_reg_q[965]), .Y(n236) );
+  sky130_fd_sc_hd__a22oi_1 U1279 ( .A1(n594), .A2(rf_reg_q[709]), .B1(n5), 
+        .B2(rf_reg_q[837]), .Y(n235) );
+  sky130_fd_sc_hd__a22oi_1 U1280 ( .A1(n517), .A2(rf_reg_q[581]), .B1(n639), 
+        .B2(rf_reg_q[453]), .Y(n234) );
+  sky130_fd_sc_hd__nand4_1 U1281 ( .A(n237), .B(n236), .C(n235), .D(n234), .Y(
+        n238) );
+  sky130_fd_sc_hd__a22oi_1 U1282 ( .A1(n825), .A2(n239), .B1(n823), .B2(n238), 
+        .Y(n251) );
+  sky130_fd_sc_hd__a22oi_1 U1283 ( .A1(n8), .A2(rf_reg_q[37]), .B1(n813), .B2(
+        rf_reg_q[293]), .Y(n243) );
+  sky130_fd_sc_hd__a22oi_1 U1284 ( .A1(n639), .A2(rf_reg_q[421]), .B1(n594), 
+        .B2(rf_reg_q[677]), .Y(n242) );
+  sky130_fd_sc_hd__a22oi_1 U1285 ( .A1(n7), .A2(rf_reg_q[933]), .B1(n5), .B2(
+        rf_reg_q[805]), .Y(n241) );
+  sky130_fd_sc_hd__a22oi_1 U1286 ( .A1(n517), .A2(rf_reg_q[549]), .B1(n744), 
+        .B2(rf_reg_q[165]), .Y(n240) );
+  sky130_fd_sc_hd__nand4_1 U1287 ( .A(n243), .B(n242), .C(n241), .D(n240), .Y(
+        n249) );
+  sky130_fd_sc_hd__a22oi_1 U1288 ( .A1(n840), .A2(rf_reg_q[901]), .B1(n834), 
+        .B2(rf_reg_q[517]), .Y(n247) );
+  sky130_fd_sc_hd__a22oi_1 U1289 ( .A1(n835), .A2(rf_reg_q[389]), .B1(n833), 
+        .B2(rf_reg_q[261]), .Y(n246) );
+  sky130_fd_sc_hd__a22o_1 U1290 ( .A1(n838), .A2(rf_reg_q[645]), .B1(n837), 
+        .B2(rf_reg_q[133]), .X(n244) );
+  sky130_fd_sc_hd__a21oi_1 U1291 ( .A1(n836), .A2(rf_reg_q[773]), .B1(n244), 
+        .Y(n245) );
+  sky130_fd_sc_hd__a31oi_1 U1292 ( .A1(n247), .A2(n246), .A3(n245), .B1(
+        raddr_b_i[0]), .Y(n248) );
+  sky130_fd_sc_hd__a21oi_1 U1293 ( .A1(n249), .A2(n845), .B1(n248), .Y(n250)
+         );
+  sky130_fd_sc_hd__nand2_1 U1294 ( .A(n251), .B(n250), .Y(rdata_b_o[5]) );
+  sky130_fd_sc_hd__a22oi_1 U1295 ( .A1(n8), .A2(rf_reg_q[38]), .B1(n5), .B2(
+        rf_reg_q[806]), .Y(n255) );
+  sky130_fd_sc_hd__a22oi_1 U1296 ( .A1(n517), .A2(rf_reg_q[550]), .B1(n594), 
+        .B2(rf_reg_q[678]), .Y(n254) );
+  sky130_fd_sc_hd__a22oi_1 U1297 ( .A1(n7), .A2(rf_reg_q[934]), .B1(n813), 
+        .B2(rf_reg_q[294]), .Y(n253) );
+  sky130_fd_sc_hd__a22oi_1 U1298 ( .A1(n828), .A2(rf_reg_q[422]), .B1(n744), 
+        .B2(rf_reg_q[166]), .Y(n252) );
+  sky130_fd_sc_hd__nand4_1 U1299 ( .A(n255), .B(n254), .C(n253), .D(n252), .Y(
+        n261) );
+  sky130_fd_sc_hd__a22oi_1 U1300 ( .A1(n7), .A2(rf_reg_q[966]), .B1(n482), 
+        .B2(rf_reg_q[838]), .Y(n259) );
+  sky130_fd_sc_hd__a22oi_1 U1301 ( .A1(n517), .A2(rf_reg_q[582]), .B1(n828), 
+        .B2(rf_reg_q[454]), .Y(n258) );
+  sky130_fd_sc_hd__a22oi_1 U1302 ( .A1(n8), .A2(rf_reg_q[70]), .B1(n594), .B2(
+        rf_reg_q[710]), .Y(n257) );
+  sky130_fd_sc_hd__a22oi_1 U1303 ( .A1(n815), .A2(rf_reg_q[198]), .B1(n813), 
+        .B2(rf_reg_q[326]), .Y(n256) );
+  sky130_fd_sc_hd__nand4_1 U1304 ( .A(n259), .B(n258), .C(n257), .D(n256), .Y(
+        n260) );
+  sky130_fd_sc_hd__a22oi_1 U1305 ( .A1(n845), .A2(n261), .B1(n823), .B2(n260), 
+        .Y(n273) );
+  sky130_fd_sc_hd__a22oi_1 U1306 ( .A1(n594), .A2(rf_reg_q[742]), .B1(n482), 
+        .B2(rf_reg_q[870]), .Y(n265) );
+  sky130_fd_sc_hd__a22oi_1 U1307 ( .A1(n6), .A2(rf_reg_q[614]), .B1(n813), 
+        .B2(rf_reg_q[358]), .Y(n264) );
+  sky130_fd_sc_hd__a22oi_1 U1308 ( .A1(n639), .A2(rf_reg_q[486]), .B1(n744), 
+        .B2(rf_reg_q[230]), .Y(n263) );
+  sky130_fd_sc_hd__a22oi_1 U1309 ( .A1(n8), .A2(rf_reg_q[102]), .B1(n7), .B2(
+        rf_reg_q[998]), .Y(n262) );
+  sky130_fd_sc_hd__nand4_1 U1310 ( .A(n265), .B(n264), .C(n263), .D(n262), .Y(
+        n271) );
+  sky130_fd_sc_hd__a22oi_1 U1311 ( .A1(n835), .A2(rf_reg_q[390]), .B1(n837), 
+        .B2(rf_reg_q[134]), .Y(n269) );
+  sky130_fd_sc_hd__a22oi_1 U1312 ( .A1(n840), .A2(rf_reg_q[902]), .B1(n836), 
+        .B2(rf_reg_q[774]), .Y(n268) );
+  sky130_fd_sc_hd__a22o_1 U1313 ( .A1(n834), .A2(rf_reg_q[518]), .B1(n833), 
+        .B2(rf_reg_q[262]), .X(n266) );
+  sky130_fd_sc_hd__a21oi_1 U1314 ( .A1(n838), .A2(rf_reg_q[646]), .B1(n266), 
+        .Y(n267) );
+  sky130_fd_sc_hd__a31oi_1 U1315 ( .A1(n269), .A2(n268), .A3(n267), .B1(
+        raddr_b_i[0]), .Y(n270) );
+  sky130_fd_sc_hd__a21oi_1 U1316 ( .A1(n271), .A2(n825), .B1(n270), .Y(n272)
+         );
+  sky130_fd_sc_hd__nand2_1 U1317 ( .A(n273), .B(n272), .Y(rdata_b_o[6]) );
+  sky130_fd_sc_hd__a22oi_1 U1318 ( .A1(n639), .A2(rf_reg_q[423]), .B1(n815), 
+        .B2(rf_reg_q[167]), .Y(n277) );
+  sky130_fd_sc_hd__a22oi_1 U1319 ( .A1(n7), .A2(rf_reg_q[935]), .B1(n482), 
+        .B2(rf_reg_q[807]), .Y(n276) );
+  sky130_fd_sc_hd__a22oi_1 U1320 ( .A1(n6), .A2(rf_reg_q[551]), .B1(n813), 
+        .B2(rf_reg_q[295]), .Y(n275) );
+  sky130_fd_sc_hd__a22oi_1 U1321 ( .A1(n8), .A2(rf_reg_q[39]), .B1(n594), .B2(
+        rf_reg_q[679]), .Y(n274) );
+  sky130_fd_sc_hd__nand4_1 U1322 ( .A(n277), .B(n276), .C(n275), .D(n274), .Y(
+        n283) );
+  sky130_fd_sc_hd__a22oi_1 U1323 ( .A1(n8), .A2(rf_reg_q[103]), .B1(n594), 
+        .B2(rf_reg_q[743]), .Y(n281) );
+  sky130_fd_sc_hd__a22oi_1 U1324 ( .A1(n815), .A2(rf_reg_q[231]), .B1(n813), 
+        .B2(rf_reg_q[359]), .Y(n280) );
+  sky130_fd_sc_hd__a22oi_1 U1325 ( .A1(n7), .A2(rf_reg_q[999]), .B1(n482), 
+        .B2(rf_reg_q[871]), .Y(n279) );
+  sky130_fd_sc_hd__a22oi_1 U1326 ( .A1(n6), .A2(rf_reg_q[615]), .B1(n639), 
+        .B2(rf_reg_q[487]), .Y(n278) );
+  sky130_fd_sc_hd__nand4_1 U1327 ( .A(n281), .B(n280), .C(n279), .D(n278), .Y(
+        n282) );
+  sky130_fd_sc_hd__a22oi_1 U1328 ( .A1(n845), .A2(n283), .B1(n825), .B2(n282), 
+        .Y(n295) );
+  sky130_fd_sc_hd__a22oi_1 U1329 ( .A1(n8), .A2(rf_reg_q[71]), .B1(n482), .B2(
+        rf_reg_q[839]), .Y(n287) );
+  sky130_fd_sc_hd__a22oi_1 U1330 ( .A1(n815), .A2(rf_reg_q[199]), .B1(n751), 
+        .B2(rf_reg_q[327]), .Y(n286) );
+  sky130_fd_sc_hd__a22oi_1 U1331 ( .A1(n6), .A2(rf_reg_q[583]), .B1(n828), 
+        .B2(rf_reg_q[455]), .Y(n285) );
+  sky130_fd_sc_hd__a22oi_1 U1332 ( .A1(n7), .A2(rf_reg_q[967]), .B1(n594), 
+        .B2(rf_reg_q[711]), .Y(n284) );
+  sky130_fd_sc_hd__nand4_1 U1333 ( .A(n287), .B(n286), .C(n285), .D(n284), .Y(
+        n293) );
+  sky130_fd_sc_hd__a22oi_1 U1334 ( .A1(n833), .A2(rf_reg_q[263]), .B1(n837), 
+        .B2(rf_reg_q[135]), .Y(n291) );
+  sky130_fd_sc_hd__a22oi_1 U1335 ( .A1(n840), .A2(rf_reg_q[903]), .B1(n836), 
+        .B2(rf_reg_q[775]), .Y(n290) );
+  sky130_fd_sc_hd__a22o_1 U1336 ( .A1(n835), .A2(rf_reg_q[391]), .B1(n834), 
+        .B2(rf_reg_q[519]), .X(n288) );
+  sky130_fd_sc_hd__a21oi_1 U1337 ( .A1(n838), .A2(rf_reg_q[647]), .B1(n288), 
+        .Y(n289) );
+  sky130_fd_sc_hd__a31oi_1 U1338 ( .A1(n291), .A2(n290), .A3(n289), .B1(
+        raddr_b_i[0]), .Y(n292) );
+  sky130_fd_sc_hd__a21oi_1 U1339 ( .A1(n293), .A2(n823), .B1(n292), .Y(n294)
+         );
+  sky130_fd_sc_hd__nand2_1 U1340 ( .A(n295), .B(n294), .Y(rdata_b_o[7]) );
+  sky130_fd_sc_hd__a22oi_1 U1341 ( .A1(n8), .A2(rf_reg_q[104]), .B1(n7), .B2(
+        rf_reg_q[1000]), .Y(n299) );
+  sky130_fd_sc_hd__a22oi_1 U1342 ( .A1(n6), .A2(rf_reg_q[616]), .B1(n482), 
+        .B2(rf_reg_q[872]), .Y(n298) );
+  sky130_fd_sc_hd__a22oi_1 U1343 ( .A1(n827), .A2(rf_reg_q[232]), .B1(n594), 
+        .B2(rf_reg_q[744]), .Y(n297) );
+  sky130_fd_sc_hd__a22oi_1 U1344 ( .A1(n639), .A2(rf_reg_q[488]), .B1(n751), 
+        .B2(rf_reg_q[360]), .Y(n296) );
+  sky130_fd_sc_hd__nand4_1 U1345 ( .A(n299), .B(n298), .C(n297), .D(n296), .Y(
+        n305) );
+  sky130_fd_sc_hd__a22oi_1 U1346 ( .A1(n594), .A2(rf_reg_q[712]), .B1(n751), 
+        .B2(rf_reg_q[328]), .Y(n303) );
+  sky130_fd_sc_hd__a22oi_1 U1347 ( .A1(n815), .A2(rf_reg_q[200]), .B1(n5), 
+        .B2(rf_reg_q[840]), .Y(n302) );
+  sky130_fd_sc_hd__a22oi_1 U1348 ( .A1(n8), .A2(rf_reg_q[72]), .B1(n7), .B2(
+        rf_reg_q[968]), .Y(n301) );
+  sky130_fd_sc_hd__a22oi_1 U1349 ( .A1(n6), .A2(rf_reg_q[584]), .B1(n796), 
+        .B2(rf_reg_q[456]), .Y(n300) );
+  sky130_fd_sc_hd__nand4_1 U1350 ( .A(n303), .B(n302), .C(n301), .D(n300), .Y(
+        n304) );
+  sky130_fd_sc_hd__a22oi_1 U1351 ( .A1(n825), .A2(n305), .B1(n823), .B2(n304), 
+        .Y(n317) );
+  sky130_fd_sc_hd__a22oi_1 U1352 ( .A1(n6), .A2(rf_reg_q[552]), .B1(n8), .B2(
+        rf_reg_q[40]), .Y(n309) );
+  sky130_fd_sc_hd__a22oi_1 U1353 ( .A1(n815), .A2(rf_reg_q[168]), .B1(n751), 
+        .B2(rf_reg_q[296]), .Y(n308) );
+  sky130_fd_sc_hd__a22oi_1 U1354 ( .A1(n814), .A2(rf_reg_q[680]), .B1(n5), 
+        .B2(rf_reg_q[808]), .Y(n307) );
+  sky130_fd_sc_hd__a22oi_1 U1355 ( .A1(n828), .A2(rf_reg_q[424]), .B1(n7), 
+        .B2(rf_reg_q[936]), .Y(n306) );
+  sky130_fd_sc_hd__nand4_1 U1356 ( .A(n309), .B(n308), .C(n307), .D(n306), .Y(
+        n315) );
+  sky130_fd_sc_hd__a22oi_1 U1357 ( .A1(n835), .A2(rf_reg_q[392]), .B1(n833), 
+        .B2(rf_reg_q[264]), .Y(n313) );
+  sky130_fd_sc_hd__a22oi_1 U1358 ( .A1(n836), .A2(rf_reg_q[776]), .B1(n837), 
+        .B2(rf_reg_q[136]), .Y(n312) );
+  sky130_fd_sc_hd__a22o_1 U1359 ( .A1(n840), .A2(rf_reg_q[904]), .B1(n838), 
+        .B2(rf_reg_q[648]), .X(n310) );
+  sky130_fd_sc_hd__a21oi_1 U1360 ( .A1(n834), .A2(rf_reg_q[520]), .B1(n310), 
+        .Y(n311) );
+  sky130_fd_sc_hd__a31oi_1 U1361 ( .A1(n313), .A2(n312), .A3(n311), .B1(
+        raddr_b_i[0]), .Y(n314) );
+  sky130_fd_sc_hd__a21oi_1 U1362 ( .A1(n315), .A2(n845), .B1(n314), .Y(n316)
+         );
+  sky130_fd_sc_hd__nand2_1 U1363 ( .A(n317), .B(n316), .Y(rdata_b_o[8]) );
+  sky130_fd_sc_hd__a22oi_1 U1364 ( .A1(n6), .A2(rf_reg_q[617]), .B1(n7), .B2(
+        rf_reg_q[1001]), .Y(n321) );
+  sky130_fd_sc_hd__a22oi_1 U1365 ( .A1(n8), .A2(rf_reg_q[105]), .B1(n751), 
+        .B2(rf_reg_q[361]), .Y(n320) );
+  sky130_fd_sc_hd__a22oi_1 U1366 ( .A1(n594), .A2(rf_reg_q[745]), .B1(n5), 
+        .B2(rf_reg_q[873]), .Y(n319) );
+  sky130_fd_sc_hd__a22oi_1 U1367 ( .A1(n639), .A2(rf_reg_q[489]), .B1(n815), 
+        .B2(rf_reg_q[233]), .Y(n318) );
+  sky130_fd_sc_hd__nand4_1 U1368 ( .A(n321), .B(n320), .C(n319), .D(n318), .Y(
+        n327) );
+  sky130_fd_sc_hd__a22oi_1 U1369 ( .A1(n639), .A2(rf_reg_q[457]), .B1(n5), 
+        .B2(rf_reg_q[841]), .Y(n325) );
+  sky130_fd_sc_hd__a22oi_1 U1370 ( .A1(n815), .A2(rf_reg_q[201]), .B1(n751), 
+        .B2(rf_reg_q[329]), .Y(n324) );
+  sky130_fd_sc_hd__a22oi_1 U1371 ( .A1(n6), .A2(rf_reg_q[585]), .B1(n594), 
+        .B2(rf_reg_q[713]), .Y(n323) );
+  sky130_fd_sc_hd__a22oi_1 U1372 ( .A1(n8), .A2(rf_reg_q[73]), .B1(n7), .B2(
+        rf_reg_q[969]), .Y(n322) );
+  sky130_fd_sc_hd__nand4_1 U1373 ( .A(n325), .B(n324), .C(n323), .D(n322), .Y(
+        n326) );
+  sky130_fd_sc_hd__a22oi_1 U1374 ( .A1(n825), .A2(n327), .B1(n823), .B2(n326), 
+        .Y(n339) );
+  sky130_fd_sc_hd__a22oi_1 U1375 ( .A1(n816), .A2(rf_reg_q[41]), .B1(n814), 
+        .B2(rf_reg_q[681]), .Y(n331) );
+  sky130_fd_sc_hd__a22oi_1 U1376 ( .A1(n6), .A2(rf_reg_q[553]), .B1(n7), .B2(
+        rf_reg_q[937]), .Y(n330) );
+  sky130_fd_sc_hd__a22oi_1 U1377 ( .A1(n751), .A2(rf_reg_q[297]), .B1(n5), 
+        .B2(rf_reg_q[809]), .Y(n329) );
+  sky130_fd_sc_hd__a22oi_1 U1378 ( .A1(n828), .A2(rf_reg_q[425]), .B1(n815), 
+        .B2(rf_reg_q[169]), .Y(n328) );
+  sky130_fd_sc_hd__nand4_1 U1379 ( .A(n331), .B(n330), .C(n329), .D(n328), .Y(
+        n337) );
+  sky130_fd_sc_hd__a22oi_1 U1380 ( .A1(n838), .A2(rf_reg_q[649]), .B1(n837), 
+        .B2(rf_reg_q[137]), .Y(n335) );
+  sky130_fd_sc_hd__a22oi_1 U1381 ( .A1(n835), .A2(rf_reg_q[393]), .B1(n834), 
+        .B2(rf_reg_q[521]), .Y(n334) );
+  sky130_fd_sc_hd__a22o_1 U1382 ( .A1(n840), .A2(rf_reg_q[905]), .B1(n836), 
+        .B2(rf_reg_q[777]), .X(n332) );
+  sky130_fd_sc_hd__a21oi_1 U1383 ( .A1(n833), .A2(rf_reg_q[265]), .B1(n332), 
+        .Y(n333) );
+  sky130_fd_sc_hd__a31oi_1 U1384 ( .A1(n335), .A2(n334), .A3(n333), .B1(
+        raddr_b_i[0]), .Y(n336) );
+  sky130_fd_sc_hd__a21oi_1 U1385 ( .A1(n337), .A2(n845), .B1(n336), .Y(n338)
+         );
+  sky130_fd_sc_hd__nand2_1 U1386 ( .A(n339), .B(n338), .Y(rdata_b_o[9]) );
+  sky130_fd_sc_hd__a22oi_1 U1387 ( .A1(n827), .A2(rf_reg_q[234]), .B1(n751), 
+        .B2(rf_reg_q[362]), .Y(n343) );
+  sky130_fd_sc_hd__a22oi_1 U1388 ( .A1(n7), .A2(rf_reg_q[1002]), .B1(n5), .B2(
+        rf_reg_q[874]), .Y(n342) );
+  sky130_fd_sc_hd__a22oi_1 U1389 ( .A1(n816), .A2(rf_reg_q[106]), .B1(n594), 
+        .B2(rf_reg_q[746]), .Y(n341) );
+  sky130_fd_sc_hd__a22oi_1 U1390 ( .A1(n6), .A2(rf_reg_q[618]), .B1(n796), 
+        .B2(rf_reg_q[490]), .Y(n340) );
+  sky130_fd_sc_hd__nand4_1 U1391 ( .A(n343), .B(n342), .C(n341), .D(n340), .Y(
+        n349) );
+  sky130_fd_sc_hd__a22oi_1 U1392 ( .A1(n639), .A2(rf_reg_q[458]), .B1(n751), 
+        .B2(rf_reg_q[330]), .Y(n347) );
+  sky130_fd_sc_hd__a22oi_1 U1393 ( .A1(n815), .A2(rf_reg_q[202]), .B1(n814), 
+        .B2(rf_reg_q[714]), .Y(n346) );
+  sky130_fd_sc_hd__a22oi_1 U1394 ( .A1(n6), .A2(rf_reg_q[586]), .B1(n7), .B2(
+        rf_reg_q[970]), .Y(n345) );
+  sky130_fd_sc_hd__a22oi_1 U1395 ( .A1(n816), .A2(rf_reg_q[74]), .B1(n5), .B2(
+        rf_reg_q[842]), .Y(n344) );
+  sky130_fd_sc_hd__nand4_1 U1396 ( .A(n347), .B(n346), .C(n345), .D(n344), .Y(
+        n348) );
+  sky130_fd_sc_hd__a22oi_1 U1397 ( .A1(n825), .A2(n349), .B1(n823), .B2(n348), 
+        .Y(n361) );
+  sky130_fd_sc_hd__a22oi_1 U1398 ( .A1(n7), .A2(rf_reg_q[938]), .B1(n5), .B2(
+        rf_reg_q[810]), .Y(n353) );
+  sky130_fd_sc_hd__a22oi_1 U1399 ( .A1(n828), .A2(rf_reg_q[426]), .B1(n751), 
+        .B2(rf_reg_q[298]), .Y(n352) );
+  sky130_fd_sc_hd__a22oi_1 U1400 ( .A1(n6), .A2(rf_reg_q[554]), .B1(n594), 
+        .B2(rf_reg_q[682]), .Y(n351) );
+  sky130_fd_sc_hd__a22oi_1 U1401 ( .A1(n8), .A2(rf_reg_q[42]), .B1(n827), .B2(
+        rf_reg_q[170]), .Y(n350) );
+  sky130_fd_sc_hd__nand4_1 U1402 ( .A(n353), .B(n352), .C(n351), .D(n350), .Y(
+        n359) );
+  sky130_fd_sc_hd__a22oi_1 U1403 ( .A1(n836), .A2(rf_reg_q[778]), .B1(n835), 
+        .B2(rf_reg_q[394]), .Y(n357) );
+  sky130_fd_sc_hd__a22oi_1 U1404 ( .A1(n840), .A2(rf_reg_q[906]), .B1(n834), 
+        .B2(rf_reg_q[522]), .Y(n356) );
+  sky130_fd_sc_hd__a22o_1 U1405 ( .A1(n838), .A2(rf_reg_q[650]), .B1(n833), 
+        .B2(rf_reg_q[266]), .X(n354) );
+  sky130_fd_sc_hd__a21oi_1 U1406 ( .A1(n837), .A2(rf_reg_q[138]), .B1(n354), 
+        .Y(n355) );
+  sky130_fd_sc_hd__a31oi_1 U1407 ( .A1(n357), .A2(n356), .A3(n355), .B1(
+        raddr_b_i[0]), .Y(n358) );
+  sky130_fd_sc_hd__a21oi_1 U1408 ( .A1(n359), .A2(n845), .B1(n358), .Y(n360)
+         );
+  sky130_fd_sc_hd__nand2_1 U1409 ( .A(n361), .B(n360), .Y(rdata_b_o[10]) );
+  sky130_fd_sc_hd__a22oi_1 U1410 ( .A1(n751), .A2(rf_reg_q[299]), .B1(n5), 
+        .B2(rf_reg_q[811]), .Y(n365) );
+  sky130_fd_sc_hd__a22oi_1 U1411 ( .A1(n815), .A2(rf_reg_q[171]), .B1(n594), 
+        .B2(rf_reg_q[683]), .Y(n364) );
+  sky130_fd_sc_hd__a22oi_1 U1412 ( .A1(n828), .A2(rf_reg_q[427]), .B1(n7), 
+        .B2(rf_reg_q[939]), .Y(n363) );
+  sky130_fd_sc_hd__a22oi_1 U1413 ( .A1(n6), .A2(rf_reg_q[555]), .B1(n8), .B2(
+        rf_reg_q[43]), .Y(n362) );
+  sky130_fd_sc_hd__nand4_1 U1414 ( .A(n365), .B(n364), .C(n363), .D(n362), .Y(
+        n371) );
+  sky130_fd_sc_hd__a22oi_1 U1415 ( .A1(n6), .A2(rf_reg_q[619]), .B1(n5), .B2(
+        rf_reg_q[875]), .Y(n369) );
+  sky130_fd_sc_hd__a22oi_1 U1416 ( .A1(n8), .A2(rf_reg_q[107]), .B1(n796), 
+        .B2(rf_reg_q[491]), .Y(n368) );
+  sky130_fd_sc_hd__a22oi_1 U1417 ( .A1(n814), .A2(rf_reg_q[747]), .B1(n751), 
+        .B2(rf_reg_q[363]), .Y(n367) );
+  sky130_fd_sc_hd__a22oi_1 U1418 ( .A1(n827), .A2(rf_reg_q[235]), .B1(n7), 
+        .B2(rf_reg_q[1003]), .Y(n366) );
+  sky130_fd_sc_hd__nand4_1 U1419 ( .A(n369), .B(n368), .C(n367), .D(n366), .Y(
+        n370) );
+  sky130_fd_sc_hd__a22oi_1 U1420 ( .A1(n845), .A2(n371), .B1(n825), .B2(n370), 
+        .Y(n383) );
+  sky130_fd_sc_hd__a22oi_1 U1421 ( .A1(n594), .A2(rf_reg_q[715]), .B1(n751), 
+        .B2(rf_reg_q[331]), .Y(n375) );
+  sky130_fd_sc_hd__a22oi_1 U1422 ( .A1(n639), .A2(rf_reg_q[459]), .B1(n815), 
+        .B2(rf_reg_q[203]), .Y(n374) );
+  sky130_fd_sc_hd__a22oi_1 U1423 ( .A1(n6), .A2(rf_reg_q[587]), .B1(n5), .B2(
+        rf_reg_q[843]), .Y(n373) );
+  sky130_fd_sc_hd__a22oi_1 U1424 ( .A1(n8), .A2(rf_reg_q[75]), .B1(n7), .B2(
+        rf_reg_q[971]), .Y(n372) );
+  sky130_fd_sc_hd__nand4_1 U1425 ( .A(n375), .B(n374), .C(n373), .D(n372), .Y(
+        n381) );
+  sky130_fd_sc_hd__a22oi_1 U1426 ( .A1(n836), .A2(rf_reg_q[779]), .B1(n833), 
+        .B2(rf_reg_q[267]), .Y(n379) );
+  sky130_fd_sc_hd__a22oi_1 U1427 ( .A1(n840), .A2(rf_reg_q[907]), .B1(n838), 
+        .B2(rf_reg_q[651]), .Y(n378) );
+  sky130_fd_sc_hd__a22o_1 U1428 ( .A1(n834), .A2(rf_reg_q[523]), .B1(n837), 
+        .B2(rf_reg_q[139]), .X(n376) );
+  sky130_fd_sc_hd__a21oi_1 U1429 ( .A1(n835), .A2(rf_reg_q[395]), .B1(n376), 
+        .Y(n377) );
+  sky130_fd_sc_hd__a31oi_1 U1430 ( .A1(n379), .A2(n378), .A3(n377), .B1(
+        raddr_b_i[0]), .Y(n380) );
+  sky130_fd_sc_hd__a21oi_1 U1431 ( .A1(n381), .A2(n823), .B1(n380), .Y(n382)
+         );
+  sky130_fd_sc_hd__nand2_1 U1432 ( .A(n383), .B(n382), .Y(rdata_b_o[11]) );
+  sky130_fd_sc_hd__a22oi_1 U1433 ( .A1(n814), .A2(rf_reg_q[748]), .B1(n751), 
+        .B2(rf_reg_q[364]), .Y(n387) );
+  sky130_fd_sc_hd__a22oi_1 U1434 ( .A1(n8), .A2(rf_reg_q[108]), .B1(n7), .B2(
+        rf_reg_q[1004]), .Y(n386) );
+  sky130_fd_sc_hd__a22oi_1 U1435 ( .A1(n6), .A2(rf_reg_q[620]), .B1(n827), 
+        .B2(rf_reg_q[236]), .Y(n385) );
+  sky130_fd_sc_hd__a22oi_1 U1436 ( .A1(n828), .A2(rf_reg_q[492]), .B1(n5), 
+        .B2(rf_reg_q[876]), .Y(n384) );
+  sky130_fd_sc_hd__nand4_1 U1437 ( .A(n387), .B(n386), .C(n385), .D(n384), .Y(
+        n393) );
+  sky130_fd_sc_hd__a22oi_1 U1438 ( .A1(n828), .A2(rf_reg_q[460]), .B1(n594), 
+        .B2(rf_reg_q[716]), .Y(n391) );
+  sky130_fd_sc_hd__a22oi_1 U1439 ( .A1(n751), .A2(rf_reg_q[332]), .B1(n5), 
+        .B2(rf_reg_q[844]), .Y(n390) );
+  sky130_fd_sc_hd__a22oi_1 U1440 ( .A1(n827), .A2(rf_reg_q[204]), .B1(n7), 
+        .B2(rf_reg_q[972]), .Y(n389) );
+  sky130_fd_sc_hd__a22oi_1 U1441 ( .A1(n6), .A2(rf_reg_q[588]), .B1(n816), 
+        .B2(rf_reg_q[76]), .Y(n388) );
+  sky130_fd_sc_hd__nand4_1 U1442 ( .A(n391), .B(n390), .C(n389), .D(n388), .Y(
+        n392) );
+  sky130_fd_sc_hd__a22oi_1 U1443 ( .A1(n825), .A2(n393), .B1(n823), .B2(n392), 
+        .Y(n405) );
+  sky130_fd_sc_hd__a22oi_1 U1444 ( .A1(n6), .A2(rf_reg_q[556]), .B1(n751), 
+        .B2(rf_reg_q[300]), .Y(n397) );
+  sky130_fd_sc_hd__a22oi_1 U1445 ( .A1(n7), .A2(rf_reg_q[940]), .B1(n814), 
+        .B2(rf_reg_q[684]), .Y(n396) );
+  sky130_fd_sc_hd__a22oi_1 U1446 ( .A1(n639), .A2(rf_reg_q[428]), .B1(n482), 
+        .B2(rf_reg_q[812]), .Y(n395) );
+  sky130_fd_sc_hd__a22oi_1 U1447 ( .A1(n8), .A2(rf_reg_q[44]), .B1(n827), .B2(
+        rf_reg_q[172]), .Y(n394) );
+  sky130_fd_sc_hd__nand4_1 U1448 ( .A(n397), .B(n396), .C(n395), .D(n394), .Y(
+        n403) );
+  sky130_fd_sc_hd__a22oi_1 U1449 ( .A1(n835), .A2(rf_reg_q[396]), .B1(n837), 
+        .B2(rf_reg_q[140]), .Y(n401) );
+  sky130_fd_sc_hd__a22oi_1 U1450 ( .A1(n840), .A2(rf_reg_q[908]), .B1(n833), 
+        .B2(rf_reg_q[268]), .Y(n400) );
+  sky130_fd_sc_hd__a22o_1 U1451 ( .A1(n838), .A2(rf_reg_q[652]), .B1(n834), 
+        .B2(rf_reg_q[524]), .X(n398) );
+  sky130_fd_sc_hd__a21oi_1 U1452 ( .A1(n836), .A2(rf_reg_q[780]), .B1(n398), 
+        .Y(n399) );
+  sky130_fd_sc_hd__a31oi_1 U1453 ( .A1(n401), .A2(n400), .A3(n399), .B1(
+        raddr_b_i[0]), .Y(n402) );
+  sky130_fd_sc_hd__a21oi_1 U1454 ( .A1(n403), .A2(n845), .B1(n402), .Y(n404)
+         );
+  sky130_fd_sc_hd__nand2_1 U1455 ( .A(n405), .B(n404), .Y(rdata_b_o[12]) );
+  sky130_fd_sc_hd__a22oi_1 U1456 ( .A1(n751), .A2(rf_reg_q[301]), .B1(n482), 
+        .B2(rf_reg_q[813]), .Y(n409) );
+  sky130_fd_sc_hd__a22oi_1 U1457 ( .A1(n6), .A2(rf_reg_q[557]), .B1(n8), .B2(
+        rf_reg_q[45]), .Y(n408) );
+  sky130_fd_sc_hd__a22oi_1 U1458 ( .A1(n639), .A2(rf_reg_q[429]), .B1(n7), 
+        .B2(rf_reg_q[941]), .Y(n407) );
+  sky130_fd_sc_hd__a22oi_1 U1459 ( .A1(n827), .A2(rf_reg_q[173]), .B1(n594), 
+        .B2(rf_reg_q[685]), .Y(n406) );
+  sky130_fd_sc_hd__nand4_1 U1460 ( .A(n409), .B(n408), .C(n407), .D(n406), .Y(
+        n415) );
+  sky130_fd_sc_hd__a22oi_1 U1461 ( .A1(n828), .A2(rf_reg_q[461]), .B1(n826), 
+        .B2(rf_reg_q[333]), .Y(n413) );
+  sky130_fd_sc_hd__a22oi_1 U1462 ( .A1(n7), .A2(rf_reg_q[973]), .B1(n482), 
+        .B2(rf_reg_q[845]), .Y(n412) );
+  sky130_fd_sc_hd__a22oi_1 U1463 ( .A1(n6), .A2(rf_reg_q[589]), .B1(n814), 
+        .B2(rf_reg_q[717]), .Y(n411) );
+  sky130_fd_sc_hd__a22oi_1 U1464 ( .A1(n8), .A2(rf_reg_q[77]), .B1(n815), .B2(
+        rf_reg_q[205]), .Y(n410) );
+  sky130_fd_sc_hd__nand4_1 U1465 ( .A(n413), .B(n412), .C(n411), .D(n410), .Y(
+        n414) );
+  sky130_fd_sc_hd__a22oi_1 U1466 ( .A1(n845), .A2(n415), .B1(n823), .B2(n414), 
+        .Y(n427) );
+  sky130_fd_sc_hd__a22oi_1 U1467 ( .A1(n639), .A2(rf_reg_q[493]), .B1(n815), 
+        .B2(rf_reg_q[237]), .Y(n419) );
+  sky130_fd_sc_hd__a22oi_1 U1468 ( .A1(n816), .A2(rf_reg_q[109]), .B1(n594), 
+        .B2(rf_reg_q[749]), .Y(n418) );
+  sky130_fd_sc_hd__a22oi_1 U1469 ( .A1(n6), .A2(rf_reg_q[621]), .B1(n826), 
+        .B2(rf_reg_q[365]), .Y(n417) );
+  sky130_fd_sc_hd__a22oi_1 U1470 ( .A1(n7), .A2(rf_reg_q[1005]), .B1(n482), 
+        .B2(rf_reg_q[877]), .Y(n416) );
+  sky130_fd_sc_hd__nand4_1 U1471 ( .A(n419), .B(n418), .C(n417), .D(n416), .Y(
+        n425) );
+  sky130_fd_sc_hd__a22oi_1 U1472 ( .A1(n836), .A2(rf_reg_q[781]), .B1(n833), 
+        .B2(rf_reg_q[269]), .Y(n423) );
+  sky130_fd_sc_hd__a22oi_1 U1473 ( .A1(n835), .A2(rf_reg_q[397]), .B1(n834), 
+        .B2(rf_reg_q[525]), .Y(n422) );
+  sky130_fd_sc_hd__a22o_1 U1474 ( .A1(n840), .A2(rf_reg_q[909]), .B1(n838), 
+        .B2(rf_reg_q[653]), .X(n420) );
+  sky130_fd_sc_hd__a21oi_1 U1475 ( .A1(n837), .A2(rf_reg_q[141]), .B1(n420), 
+        .Y(n421) );
+  sky130_fd_sc_hd__a31oi_1 U1476 ( .A1(n423), .A2(n422), .A3(n421), .B1(
+        raddr_b_i[0]), .Y(n424) );
+  sky130_fd_sc_hd__a21oi_1 U1477 ( .A1(n425), .A2(n825), .B1(n424), .Y(n426)
+         );
+  sky130_fd_sc_hd__nand2_1 U1478 ( .A(n427), .B(n426), .Y(rdata_b_o[13]) );
+  sky130_fd_sc_hd__a22oi_1 U1479 ( .A1(n8), .A2(rf_reg_q[110]), .B1(n827), 
+        .B2(rf_reg_q[238]), .Y(n431) );
+  sky130_fd_sc_hd__a22oi_1 U1480 ( .A1(n828), .A2(rf_reg_q[494]), .B1(n482), 
+        .B2(rf_reg_q[878]), .Y(n430) );
+  sky130_fd_sc_hd__a22oi_1 U1481 ( .A1(n7), .A2(rf_reg_q[1006]), .B1(n814), 
+        .B2(rf_reg_q[750]), .Y(n429) );
+  sky130_fd_sc_hd__a22oi_1 U1482 ( .A1(n6), .A2(rf_reg_q[622]), .B1(n826), 
+        .B2(rf_reg_q[366]), .Y(n428) );
+  sky130_fd_sc_hd__nand4_1 U1483 ( .A(n431), .B(n430), .C(n429), .D(n428), .Y(
+        n437) );
+  sky130_fd_sc_hd__a22oi_1 U1484 ( .A1(n594), .A2(rf_reg_q[718]), .B1(n482), 
+        .B2(rf_reg_q[846]), .Y(n435) );
+  sky130_fd_sc_hd__a22oi_1 U1485 ( .A1(n815), .A2(rf_reg_q[206]), .B1(n826), 
+        .B2(rf_reg_q[334]), .Y(n434) );
+  sky130_fd_sc_hd__a22oi_1 U1486 ( .A1(n517), .A2(rf_reg_q[590]), .B1(n639), 
+        .B2(rf_reg_q[462]), .Y(n433) );
+  sky130_fd_sc_hd__a22oi_1 U1487 ( .A1(n8), .A2(rf_reg_q[78]), .B1(n7), .B2(
+        rf_reg_q[974]), .Y(n432) );
+  sky130_fd_sc_hd__nand4_1 U1488 ( .A(n435), .B(n434), .C(n433), .D(n432), .Y(
+        n436) );
+  sky130_fd_sc_hd__a22oi_1 U1489 ( .A1(n825), .A2(n437), .B1(n823), .B2(n436), 
+        .Y(n449) );
+  sky130_fd_sc_hd__a22oi_1 U1490 ( .A1(n814), .A2(rf_reg_q[686]), .B1(n826), 
+        .B2(rf_reg_q[302]), .Y(n441) );
+  sky130_fd_sc_hd__a22oi_1 U1491 ( .A1(n517), .A2(rf_reg_q[558]), .B1(n7), 
+        .B2(rf_reg_q[942]), .Y(n440) );
+  sky130_fd_sc_hd__a22oi_1 U1492 ( .A1(n8), .A2(rf_reg_q[46]), .B1(n827), .B2(
+        rf_reg_q[174]), .Y(n439) );
+  sky130_fd_sc_hd__a22oi_1 U1493 ( .A1(n639), .A2(rf_reg_q[430]), .B1(n482), 
+        .B2(rf_reg_q[814]), .Y(n438) );
+  sky130_fd_sc_hd__nand4_1 U1494 ( .A(n441), .B(n440), .C(n439), .D(n438), .Y(
+        n447) );
+  sky130_fd_sc_hd__a22oi_1 U1495 ( .A1(n840), .A2(rf_reg_q[910]), .B1(n833), 
+        .B2(rf_reg_q[270]), .Y(n445) );
+  sky130_fd_sc_hd__a22oi_1 U1496 ( .A1(n835), .A2(rf_reg_q[398]), .B1(n834), 
+        .B2(rf_reg_q[526]), .Y(n444) );
+  sky130_fd_sc_hd__a22o_1 U1497 ( .A1(n838), .A2(rf_reg_q[654]), .B1(n837), 
+        .B2(rf_reg_q[142]), .X(n442) );
+  sky130_fd_sc_hd__a21oi_1 U1498 ( .A1(n836), .A2(rf_reg_q[782]), .B1(n442), 
+        .Y(n443) );
+  sky130_fd_sc_hd__a31oi_1 U1499 ( .A1(n445), .A2(n444), .A3(n443), .B1(
+        raddr_b_i[0]), .Y(n446) );
+  sky130_fd_sc_hd__a21oi_1 U1500 ( .A1(n447), .A2(n845), .B1(n446), .Y(n448)
+         );
+  sky130_fd_sc_hd__nand2_1 U1501 ( .A(n449), .B(n448), .Y(rdata_b_o[14]) );
+  sky130_fd_sc_hd__a22oi_1 U1502 ( .A1(n594), .A2(rf_reg_q[751]), .B1(n482), 
+        .B2(rf_reg_q[879]), .Y(n453) );
+  sky130_fd_sc_hd__a22oi_1 U1503 ( .A1(n8), .A2(rf_reg_q[111]), .B1(n7), .B2(
+        rf_reg_q[1007]), .Y(n452) );
+  sky130_fd_sc_hd__a22oi_1 U1504 ( .A1(n827), .A2(rf_reg_q[239]), .B1(n826), 
+        .B2(rf_reg_q[367]), .Y(n451) );
+  sky130_fd_sc_hd__a22oi_1 U1505 ( .A1(n517), .A2(rf_reg_q[623]), .B1(n796), 
+        .B2(rf_reg_q[495]), .Y(n450) );
+  sky130_fd_sc_hd__nand4_1 U1506 ( .A(n453), .B(n452), .C(n451), .D(n450), .Y(
+        n459) );
+  sky130_fd_sc_hd__a22oi_1 U1507 ( .A1(n517), .A2(rf_reg_q[591]), .B1(n814), 
+        .B2(rf_reg_q[719]), .Y(n457) );
+  sky130_fd_sc_hd__a22oi_1 U1508 ( .A1(n827), .A2(rf_reg_q[207]), .B1(n826), 
+        .B2(rf_reg_q[335]), .Y(n456) );
+  sky130_fd_sc_hd__a22oi_1 U1509 ( .A1(n7), .A2(rf_reg_q[975]), .B1(n482), 
+        .B2(rf_reg_q[847]), .Y(n455) );
+  sky130_fd_sc_hd__a22oi_1 U1510 ( .A1(n8), .A2(rf_reg_q[79]), .B1(n796), .B2(
+        rf_reg_q[463]), .Y(n454) );
+  sky130_fd_sc_hd__nand4_1 U1511 ( .A(n457), .B(n456), .C(n455), .D(n454), .Y(
+        n458) );
+  sky130_fd_sc_hd__a22oi_1 U1512 ( .A1(n825), .A2(n459), .B1(n823), .B2(n458), 
+        .Y(n471) );
+  sky130_fd_sc_hd__a22oi_1 U1513 ( .A1(n8), .A2(rf_reg_q[47]), .B1(n7), .B2(
+        rf_reg_q[943]), .Y(n463) );
+  sky130_fd_sc_hd__a22oi_1 U1514 ( .A1(n517), .A2(rf_reg_q[559]), .B1(n826), 
+        .B2(rf_reg_q[303]), .Y(n462) );
+  sky130_fd_sc_hd__a22oi_1 U1515 ( .A1(n815), .A2(rf_reg_q[175]), .B1(n594), 
+        .B2(rf_reg_q[687]), .Y(n461) );
+  sky130_fd_sc_hd__a22oi_1 U1516 ( .A1(n828), .A2(rf_reg_q[431]), .B1(n482), 
+        .B2(rf_reg_q[815]), .Y(n460) );
+  sky130_fd_sc_hd__nand4_1 U1517 ( .A(n463), .B(n462), .C(n461), .D(n460), .Y(
+        n469) );
+  sky130_fd_sc_hd__a22oi_1 U1518 ( .A1(n836), .A2(rf_reg_q[783]), .B1(n833), 
+        .B2(rf_reg_q[271]), .Y(n467) );
+  sky130_fd_sc_hd__a22oi_1 U1519 ( .A1(n838), .A2(rf_reg_q[655]), .B1(n837), 
+        .B2(rf_reg_q[143]), .Y(n466) );
+  sky130_fd_sc_hd__a22o_1 U1520 ( .A1(n840), .A2(rf_reg_q[911]), .B1(n835), 
+        .B2(rf_reg_q[399]), .X(n464) );
+  sky130_fd_sc_hd__a21oi_1 U1521 ( .A1(n834), .A2(rf_reg_q[527]), .B1(n464), 
+        .Y(n465) );
+  sky130_fd_sc_hd__a31oi_1 U1522 ( .A1(n467), .A2(n466), .A3(n465), .B1(
+        raddr_b_i[0]), .Y(n468) );
+  sky130_fd_sc_hd__a21oi_1 U1523 ( .A1(n469), .A2(n845), .B1(n468), .Y(n470)
+         );
+  sky130_fd_sc_hd__nand2_1 U1524 ( .A(n471), .B(n470), .Y(rdata_b_o[15]) );
+  sky130_fd_sc_hd__a22oi_1 U1525 ( .A1(n517), .A2(rf_reg_q[624]), .B1(n7), 
+        .B2(rf_reg_q[1008]), .Y(n475) );
+  sky130_fd_sc_hd__a22oi_1 U1526 ( .A1(n8), .A2(rf_reg_q[112]), .B1(n826), 
+        .B2(rf_reg_q[368]), .Y(n474) );
+  sky130_fd_sc_hd__a22oi_1 U1527 ( .A1(n639), .A2(rf_reg_q[496]), .B1(n827), 
+        .B2(rf_reg_q[240]), .Y(n473) );
+  sky130_fd_sc_hd__a22oi_1 U1528 ( .A1(n814), .A2(rf_reg_q[752]), .B1(n482), 
+        .B2(rf_reg_q[880]), .Y(n472) );
+  sky130_fd_sc_hd__nand4_1 U1529 ( .A(n475), .B(n474), .C(n473), .D(n472), .Y(
+        n481) );
+  sky130_fd_sc_hd__a22oi_1 U1530 ( .A1(n828), .A2(rf_reg_q[464]), .B1(n814), 
+        .B2(rf_reg_q[720]), .Y(n479) );
+  sky130_fd_sc_hd__a22oi_1 U1531 ( .A1(n517), .A2(rf_reg_q[592]), .B1(n7), 
+        .B2(rf_reg_q[976]), .Y(n478) );
+  sky130_fd_sc_hd__a22oi_1 U1532 ( .A1(n751), .A2(rf_reg_q[336]), .B1(n482), 
+        .B2(rf_reg_q[848]), .Y(n477) );
+  sky130_fd_sc_hd__a22oi_1 U1533 ( .A1(n8), .A2(rf_reg_q[80]), .B1(n815), .B2(
+        rf_reg_q[208]), .Y(n476) );
+  sky130_fd_sc_hd__nand4_1 U1534 ( .A(n479), .B(n478), .C(n477), .D(n476), .Y(
+        n480) );
+  sky130_fd_sc_hd__a22oi_1 U1535 ( .A1(n825), .A2(n481), .B1(n823), .B2(n480), 
+        .Y(n494) );
+  sky130_fd_sc_hd__a22oi_1 U1536 ( .A1(n8), .A2(rf_reg_q[48]), .B1(n815), .B2(
+        rf_reg_q[176]), .Y(n486) );
+  sky130_fd_sc_hd__a22oi_1 U1537 ( .A1(n639), .A2(rf_reg_q[432]), .B1(n482), 
+        .B2(rf_reg_q[816]), .Y(n485) );
+  sky130_fd_sc_hd__a22oi_1 U1538 ( .A1(n7), .A2(rf_reg_q[944]), .B1(n826), 
+        .B2(rf_reg_q[304]), .Y(n484) );
+  sky130_fd_sc_hd__a22oi_1 U1539 ( .A1(n517), .A2(rf_reg_q[560]), .B1(n594), 
+        .B2(rf_reg_q[688]), .Y(n483) );
+  sky130_fd_sc_hd__nand4_1 U1540 ( .A(n486), .B(n485), .C(n484), .D(n483), .Y(
+        n492) );
+  sky130_fd_sc_hd__a22oi_1 U1541 ( .A1(n840), .A2(rf_reg_q[912]), .B1(n837), 
+        .B2(rf_reg_q[144]), .Y(n490) );
+  sky130_fd_sc_hd__a22oi_1 U1542 ( .A1(n836), .A2(rf_reg_q[784]), .B1(n835), 
+        .B2(rf_reg_q[400]), .Y(n489) );
+  sky130_fd_sc_hd__a22o_1 U1543 ( .A1(n838), .A2(rf_reg_q[656]), .B1(n834), 
+        .B2(rf_reg_q[528]), .X(n487) );
+  sky130_fd_sc_hd__a21oi_1 U1544 ( .A1(n833), .A2(rf_reg_q[272]), .B1(n487), 
+        .Y(n488) );
+  sky130_fd_sc_hd__a31oi_1 U1545 ( .A1(n490), .A2(n489), .A3(n488), .B1(
+        raddr_b_i[0]), .Y(n491) );
+  sky130_fd_sc_hd__a21oi_1 U1546 ( .A1(n492), .A2(n845), .B1(n491), .Y(n493)
+         );
+  sky130_fd_sc_hd__nand2_1 U1547 ( .A(n494), .B(n493), .Y(rdata_b_o[16]) );
+  sky130_fd_sc_hd__a22oi_1 U1548 ( .A1(n517), .A2(rf_reg_q[625]), .B1(n815), 
+        .B2(rf_reg_q[241]), .Y(n498) );
+  sky130_fd_sc_hd__a22oi_1 U1549 ( .A1(n8), .A2(rf_reg_q[113]), .B1(n5), .B2(
+        rf_reg_q[881]), .Y(n497) );
+  sky130_fd_sc_hd__a22oi_1 U1550 ( .A1(n7), .A2(rf_reg_q[1009]), .B1(n814), 
+        .B2(rf_reg_q[753]), .Y(n496) );
+  sky130_fd_sc_hd__a22oi_1 U1551 ( .A1(n828), .A2(rf_reg_q[497]), .B1(n826), 
+        .B2(rf_reg_q[369]), .Y(n495) );
+  sky130_fd_sc_hd__nand4_1 U1552 ( .A(n498), .B(n497), .C(n496), .D(n495), .Y(
+        n504) );
+  sky130_fd_sc_hd__a22oi_1 U1553 ( .A1(n8), .A2(rf_reg_q[81]), .B1(n827), .B2(
+        rf_reg_q[209]), .Y(n502) );
+  sky130_fd_sc_hd__a22oi_1 U1554 ( .A1(n517), .A2(rf_reg_q[593]), .B1(n796), 
+        .B2(rf_reg_q[465]), .Y(n501) );
+  sky130_fd_sc_hd__a22oi_1 U1555 ( .A1(n7), .A2(rf_reg_q[977]), .B1(n826), 
+        .B2(rf_reg_q[337]), .Y(n500) );
+  sky130_fd_sc_hd__a22oi_1 U1556 ( .A1(n814), .A2(rf_reg_q[721]), .B1(n5), 
+        .B2(rf_reg_q[849]), .Y(n499) );
+  sky130_fd_sc_hd__nand4_1 U1557 ( .A(n502), .B(n501), .C(n500), .D(n499), .Y(
+        n503) );
+  sky130_fd_sc_hd__a22oi_1 U1558 ( .A1(n825), .A2(n504), .B1(n823), .B2(n503), 
+        .Y(n516) );
+  sky130_fd_sc_hd__a22oi_1 U1559 ( .A1(n8), .A2(rf_reg_q[49]), .B1(n796), .B2(
+        rf_reg_q[433]), .Y(n508) );
+  sky130_fd_sc_hd__a22oi_1 U1560 ( .A1(n517), .A2(rf_reg_q[561]), .B1(n7), 
+        .B2(rf_reg_q[945]), .Y(n507) );
+  sky130_fd_sc_hd__a22oi_1 U1561 ( .A1(n751), .A2(rf_reg_q[305]), .B1(n5), 
+        .B2(rf_reg_q[817]), .Y(n506) );
+  sky130_fd_sc_hd__a22oi_1 U1562 ( .A1(n827), .A2(rf_reg_q[177]), .B1(n594), 
+        .B2(rf_reg_q[689]), .Y(n505) );
+  sky130_fd_sc_hd__nand4_1 U1563 ( .A(n508), .B(n507), .C(n506), .D(n505), .Y(
+        n514) );
+  sky130_fd_sc_hd__a22oi_1 U1564 ( .A1(n836), .A2(rf_reg_q[785]), .B1(n838), 
+        .B2(rf_reg_q[657]), .Y(n512) );
+  sky130_fd_sc_hd__a22oi_1 U1565 ( .A1(n833), .A2(rf_reg_q[273]), .B1(n837), 
+        .B2(rf_reg_q[145]), .Y(n511) );
+  sky130_fd_sc_hd__a22o_1 U1566 ( .A1(n840), .A2(rf_reg_q[913]), .B1(n835), 
+        .B2(rf_reg_q[401]), .X(n509) );
+  sky130_fd_sc_hd__a21oi_1 U1567 ( .A1(n834), .A2(rf_reg_q[529]), .B1(n509), 
+        .Y(n510) );
+  sky130_fd_sc_hd__a31oi_1 U1568 ( .A1(n512), .A2(n511), .A3(n510), .B1(
+        raddr_b_i[0]), .Y(n513) );
+  sky130_fd_sc_hd__a21oi_1 U1569 ( .A1(n514), .A2(n845), .B1(n513), .Y(n515)
+         );
+  sky130_fd_sc_hd__nand2_1 U1570 ( .A(n516), .B(n515), .Y(rdata_b_o[17]) );
+  sky130_fd_sc_hd__a22oi_1 U1571 ( .A1(n827), .A2(rf_reg_q[242]), .B1(n594), 
+        .B2(rf_reg_q[754]), .Y(n521) );
+  sky130_fd_sc_hd__a22oi_1 U1572 ( .A1(n8), .A2(rf_reg_q[114]), .B1(n796), 
+        .B2(rf_reg_q[498]), .Y(n520) );
+  sky130_fd_sc_hd__a22oi_1 U1573 ( .A1(n517), .A2(rf_reg_q[626]), .B1(n5), 
+        .B2(rf_reg_q[882]), .Y(n519) );
+  sky130_fd_sc_hd__a22oi_1 U1574 ( .A1(n7), .A2(rf_reg_q[1010]), .B1(n826), 
+        .B2(rf_reg_q[370]), .Y(n518) );
+  sky130_fd_sc_hd__nand4_1 U1575 ( .A(n521), .B(n520), .C(n519), .D(n518), .Y(
+        n527) );
+  sky130_fd_sc_hd__a22oi_1 U1576 ( .A1(n8), .A2(rf_reg_q[82]), .B1(n639), .B2(
+        rf_reg_q[466]), .Y(n525) );
+  sky130_fd_sc_hd__a22oi_1 U1577 ( .A1(n814), .A2(rf_reg_q[722]), .B1(n5), 
+        .B2(rf_reg_q[850]), .Y(n524) );
+  sky130_fd_sc_hd__a22oi_1 U1578 ( .A1(n6), .A2(rf_reg_q[594]), .B1(n7), .B2(
+        rf_reg_q[978]), .Y(n523) );
+  sky130_fd_sc_hd__a22oi_1 U1579 ( .A1(n827), .A2(rf_reg_q[210]), .B1(n826), 
+        .B2(rf_reg_q[338]), .Y(n522) );
+  sky130_fd_sc_hd__nand4_1 U1580 ( .A(n525), .B(n524), .C(n523), .D(n522), .Y(
+        n526) );
+  sky130_fd_sc_hd__a22oi_1 U1581 ( .A1(n825), .A2(n527), .B1(n823), .B2(n526), 
+        .Y(n539) );
+  sky130_fd_sc_hd__a22oi_1 U1582 ( .A1(n8), .A2(rf_reg_q[50]), .B1(n815), .B2(
+        rf_reg_q[178]), .Y(n531) );
+  sky130_fd_sc_hd__a22oi_1 U1583 ( .A1(n639), .A2(rf_reg_q[434]), .B1(n5), 
+        .B2(rf_reg_q[818]), .Y(n530) );
+  sky130_fd_sc_hd__a22oi_1 U1584 ( .A1(n6), .A2(rf_reg_q[562]), .B1(n826), 
+        .B2(rf_reg_q[306]), .Y(n529) );
+  sky130_fd_sc_hd__a22oi_1 U1585 ( .A1(n7), .A2(rf_reg_q[946]), .B1(n814), 
+        .B2(rf_reg_q[690]), .Y(n528) );
+  sky130_fd_sc_hd__nand4_1 U1586 ( .A(n531), .B(n530), .C(n529), .D(n528), .Y(
+        n537) );
+  sky130_fd_sc_hd__a22oi_1 U1587 ( .A1(n836), .A2(rf_reg_q[786]), .B1(n834), 
+        .B2(rf_reg_q[530]), .Y(n535) );
+  sky130_fd_sc_hd__a22oi_1 U1588 ( .A1(n840), .A2(rf_reg_q[914]), .B1(n835), 
+        .B2(rf_reg_q[402]), .Y(n534) );
+  sky130_fd_sc_hd__a22o_1 U1589 ( .A1(n838), .A2(rf_reg_q[658]), .B1(n837), 
+        .B2(rf_reg_q[146]), .X(n532) );
+  sky130_fd_sc_hd__a21oi_1 U1590 ( .A1(n833), .A2(rf_reg_q[274]), .B1(n532), 
+        .Y(n533) );
+  sky130_fd_sc_hd__a31oi_1 U1591 ( .A1(n535), .A2(n534), .A3(n533), .B1(
+        raddr_b_i[0]), .Y(n536) );
+  sky130_fd_sc_hd__a21oi_1 U1592 ( .A1(n537), .A2(n845), .B1(n536), .Y(n538)
+         );
+  sky130_fd_sc_hd__nand2_1 U1593 ( .A(n539), .B(n538), .Y(rdata_b_o[18]) );
+  sky130_fd_sc_hd__a22oi_1 U1594 ( .A1(n815), .A2(rf_reg_q[243]), .B1(n826), 
+        .B2(rf_reg_q[371]), .Y(n543) );
+  sky130_fd_sc_hd__a22oi_1 U1595 ( .A1(n8), .A2(rf_reg_q[115]), .B1(n594), 
+        .B2(rf_reg_q[755]), .Y(n542) );
+  sky130_fd_sc_hd__a22oi_1 U1596 ( .A1(n828), .A2(rf_reg_q[499]), .B1(n7), 
+        .B2(rf_reg_q[1011]), .Y(n541) );
+  sky130_fd_sc_hd__a22oi_1 U1597 ( .A1(n6), .A2(rf_reg_q[627]), .B1(n5), .B2(
+        rf_reg_q[883]), .Y(n540) );
+  sky130_fd_sc_hd__nand4_1 U1598 ( .A(n543), .B(n542), .C(n541), .D(n540), .Y(
+        n549) );
+  sky130_fd_sc_hd__a22oi_1 U1599 ( .A1(n828), .A2(rf_reg_q[467]), .B1(n7), 
+        .B2(rf_reg_q[979]), .Y(n547) );
+  sky130_fd_sc_hd__a22oi_1 U1600 ( .A1(n8), .A2(rf_reg_q[83]), .B1(n815), .B2(
+        rf_reg_q[211]), .Y(n546) );
+  sky130_fd_sc_hd__a22oi_1 U1601 ( .A1(n6), .A2(rf_reg_q[595]), .B1(n814), 
+        .B2(rf_reg_q[723]), .Y(n545) );
+  sky130_fd_sc_hd__a22oi_1 U1602 ( .A1(n751), .A2(rf_reg_q[339]), .B1(n5), 
+        .B2(rf_reg_q[851]), .Y(n544) );
+  sky130_fd_sc_hd__nand4_1 U1603 ( .A(n547), .B(n546), .C(n545), .D(n544), .Y(
+        n548) );
+  sky130_fd_sc_hd__a22oi_1 U1604 ( .A1(n825), .A2(n549), .B1(n823), .B2(n548), 
+        .Y(n561) );
+  sky130_fd_sc_hd__a22oi_1 U1605 ( .A1(n7), .A2(rf_reg_q[947]), .B1(n826), 
+        .B2(rf_reg_q[307]), .Y(n553) );
+  sky130_fd_sc_hd__a22oi_1 U1606 ( .A1(n6), .A2(rf_reg_q[563]), .B1(n744), 
+        .B2(rf_reg_q[179]), .Y(n552) );
+  sky130_fd_sc_hd__a22oi_1 U1607 ( .A1(n8), .A2(rf_reg_q[51]), .B1(n594), .B2(
+        rf_reg_q[691]), .Y(n551) );
+  sky130_fd_sc_hd__a22oi_1 U1608 ( .A1(n828), .A2(rf_reg_q[435]), .B1(n5), 
+        .B2(rf_reg_q[819]), .Y(n550) );
+  sky130_fd_sc_hd__nand4_1 U1609 ( .A(n553), .B(n552), .C(n551), .D(n550), .Y(
+        n559) );
+  sky130_fd_sc_hd__a22oi_1 U1610 ( .A1(n835), .A2(rf_reg_q[403]), .B1(n837), 
+        .B2(rf_reg_q[147]), .Y(n557) );
+  sky130_fd_sc_hd__a22oi_1 U1611 ( .A1(n840), .A2(rf_reg_q[915]), .B1(n838), 
+        .B2(rf_reg_q[659]), .Y(n556) );
+  sky130_fd_sc_hd__a22o_1 U1612 ( .A1(n834), .A2(rf_reg_q[531]), .B1(n833), 
+        .B2(rf_reg_q[275]), .X(n554) );
+  sky130_fd_sc_hd__a21oi_1 U1613 ( .A1(n836), .A2(rf_reg_q[787]), .B1(n554), 
+        .Y(n555) );
+  sky130_fd_sc_hd__a31oi_1 U1614 ( .A1(n557), .A2(n556), .A3(n555), .B1(
+        raddr_b_i[0]), .Y(n558) );
+  sky130_fd_sc_hd__a21oi_1 U1615 ( .A1(n559), .A2(n845), .B1(n558), .Y(n560)
+         );
+  sky130_fd_sc_hd__nand2_1 U1616 ( .A(n561), .B(n560), .Y(rdata_b_o[19]) );
+  sky130_fd_sc_hd__a22oi_1 U1617 ( .A1(n828), .A2(rf_reg_q[500]), .B1(n826), 
+        .B2(rf_reg_q[372]), .Y(n565) );
+  sky130_fd_sc_hd__a22oi_1 U1618 ( .A1(n6), .A2(rf_reg_q[628]), .B1(n7), .B2(
+        rf_reg_q[1012]), .Y(n564) );
+  sky130_fd_sc_hd__a22oi_1 U1619 ( .A1(n8), .A2(rf_reg_q[116]), .B1(n744), 
+        .B2(rf_reg_q[244]), .Y(n563) );
+  sky130_fd_sc_hd__a22oi_1 U1620 ( .A1(n814), .A2(rf_reg_q[756]), .B1(n5), 
+        .B2(rf_reg_q[884]), .Y(n562) );
+  sky130_fd_sc_hd__nand4_1 U1621 ( .A(n565), .B(n564), .C(n563), .D(n562), .Y(
+        n571) );
+  sky130_fd_sc_hd__a22oi_1 U1622 ( .A1(n6), .A2(rf_reg_q[596]), .B1(n7), .B2(
+        rf_reg_q[980]), .Y(n569) );
+  sky130_fd_sc_hd__a22oi_1 U1623 ( .A1(n8), .A2(rf_reg_q[84]), .B1(n744), .B2(
+        rf_reg_q[212]), .Y(n568) );
+  sky130_fd_sc_hd__a22oi_1 U1624 ( .A1(n828), .A2(rf_reg_q[468]), .B1(n5), 
+        .B2(rf_reg_q[852]), .Y(n567) );
+  sky130_fd_sc_hd__a22oi_1 U1625 ( .A1(n594), .A2(rf_reg_q[724]), .B1(n826), 
+        .B2(rf_reg_q[340]), .Y(n566) );
+  sky130_fd_sc_hd__nand4_1 U1626 ( .A(n569), .B(n568), .C(n567), .D(n566), .Y(
+        n570) );
+  sky130_fd_sc_hd__a22oi_1 U1627 ( .A1(n825), .A2(n571), .B1(n823), .B2(n570), 
+        .Y(n583) );
+  sky130_fd_sc_hd__a22oi_1 U1628 ( .A1(n828), .A2(rf_reg_q[436]), .B1(n815), 
+        .B2(rf_reg_q[180]), .Y(n575) );
+  sky130_fd_sc_hd__a22oi_1 U1629 ( .A1(n6), .A2(rf_reg_q[564]), .B1(n814), 
+        .B2(rf_reg_q[692]), .Y(n574) );
+  sky130_fd_sc_hd__a22oi_1 U1630 ( .A1(n8), .A2(rf_reg_q[52]), .B1(n5), .B2(
+        rf_reg_q[820]), .Y(n573) );
+  sky130_fd_sc_hd__a22oi_1 U1631 ( .A1(n7), .A2(rf_reg_q[948]), .B1(n826), 
+        .B2(rf_reg_q[308]), .Y(n572) );
+  sky130_fd_sc_hd__nand4_1 U1632 ( .A(n575), .B(n574), .C(n573), .D(n572), .Y(
+        n581) );
+  sky130_fd_sc_hd__a22oi_1 U1633 ( .A1(n840), .A2(rf_reg_q[916]), .B1(n835), 
+        .B2(rf_reg_q[404]), .Y(n579) );
+  sky130_fd_sc_hd__a22oi_1 U1634 ( .A1(n834), .A2(rf_reg_q[532]), .B1(n837), 
+        .B2(rf_reg_q[148]), .Y(n578) );
+  sky130_fd_sc_hd__a22o_1 U1635 ( .A1(n836), .A2(rf_reg_q[788]), .B1(n833), 
+        .B2(rf_reg_q[276]), .X(n576) );
+  sky130_fd_sc_hd__a21oi_1 U1636 ( .A1(n838), .A2(rf_reg_q[660]), .B1(n576), 
+        .Y(n577) );
+  sky130_fd_sc_hd__a31oi_1 U1637 ( .A1(n579), .A2(n578), .A3(n577), .B1(
+        raddr_b_i[0]), .Y(n580) );
+  sky130_fd_sc_hd__a21oi_1 U1638 ( .A1(n581), .A2(n845), .B1(n580), .Y(n582)
+         );
+  sky130_fd_sc_hd__nand2_1 U1639 ( .A(n583), .B(n582), .Y(rdata_b_o[20]) );
+  sky130_fd_sc_hd__a22oi_1 U1640 ( .A1(n751), .A2(rf_reg_q[373]), .B1(n5), 
+        .B2(rf_reg_q[885]), .Y(n587) );
+  sky130_fd_sc_hd__a22oi_1 U1641 ( .A1(n8), .A2(rf_reg_q[117]), .B1(n7), .B2(
+        rf_reg_q[1013]), .Y(n586) );
+  sky130_fd_sc_hd__a22oi_1 U1642 ( .A1(n6), .A2(rf_reg_q[629]), .B1(n744), 
+        .B2(rf_reg_q[245]), .Y(n585) );
+  sky130_fd_sc_hd__a22oi_1 U1643 ( .A1(n828), .A2(rf_reg_q[501]), .B1(n594), 
+        .B2(rf_reg_q[757]), .Y(n584) );
+  sky130_fd_sc_hd__nand4_1 U1644 ( .A(n587), .B(n586), .C(n585), .D(n584), .Y(
+        n593) );
+  sky130_fd_sc_hd__a22oi_1 U1645 ( .A1(n7), .A2(rf_reg_q[981]), .B1(n5), .B2(
+        rf_reg_q[853]), .Y(n591) );
+  sky130_fd_sc_hd__a22oi_1 U1646 ( .A1(n8), .A2(rf_reg_q[85]), .B1(n826), .B2(
+        rf_reg_q[341]), .Y(n590) );
+  sky130_fd_sc_hd__a22oi_1 U1647 ( .A1(n828), .A2(rf_reg_q[469]), .B1(n815), 
+        .B2(rf_reg_q[213]), .Y(n589) );
+  sky130_fd_sc_hd__a22oi_1 U1648 ( .A1(n6), .A2(rf_reg_q[597]), .B1(n814), 
+        .B2(rf_reg_q[725]), .Y(n588) );
+  sky130_fd_sc_hd__nand4_1 U1649 ( .A(n591), .B(n590), .C(n589), .D(n588), .Y(
+        n592) );
+  sky130_fd_sc_hd__a22oi_1 U1650 ( .A1(n825), .A2(n593), .B1(n823), .B2(n592), 
+        .Y(n606) );
+  sky130_fd_sc_hd__a22oi_1 U1651 ( .A1(n8), .A2(rf_reg_q[53]), .B1(n639), .B2(
+        rf_reg_q[437]), .Y(n598) );
+  sky130_fd_sc_hd__a22oi_1 U1652 ( .A1(n7), .A2(rf_reg_q[949]), .B1(n826), 
+        .B2(rf_reg_q[309]), .Y(n597) );
+  sky130_fd_sc_hd__a22oi_1 U1653 ( .A1(n815), .A2(rf_reg_q[181]), .B1(n5), 
+        .B2(rf_reg_q[821]), .Y(n596) );
+  sky130_fd_sc_hd__a22oi_1 U1654 ( .A1(n6), .A2(rf_reg_q[565]), .B1(n594), 
+        .B2(rf_reg_q[693]), .Y(n595) );
+  sky130_fd_sc_hd__nand4_1 U1655 ( .A(n598), .B(n597), .C(n596), .D(n595), .Y(
+        n604) );
+  sky130_fd_sc_hd__a22oi_1 U1656 ( .A1(n836), .A2(rf_reg_q[789]), .B1(n835), 
+        .B2(rf_reg_q[405]), .Y(n602) );
+  sky130_fd_sc_hd__a22oi_1 U1657 ( .A1(n840), .A2(rf_reg_q[917]), .B1(n837), 
+        .B2(rf_reg_q[149]), .Y(n601) );
+  sky130_fd_sc_hd__a22o_1 U1658 ( .A1(n834), .A2(rf_reg_q[533]), .B1(n833), 
+        .B2(rf_reg_q[277]), .X(n599) );
+  sky130_fd_sc_hd__a21oi_1 U1659 ( .A1(n838), .A2(rf_reg_q[661]), .B1(n599), 
+        .Y(n600) );
+  sky130_fd_sc_hd__a31oi_1 U1660 ( .A1(n602), .A2(n601), .A3(n600), .B1(
+        raddr_b_i[0]), .Y(n603) );
+  sky130_fd_sc_hd__a21oi_1 U1661 ( .A1(n604), .A2(n845), .B1(n603), .Y(n605)
+         );
+  sky130_fd_sc_hd__nand2_1 U1662 ( .A(n606), .B(n605), .Y(rdata_b_o[21]) );
+  sky130_fd_sc_hd__a22oi_1 U1663 ( .A1(n751), .A2(rf_reg_q[374]), .B1(n5), 
+        .B2(rf_reg_q[886]), .Y(n610) );
+  sky130_fd_sc_hd__a22oi_1 U1664 ( .A1(n8), .A2(rf_reg_q[118]), .B1(n796), 
+        .B2(rf_reg_q[502]), .Y(n609) );
+  sky130_fd_sc_hd__a22oi_1 U1665 ( .A1(n6), .A2(rf_reg_q[630]), .B1(n814), 
+        .B2(rf_reg_q[758]), .Y(n608) );
+  sky130_fd_sc_hd__a22oi_1 U1666 ( .A1(n815), .A2(rf_reg_q[246]), .B1(n7), 
+        .B2(rf_reg_q[1014]), .Y(n607) );
+  sky130_fd_sc_hd__nand4_1 U1667 ( .A(n610), .B(n609), .C(n608), .D(n607), .Y(
+        n616) );
+  sky130_fd_sc_hd__a22oi_1 U1668 ( .A1(n814), .A2(rf_reg_q[726]), .B1(n5), 
+        .B2(rf_reg_q[854]), .Y(n614) );
+  sky130_fd_sc_hd__a22oi_1 U1669 ( .A1(n816), .A2(rf_reg_q[86]), .B1(n826), 
+        .B2(rf_reg_q[342]), .Y(n613) );
+  sky130_fd_sc_hd__a22oi_1 U1670 ( .A1(n828), .A2(rf_reg_q[470]), .B1(n7), 
+        .B2(rf_reg_q[982]), .Y(n612) );
+  sky130_fd_sc_hd__a22oi_1 U1671 ( .A1(n6), .A2(rf_reg_q[598]), .B1(n744), 
+        .B2(rf_reg_q[214]), .Y(n611) );
+  sky130_fd_sc_hd__nand4_1 U1672 ( .A(n614), .B(n613), .C(n612), .D(n611), .Y(
+        n615) );
+  sky130_fd_sc_hd__a22oi_1 U1673 ( .A1(n825), .A2(n616), .B1(n823), .B2(n615), 
+        .Y(n628) );
+  sky130_fd_sc_hd__a22oi_1 U1674 ( .A1(n751), .A2(rf_reg_q[310]), .B1(n5), 
+        .B2(rf_reg_q[822]), .Y(n620) );
+  sky130_fd_sc_hd__a22oi_1 U1675 ( .A1(n6), .A2(rf_reg_q[566]), .B1(n7), .B2(
+        rf_reg_q[950]), .Y(n619) );
+  sky130_fd_sc_hd__a22oi_1 U1676 ( .A1(n816), .A2(rf_reg_q[54]), .B1(n796), 
+        .B2(rf_reg_q[438]), .Y(n618) );
+  sky130_fd_sc_hd__a22oi_1 U1677 ( .A1(n815), .A2(rf_reg_q[182]), .B1(n814), 
+        .B2(rf_reg_q[694]), .Y(n617) );
+  sky130_fd_sc_hd__nand4_1 U1678 ( .A(n620), .B(n619), .C(n618), .D(n617), .Y(
+        n626) );
+  sky130_fd_sc_hd__a22oi_1 U1679 ( .A1(n838), .A2(rf_reg_q[662]), .B1(n834), 
+        .B2(rf_reg_q[534]), .Y(n624) );
+  sky130_fd_sc_hd__a22oi_1 U1680 ( .A1(n835), .A2(rf_reg_q[406]), .B1(n833), 
+        .B2(rf_reg_q[278]), .Y(n623) );
+  sky130_fd_sc_hd__a22o_1 U1681 ( .A1(n836), .A2(rf_reg_q[790]), .B1(n837), 
+        .B2(rf_reg_q[150]), .X(n621) );
+  sky130_fd_sc_hd__a21oi_1 U1682 ( .A1(n840), .A2(rf_reg_q[918]), .B1(n621), 
+        .Y(n622) );
+  sky130_fd_sc_hd__a31oi_1 U1683 ( .A1(n624), .A2(n623), .A3(n622), .B1(
+        raddr_b_i[0]), .Y(n625) );
+  sky130_fd_sc_hd__a21oi_1 U1684 ( .A1(n626), .A2(n845), .B1(n625), .Y(n627)
+         );
+  sky130_fd_sc_hd__nand2_1 U1685 ( .A(n628), .B(n627), .Y(rdata_b_o[22]) );
+  sky130_fd_sc_hd__a22oi_1 U1686 ( .A1(n6), .A2(rf_reg_q[631]), .B1(n8), .B2(
+        rf_reg_q[119]), .Y(n632) );
+  sky130_fd_sc_hd__a22oi_1 U1687 ( .A1(n815), .A2(rf_reg_q[247]), .B1(n7), 
+        .B2(rf_reg_q[1015]), .Y(n631) );
+  sky130_fd_sc_hd__a22oi_1 U1688 ( .A1(n828), .A2(rf_reg_q[503]), .B1(n826), 
+        .B2(rf_reg_q[375]), .Y(n630) );
+  sky130_fd_sc_hd__a22oi_1 U1689 ( .A1(n814), .A2(rf_reg_q[759]), .B1(n5), 
+        .B2(rf_reg_q[887]), .Y(n629) );
+  sky130_fd_sc_hd__nand4_1 U1690 ( .A(n632), .B(n631), .C(n630), .D(n629), .Y(
+        n638) );
+  sky130_fd_sc_hd__a22oi_1 U1691 ( .A1(n6), .A2(rf_reg_q[599]), .B1(n8), .B2(
+        rf_reg_q[87]), .Y(n636) );
+  sky130_fd_sc_hd__a22oi_1 U1692 ( .A1(n828), .A2(rf_reg_q[471]), .B1(n5), 
+        .B2(rf_reg_q[855]), .Y(n635) );
+  sky130_fd_sc_hd__a22oi_1 U1693 ( .A1(n814), .A2(rf_reg_q[727]), .B1(n826), 
+        .B2(rf_reg_q[343]), .Y(n634) );
+  sky130_fd_sc_hd__a22oi_1 U1694 ( .A1(n815), .A2(rf_reg_q[215]), .B1(n7), 
+        .B2(rf_reg_q[983]), .Y(n633) );
+  sky130_fd_sc_hd__nand4_1 U1695 ( .A(n636), .B(n635), .C(n634), .D(n633), .Y(
+        n637) );
+  sky130_fd_sc_hd__a22oi_1 U1696 ( .A1(n825), .A2(n638), .B1(n823), .B2(n637), 
+        .Y(n651) );
+  sky130_fd_sc_hd__a22oi_1 U1697 ( .A1(n815), .A2(rf_reg_q[183]), .B1(n814), 
+        .B2(rf_reg_q[695]), .Y(n643) );
+  sky130_fd_sc_hd__a22oi_1 U1698 ( .A1(n8), .A2(rf_reg_q[55]), .B1(n639), .B2(
+        rf_reg_q[439]), .Y(n642) );
+  sky130_fd_sc_hd__a22oi_1 U1699 ( .A1(n6), .A2(rf_reg_q[567]), .B1(n7), .B2(
+        rf_reg_q[951]), .Y(n641) );
+  sky130_fd_sc_hd__a22oi_1 U1700 ( .A1(n751), .A2(rf_reg_q[311]), .B1(n5), 
+        .B2(rf_reg_q[823]), .Y(n640) );
+  sky130_fd_sc_hd__nand4_1 U1701 ( .A(n643), .B(n642), .C(n641), .D(n640), .Y(
+        n649) );
+  sky130_fd_sc_hd__a22oi_1 U1702 ( .A1(n840), .A2(rf_reg_q[919]), .B1(n838), 
+        .B2(rf_reg_q[663]), .Y(n647) );
+  sky130_fd_sc_hd__a22oi_1 U1703 ( .A1(n834), .A2(rf_reg_q[535]), .B1(n833), 
+        .B2(rf_reg_q[279]), .Y(n646) );
+  sky130_fd_sc_hd__a22o_1 U1704 ( .A1(n836), .A2(rf_reg_q[791]), .B1(n835), 
+        .B2(rf_reg_q[407]), .X(n644) );
+  sky130_fd_sc_hd__a21oi_1 U1705 ( .A1(n837), .A2(rf_reg_q[151]), .B1(n644), 
+        .Y(n645) );
+  sky130_fd_sc_hd__a31oi_1 U1706 ( .A1(n647), .A2(n646), .A3(n645), .B1(
+        raddr_b_i[0]), .Y(n648) );
+  sky130_fd_sc_hd__a21oi_1 U1707 ( .A1(n649), .A2(n845), .B1(n648), .Y(n650)
+         );
+  sky130_fd_sc_hd__nand2_1 U1708 ( .A(n651), .B(n650), .Y(rdata_b_o[23]) );
+  sky130_fd_sc_hd__a22oi_1 U1709 ( .A1(n816), .A2(rf_reg_q[56]), .B1(n744), 
+        .B2(rf_reg_q[184]), .Y(n655) );
+  sky130_fd_sc_hd__a22oi_1 U1710 ( .A1(n6), .A2(rf_reg_q[568]), .B1(n5), .B2(
+        rf_reg_q[824]), .Y(n654) );
+  sky130_fd_sc_hd__a22oi_1 U1711 ( .A1(n828), .A2(rf_reg_q[440]), .B1(n7), 
+        .B2(rf_reg_q[952]), .Y(n653) );
+  sky130_fd_sc_hd__a22oi_1 U1712 ( .A1(n814), .A2(rf_reg_q[696]), .B1(n826), 
+        .B2(rf_reg_q[312]), .Y(n652) );
+  sky130_fd_sc_hd__nand4_1 U1713 ( .A(n655), .B(n654), .C(n653), .D(n652), .Y(
+        n661) );
+  sky130_fd_sc_hd__a22oi_1 U1714 ( .A1(n816), .A2(rf_reg_q[120]), .B1(n796), 
+        .B2(rf_reg_q[504]), .Y(n659) );
+  sky130_fd_sc_hd__a22oi_1 U1715 ( .A1(n814), .A2(rf_reg_q[760]), .B1(n5), 
+        .B2(rf_reg_q[888]), .Y(n658) );
+  sky130_fd_sc_hd__a22oi_1 U1716 ( .A1(n6), .A2(rf_reg_q[632]), .B1(n826), 
+        .B2(rf_reg_q[376]), .Y(n657) );
+  sky130_fd_sc_hd__a22oi_1 U1717 ( .A1(n815), .A2(rf_reg_q[248]), .B1(n7), 
+        .B2(rf_reg_q[1016]), .Y(n656) );
+  sky130_fd_sc_hd__nand4_1 U1718 ( .A(n659), .B(n658), .C(n657), .D(n656), .Y(
+        n660) );
+  sky130_fd_sc_hd__a22oi_1 U1719 ( .A1(n845), .A2(n661), .B1(n825), .B2(n660), 
+        .Y(n673) );
+  sky130_fd_sc_hd__a22oi_1 U1720 ( .A1(n816), .A2(rf_reg_q[88]), .B1(n828), 
+        .B2(rf_reg_q[472]), .Y(n665) );
+  sky130_fd_sc_hd__a22oi_1 U1721 ( .A1(n6), .A2(rf_reg_q[600]), .B1(n5), .B2(
+        rf_reg_q[856]), .Y(n664) );
+  sky130_fd_sc_hd__a22oi_1 U1722 ( .A1(n815), .A2(rf_reg_q[216]), .B1(n7), 
+        .B2(rf_reg_q[984]), .Y(n663) );
+  sky130_fd_sc_hd__a22oi_1 U1723 ( .A1(n814), .A2(rf_reg_q[728]), .B1(n826), 
+        .B2(rf_reg_q[344]), .Y(n662) );
+  sky130_fd_sc_hd__nand4_1 U1724 ( .A(n665), .B(n664), .C(n663), .D(n662), .Y(
+        n671) );
+  sky130_fd_sc_hd__a22oi_1 U1725 ( .A1(n836), .A2(rf_reg_q[792]), .B1(n834), 
+        .B2(rf_reg_q[536]), .Y(n669) );
+  sky130_fd_sc_hd__a22oi_1 U1726 ( .A1(n840), .A2(rf_reg_q[920]), .B1(n838), 
+        .B2(rf_reg_q[664]), .Y(n668) );
+  sky130_fd_sc_hd__a22o_1 U1727 ( .A1(n835), .A2(rf_reg_q[408]), .B1(n837), 
+        .B2(rf_reg_q[152]), .X(n666) );
+  sky130_fd_sc_hd__a21oi_1 U1728 ( .A1(n833), .A2(rf_reg_q[280]), .B1(n666), 
+        .Y(n667) );
+  sky130_fd_sc_hd__a31oi_1 U1729 ( .A1(n669), .A2(n668), .A3(n667), .B1(
+        raddr_b_i[0]), .Y(n670) );
+  sky130_fd_sc_hd__a21oi_1 U1730 ( .A1(n671), .A2(n823), .B1(n670), .Y(n672)
+         );
+  sky130_fd_sc_hd__nand2_1 U1731 ( .A(n673), .B(n672), .Y(rdata_b_o[24]) );
+  sky130_fd_sc_hd__a22oi_1 U1732 ( .A1(n815), .A2(rf_reg_q[249]), .B1(n817), 
+        .B2(rf_reg_q[1017]), .Y(n677) );
+  sky130_fd_sc_hd__a22oi_1 U1733 ( .A1(n6), .A2(rf_reg_q[633]), .B1(n8), .B2(
+        rf_reg_q[121]), .Y(n676) );
+  sky130_fd_sc_hd__a22oi_1 U1734 ( .A1(n828), .A2(rf_reg_q[505]), .B1(n814), 
+        .B2(rf_reg_q[761]), .Y(n675) );
+  sky130_fd_sc_hd__a22oi_1 U1735 ( .A1(n751), .A2(rf_reg_q[377]), .B1(n5), 
+        .B2(rf_reg_q[889]), .Y(n674) );
+  sky130_fd_sc_hd__nand4_1 U1736 ( .A(n677), .B(n676), .C(n675), .D(n674), .Y(
+        n683) );
+  sky130_fd_sc_hd__a22oi_1 U1737 ( .A1(n814), .A2(rf_reg_q[729]), .B1(n5), 
+        .B2(rf_reg_q[857]), .Y(n681) );
+  sky130_fd_sc_hd__a22oi_1 U1738 ( .A1(n6), .A2(rf_reg_q[601]), .B1(n826), 
+        .B2(rf_reg_q[345]), .Y(n680) );
+  sky130_fd_sc_hd__a22oi_1 U1739 ( .A1(n815), .A2(rf_reg_q[217]), .B1(n7), 
+        .B2(rf_reg_q[985]), .Y(n679) );
+  sky130_fd_sc_hd__a22oi_1 U1740 ( .A1(n816), .A2(rf_reg_q[89]), .B1(n796), 
+        .B2(rf_reg_q[473]), .Y(n678) );
+  sky130_fd_sc_hd__nand4_1 U1741 ( .A(n681), .B(n680), .C(n679), .D(n678), .Y(
+        n682) );
+  sky130_fd_sc_hd__a22oi_1 U1742 ( .A1(n825), .A2(n683), .B1(n823), .B2(n682), 
+        .Y(n695) );
+  sky130_fd_sc_hd__a22oi_1 U1743 ( .A1(n6), .A2(rf_reg_q[569]), .B1(n5), .B2(
+        rf_reg_q[825]), .Y(n687) );
+  sky130_fd_sc_hd__a22oi_1 U1744 ( .A1(n594), .A2(rf_reg_q[697]), .B1(n826), 
+        .B2(rf_reg_q[313]), .Y(n686) );
+  sky130_fd_sc_hd__a22oi_1 U1745 ( .A1(n815), .A2(rf_reg_q[185]), .B1(n817), 
+        .B2(rf_reg_q[953]), .Y(n685) );
+  sky130_fd_sc_hd__a22oi_1 U1746 ( .A1(n816), .A2(rf_reg_q[57]), .B1(n796), 
+        .B2(rf_reg_q[441]), .Y(n684) );
+  sky130_fd_sc_hd__nand4_1 U1747 ( .A(n687), .B(n686), .C(n685), .D(n684), .Y(
+        n693) );
+  sky130_fd_sc_hd__a22oi_1 U1748 ( .A1(n835), .A2(rf_reg_q[409]), .B1(n833), 
+        .B2(rf_reg_q[281]), .Y(n691) );
+  sky130_fd_sc_hd__a22oi_1 U1749 ( .A1(n838), .A2(rf_reg_q[665]), .B1(n834), 
+        .B2(rf_reg_q[537]), .Y(n690) );
+  sky130_fd_sc_hd__a22o_1 U1750 ( .A1(n840), .A2(rf_reg_q[921]), .B1(n837), 
+        .B2(rf_reg_q[153]), .X(n688) );
+  sky130_fd_sc_hd__a21oi_1 U1751 ( .A1(n836), .A2(rf_reg_q[793]), .B1(n688), 
+        .Y(n689) );
+  sky130_fd_sc_hd__a31oi_1 U1752 ( .A1(n691), .A2(n690), .A3(n689), .B1(
+        raddr_b_i[0]), .Y(n692) );
+  sky130_fd_sc_hd__a21oi_1 U1753 ( .A1(n693), .A2(n845), .B1(n692), .Y(n694)
+         );
+  sky130_fd_sc_hd__nand2_1 U1754 ( .A(n695), .B(n694), .Y(rdata_b_o[25]) );
+  sky130_fd_sc_hd__a22oi_1 U1755 ( .A1(n828), .A2(rf_reg_q[506]), .B1(n5), 
+        .B2(rf_reg_q[890]), .Y(n699) );
+  sky130_fd_sc_hd__a22oi_1 U1756 ( .A1(n816), .A2(rf_reg_q[122]), .B1(n814), 
+        .B2(rf_reg_q[762]), .Y(n698) );
+  sky130_fd_sc_hd__a22oi_1 U1757 ( .A1(n815), .A2(rf_reg_q[250]), .B1(n7), 
+        .B2(rf_reg_q[1018]), .Y(n697) );
+  sky130_fd_sc_hd__a22oi_1 U1758 ( .A1(n6), .A2(rf_reg_q[634]), .B1(n826), 
+        .B2(rf_reg_q[378]), .Y(n696) );
+  sky130_fd_sc_hd__nand4_1 U1759 ( .A(n699), .B(n698), .C(n697), .D(n696), .Y(
+        n705) );
+  sky130_fd_sc_hd__a22oi_1 U1760 ( .A1(n6), .A2(rf_reg_q[602]), .B1(n796), 
+        .B2(rf_reg_q[474]), .Y(n703) );
+  sky130_fd_sc_hd__a22oi_1 U1761 ( .A1(n813), .A2(rf_reg_q[346]), .B1(n5), 
+        .B2(rf_reg_q[858]), .Y(n702) );
+  sky130_fd_sc_hd__a22oi_1 U1762 ( .A1(n815), .A2(rf_reg_q[218]), .B1(n817), 
+        .B2(rf_reg_q[986]), .Y(n701) );
+  sky130_fd_sc_hd__a22oi_1 U1763 ( .A1(n816), .A2(rf_reg_q[90]), .B1(n814), 
+        .B2(rf_reg_q[730]), .Y(n700) );
+  sky130_fd_sc_hd__nand4_1 U1764 ( .A(n703), .B(n702), .C(n701), .D(n700), .Y(
+        n704) );
+  sky130_fd_sc_hd__a22oi_1 U1765 ( .A1(n825), .A2(n705), .B1(n823), .B2(n704), 
+        .Y(n717) );
+  sky130_fd_sc_hd__a22oi_1 U1766 ( .A1(n828), .A2(rf_reg_q[442]), .B1(n814), 
+        .B2(rf_reg_q[698]), .Y(n709) );
+  sky130_fd_sc_hd__a22oi_1 U1767 ( .A1(n6), .A2(rf_reg_q[570]), .B1(n744), 
+        .B2(rf_reg_q[186]), .Y(n708) );
+  sky130_fd_sc_hd__a22oi_1 U1768 ( .A1(n7), .A2(rf_reg_q[954]), .B1(n5), .B2(
+        rf_reg_q[826]), .Y(n707) );
+  sky130_fd_sc_hd__a22oi_1 U1769 ( .A1(n816), .A2(rf_reg_q[58]), .B1(n826), 
+        .B2(rf_reg_q[314]), .Y(n706) );
+  sky130_fd_sc_hd__nand4_1 U1770 ( .A(n709), .B(n708), .C(n707), .D(n706), .Y(
+        n715) );
+  sky130_fd_sc_hd__a22oi_1 U1771 ( .A1(n840), .A2(rf_reg_q[922]), .B1(n838), 
+        .B2(rf_reg_q[666]), .Y(n713) );
+  sky130_fd_sc_hd__a22oi_1 U1772 ( .A1(n835), .A2(rf_reg_q[410]), .B1(n833), 
+        .B2(rf_reg_q[282]), .Y(n712) );
+  sky130_fd_sc_hd__a22o_1 U1773 ( .A1(n834), .A2(rf_reg_q[538]), .B1(n837), 
+        .B2(rf_reg_q[154]), .X(n710) );
+  sky130_fd_sc_hd__a21oi_1 U1774 ( .A1(n836), .A2(rf_reg_q[794]), .B1(n710), 
+        .Y(n711) );
+  sky130_fd_sc_hd__a31oi_1 U1775 ( .A1(n713), .A2(n712), .A3(n711), .B1(
+        raddr_b_i[0]), .Y(n714) );
+  sky130_fd_sc_hd__a21oi_1 U1776 ( .A1(n715), .A2(n845), .B1(n714), .Y(n716)
+         );
+  sky130_fd_sc_hd__nand2_1 U1777 ( .A(n717), .B(n716), .Y(rdata_b_o[26]) );
+  sky130_fd_sc_hd__a22oi_1 U1778 ( .A1(n828), .A2(rf_reg_q[443]), .B1(n7), 
+        .B2(rf_reg_q[955]), .Y(n721) );
+  sky130_fd_sc_hd__a22oi_1 U1779 ( .A1(n6), .A2(rf_reg_q[571]), .B1(n8), .B2(
+        rf_reg_q[59]), .Y(n720) );
+  sky130_fd_sc_hd__a22oi_1 U1780 ( .A1(n815), .A2(rf_reg_q[187]), .B1(n5), 
+        .B2(rf_reg_q[827]), .Y(n719) );
+  sky130_fd_sc_hd__a22oi_1 U1781 ( .A1(n594), .A2(rf_reg_q[699]), .B1(n826), 
+        .B2(rf_reg_q[315]), .Y(n718) );
+  sky130_fd_sc_hd__nand4_1 U1782 ( .A(n721), .B(n720), .C(n719), .D(n718), .Y(
+        n727) );
+  sky130_fd_sc_hd__a22oi_1 U1783 ( .A1(n6), .A2(rf_reg_q[635]), .B1(n814), 
+        .B2(rf_reg_q[763]), .Y(n725) );
+  sky130_fd_sc_hd__a22oi_1 U1784 ( .A1(n815), .A2(rf_reg_q[251]), .B1(n826), 
+        .B2(rf_reg_q[379]), .Y(n724) );
+  sky130_fd_sc_hd__a22oi_1 U1785 ( .A1(n817), .A2(rf_reg_q[1019]), .B1(n5), 
+        .B2(rf_reg_q[891]), .Y(n723) );
+  sky130_fd_sc_hd__a22oi_1 U1786 ( .A1(n8), .A2(rf_reg_q[123]), .B1(n796), 
+        .B2(rf_reg_q[507]), .Y(n722) );
+  sky130_fd_sc_hd__nand4_1 U1787 ( .A(n725), .B(n724), .C(n723), .D(n722), .Y(
+        n726) );
+  sky130_fd_sc_hd__a22oi_1 U1788 ( .A1(n845), .A2(n727), .B1(n825), .B2(n726), 
+        .Y(n739) );
+  sky130_fd_sc_hd__a22oi_1 U1789 ( .A1(n828), .A2(rf_reg_q[475]), .B1(n826), 
+        .B2(rf_reg_q[347]), .Y(n731) );
+  sky130_fd_sc_hd__a22oi_1 U1790 ( .A1(n8), .A2(rf_reg_q[91]), .B1(n814), .B2(
+        rf_reg_q[731]), .Y(n730) );
+  sky130_fd_sc_hd__a22oi_1 U1791 ( .A1(n6), .A2(rf_reg_q[603]), .B1(n5), .B2(
+        rf_reg_q[859]), .Y(n729) );
+  sky130_fd_sc_hd__a22oi_1 U1792 ( .A1(n815), .A2(rf_reg_q[219]), .B1(n817), 
+        .B2(rf_reg_q[987]), .Y(n728) );
+  sky130_fd_sc_hd__nand4_1 U1793 ( .A(n731), .B(n730), .C(n729), .D(n728), .Y(
+        n737) );
+  sky130_fd_sc_hd__a22oi_1 U1794 ( .A1(n836), .A2(rf_reg_q[795]), .B1(n837), 
+        .B2(rf_reg_q[155]), .Y(n735) );
+  sky130_fd_sc_hd__a22oi_1 U1795 ( .A1(n834), .A2(rf_reg_q[539]), .B1(n833), 
+        .B2(rf_reg_q[283]), .Y(n734) );
+  sky130_fd_sc_hd__a22o_1 U1796 ( .A1(n840), .A2(rf_reg_q[923]), .B1(n838), 
+        .B2(rf_reg_q[667]), .X(n732) );
+  sky130_fd_sc_hd__a21oi_1 U1797 ( .A1(n835), .A2(rf_reg_q[411]), .B1(n732), 
+        .Y(n733) );
+  sky130_fd_sc_hd__a31oi_1 U1798 ( .A1(n735), .A2(n734), .A3(n733), .B1(
+        raddr_b_i[0]), .Y(n736) );
+  sky130_fd_sc_hd__a21oi_1 U1799 ( .A1(n737), .A2(n823), .B1(n736), .Y(n738)
+         );
+  sky130_fd_sc_hd__nand2_1 U1800 ( .A(n739), .B(n738), .Y(rdata_b_o[27]) );
+  sky130_fd_sc_hd__a22oi_1 U1801 ( .A1(n8), .A2(rf_reg_q[60]), .B1(n814), .B2(
+        rf_reg_q[700]), .Y(n743) );
+  sky130_fd_sc_hd__a22oi_1 U1802 ( .A1(n815), .A2(rf_reg_q[188]), .B1(n5), 
+        .B2(rf_reg_q[828]), .Y(n742) );
+  sky130_fd_sc_hd__a22oi_1 U1803 ( .A1(n828), .A2(rf_reg_q[444]), .B1(n826), 
+        .B2(rf_reg_q[316]), .Y(n741) );
+  sky130_fd_sc_hd__a22oi_1 U1804 ( .A1(n6), .A2(rf_reg_q[572]), .B1(n7), .B2(
+        rf_reg_q[956]), .Y(n740) );
+  sky130_fd_sc_hd__nand4_1 U1805 ( .A(n743), .B(n742), .C(n741), .D(n740), .Y(
+        n750) );
+  sky130_fd_sc_hd__a22oi_1 U1806 ( .A1(n817), .A2(rf_reg_q[988]), .B1(n826), 
+        .B2(rf_reg_q[348]), .Y(n748) );
+  sky130_fd_sc_hd__a22oi_1 U1807 ( .A1(n6), .A2(rf_reg_q[604]), .B1(n5), .B2(
+        rf_reg_q[860]), .Y(n747) );
+  sky130_fd_sc_hd__a22oi_1 U1808 ( .A1(n8), .A2(rf_reg_q[92]), .B1(n744), .B2(
+        rf_reg_q[220]), .Y(n746) );
+  sky130_fd_sc_hd__a22oi_1 U1809 ( .A1(n828), .A2(rf_reg_q[476]), .B1(n594), 
+        .B2(rf_reg_q[732]), .Y(n745) );
+  sky130_fd_sc_hd__nand4_1 U1810 ( .A(n748), .B(n747), .C(n746), .D(n745), .Y(
+        n749) );
+  sky130_fd_sc_hd__a22oi_1 U1811 ( .A1(n845), .A2(n750), .B1(n823), .B2(n749), 
+        .Y(n763) );
+  sky130_fd_sc_hd__a22oi_1 U1812 ( .A1(n6), .A2(rf_reg_q[636]), .B1(n5), .B2(
+        rf_reg_q[892]), .Y(n755) );
+  sky130_fd_sc_hd__a22oi_1 U1813 ( .A1(n8), .A2(rf_reg_q[124]), .B1(n817), 
+        .B2(rf_reg_q[1020]), .Y(n754) );
+  sky130_fd_sc_hd__a22oi_1 U1814 ( .A1(n828), .A2(rf_reg_q[508]), .B1(n814), 
+        .B2(rf_reg_q[764]), .Y(n753) );
+  sky130_fd_sc_hd__a22oi_1 U1815 ( .A1(n815), .A2(rf_reg_q[252]), .B1(n751), 
+        .B2(rf_reg_q[380]), .Y(n752) );
+  sky130_fd_sc_hd__nand4_1 U1816 ( .A(n755), .B(n754), .C(n753), .D(n752), .Y(
+        n761) );
+  sky130_fd_sc_hd__a22oi_1 U1817 ( .A1(n834), .A2(rf_reg_q[540]), .B1(n833), 
+        .B2(rf_reg_q[284]), .Y(n759) );
+  sky130_fd_sc_hd__a22oi_1 U1818 ( .A1(n838), .A2(rf_reg_q[668]), .B1(n835), 
+        .B2(rf_reg_q[412]), .Y(n758) );
+  sky130_fd_sc_hd__a22o_1 U1819 ( .A1(n840), .A2(rf_reg_q[924]), .B1(n836), 
+        .B2(rf_reg_q[796]), .X(n756) );
+  sky130_fd_sc_hd__a21oi_1 U1820 ( .A1(n837), .A2(rf_reg_q[156]), .B1(n756), 
+        .Y(n757) );
+  sky130_fd_sc_hd__a31oi_1 U1821 ( .A1(n759), .A2(n758), .A3(n757), .B1(
+        raddr_b_i[0]), .Y(n760) );
+  sky130_fd_sc_hd__a21oi_1 U1822 ( .A1(n761), .A2(n825), .B1(n760), .Y(n762)
+         );
+  sky130_fd_sc_hd__nand2_1 U1823 ( .A(n763), .B(n762), .Y(rdata_b_o[28]) );
+  sky130_fd_sc_hd__a22oi_1 U1824 ( .A1(n594), .A2(rf_reg_q[765]), .B1(n5), 
+        .B2(rf_reg_q[893]), .Y(n767) );
+  sky130_fd_sc_hd__a22oi_1 U1825 ( .A1(n8), .A2(rf_reg_q[125]), .B1(n7), .B2(
+        rf_reg_q[1021]), .Y(n766) );
+  sky130_fd_sc_hd__a22oi_1 U1826 ( .A1(n815), .A2(rf_reg_q[253]), .B1(n826), 
+        .B2(rf_reg_q[381]), .Y(n765) );
+  sky130_fd_sc_hd__a22oi_1 U1827 ( .A1(n6), .A2(rf_reg_q[637]), .B1(n796), 
+        .B2(rf_reg_q[509]), .Y(n764) );
+  sky130_fd_sc_hd__nand4_1 U1828 ( .A(n767), .B(n766), .C(n765), .D(n764), .Y(
+        n773) );
+  sky130_fd_sc_hd__a22oi_1 U1829 ( .A1(n813), .A2(rf_reg_q[349]), .B1(n5), 
+        .B2(rf_reg_q[861]), .Y(n771) );
+  sky130_fd_sc_hd__a22oi_1 U1830 ( .A1(n6), .A2(rf_reg_q[605]), .B1(n817), 
+        .B2(rf_reg_q[989]), .Y(n770) );
+  sky130_fd_sc_hd__a22oi_1 U1831 ( .A1(n815), .A2(rf_reg_q[221]), .B1(n814), 
+        .B2(rf_reg_q[733]), .Y(n769) );
+  sky130_fd_sc_hd__a22oi_1 U1832 ( .A1(n8), .A2(rf_reg_q[93]), .B1(n796), .B2(
+        rf_reg_q[477]), .Y(n768) );
+  sky130_fd_sc_hd__nand4_1 U1833 ( .A(n771), .B(n770), .C(n769), .D(n768), .Y(
+        n772) );
+  sky130_fd_sc_hd__a22oi_1 U1834 ( .A1(n825), .A2(n773), .B1(n823), .B2(n772), 
+        .Y(n785) );
+  sky130_fd_sc_hd__a22oi_1 U1835 ( .A1(n817), .A2(rf_reg_q[957]), .B1(n5), 
+        .B2(rf_reg_q[829]), .Y(n777) );
+  sky130_fd_sc_hd__a22oi_1 U1836 ( .A1(n816), .A2(rf_reg_q[61]), .B1(n813), 
+        .B2(rf_reg_q[317]), .Y(n776) );
+  sky130_fd_sc_hd__a22oi_1 U1837 ( .A1(n6), .A2(rf_reg_q[573]), .B1(n796), 
+        .B2(rf_reg_q[445]), .Y(n775) );
+  sky130_fd_sc_hd__a22oi_1 U1838 ( .A1(n815), .A2(rf_reg_q[189]), .B1(n814), 
+        .B2(rf_reg_q[701]), .Y(n774) );
+  sky130_fd_sc_hd__nand4_1 U1839 ( .A(n777), .B(n776), .C(n775), .D(n774), .Y(
+        n783) );
+  sky130_fd_sc_hd__a22oi_1 U1840 ( .A1(n840), .A2(rf_reg_q[925]), .B1(n834), 
+        .B2(rf_reg_q[541]), .Y(n781) );
+  sky130_fd_sc_hd__a22oi_1 U1841 ( .A1(n835), .A2(rf_reg_q[413]), .B1(n833), 
+        .B2(rf_reg_q[285]), .Y(n780) );
+  sky130_fd_sc_hd__a22o_1 U1842 ( .A1(n838), .A2(rf_reg_q[669]), .B1(n837), 
+        .B2(rf_reg_q[157]), .X(n778) );
+  sky130_fd_sc_hd__a21oi_1 U1843 ( .A1(n836), .A2(rf_reg_q[797]), .B1(n778), 
+        .Y(n779) );
+  sky130_fd_sc_hd__a31oi_1 U1844 ( .A1(n781), .A2(n780), .A3(n779), .B1(
+        raddr_b_i[0]), .Y(n782) );
+  sky130_fd_sc_hd__a21oi_1 U1845 ( .A1(n783), .A2(n845), .B1(n782), .Y(n784)
+         );
+  sky130_fd_sc_hd__nand2_1 U1846 ( .A(n785), .B(n784), .Y(rdata_b_o[29]) );
+  sky130_fd_sc_hd__a22oi_1 U1847 ( .A1(n6), .A2(rf_reg_q[574]), .B1(n8), .B2(
+        rf_reg_q[62]), .Y(n789) );
+  sky130_fd_sc_hd__a22oi_1 U1848 ( .A1(n815), .A2(rf_reg_q[190]), .B1(n814), 
+        .B2(rf_reg_q[702]), .Y(n788) );
+  sky130_fd_sc_hd__a22oi_1 U1849 ( .A1(n828), .A2(rf_reg_q[446]), .B1(n7), 
+        .B2(rf_reg_q[958]), .Y(n787) );
+  sky130_fd_sc_hd__a22oi_1 U1850 ( .A1(n813), .A2(rf_reg_q[318]), .B1(n5), 
+        .B2(rf_reg_q[830]), .Y(n786) );
+  sky130_fd_sc_hd__nand4_1 U1851 ( .A(n789), .B(n788), .C(n787), .D(n786), .Y(
+        n795) );
+  sky130_fd_sc_hd__a22oi_1 U1852 ( .A1(n6), .A2(rf_reg_q[606]), .B1(n813), 
+        .B2(rf_reg_q[350]), .Y(n793) );
+  sky130_fd_sc_hd__a22oi_1 U1853 ( .A1(n816), .A2(rf_reg_q[94]), .B1(n814), 
+        .B2(rf_reg_q[734]), .Y(n792) );
+  sky130_fd_sc_hd__a22oi_1 U1854 ( .A1(n828), .A2(rf_reg_q[478]), .B1(n5), 
+        .B2(rf_reg_q[862]), .Y(n791) );
+  sky130_fd_sc_hd__a22oi_1 U1855 ( .A1(n815), .A2(rf_reg_q[222]), .B1(n7), 
+        .B2(rf_reg_q[990]), .Y(n790) );
+  sky130_fd_sc_hd__nand4_1 U1856 ( .A(n793), .B(n792), .C(n791), .D(n790), .Y(
+        n794) );
+  sky130_fd_sc_hd__a22oi_1 U1857 ( .A1(n845), .A2(n795), .B1(n823), .B2(n794), 
+        .Y(n808) );
+  sky130_fd_sc_hd__a22oi_1 U1858 ( .A1(n6), .A2(rf_reg_q[638]), .B1(n796), 
+        .B2(rf_reg_q[510]), .Y(n800) );
+  sky130_fd_sc_hd__a22oi_1 U1859 ( .A1(n815), .A2(rf_reg_q[254]), .B1(n5), 
+        .B2(rf_reg_q[894]), .Y(n799) );
+  sky130_fd_sc_hd__a22oi_1 U1860 ( .A1(n817), .A2(rf_reg_q[1022]), .B1(n814), 
+        .B2(rf_reg_q[766]), .Y(n798) );
+  sky130_fd_sc_hd__a22oi_1 U1861 ( .A1(n816), .A2(rf_reg_q[126]), .B1(n813), 
+        .B2(rf_reg_q[382]), .Y(n797) );
+  sky130_fd_sc_hd__nand4_1 U1862 ( .A(n800), .B(n799), .C(n798), .D(n797), .Y(
+        n806) );
+  sky130_fd_sc_hd__a22oi_1 U1863 ( .A1(n835), .A2(rf_reg_q[414]), .B1(n837), 
+        .B2(rf_reg_q[158]), .Y(n804) );
+  sky130_fd_sc_hd__a22oi_1 U1864 ( .A1(n840), .A2(rf_reg_q[926]), .B1(n833), 
+        .B2(rf_reg_q[286]), .Y(n803) );
+  sky130_fd_sc_hd__a22o_1 U1865 ( .A1(n836), .A2(rf_reg_q[798]), .B1(n838), 
+        .B2(rf_reg_q[670]), .X(n801) );
+  sky130_fd_sc_hd__a21oi_1 U1866 ( .A1(n834), .A2(rf_reg_q[542]), .B1(n801), 
+        .Y(n802) );
+  sky130_fd_sc_hd__a31oi_1 U1867 ( .A1(n804), .A2(n803), .A3(n802), .B1(
+        raddr_b_i[0]), .Y(n805) );
+  sky130_fd_sc_hd__a21oi_1 U1868 ( .A1(n806), .A2(n825), .B1(n805), .Y(n807)
+         );
+  sky130_fd_sc_hd__nand2_1 U1869 ( .A(n808), .B(n807), .Y(rdata_b_o[30]) );
+  sky130_fd_sc_hd__a22oi_1 U1870 ( .A1(n815), .A2(rf_reg_q[255]), .B1(n7), 
+        .B2(rf_reg_q[1023]), .Y(n812) );
+  sky130_fd_sc_hd__a22oi_1 U1871 ( .A1(n6), .A2(rf_reg_q[639]), .B1(n814), 
+        .B2(rf_reg_q[767]), .Y(n811) );
+  sky130_fd_sc_hd__a22oi_1 U1872 ( .A1(n828), .A2(rf_reg_q[511]), .B1(n813), 
+        .B2(rf_reg_q[383]), .Y(n810) );
+  sky130_fd_sc_hd__a22oi_1 U1873 ( .A1(n816), .A2(rf_reg_q[127]), .B1(n5), 
+        .B2(rf_reg_q[895]), .Y(n809) );
+  sky130_fd_sc_hd__nand4_1 U1874 ( .A(n812), .B(n811), .C(n810), .D(n809), .Y(
+        n824) );
+  sky130_fd_sc_hd__a22oi_1 U1875 ( .A1(n6), .A2(rf_reg_q[607]), .B1(n813), 
+        .B2(rf_reg_q[351]), .Y(n821) );
+  sky130_fd_sc_hd__a22oi_1 U1876 ( .A1(n815), .A2(rf_reg_q[223]), .B1(n814), 
+        .B2(rf_reg_q[735]), .Y(n820) );
+  sky130_fd_sc_hd__a22oi_1 U1877 ( .A1(n816), .A2(rf_reg_q[95]), .B1(n5), .B2(
+        rf_reg_q[863]), .Y(n819) );
+  sky130_fd_sc_hd__a22oi_1 U1878 ( .A1(n828), .A2(rf_reg_q[479]), .B1(n817), 
+        .B2(rf_reg_q[991]), .Y(n818) );
+  sky130_fd_sc_hd__nand4_1 U1879 ( .A(n821), .B(n820), .C(n819), .D(n818), .Y(
+        n822) );
+  sky130_fd_sc_hd__a22oi_1 U1880 ( .A1(n825), .A2(n824), .B1(n823), .B2(n822), 
+        .Y(n848) );
+  sky130_fd_sc_hd__a22oi_1 U1881 ( .A1(n7), .A2(rf_reg_q[959]), .B1(n826), 
+        .B2(rf_reg_q[319]), .Y(n832) );
+  sky130_fd_sc_hd__a22oi_1 U1882 ( .A1(n827), .A2(rf_reg_q[191]), .B1(n594), 
+        .B2(rf_reg_q[703]), .Y(n831) );
+  sky130_fd_sc_hd__a22oi_1 U1883 ( .A1(n6), .A2(rf_reg_q[575]), .B1(n8), .B2(
+        rf_reg_q[63]), .Y(n830) );
+  sky130_fd_sc_hd__a22oi_1 U1884 ( .A1(n828), .A2(rf_reg_q[447]), .B1(n5), 
+        .B2(rf_reg_q[831]), .Y(n829) );
+  sky130_fd_sc_hd__nand4_1 U1885 ( .A(n832), .B(n831), .C(n830), .D(n829), .Y(
+        n846) );
+  sky130_fd_sc_hd__a22oi_1 U1886 ( .A1(n834), .A2(rf_reg_q[543]), .B1(n833), 
+        .B2(rf_reg_q[287]), .Y(n843) );
+  sky130_fd_sc_hd__a22oi_1 U1887 ( .A1(n836), .A2(rf_reg_q[799]), .B1(n835), 
+        .B2(rf_reg_q[415]), .Y(n842) );
+  sky130_fd_sc_hd__a22o_1 U1888 ( .A1(n838), .A2(rf_reg_q[671]), .B1(n837), 
+        .B2(rf_reg_q[159]), .X(n839) );
+  sky130_fd_sc_hd__a21oi_1 U1889 ( .A1(n840), .A2(rf_reg_q[927]), .B1(n839), 
+        .Y(n841) );
+  sky130_fd_sc_hd__a31oi_1 U1890 ( .A1(n843), .A2(n842), .A3(n841), .B1(
+        raddr_b_i[0]), .Y(n844) );
+  sky130_fd_sc_hd__a21oi_1 U1891 ( .A1(n846), .A2(n845), .B1(n844), .Y(n847)
+         );
+  sky130_fd_sc_hd__nand2_1 U1892 ( .A(n848), .B(n847), .Y(rdata_b_o[31]) );
+  sky130_fd_sc_hd__clkinv_1 U1893 ( .A(raddr_a_i[0]), .Y(n867) );
+  sky130_fd_sc_hd__clkinv_1 U1894 ( .A(raddr_a_i[1]), .Y(n868) );
+  sky130_fd_sc_hd__nor2_1 U1895 ( .A(n867), .B(n868), .Y(n2549) );
+  sky130_fd_sc_hd__nand2_1 U1896 ( .A(raddr_a_i[4]), .B(raddr_a_i[2]), .Y(n850) );
+  sky130_fd_sc_hd__clkinv_1 U1897 ( .A(raddr_a_i[3]), .Y(n851) );
+  sky130_fd_sc_hd__clkinv_1 U1898 ( .A(raddr_a_i[2]), .Y(n849) );
+  sky130_fd_sc_hd__nor3_1 U1899 ( .A(raddr_a_i[4]), .B(n851), .C(n849), .Y(
+        n1507) );
+  sky130_fd_sc_hd__a22oi_1 U1900 ( .A1(rf_reg_q[736]), .A2(n2550), .B1(
+        rf_reg_q[480]), .B2(n2), .Y(n856) );
+  sky130_fd_sc_hd__nor3_1 U1901 ( .A(raddr_a_i[3]), .B(raddr_a_i[4]), .C(
+        raddr_a_i[2]), .Y(n1532) );
+  sky130_fd_sc_hd__nor3_1 U1902 ( .A(raddr_a_i[3]), .B(raddr_a_i[4]), .C(n849), 
+        .Y(n2551) );
+  sky130_fd_sc_hd__a22oi_1 U1903 ( .A1(rf_reg_q[96]), .A2(n4), .B1(
+        rf_reg_q[224]), .B2(n2551), .Y(n855) );
+  sky130_fd_sc_hd__clkinv_1 U1904 ( .A(raddr_a_i[4]), .Y(n852) );
+  sky130_fd_sc_hd__nor3_1 U1905 ( .A(raddr_a_i[2]), .B(n852), .C(n851), .Y(
+        n1521) );
+  sky130_fd_sc_hd__clkbuf_1 U1906 ( .A(n1499), .X(n2552) );
+  sky130_fd_sc_hd__a22oi_1 U1907 ( .A1(rf_reg_q[864]), .A2(n1), .B1(
+        rf_reg_q[992]), .B2(n2552), .Y(n854) );
+  sky130_fd_sc_hd__nor3_1 U1908 ( .A(raddr_a_i[4]), .B(raddr_a_i[2]), .C(n851), 
+        .Y(n1508) );
+  sky130_fd_sc_hd__nor3_1 U1909 ( .A(raddr_a_i[3]), .B(raddr_a_i[2]), .C(n852), 
+        .Y(n1321) );
+  sky130_fd_sc_hd__a22oi_1 U1910 ( .A1(rf_reg_q[352]), .A2(n3), .B1(
+        rf_reg_q[608]), .B2(n1500), .Y(n853) );
+  sky130_fd_sc_hd__nand4_1 U1911 ( .A(n856), .B(n855), .C(n854), .D(n853), .Y(
+        n862) );
+  sky130_fd_sc_hd__nor2_1 U1912 ( .A(raddr_a_i[0]), .B(n868), .Y(n2547) );
+  sky130_fd_sc_hd__clkbuf_1 U1913 ( .A(n1498), .X(n2550) );
+  sky130_fd_sc_hd__a22oi_1 U1914 ( .A1(rf_reg_q[576]), .A2(n1500), .B1(
+        rf_reg_q[704]), .B2(n2550), .Y(n860) );
+  sky130_fd_sc_hd__a22oi_1 U1915 ( .A1(rf_reg_q[832]), .A2(n1), .B1(
+        rf_reg_q[192]), .B2(n2551), .Y(n859) );
+  sky130_fd_sc_hd__a22oi_1 U1916 ( .A1(rf_reg_q[64]), .A2(n4), .B1(
+        rf_reg_q[960]), .B2(n2552), .Y(n858) );
+  sky130_fd_sc_hd__a22oi_1 U1917 ( .A1(rf_reg_q[320]), .A2(n1508), .B1(
+        rf_reg_q[448]), .B2(n2), .Y(n857) );
+  sky130_fd_sc_hd__nand4_1 U1918 ( .A(n860), .B(n859), .C(n858), .D(n857), .Y(
+        n861) );
+  sky130_fd_sc_hd__a22oi_1 U1919 ( .A1(n2549), .A2(n862), .B1(n2547), .B2(n861), .Y(n876) );
+  sky130_fd_sc_hd__a22oi_1 U1920 ( .A1(rf_reg_q[32]), .A2(n4), .B1(
+        rf_reg_q[288]), .B2(n3), .Y(n866) );
+  sky130_fd_sc_hd__a22oi_1 U1921 ( .A1(rf_reg_q[544]), .A2(n1500), .B1(
+        rf_reg_q[672]), .B2(n2550), .Y(n865) );
+  sky130_fd_sc_hd__a22oi_1 U1922 ( .A1(rf_reg_q[416]), .A2(n2), .B1(
+        rf_reg_q[800]), .B2(n1), .Y(n864) );
+  sky130_fd_sc_hd__a22oi_1 U1923 ( .A1(rf_reg_q[160]), .A2(n2551), .B1(
+        rf_reg_q[928]), .B2(n2552), .Y(n863) );
+  sky130_fd_sc_hd__nand4_1 U1924 ( .A(n866), .B(n865), .C(n864), .D(n863), .Y(
+        n874) );
+  sky130_fd_sc_hd__nor2_1 U1925 ( .A(raddr_a_i[1]), .B(n867), .Y(n2569) );
+  sky130_fd_sc_hd__nor2b_1 U1926 ( .B_N(n2552), .A(raddr_a_i[1]), .Y(n2558) );
+  sky130_fd_sc_hd__and2_0 U1927 ( .A(n1500), .B(n868), .X(n2564) );
+  sky130_fd_sc_hd__a22oi_1 U1928 ( .A1(rf_reg_q[896]), .A2(n2558), .B1(
+        rf_reg_q[512]), .B2(n2564), .Y(n872) );
+  sky130_fd_sc_hd__nor2b_1 U1929 ( .B_N(n2550), .A(raddr_a_i[1]), .Y(n2559) );
+  sky130_fd_sc_hd__and2_0 U1930 ( .A(n1508), .B(n868), .X(n2562) );
+  sky130_fd_sc_hd__a22oi_1 U1931 ( .A1(rf_reg_q[640]), .A2(n2559), .B1(
+        rf_reg_q[256]), .B2(n2562), .Y(n871) );
+  sky130_fd_sc_hd__and2_0 U1932 ( .A(n1521), .B(n868), .X(n2557) );
+  sky130_fd_sc_hd__and2_0 U1933 ( .A(n2551), .B(n868), .X(n2561) );
+  sky130_fd_sc_hd__a22o_1 U1934 ( .A1(rf_reg_q[768]), .A2(n2557), .B1(
+        rf_reg_q[128]), .B2(n2561), .X(n869) );
+  sky130_fd_sc_hd__a21oi_1 U1935 ( .A1(rf_reg_q[384]), .A2(n2560), .B1(n869), 
+        .Y(n870) );
+  sky130_fd_sc_hd__a31oi_1 U1936 ( .A1(n872), .A2(n871), .A3(n870), .B1(
+        raddr_a_i[0]), .Y(n873) );
+  sky130_fd_sc_hd__a21oi_1 U1937 ( .A1(n874), .A2(n2569), .B1(n873), .Y(n875)
+         );
+  sky130_fd_sc_hd__nand2_1 U1938 ( .A(n876), .B(n875), .Y(rdata_a_o[0]) );
+  sky130_fd_sc_hd__a22oi_1 U1939 ( .A1(rf_reg_q[417]), .A2(n2), .B1(
+        rf_reg_q[801]), .B2(n1), .Y(n880) );
+  sky130_fd_sc_hd__a22oi_1 U1940 ( .A1(rf_reg_q[33]), .A2(n4), .B1(
+        rf_reg_q[673]), .B2(n2550), .Y(n879) );
+  sky130_fd_sc_hd__buf_2 U1941 ( .A(n2551), .X(n2541) );
+  sky130_fd_sc_hd__a22oi_1 U1942 ( .A1(rf_reg_q[161]), .A2(n2541), .B1(
+        rf_reg_q[545]), .B2(n1500), .Y(n878) );
+  sky130_fd_sc_hd__a22oi_1 U1943 ( .A1(rf_reg_q[289]), .A2(n3), .B1(
+        rf_reg_q[929]), .B2(n2552), .Y(n877) );
+  sky130_fd_sc_hd__nand4_1 U1944 ( .A(n880), .B(n879), .C(n878), .D(n877), .Y(
+        n886) );
+  sky130_fd_sc_hd__a22oi_1 U1945 ( .A1(rf_reg_q[225]), .A2(n2541), .B1(
+        rf_reg_q[609]), .B2(n1500), .Y(n884) );
+  sky130_fd_sc_hd__a22oi_1 U1946 ( .A1(rf_reg_q[353]), .A2(n3), .B1(
+        rf_reg_q[865]), .B2(n1), .Y(n883) );
+  sky130_fd_sc_hd__a22oi_1 U1947 ( .A1(rf_reg_q[737]), .A2(n1498), .B1(
+        rf_reg_q[97]), .B2(n4), .Y(n882) );
+  sky130_fd_sc_hd__a22oi_1 U1948 ( .A1(rf_reg_q[481]), .A2(n2), .B1(
+        rf_reg_q[993]), .B2(n1499), .Y(n881) );
+  sky130_fd_sc_hd__nand4_1 U1949 ( .A(n884), .B(n883), .C(n882), .D(n881), .Y(
+        n885) );
+  sky130_fd_sc_hd__a22oi_1 U1950 ( .A1(n2569), .A2(n886), .B1(n2549), .B2(n885), .Y(n898) );
+  sky130_fd_sc_hd__a22oi_1 U1951 ( .A1(rf_reg_q[577]), .A2(n1500), .B1(
+        rf_reg_q[961]), .B2(n2552), .Y(n890) );
+  sky130_fd_sc_hd__a22oi_1 U1952 ( .A1(rf_reg_q[705]), .A2(n2550), .B1(
+        rf_reg_q[321]), .B2(n3), .Y(n889) );
+  sky130_fd_sc_hd__a22oi_1 U1953 ( .A1(rf_reg_q[833]), .A2(n1), .B1(
+        rf_reg_q[65]), .B2(n4), .Y(n888) );
+  sky130_fd_sc_hd__a22oi_1 U1954 ( .A1(rf_reg_q[449]), .A2(n2), .B1(
+        rf_reg_q[193]), .B2(n2541), .Y(n887) );
+  sky130_fd_sc_hd__nand4_1 U1955 ( .A(n890), .B(n889), .C(n888), .D(n887), .Y(
+        n896) );
+  sky130_fd_sc_hd__a22oi_1 U1956 ( .A1(rf_reg_q[513]), .A2(n2564), .B1(
+        rf_reg_q[897]), .B2(n2558), .Y(n894) );
+  sky130_fd_sc_hd__a22oi_1 U1957 ( .A1(rf_reg_q[385]), .A2(n2560), .B1(
+        rf_reg_q[257]), .B2(n2562), .Y(n893) );
+  sky130_fd_sc_hd__a22o_1 U1958 ( .A1(rf_reg_q[129]), .A2(n2561), .B1(
+        rf_reg_q[641]), .B2(n2559), .X(n891) );
+  sky130_fd_sc_hd__a21oi_1 U1959 ( .A1(rf_reg_q[769]), .A2(n2557), .B1(n891), 
+        .Y(n892) );
+  sky130_fd_sc_hd__a31oi_1 U1960 ( .A1(n894), .A2(n893), .A3(n892), .B1(
+        raddr_a_i[0]), .Y(n895) );
+  sky130_fd_sc_hd__a21oi_1 U1961 ( .A1(n896), .A2(n2547), .B1(n895), .Y(n897)
+         );
+  sky130_fd_sc_hd__nand2_1 U1962 ( .A(n898), .B(n897), .Y(rdata_a_o[1]) );
+  sky130_fd_sc_hd__a22oi_1 U1963 ( .A1(rf_reg_q[162]), .A2(n2541), .B1(
+        rf_reg_q[418]), .B2(n2), .Y(n902) );
+  sky130_fd_sc_hd__a22oi_1 U1964 ( .A1(rf_reg_q[546]), .A2(n1500), .B1(
+        rf_reg_q[290]), .B2(n3), .Y(n901) );
+  sky130_fd_sc_hd__a22oi_1 U1965 ( .A1(rf_reg_q[34]), .A2(n4), .B1(
+        rf_reg_q[802]), .B2(n1), .Y(n900) );
+  sky130_fd_sc_hd__a22oi_1 U1966 ( .A1(rf_reg_q[674]), .A2(n2550), .B1(
+        rf_reg_q[930]), .B2(n2552), .Y(n899) );
+  sky130_fd_sc_hd__nand4_1 U1967 ( .A(n902), .B(n901), .C(n900), .D(n899), .Y(
+        n908) );
+  sky130_fd_sc_hd__a22oi_1 U1968 ( .A1(rf_reg_q[194]), .A2(n2541), .B1(
+        rf_reg_q[66]), .B2(n4), .Y(n906) );
+  sky130_fd_sc_hd__a22oi_1 U1969 ( .A1(rf_reg_q[322]), .A2(n3), .B1(
+        rf_reg_q[706]), .B2(n2550), .Y(n905) );
+  sky130_fd_sc_hd__a22oi_1 U1970 ( .A1(rf_reg_q[578]), .A2(n1500), .B1(
+        rf_reg_q[450]), .B2(n2), .Y(n904) );
+  sky130_fd_sc_hd__a22oi_1 U1971 ( .A1(rf_reg_q[962]), .A2(n2552), .B1(
+        rf_reg_q[834]), .B2(n1), .Y(n903) );
+  sky130_fd_sc_hd__nand4_1 U1972 ( .A(n906), .B(n905), .C(n904), .D(n903), .Y(
+        n907) );
+  sky130_fd_sc_hd__a22oi_1 U1973 ( .A1(n2569), .A2(n908), .B1(n2547), .B2(n907), .Y(n920) );
+  sky130_fd_sc_hd__a22oi_1 U1974 ( .A1(rf_reg_q[738]), .A2(n2550), .B1(
+        rf_reg_q[482]), .B2(n2), .Y(n912) );
+  sky130_fd_sc_hd__a22oi_1 U1975 ( .A1(rf_reg_q[98]), .A2(n4), .B1(
+        rf_reg_q[226]), .B2(n2541), .Y(n911) );
+  sky130_fd_sc_hd__a22oi_1 U1976 ( .A1(rf_reg_q[994]), .A2(n2552), .B1(
+        rf_reg_q[354]), .B2(n3), .Y(n910) );
+  sky130_fd_sc_hd__a22oi_1 U1977 ( .A1(rf_reg_q[610]), .A2(n1500), .B1(
+        rf_reg_q[866]), .B2(n1), .Y(n909) );
+  sky130_fd_sc_hd__nand4_1 U1978 ( .A(n912), .B(n911), .C(n910), .D(n909), .Y(
+        n918) );
+  sky130_fd_sc_hd__a22oi_1 U1979 ( .A1(rf_reg_q[514]), .A2(n2564), .B1(
+        rf_reg_q[258]), .B2(n2562), .Y(n916) );
+  sky130_fd_sc_hd__a22oi_1 U1980 ( .A1(rf_reg_q[898]), .A2(n2558), .B1(
+        rf_reg_q[642]), .B2(n2559), .Y(n915) );
+  sky130_fd_sc_hd__a22o_1 U1981 ( .A1(rf_reg_q[770]), .A2(n2557), .B1(
+        rf_reg_q[130]), .B2(n2561), .X(n913) );
+  sky130_fd_sc_hd__a21oi_1 U1982 ( .A1(rf_reg_q[386]), .A2(n2560), .B1(n913), 
+        .Y(n914) );
+  sky130_fd_sc_hd__a31oi_1 U1983 ( .A1(n916), .A2(n915), .A3(n914), .B1(
+        raddr_a_i[0]), .Y(n917) );
+  sky130_fd_sc_hd__a21oi_1 U1984 ( .A1(n918), .A2(n2549), .B1(n917), .Y(n919)
+         );
+  sky130_fd_sc_hd__nand2_1 U1985 ( .A(n920), .B(n919), .Y(rdata_a_o[2]) );
+  sky130_fd_sc_hd__a22oi_1 U1986 ( .A1(rf_reg_q[995]), .A2(n2552), .B1(
+        rf_reg_q[227]), .B2(n2541), .Y(n924) );
+  sky130_fd_sc_hd__a22oi_1 U1987 ( .A1(rf_reg_q[611]), .A2(n1500), .B1(
+        rf_reg_q[739]), .B2(n2550), .Y(n923) );
+  sky130_fd_sc_hd__a22oi_1 U1988 ( .A1(rf_reg_q[355]), .A2(n3), .B1(
+        rf_reg_q[483]), .B2(n2), .Y(n922) );
+  sky130_fd_sc_hd__a22oi_1 U1989 ( .A1(rf_reg_q[99]), .A2(n4), .B1(
+        rf_reg_q[867]), .B2(n1), .Y(n921) );
+  sky130_fd_sc_hd__nand4_1 U1990 ( .A(n924), .B(n923), .C(n922), .D(n921), .Y(
+        n930) );
+  sky130_fd_sc_hd__a22oi_1 U1991 ( .A1(rf_reg_q[963]), .A2(n2552), .B1(
+        rf_reg_q[323]), .B2(n3), .Y(n928) );
+  sky130_fd_sc_hd__a22oi_1 U1992 ( .A1(rf_reg_q[579]), .A2(n1500), .B1(
+        rf_reg_q[835]), .B2(n1), .Y(n927) );
+  sky130_fd_sc_hd__a22oi_1 U1993 ( .A1(rf_reg_q[707]), .A2(n2550), .B1(
+        rf_reg_q[67]), .B2(n4), .Y(n926) );
+  sky130_fd_sc_hd__a22oi_1 U1994 ( .A1(rf_reg_q[195]), .A2(n2541), .B1(
+        rf_reg_q[451]), .B2(n2), .Y(n925) );
+  sky130_fd_sc_hd__nand4_1 U1995 ( .A(n928), .B(n927), .C(n926), .D(n925), .Y(
+        n929) );
+  sky130_fd_sc_hd__a22oi_1 U1996 ( .A1(n2549), .A2(n930), .B1(n2547), .B2(n929), .Y(n942) );
+  sky130_fd_sc_hd__a22oi_1 U1997 ( .A1(rf_reg_q[291]), .A2(n3), .B1(
+        rf_reg_q[35]), .B2(n4), .Y(n934) );
+  sky130_fd_sc_hd__a22oi_1 U1998 ( .A1(rf_reg_q[803]), .A2(n1), .B1(
+        rf_reg_q[163]), .B2(n2541), .Y(n933) );
+  sky130_fd_sc_hd__a22oi_1 U1999 ( .A1(rf_reg_q[931]), .A2(n2552), .B1(
+        rf_reg_q[419]), .B2(n2), .Y(n932) );
+  sky130_fd_sc_hd__a22oi_1 U2000 ( .A1(rf_reg_q[675]), .A2(n2550), .B1(
+        rf_reg_q[547]), .B2(n1500), .Y(n931) );
+  sky130_fd_sc_hd__nand4_1 U2001 ( .A(n934), .B(n933), .C(n932), .D(n931), .Y(
+        n940) );
+  sky130_fd_sc_hd__a22oi_1 U2002 ( .A1(rf_reg_q[771]), .A2(n2557), .B1(
+        rf_reg_q[259]), .B2(n2562), .Y(n938) );
+  sky130_fd_sc_hd__a22oi_1 U2003 ( .A1(rf_reg_q[387]), .A2(n2560), .B1(
+        rf_reg_q[515]), .B2(n2564), .Y(n937) );
+  sky130_fd_sc_hd__a22o_1 U2004 ( .A1(rf_reg_q[899]), .A2(n2558), .B1(
+        rf_reg_q[643]), .B2(n2559), .X(n935) );
+  sky130_fd_sc_hd__a21oi_1 U2005 ( .A1(rf_reg_q[131]), .A2(n2561), .B1(n935), 
+        .Y(n936) );
+  sky130_fd_sc_hd__a31oi_1 U2006 ( .A1(n938), .A2(n937), .A3(n936), .B1(
+        raddr_a_i[0]), .Y(n939) );
+  sky130_fd_sc_hd__a21oi_1 U2007 ( .A1(n940), .A2(n2569), .B1(n939), .Y(n941)
+         );
+  sky130_fd_sc_hd__nand2_1 U2008 ( .A(n942), .B(n941), .Y(rdata_a_o[3]) );
+  sky130_fd_sc_hd__a22oi_1 U2009 ( .A1(rf_reg_q[740]), .A2(n2550), .B1(
+        rf_reg_q[868]), .B2(n1), .Y(n946) );
+  sky130_fd_sc_hd__a22oi_1 U2010 ( .A1(rf_reg_q[228]), .A2(n2541), .B1(
+        rf_reg_q[100]), .B2(n4), .Y(n945) );
+  sky130_fd_sc_hd__a22oi_1 U2011 ( .A1(rf_reg_q[356]), .A2(n3), .B1(
+        rf_reg_q[612]), .B2(n1500), .Y(n944) );
+  sky130_fd_sc_hd__a22oi_1 U2012 ( .A1(rf_reg_q[996]), .A2(n2552), .B1(
+        rf_reg_q[484]), .B2(n2), .Y(n943) );
+  sky130_fd_sc_hd__nand4_1 U2013 ( .A(n946), .B(n945), .C(n944), .D(n943), .Y(
+        n952) );
+  sky130_fd_sc_hd__a22oi_1 U2014 ( .A1(rf_reg_q[324]), .A2(n3), .B1(
+        rf_reg_q[196]), .B2(n2541), .Y(n950) );
+  sky130_fd_sc_hd__a22oi_1 U2015 ( .A1(rf_reg_q[452]), .A2(n2), .B1(
+        rf_reg_q[964]), .B2(n2552), .Y(n949) );
+  sky130_fd_sc_hd__a22oi_1 U2016 ( .A1(rf_reg_q[580]), .A2(n1500), .B1(
+        rf_reg_q[708]), .B2(n2550), .Y(n948) );
+  sky130_fd_sc_hd__a22oi_1 U2017 ( .A1(rf_reg_q[836]), .A2(n1), .B1(
+        rf_reg_q[68]), .B2(n4), .Y(n947) );
+  sky130_fd_sc_hd__nand4_1 U2018 ( .A(n950), .B(n949), .C(n948), .D(n947), .Y(
+        n951) );
+  sky130_fd_sc_hd__a22oi_1 U2019 ( .A1(n2549), .A2(n952), .B1(n2547), .B2(n951), .Y(n964) );
+  sky130_fd_sc_hd__a22oi_1 U2020 ( .A1(rf_reg_q[932]), .A2(n2552), .B1(
+        rf_reg_q[420]), .B2(n2), .Y(n956) );
+  sky130_fd_sc_hd__a22oi_1 U2021 ( .A1(rf_reg_q[548]), .A2(n1500), .B1(
+        rf_reg_q[164]), .B2(n2541), .Y(n955) );
+  sky130_fd_sc_hd__a22oi_1 U2022 ( .A1(rf_reg_q[804]), .A2(n1), .B1(
+        rf_reg_q[676]), .B2(n2550), .Y(n954) );
+  sky130_fd_sc_hd__a22oi_1 U2023 ( .A1(rf_reg_q[36]), .A2(n4), .B1(
+        rf_reg_q[292]), .B2(n3), .Y(n953) );
+  sky130_fd_sc_hd__nand4_1 U2024 ( .A(n956), .B(n955), .C(n954), .D(n953), .Y(
+        n962) );
+  sky130_fd_sc_hd__a22oi_1 U2025 ( .A1(rf_reg_q[900]), .A2(n2558), .B1(
+        rf_reg_q[132]), .B2(n2561), .Y(n960) );
+  sky130_fd_sc_hd__a22oi_1 U2026 ( .A1(rf_reg_q[260]), .A2(n2562), .B1(
+        rf_reg_q[772]), .B2(n2557), .Y(n959) );
+  sky130_fd_sc_hd__a22o_1 U2027 ( .A1(rf_reg_q[644]), .A2(n2559), .B1(
+        rf_reg_q[388]), .B2(n2560), .X(n957) );
+  sky130_fd_sc_hd__a21oi_1 U2028 ( .A1(rf_reg_q[516]), .A2(n2564), .B1(n957), 
+        .Y(n958) );
+  sky130_fd_sc_hd__a31oi_1 U2029 ( .A1(n960), .A2(n959), .A3(n958), .B1(
+        raddr_a_i[0]), .Y(n961) );
+  sky130_fd_sc_hd__a21oi_1 U2030 ( .A1(n962), .A2(n2569), .B1(n961), .Y(n963)
+         );
+  sky130_fd_sc_hd__nand2_1 U2031 ( .A(n964), .B(n963), .Y(rdata_a_o[4]) );
+  sky130_fd_sc_hd__a22oi_1 U2032 ( .A1(rf_reg_q[101]), .A2(n4), .B1(
+        rf_reg_q[997]), .B2(n1499), .Y(n968) );
+  sky130_fd_sc_hd__a22oi_1 U2033 ( .A1(rf_reg_q[357]), .A2(n3), .B1(
+        rf_reg_q[485]), .B2(n2), .Y(n967) );
+  sky130_fd_sc_hd__a22oi_1 U2034 ( .A1(rf_reg_q[741]), .A2(n2550), .B1(
+        rf_reg_q[613]), .B2(n1500), .Y(n966) );
+  sky130_fd_sc_hd__a22oi_1 U2035 ( .A1(rf_reg_q[229]), .A2(n2541), .B1(
+        rf_reg_q[869]), .B2(n1), .Y(n965) );
+  sky130_fd_sc_hd__nand4_1 U2036 ( .A(n968), .B(n967), .C(n966), .D(n965), .Y(
+        n974) );
+  sky130_fd_sc_hd__a22oi_1 U2037 ( .A1(rf_reg_q[325]), .A2(n3), .B1(
+        rf_reg_q[453]), .B2(n2), .Y(n972) );
+  sky130_fd_sc_hd__a22oi_1 U2038 ( .A1(rf_reg_q[837]), .A2(n1), .B1(
+        rf_reg_q[581]), .B2(n1500), .Y(n971) );
+  sky130_fd_sc_hd__a22oi_1 U2039 ( .A1(rf_reg_q[197]), .A2(n2541), .B1(
+        rf_reg_q[709]), .B2(n2550), .Y(n970) );
+  sky130_fd_sc_hd__a22oi_1 U2040 ( .A1(rf_reg_q[69]), .A2(n4), .B1(
+        rf_reg_q[965]), .B2(n1499), .Y(n969) );
+  sky130_fd_sc_hd__nand4_1 U2041 ( .A(n972), .B(n971), .C(n970), .D(n969), .Y(
+        n973) );
+  sky130_fd_sc_hd__a22oi_1 U2042 ( .A1(n2549), .A2(n974), .B1(n2547), .B2(n973), .Y(n986) );
+  sky130_fd_sc_hd__a22oi_1 U2043 ( .A1(rf_reg_q[677]), .A2(n2550), .B1(
+        rf_reg_q[933]), .B2(n1499), .Y(n978) );
+  sky130_fd_sc_hd__a22oi_1 U2044 ( .A1(rf_reg_q[37]), .A2(n4), .B1(
+        rf_reg_q[549]), .B2(n1500), .Y(n977) );
+  sky130_fd_sc_hd__a22oi_1 U2045 ( .A1(rf_reg_q[421]), .A2(n2), .B1(
+        rf_reg_q[165]), .B2(n2541), .Y(n976) );
+  sky130_fd_sc_hd__a22oi_1 U2046 ( .A1(rf_reg_q[293]), .A2(n3), .B1(
+        rf_reg_q[805]), .B2(n1), .Y(n975) );
+  sky130_fd_sc_hd__nand4_1 U2047 ( .A(n978), .B(n977), .C(n976), .D(n975), .Y(
+        n984) );
+  sky130_fd_sc_hd__a22oi_1 U2048 ( .A1(rf_reg_q[901]), .A2(n2558), .B1(
+        rf_reg_q[645]), .B2(n2559), .Y(n982) );
+  sky130_fd_sc_hd__a22oi_1 U2049 ( .A1(rf_reg_q[389]), .A2(n2560), .B1(
+        rf_reg_q[133]), .B2(n2561), .Y(n981) );
+  sky130_fd_sc_hd__a22o_1 U2050 ( .A1(rf_reg_q[517]), .A2(n2564), .B1(
+        rf_reg_q[261]), .B2(n2562), .X(n979) );
+  sky130_fd_sc_hd__a21oi_1 U2051 ( .A1(rf_reg_q[773]), .A2(n2557), .B1(n979), 
+        .Y(n980) );
+  sky130_fd_sc_hd__a31oi_1 U2052 ( .A1(n982), .A2(n981), .A3(n980), .B1(
+        raddr_a_i[0]), .Y(n983) );
+  sky130_fd_sc_hd__a21oi_1 U2053 ( .A1(n984), .A2(n2569), .B1(n983), .Y(n985)
+         );
+  sky130_fd_sc_hd__nand2_1 U2054 ( .A(n986), .B(n985), .Y(rdata_a_o[5]) );
+  sky130_fd_sc_hd__a22oi_1 U2055 ( .A1(rf_reg_q[678]), .A2(n2550), .B1(
+        rf_reg_q[166]), .B2(n2541), .Y(n990) );
+  sky130_fd_sc_hd__a22oi_1 U2056 ( .A1(rf_reg_q[806]), .A2(n1), .B1(
+        rf_reg_q[38]), .B2(n4), .Y(n989) );
+  sky130_fd_sc_hd__a22oi_1 U2057 ( .A1(rf_reg_q[934]), .A2(n1499), .B1(
+        rf_reg_q[294]), .B2(n3), .Y(n988) );
+  sky130_fd_sc_hd__a22oi_1 U2058 ( .A1(rf_reg_q[550]), .A2(n1500), .B1(
+        rf_reg_q[422]), .B2(n1507), .Y(n987) );
+  sky130_fd_sc_hd__nand4_1 U2059 ( .A(n990), .B(n989), .C(n988), .D(n987), .Y(
+        n996) );
+  sky130_fd_sc_hd__a22oi_1 U2060 ( .A1(rf_reg_q[358]), .A2(n3), .B1(
+        rf_reg_q[486]), .B2(n1507), .Y(n994) );
+  sky130_fd_sc_hd__a22oi_1 U2061 ( .A1(rf_reg_q[230]), .A2(n2541), .B1(
+        rf_reg_q[998]), .B2(n1499), .Y(n993) );
+  sky130_fd_sc_hd__a22oi_1 U2062 ( .A1(rf_reg_q[870]), .A2(n1), .B1(
+        rf_reg_q[742]), .B2(n2550), .Y(n992) );
+  sky130_fd_sc_hd__a22oi_1 U2063 ( .A1(rf_reg_q[614]), .A2(n1500), .B1(
+        rf_reg_q[102]), .B2(n4), .Y(n991) );
+  sky130_fd_sc_hd__nand4_1 U2064 ( .A(n994), .B(n993), .C(n992), .D(n991), .Y(
+        n995) );
+  sky130_fd_sc_hd__a22oi_1 U2065 ( .A1(n2569), .A2(n996), .B1(n2549), .B2(n995), .Y(n1008) );
+  sky130_fd_sc_hd__a22oi_1 U2066 ( .A1(rf_reg_q[838]), .A2(n1), .B1(
+        rf_reg_q[198]), .B2(n2541), .Y(n1000) );
+  sky130_fd_sc_hd__a22oi_1 U2067 ( .A1(rf_reg_q[966]), .A2(n1499), .B1(
+        rf_reg_q[454]), .B2(n1507), .Y(n999) );
+  sky130_fd_sc_hd__a22oi_1 U2068 ( .A1(rf_reg_q[582]), .A2(n1500), .B1(
+        rf_reg_q[70]), .B2(n4), .Y(n998) );
+  sky130_fd_sc_hd__a22oi_1 U2069 ( .A1(rf_reg_q[710]), .A2(n2550), .B1(
+        rf_reg_q[326]), .B2(n3), .Y(n997) );
+  sky130_fd_sc_hd__nand4_1 U2070 ( .A(n1000), .B(n999), .C(n998), .D(n997), 
+        .Y(n1006) );
+  sky130_fd_sc_hd__a22oi_1 U2071 ( .A1(rf_reg_q[390]), .A2(n2560), .B1(
+        rf_reg_q[518]), .B2(n2564), .Y(n1004) );
+  sky130_fd_sc_hd__a22oi_1 U2072 ( .A1(rf_reg_q[134]), .A2(n2561), .B1(
+        rf_reg_q[902]), .B2(n2558), .Y(n1003) );
+  sky130_fd_sc_hd__a22o_1 U2073 ( .A1(rf_reg_q[646]), .A2(n2559), .B1(
+        rf_reg_q[774]), .B2(n2557), .X(n1001) );
+  sky130_fd_sc_hd__a21oi_1 U2074 ( .A1(rf_reg_q[262]), .A2(n2562), .B1(n1001), 
+        .Y(n1002) );
+  sky130_fd_sc_hd__a31oi_1 U2075 ( .A1(n1004), .A2(n1003), .A3(n1002), .B1(
+        raddr_a_i[0]), .Y(n1005) );
+  sky130_fd_sc_hd__a21oi_1 U2076 ( .A1(n1006), .A2(n2547), .B1(n1005), .Y(
+        n1007) );
+  sky130_fd_sc_hd__nand2_1 U2077 ( .A(n1008), .B(n1007), .Y(rdata_a_o[6]) );
+  sky130_fd_sc_hd__a22oi_1 U2078 ( .A1(rf_reg_q[423]), .A2(n2), .B1(
+        rf_reg_q[39]), .B2(n4), .Y(n1012) );
+  sky130_fd_sc_hd__a22oi_1 U2079 ( .A1(rf_reg_q[935]), .A2(n1499), .B1(
+        rf_reg_q[679]), .B2(n1498), .Y(n1011) );
+  sky130_fd_sc_hd__a22oi_1 U2080 ( .A1(rf_reg_q[807]), .A2(n1), .B1(
+        rf_reg_q[551]), .B2(n1500), .Y(n1010) );
+  sky130_fd_sc_hd__a22oi_1 U2081 ( .A1(rf_reg_q[167]), .A2(n2541), .B1(
+        rf_reg_q[295]), .B2(n3), .Y(n1009) );
+  sky130_fd_sc_hd__nand4_1 U2082 ( .A(n1012), .B(n1011), .C(n1010), .D(n1009), 
+        .Y(n1018) );
+  sky130_fd_sc_hd__a22oi_1 U2083 ( .A1(rf_reg_q[743]), .A2(n1498), .B1(
+        rf_reg_q[487]), .B2(n1507), .Y(n1016) );
+  sky130_fd_sc_hd__a22oi_1 U2084 ( .A1(rf_reg_q[999]), .A2(n1499), .B1(
+        rf_reg_q[615]), .B2(n1500), .Y(n1015) );
+  sky130_fd_sc_hd__a22oi_1 U2085 ( .A1(rf_reg_q[103]), .A2(n4), .B1(
+        rf_reg_q[871]), .B2(n1), .Y(n1014) );
+  sky130_fd_sc_hd__a22oi_1 U2086 ( .A1(rf_reg_q[359]), .A2(n3), .B1(
+        rf_reg_q[231]), .B2(n2541), .Y(n1013) );
+  sky130_fd_sc_hd__nand4_1 U2087 ( .A(n1016), .B(n1015), .C(n1014), .D(n1013), 
+        .Y(n1017) );
+  sky130_fd_sc_hd__a22oi_1 U2088 ( .A1(n2569), .A2(n1018), .B1(n2549), .B2(
+        n1017), .Y(n1030) );
+  sky130_fd_sc_hd__a22oi_1 U2089 ( .A1(rf_reg_q[327]), .A2(n3), .B1(
+        rf_reg_q[455]), .B2(n1507), .Y(n1022) );
+  sky130_fd_sc_hd__a22oi_1 U2090 ( .A1(rf_reg_q[839]), .A2(n1), .B1(
+        rf_reg_q[583]), .B2(n1500), .Y(n1021) );
+  sky130_fd_sc_hd__a22oi_1 U2091 ( .A1(rf_reg_q[199]), .A2(n2541), .B1(
+        rf_reg_q[711]), .B2(n2550), .Y(n1020) );
+  sky130_fd_sc_hd__a22oi_1 U2092 ( .A1(rf_reg_q[71]), .A2(n4), .B1(
+        rf_reg_q[967]), .B2(n1499), .Y(n1019) );
+  sky130_fd_sc_hd__nand4_1 U2093 ( .A(n1022), .B(n1021), .C(n1020), .D(n1019), 
+        .Y(n1028) );
+  sky130_fd_sc_hd__a22oi_1 U2094 ( .A1(rf_reg_q[647]), .A2(n2559), .B1(
+        rf_reg_q[263]), .B2(n2562), .Y(n1026) );
+  sky130_fd_sc_hd__a22oi_1 U2095 ( .A1(rf_reg_q[519]), .A2(n2564), .B1(
+        rf_reg_q[391]), .B2(n2560), .Y(n1025) );
+  sky130_fd_sc_hd__a22o_1 U2096 ( .A1(rf_reg_q[135]), .A2(n2561), .B1(
+        rf_reg_q[903]), .B2(n2558), .X(n1023) );
+  sky130_fd_sc_hd__a21oi_1 U2097 ( .A1(rf_reg_q[775]), .A2(n2557), .B1(n1023), 
+        .Y(n1024) );
+  sky130_fd_sc_hd__a31oi_1 U2098 ( .A1(n1026), .A2(n1025), .A3(n1024), .B1(
+        raddr_a_i[0]), .Y(n1027) );
+  sky130_fd_sc_hd__a21oi_1 U2099 ( .A1(n1028), .A2(n2547), .B1(n1027), .Y(
+        n1029) );
+  sky130_fd_sc_hd__nand2_1 U2100 ( .A(n1030), .B(n1029), .Y(rdata_a_o[7]) );
+  sky130_fd_sc_hd__a22oi_1 U2101 ( .A1(rf_reg_q[744]), .A2(n1498), .B1(
+        rf_reg_q[488]), .B2(n1507), .Y(n1034) );
+  sky130_fd_sc_hd__a22oi_1 U2102 ( .A1(rf_reg_q[616]), .A2(n1500), .B1(
+        rf_reg_q[360]), .B2(n3), .Y(n1033) );
+  sky130_fd_sc_hd__a22oi_1 U2103 ( .A1(rf_reg_q[1000]), .A2(n1499), .B1(
+        rf_reg_q[104]), .B2(n4), .Y(n1032) );
+  sky130_fd_sc_hd__a22oi_1 U2104 ( .A1(rf_reg_q[872]), .A2(n1), .B1(
+        rf_reg_q[232]), .B2(n2541), .Y(n1031) );
+  sky130_fd_sc_hd__nand4_1 U2105 ( .A(n1034), .B(n1033), .C(n1032), .D(n1031), 
+        .Y(n1040) );
+  sky130_fd_sc_hd__a22oi_1 U2106 ( .A1(rf_reg_q[328]), .A2(n3), .B1(
+        rf_reg_q[200]), .B2(n2541), .Y(n1038) );
+  sky130_fd_sc_hd__a22oi_1 U2107 ( .A1(rf_reg_q[712]), .A2(n1498), .B1(
+        rf_reg_q[840]), .B2(n1521), .Y(n1037) );
+  sky130_fd_sc_hd__a22oi_1 U2108 ( .A1(rf_reg_q[72]), .A2(n4), .B1(
+        rf_reg_q[968]), .B2(n1499), .Y(n1036) );
+  sky130_fd_sc_hd__a22oi_1 U2109 ( .A1(rf_reg_q[456]), .A2(n2), .B1(
+        rf_reg_q[584]), .B2(n1500), .Y(n1035) );
+  sky130_fd_sc_hd__nand4_1 U2110 ( .A(n1038), .B(n1037), .C(n1036), .D(n1035), 
+        .Y(n1039) );
+  sky130_fd_sc_hd__a22oi_1 U2111 ( .A1(n2549), .A2(n1040), .B1(n2547), .B2(
+        n1039), .Y(n1052) );
+  sky130_fd_sc_hd__a22oi_1 U2112 ( .A1(rf_reg_q[40]), .A2(n4), .B1(
+        rf_reg_q[168]), .B2(n2541), .Y(n1044) );
+  sky130_fd_sc_hd__a22oi_1 U2113 ( .A1(rf_reg_q[680]), .A2(n1498), .B1(
+        rf_reg_q[936]), .B2(n1499), .Y(n1043) );
+  sky130_fd_sc_hd__a22oi_1 U2114 ( .A1(rf_reg_q[552]), .A2(n1500), .B1(
+        rf_reg_q[424]), .B2(n1507), .Y(n1042) );
+  sky130_fd_sc_hd__a22oi_1 U2115 ( .A1(rf_reg_q[296]), .A2(n3), .B1(
+        rf_reg_q[808]), .B2(n1521), .Y(n1041) );
+  sky130_fd_sc_hd__nand4_1 U2116 ( .A(n1044), .B(n1043), .C(n1042), .D(n1041), 
+        .Y(n1050) );
+  sky130_fd_sc_hd__a22oi_1 U2117 ( .A1(rf_reg_q[136]), .A2(n2561), .B1(
+        rf_reg_q[776]), .B2(n2557), .Y(n1048) );
+  sky130_fd_sc_hd__a22oi_1 U2118 ( .A1(rf_reg_q[520]), .A2(n2564), .B1(
+        rf_reg_q[648]), .B2(n2559), .Y(n1047) );
+  sky130_fd_sc_hd__a22o_1 U2119 ( .A1(rf_reg_q[392]), .A2(n2560), .B1(
+        rf_reg_q[264]), .B2(n2562), .X(n1045) );
+  sky130_fd_sc_hd__a21oi_1 U2120 ( .A1(rf_reg_q[904]), .A2(n2558), .B1(n1045), 
+        .Y(n1046) );
+  sky130_fd_sc_hd__a31oi_1 U2121 ( .A1(n1048), .A2(n1047), .A3(n1046), .B1(
+        raddr_a_i[0]), .Y(n1049) );
+  sky130_fd_sc_hd__a21oi_1 U2122 ( .A1(n1050), .A2(n2569), .B1(n1049), .Y(
+        n1051) );
+  sky130_fd_sc_hd__nand2_1 U2123 ( .A(n1052), .B(n1051), .Y(rdata_a_o[8]) );
+  sky130_fd_sc_hd__a22oi_1 U2124 ( .A1(rf_reg_q[105]), .A2(n4), .B1(
+        rf_reg_q[233]), .B2(n2541), .Y(n1056) );
+  sky130_fd_sc_hd__a22oi_1 U2125 ( .A1(rf_reg_q[361]), .A2(n3), .B1(
+        rf_reg_q[873]), .B2(n1521), .Y(n1055) );
+  sky130_fd_sc_hd__a22oi_1 U2126 ( .A1(rf_reg_q[1001]), .A2(n2552), .B1(
+        rf_reg_q[489]), .B2(n2), .Y(n1054) );
+  sky130_fd_sc_hd__a22oi_1 U2127 ( .A1(rf_reg_q[617]), .A2(n1500), .B1(
+        rf_reg_q[745]), .B2(n2550), .Y(n1053) );
+  sky130_fd_sc_hd__nand4_1 U2128 ( .A(n1056), .B(n1055), .C(n1054), .D(n1053), 
+        .Y(n1062) );
+  sky130_fd_sc_hd__a22oi_1 U2129 ( .A1(rf_reg_q[201]), .A2(n2541), .B1(
+        rf_reg_q[713]), .B2(n2550), .Y(n1060) );
+  sky130_fd_sc_hd__a22oi_1 U2130 ( .A1(rf_reg_q[841]), .A2(n1), .B1(
+        rf_reg_q[73]), .B2(n4), .Y(n1059) );
+  sky130_fd_sc_hd__a22oi_1 U2131 ( .A1(rf_reg_q[457]), .A2(n2), .B1(
+        rf_reg_q[969]), .B2(n1499), .Y(n1058) );
+  sky130_fd_sc_hd__a22oi_1 U2132 ( .A1(rf_reg_q[329]), .A2(n3), .B1(
+        rf_reg_q[585]), .B2(n1500), .Y(n1057) );
+  sky130_fd_sc_hd__nand4_1 U2133 ( .A(n1060), .B(n1059), .C(n1058), .D(n1057), 
+        .Y(n1061) );
+  sky130_fd_sc_hd__a22oi_1 U2134 ( .A1(n2549), .A2(n1062), .B1(n2547), .B2(
+        n1061), .Y(n1074) );
+  sky130_fd_sc_hd__a22oi_1 U2135 ( .A1(rf_reg_q[937]), .A2(n1499), .B1(
+        rf_reg_q[809]), .B2(n1521), .Y(n1066) );
+  sky130_fd_sc_hd__a22oi_1 U2136 ( .A1(rf_reg_q[41]), .A2(n4), .B1(
+        rf_reg_q[297]), .B2(n3), .Y(n1065) );
+  sky130_fd_sc_hd__a22oi_1 U2137 ( .A1(rf_reg_q[553]), .A2(n1500), .B1(
+        rf_reg_q[425]), .B2(n2), .Y(n1064) );
+  sky130_fd_sc_hd__a22oi_1 U2138 ( .A1(rf_reg_q[681]), .A2(n1498), .B1(
+        rf_reg_q[169]), .B2(n2541), .Y(n1063) );
+  sky130_fd_sc_hd__nand4_1 U2139 ( .A(n1066), .B(n1065), .C(n1064), .D(n1063), 
+        .Y(n1072) );
+  sky130_fd_sc_hd__a22oi_1 U2140 ( .A1(rf_reg_q[265]), .A2(n2562), .B1(
+        rf_reg_q[393]), .B2(n2560), .Y(n1070) );
+  sky130_fd_sc_hd__a22oi_1 U2141 ( .A1(rf_reg_q[649]), .A2(n2559), .B1(
+        rf_reg_q[521]), .B2(n2564), .Y(n1069) );
+  sky130_fd_sc_hd__a22o_1 U2142 ( .A1(rf_reg_q[137]), .A2(n2561), .B1(
+        rf_reg_q[777]), .B2(n2557), .X(n1067) );
+  sky130_fd_sc_hd__a21oi_1 U2143 ( .A1(rf_reg_q[905]), .A2(n2558), .B1(n1067), 
+        .Y(n1068) );
+  sky130_fd_sc_hd__a31oi_1 U2144 ( .A1(n1070), .A2(n1069), .A3(n1068), .B1(
+        raddr_a_i[0]), .Y(n1071) );
+  sky130_fd_sc_hd__a21oi_1 U2145 ( .A1(n1072), .A2(n2569), .B1(n1071), .Y(
+        n1073) );
+  sky130_fd_sc_hd__nand2_1 U2146 ( .A(n1074), .B(n1073), .Y(rdata_a_o[9]) );
+  sky130_fd_sc_hd__a22oi_1 U2147 ( .A1(rf_reg_q[234]), .A2(n2541), .B1(
+        rf_reg_q[618]), .B2(n1500), .Y(n1078) );
+  sky130_fd_sc_hd__a22oi_1 U2148 ( .A1(rf_reg_q[362]), .A2(n3), .B1(
+        rf_reg_q[746]), .B2(n2550), .Y(n1077) );
+  sky130_fd_sc_hd__a22oi_1 U2149 ( .A1(rf_reg_q[1002]), .A2(n1499), .B1(
+        rf_reg_q[106]), .B2(n4), .Y(n1076) );
+  sky130_fd_sc_hd__a22oi_1 U2150 ( .A1(rf_reg_q[874]), .A2(n1), .B1(
+        rf_reg_q[490]), .B2(n2), .Y(n1075) );
+  sky130_fd_sc_hd__nand4_1 U2151 ( .A(n1078), .B(n1077), .C(n1076), .D(n1075), 
+        .Y(n1084) );
+  sky130_fd_sc_hd__a22oi_1 U2152 ( .A1(rf_reg_q[330]), .A2(n3), .B1(
+        rf_reg_q[202]), .B2(n2541), .Y(n1082) );
+  sky130_fd_sc_hd__a22oi_1 U2153 ( .A1(rf_reg_q[458]), .A2(n2), .B1(
+        rf_reg_q[586]), .B2(n1500), .Y(n1081) );
+  sky130_fd_sc_hd__a22oi_1 U2154 ( .A1(rf_reg_q[74]), .A2(n4), .B1(
+        rf_reg_q[842]), .B2(n1521), .Y(n1080) );
+  sky130_fd_sc_hd__a22oi_1 U2155 ( .A1(rf_reg_q[714]), .A2(n1498), .B1(
+        rf_reg_q[970]), .B2(n2552), .Y(n1079) );
+  sky130_fd_sc_hd__nand4_1 U2156 ( .A(n1082), .B(n1081), .C(n1080), .D(n1079), 
+        .Y(n1083) );
+  sky130_fd_sc_hd__a22oi_1 U2157 ( .A1(n2549), .A2(n1084), .B1(n2547), .B2(
+        n1083), .Y(n1096) );
+  sky130_fd_sc_hd__a22oi_1 U2158 ( .A1(rf_reg_q[426]), .A2(n2), .B1(
+        rf_reg_q[554]), .B2(n1500), .Y(n1088) );
+  sky130_fd_sc_hd__a22oi_1 U2159 ( .A1(rf_reg_q[298]), .A2(n3), .B1(
+        rf_reg_q[42]), .B2(n4), .Y(n1087) );
+  sky130_fd_sc_hd__a22oi_1 U2160 ( .A1(rf_reg_q[810]), .A2(n1), .B1(
+        rf_reg_q[682]), .B2(n2550), .Y(n1086) );
+  sky130_fd_sc_hd__a22oi_1 U2161 ( .A1(rf_reg_q[938]), .A2(n1499), .B1(
+        rf_reg_q[170]), .B2(n2541), .Y(n1085) );
+  sky130_fd_sc_hd__nand4_1 U2162 ( .A(n1088), .B(n1087), .C(n1086), .D(n1085), 
+        .Y(n1094) );
+  sky130_fd_sc_hd__a22oi_1 U2163 ( .A1(rf_reg_q[394]), .A2(n2560), .B1(
+        rf_reg_q[906]), .B2(n2558), .Y(n1092) );
+  sky130_fd_sc_hd__a22oi_1 U2164 ( .A1(rf_reg_q[778]), .A2(n2557), .B1(
+        rf_reg_q[522]), .B2(n2564), .Y(n1091) );
+  sky130_fd_sc_hd__a22o_1 U2165 ( .A1(rf_reg_q[138]), .A2(n2561), .B1(
+        rf_reg_q[266]), .B2(n2562), .X(n1089) );
+  sky130_fd_sc_hd__a21oi_1 U2166 ( .A1(rf_reg_q[650]), .A2(n2559), .B1(n1089), 
+        .Y(n1090) );
+  sky130_fd_sc_hd__a31oi_1 U2167 ( .A1(n1092), .A2(n1091), .A3(n1090), .B1(
+        raddr_a_i[0]), .Y(n1093) );
+  sky130_fd_sc_hd__a21oi_1 U2168 ( .A1(n1094), .A2(n2569), .B1(n1093), .Y(
+        n1095) );
+  sky130_fd_sc_hd__nand2_1 U2169 ( .A(n1096), .B(n1095), .Y(rdata_a_o[10]) );
+  sky130_fd_sc_hd__a22oi_1 U2170 ( .A1(rf_reg_q[619]), .A2(n1500), .B1(
+        rf_reg_q[235]), .B2(n2541), .Y(n1100) );
+  sky130_fd_sc_hd__a22oi_1 U2171 ( .A1(rf_reg_q[875]), .A2(n1), .B1(
+        rf_reg_q[491]), .B2(n2), .Y(n1099) );
+  sky130_fd_sc_hd__a22oi_1 U2172 ( .A1(rf_reg_q[747]), .A2(n1498), .B1(
+        rf_reg_q[1003]), .B2(n2552), .Y(n1098) );
+  sky130_fd_sc_hd__a22oi_1 U2173 ( .A1(rf_reg_q[107]), .A2(n4), .B1(
+        rf_reg_q[363]), .B2(n3), .Y(n1097) );
+  sky130_fd_sc_hd__nand4_1 U2174 ( .A(n1100), .B(n1099), .C(n1098), .D(n1097), 
+        .Y(n1106) );
+  sky130_fd_sc_hd__a22oi_1 U2175 ( .A1(rf_reg_q[331]), .A2(n3), .B1(
+        rf_reg_q[203]), .B2(n2541), .Y(n1104) );
+  sky130_fd_sc_hd__a22oi_1 U2176 ( .A1(rf_reg_q[715]), .A2(n1498), .B1(
+        rf_reg_q[75]), .B2(n4), .Y(n1103) );
+  sky130_fd_sc_hd__a22oi_1 U2177 ( .A1(rf_reg_q[843]), .A2(n1), .B1(
+        rf_reg_q[587]), .B2(n1500), .Y(n1102) );
+  sky130_fd_sc_hd__a22oi_1 U2178 ( .A1(rf_reg_q[459]), .A2(n2), .B1(
+        rf_reg_q[971]), .B2(n1499), .Y(n1101) );
+  sky130_fd_sc_hd__nand4_1 U2179 ( .A(n1104), .B(n1103), .C(n1102), .D(n1101), 
+        .Y(n1105) );
+  sky130_fd_sc_hd__a22oi_1 U2180 ( .A1(n2549), .A2(n1106), .B1(n2547), .B2(
+        n1105), .Y(n1118) );
+  sky130_fd_sc_hd__a22oi_1 U2181 ( .A1(rf_reg_q[299]), .A2(n3), .B1(
+        rf_reg_q[555]), .B2(n1500), .Y(n1110) );
+  sky130_fd_sc_hd__a22oi_1 U2182 ( .A1(rf_reg_q[811]), .A2(n1), .B1(
+        rf_reg_q[683]), .B2(n1498), .Y(n1109) );
+  sky130_fd_sc_hd__a22oi_1 U2183 ( .A1(rf_reg_q[427]), .A2(n2), .B1(
+        rf_reg_q[43]), .B2(n4), .Y(n1108) );
+  sky130_fd_sc_hd__a22oi_1 U2184 ( .A1(rf_reg_q[171]), .A2(n2541), .B1(
+        rf_reg_q[939]), .B2(n2552), .Y(n1107) );
+  sky130_fd_sc_hd__nand4_1 U2185 ( .A(n1110), .B(n1109), .C(n1108), .D(n1107), 
+        .Y(n1116) );
+  sky130_fd_sc_hd__a22oi_1 U2186 ( .A1(rf_reg_q[395]), .A2(n2560), .B1(
+        rf_reg_q[779]), .B2(n2557), .Y(n1114) );
+  sky130_fd_sc_hd__a22oi_1 U2187 ( .A1(rf_reg_q[907]), .A2(n2558), .B1(
+        rf_reg_q[139]), .B2(n2561), .Y(n1113) );
+  sky130_fd_sc_hd__a22o_1 U2188 ( .A1(rf_reg_q[267]), .A2(n2562), .B1(
+        rf_reg_q[523]), .B2(n2564), .X(n1111) );
+  sky130_fd_sc_hd__a21oi_1 U2189 ( .A1(rf_reg_q[651]), .A2(n2559), .B1(n1111), 
+        .Y(n1112) );
+  sky130_fd_sc_hd__a31oi_1 U2190 ( .A1(n1114), .A2(n1113), .A3(n1112), .B1(
+        raddr_a_i[0]), .Y(n1115) );
+  sky130_fd_sc_hd__a21oi_1 U2191 ( .A1(n1116), .A2(n2569), .B1(n1115), .Y(
+        n1117) );
+  sky130_fd_sc_hd__nand2_1 U2192 ( .A(n1118), .B(n1117), .Y(rdata_a_o[11]) );
+  sky130_fd_sc_hd__a22oi_1 U2193 ( .A1(rf_reg_q[428]), .A2(n2), .B1(
+        rf_reg_q[44]), .B2(n1532), .Y(n1122) );
+  sky130_fd_sc_hd__a22oi_1 U2194 ( .A1(rf_reg_q[300]), .A2(n3), .B1(
+        rf_reg_q[556]), .B2(n1500), .Y(n1121) );
+  sky130_fd_sc_hd__a22oi_1 U2195 ( .A1(rf_reg_q[684]), .A2(n2550), .B1(
+        rf_reg_q[172]), .B2(n2541), .Y(n1120) );
+  sky130_fd_sc_hd__a22oi_1 U2196 ( .A1(rf_reg_q[940]), .A2(n1499), .B1(
+        rf_reg_q[812]), .B2(n1521), .Y(n1119) );
+  sky130_fd_sc_hd__nand4_1 U2197 ( .A(n1122), .B(n1121), .C(n1120), .D(n1119), 
+        .Y(n1128) );
+  sky130_fd_sc_hd__a22oi_1 U2198 ( .A1(rf_reg_q[364]), .A2(n3), .B1(
+        rf_reg_q[1004]), .B2(n2552), .Y(n1126) );
+  sky130_fd_sc_hd__a22oi_1 U2199 ( .A1(rf_reg_q[748]), .A2(n1498), .B1(
+        rf_reg_q[876]), .B2(n1521), .Y(n1125) );
+  sky130_fd_sc_hd__a22oi_1 U2200 ( .A1(rf_reg_q[620]), .A2(n1500), .B1(
+        rf_reg_q[492]), .B2(n2), .Y(n1124) );
+  sky130_fd_sc_hd__a22oi_1 U2201 ( .A1(rf_reg_q[108]), .A2(n4), .B1(
+        rf_reg_q[236]), .B2(n2541), .Y(n1123) );
+  sky130_fd_sc_hd__nand4_1 U2202 ( .A(n1126), .B(n1125), .C(n1124), .D(n1123), 
+        .Y(n1127) );
+  sky130_fd_sc_hd__a22oi_1 U2203 ( .A1(n2569), .A2(n1128), .B1(n2549), .B2(
+        n1127), .Y(n1140) );
+  sky130_fd_sc_hd__a22oi_1 U2204 ( .A1(rf_reg_q[76]), .A2(n1532), .B1(
+        rf_reg_q[588]), .B2(n1500), .Y(n1132) );
+  sky130_fd_sc_hd__a22oi_1 U2205 ( .A1(rf_reg_q[460]), .A2(n1507), .B1(
+        rf_reg_q[844]), .B2(n1), .Y(n1131) );
+  sky130_fd_sc_hd__a22oi_1 U2206 ( .A1(rf_reg_q[716]), .A2(n2550), .B1(
+        rf_reg_q[332]), .B2(n1508), .Y(n1130) );
+  sky130_fd_sc_hd__a22oi_1 U2207 ( .A1(rf_reg_q[204]), .A2(n2541), .B1(
+        rf_reg_q[972]), .B2(n1499), .Y(n1129) );
+  sky130_fd_sc_hd__nand4_1 U2208 ( .A(n1132), .B(n1131), .C(n1130), .D(n1129), 
+        .Y(n1138) );
+  sky130_fd_sc_hd__a22oi_1 U2209 ( .A1(rf_reg_q[780]), .A2(n2557), .B1(
+        rf_reg_q[524]), .B2(n2564), .Y(n1136) );
+  sky130_fd_sc_hd__a22oi_1 U2210 ( .A1(rf_reg_q[396]), .A2(n2560), .B1(
+        rf_reg_q[268]), .B2(n2562), .Y(n1135) );
+  sky130_fd_sc_hd__a22o_1 U2211 ( .A1(rf_reg_q[140]), .A2(n2561), .B1(
+        rf_reg_q[908]), .B2(n2558), .X(n1133) );
+  sky130_fd_sc_hd__a21oi_1 U2212 ( .A1(rf_reg_q[652]), .A2(n2559), .B1(n1133), 
+        .Y(n1134) );
+  sky130_fd_sc_hd__a31oi_1 U2213 ( .A1(n1136), .A2(n1135), .A3(n1134), .B1(
+        raddr_a_i[0]), .Y(n1137) );
+  sky130_fd_sc_hd__a21oi_1 U2214 ( .A1(n1138), .A2(n2547), .B1(n1137), .Y(
+        n1139) );
+  sky130_fd_sc_hd__nand2_1 U2215 ( .A(n1140), .B(n1139), .Y(rdata_a_o[12]) );
+  sky130_fd_sc_hd__a22oi_1 U2216 ( .A1(rf_reg_q[365]), .A2(n3), .B1(
+        rf_reg_q[1005]), .B2(n2552), .Y(n1144) );
+  sky130_fd_sc_hd__a22oi_1 U2217 ( .A1(rf_reg_q[237]), .A2(n2541), .B1(
+        rf_reg_q[493]), .B2(n2), .Y(n1143) );
+  sky130_fd_sc_hd__a22oi_1 U2218 ( .A1(rf_reg_q[621]), .A2(n1500), .B1(
+        rf_reg_q[877]), .B2(n1), .Y(n1142) );
+  sky130_fd_sc_hd__a22oi_1 U2219 ( .A1(rf_reg_q[109]), .A2(n1532), .B1(
+        rf_reg_q[749]), .B2(n1498), .Y(n1141) );
+  sky130_fd_sc_hd__nand4_1 U2220 ( .A(n1144), .B(n1143), .C(n1142), .D(n1141), 
+        .Y(n1150) );
+  sky130_fd_sc_hd__a22oi_1 U2221 ( .A1(rf_reg_q[717]), .A2(n2550), .B1(
+        rf_reg_q[77]), .B2(n1532), .Y(n1148) );
+  sky130_fd_sc_hd__a22oi_1 U2222 ( .A1(rf_reg_q[845]), .A2(n1), .B1(
+        rf_reg_q[589]), .B2(n1500), .Y(n1147) );
+  sky130_fd_sc_hd__a22oi_1 U2223 ( .A1(rf_reg_q[333]), .A2(n3), .B1(
+        rf_reg_q[973]), .B2(n2552), .Y(n1146) );
+  sky130_fd_sc_hd__a22oi_1 U2224 ( .A1(rf_reg_q[461]), .A2(n1507), .B1(
+        rf_reg_q[205]), .B2(n2541), .Y(n1145) );
+  sky130_fd_sc_hd__nand4_1 U2225 ( .A(n1148), .B(n1147), .C(n1146), .D(n1145), 
+        .Y(n1149) );
+  sky130_fd_sc_hd__a22oi_1 U2226 ( .A1(n2549), .A2(n1150), .B1(n2547), .B2(
+        n1149), .Y(n1162) );
+  sky130_fd_sc_hd__a22oi_1 U2227 ( .A1(rf_reg_q[429]), .A2(n2), .B1(
+        rf_reg_q[941]), .B2(n2552), .Y(n1154) );
+  sky130_fd_sc_hd__a22oi_1 U2228 ( .A1(rf_reg_q[173]), .A2(n2541), .B1(
+        rf_reg_q[685]), .B2(n1498), .Y(n1153) );
+  sky130_fd_sc_hd__a22oi_1 U2229 ( .A1(rf_reg_q[301]), .A2(n3), .B1(
+        rf_reg_q[813]), .B2(n1), .Y(n1152) );
+  sky130_fd_sc_hd__a22oi_1 U2230 ( .A1(rf_reg_q[557]), .A2(n1500), .B1(
+        rf_reg_q[45]), .B2(n4), .Y(n1151) );
+  sky130_fd_sc_hd__nand4_1 U2231 ( .A(n1154), .B(n1153), .C(n1152), .D(n1151), 
+        .Y(n1160) );
+  sky130_fd_sc_hd__a22oi_1 U2232 ( .A1(rf_reg_q[141]), .A2(n2561), .B1(
+        rf_reg_q[397]), .B2(n2560), .Y(n1158) );
+  sky130_fd_sc_hd__a22oi_1 U2233 ( .A1(rf_reg_q[269]), .A2(n2562), .B1(
+        rf_reg_q[909]), .B2(n2558), .Y(n1157) );
+  sky130_fd_sc_hd__a22o_1 U2234 ( .A1(rf_reg_q[525]), .A2(n2564), .B1(
+        rf_reg_q[653]), .B2(n2559), .X(n1155) );
+  sky130_fd_sc_hd__a21oi_1 U2235 ( .A1(rf_reg_q[781]), .A2(n2557), .B1(n1155), 
+        .Y(n1156) );
+  sky130_fd_sc_hd__a31oi_1 U2236 ( .A1(n1158), .A2(n1157), .A3(n1156), .B1(
+        raddr_a_i[0]), .Y(n1159) );
+  sky130_fd_sc_hd__a21oi_1 U2237 ( .A1(n1160), .A2(n2569), .B1(n1159), .Y(
+        n1161) );
+  sky130_fd_sc_hd__nand2_1 U2238 ( .A(n1162), .B(n1161), .Y(rdata_a_o[13]) );
+  sky130_fd_sc_hd__a22oi_1 U2239 ( .A1(rf_reg_q[878]), .A2(n1), .B1(
+        rf_reg_q[1006]), .B2(n1499), .Y(n1166) );
+  sky130_fd_sc_hd__a22oi_1 U2240 ( .A1(rf_reg_q[110]), .A2(n1532), .B1(
+        rf_reg_q[622]), .B2(n1500), .Y(n1165) );
+  sky130_fd_sc_hd__a22oi_1 U2241 ( .A1(rf_reg_q[494]), .A2(n2), .B1(
+        rf_reg_q[750]), .B2(n2550), .Y(n1164) );
+  sky130_fd_sc_hd__a22oi_1 U2242 ( .A1(rf_reg_q[238]), .A2(n2541), .B1(
+        rf_reg_q[366]), .B2(n1508), .Y(n1163) );
+  sky130_fd_sc_hd__nand4_1 U2243 ( .A(n1166), .B(n1165), .C(n1164), .D(n1163), 
+        .Y(n1172) );
+  sky130_fd_sc_hd__a22oi_1 U2244 ( .A1(rf_reg_q[718]), .A2(n2550), .B1(
+        rf_reg_q[334]), .B2(n1508), .Y(n1170) );
+  sky130_fd_sc_hd__a22oi_1 U2245 ( .A1(rf_reg_q[590]), .A2(n1500), .B1(
+        rf_reg_q[974]), .B2(n2552), .Y(n1169) );
+  sky130_fd_sc_hd__a22oi_1 U2246 ( .A1(rf_reg_q[846]), .A2(n1521), .B1(
+        rf_reg_q[206]), .B2(n2541), .Y(n1168) );
+  sky130_fd_sc_hd__a22oi_1 U2247 ( .A1(rf_reg_q[462]), .A2(n2), .B1(
+        rf_reg_q[78]), .B2(n4), .Y(n1167) );
+  sky130_fd_sc_hd__nand4_1 U2248 ( .A(n1170), .B(n1169), .C(n1168), .D(n1167), 
+        .Y(n1171) );
+  sky130_fd_sc_hd__a22oi_1 U2249 ( .A1(n2549), .A2(n1172), .B1(n2547), .B2(
+        n1171), .Y(n1184) );
+  sky130_fd_sc_hd__a22oi_1 U2250 ( .A1(rf_reg_q[46]), .A2(n4), .B1(
+        rf_reg_q[430]), .B2(n2), .Y(n1176) );
+  sky130_fd_sc_hd__a22oi_1 U2251 ( .A1(rf_reg_q[302]), .A2(n3), .B1(
+        rf_reg_q[558]), .B2(n1500), .Y(n1175) );
+  sky130_fd_sc_hd__a22oi_1 U2252 ( .A1(rf_reg_q[942]), .A2(n1499), .B1(
+        rf_reg_q[174]), .B2(n2541), .Y(n1174) );
+  sky130_fd_sc_hd__a22oi_1 U2253 ( .A1(rf_reg_q[686]), .A2(n1498), .B1(
+        rf_reg_q[814]), .B2(n1), .Y(n1173) );
+  sky130_fd_sc_hd__nand4_1 U2254 ( .A(n1176), .B(n1175), .C(n1174), .D(n1173), 
+        .Y(n1182) );
+  sky130_fd_sc_hd__a22oi_1 U2255 ( .A1(rf_reg_q[910]), .A2(n2558), .B1(
+        rf_reg_q[526]), .B2(n2564), .Y(n1180) );
+  sky130_fd_sc_hd__a22oi_1 U2256 ( .A1(rf_reg_q[782]), .A2(n2557), .B1(
+        rf_reg_q[270]), .B2(n2562), .Y(n1179) );
+  sky130_fd_sc_hd__a22o_1 U2257 ( .A1(rf_reg_q[654]), .A2(n2559), .B1(
+        rf_reg_q[142]), .B2(n2561), .X(n1177) );
+  sky130_fd_sc_hd__a21oi_1 U2258 ( .A1(rf_reg_q[398]), .A2(n2560), .B1(n1177), 
+        .Y(n1178) );
+  sky130_fd_sc_hd__a31oi_1 U2259 ( .A1(n1180), .A2(n1179), .A3(n1178), .B1(
+        raddr_a_i[0]), .Y(n1181) );
+  sky130_fd_sc_hd__a21oi_1 U2260 ( .A1(n1182), .A2(n2569), .B1(n1181), .Y(
+        n1183) );
+  sky130_fd_sc_hd__nand2_1 U2261 ( .A(n1184), .B(n1183), .Y(rdata_a_o[14]) );
+  sky130_fd_sc_hd__a22oi_1 U2262 ( .A1(rf_reg_q[751]), .A2(n2550), .B1(
+        rf_reg_q[239]), .B2(n2541), .Y(n1188) );
+  sky130_fd_sc_hd__a22oi_1 U2263 ( .A1(rf_reg_q[879]), .A2(n1521), .B1(
+        rf_reg_q[111]), .B2(n4), .Y(n1187) );
+  sky130_fd_sc_hd__a22oi_1 U2264 ( .A1(rf_reg_q[1007]), .A2(n1499), .B1(
+        rf_reg_q[623]), .B2(n1500), .Y(n1186) );
+  sky130_fd_sc_hd__a22oi_1 U2265 ( .A1(rf_reg_q[367]), .A2(n3), .B1(
+        rf_reg_q[495]), .B2(n2), .Y(n1185) );
+  sky130_fd_sc_hd__nand4_1 U2266 ( .A(n1188), .B(n1187), .C(n1186), .D(n1185), 
+        .Y(n1194) );
+  sky130_fd_sc_hd__a22oi_1 U2267 ( .A1(rf_reg_q[335]), .A2(n3), .B1(
+        rf_reg_q[463]), .B2(n2), .Y(n1192) );
+  sky130_fd_sc_hd__a22oi_1 U2268 ( .A1(rf_reg_q[591]), .A2(n1500), .B1(
+        rf_reg_q[79]), .B2(n4), .Y(n1191) );
+  sky130_fd_sc_hd__a22oi_1 U2269 ( .A1(rf_reg_q[719]), .A2(n1498), .B1(
+        rf_reg_q[207]), .B2(n2541), .Y(n1190) );
+  sky130_fd_sc_hd__a22oi_1 U2270 ( .A1(rf_reg_q[847]), .A2(n1), .B1(
+        rf_reg_q[975]), .B2(n2552), .Y(n1189) );
+  sky130_fd_sc_hd__nand4_1 U2271 ( .A(n1192), .B(n1191), .C(n1190), .D(n1189), 
+        .Y(n1193) );
+  sky130_fd_sc_hd__a22oi_1 U2272 ( .A1(n2549), .A2(n1194), .B1(n2547), .B2(
+        n1193), .Y(n1206) );
+  sky130_fd_sc_hd__a22oi_1 U2273 ( .A1(rf_reg_q[687]), .A2(n2550), .B1(
+        rf_reg_q[815]), .B2(n1), .Y(n1198) );
+  sky130_fd_sc_hd__a22oi_1 U2274 ( .A1(rf_reg_q[47]), .A2(n4), .B1(
+        rf_reg_q[559]), .B2(n1500), .Y(n1197) );
+  sky130_fd_sc_hd__a22oi_1 U2275 ( .A1(rf_reg_q[943]), .A2(n1499), .B1(
+        rf_reg_q[431]), .B2(n2), .Y(n1196) );
+  sky130_fd_sc_hd__a22oi_1 U2276 ( .A1(rf_reg_q[303]), .A2(n3), .B1(
+        rf_reg_q[175]), .B2(n2541), .Y(n1195) );
+  sky130_fd_sc_hd__nand4_1 U2277 ( .A(n1198), .B(n1197), .C(n1196), .D(n1195), 
+        .Y(n1204) );
+  sky130_fd_sc_hd__a22oi_1 U2278 ( .A1(rf_reg_q[783]), .A2(n2557), .B1(
+        rf_reg_q[399]), .B2(n2560), .Y(n1202) );
+  sky130_fd_sc_hd__a22oi_1 U2279 ( .A1(rf_reg_q[527]), .A2(n2564), .B1(
+        rf_reg_q[143]), .B2(n2561), .Y(n1201) );
+  sky130_fd_sc_hd__a22o_1 U2280 ( .A1(rf_reg_q[271]), .A2(n2562), .B1(
+        rf_reg_q[655]), .B2(n2559), .X(n1199) );
+  sky130_fd_sc_hd__a21oi_1 U2281 ( .A1(rf_reg_q[911]), .A2(n2558), .B1(n1199), 
+        .Y(n1200) );
+  sky130_fd_sc_hd__a31oi_1 U2282 ( .A1(n1202), .A2(n1201), .A3(n1200), .B1(
+        raddr_a_i[0]), .Y(n1203) );
+  sky130_fd_sc_hd__a21oi_1 U2283 ( .A1(n1204), .A2(n2569), .B1(n1203), .Y(
+        n1205) );
+  sky130_fd_sc_hd__nand2_1 U2284 ( .A(n1206), .B(n1205), .Y(rdata_a_o[15]) );
+  sky130_fd_sc_hd__a22oi_1 U2285 ( .A1(rf_reg_q[1008]), .A2(n1499), .B1(
+        rf_reg_q[240]), .B2(n2541), .Y(n1210) );
+  sky130_fd_sc_hd__a22oi_1 U2286 ( .A1(rf_reg_q[112]), .A2(n4), .B1(
+        rf_reg_q[752]), .B2(n2550), .Y(n1209) );
+  sky130_fd_sc_hd__a22oi_1 U2287 ( .A1(rf_reg_q[368]), .A2(n3), .B1(
+        rf_reg_q[496]), .B2(n2), .Y(n1208) );
+  sky130_fd_sc_hd__a22oi_1 U2288 ( .A1(rf_reg_q[624]), .A2(n1500), .B1(
+        rf_reg_q[880]), .B2(n1), .Y(n1207) );
+  sky130_fd_sc_hd__nand4_1 U2289 ( .A(n1210), .B(n1209), .C(n1208), .D(n1207), 
+        .Y(n1216) );
+  sky130_fd_sc_hd__a22oi_1 U2290 ( .A1(rf_reg_q[464]), .A2(n2), .B1(
+        rf_reg_q[80]), .B2(n4), .Y(n1214) );
+  sky130_fd_sc_hd__a22oi_1 U2291 ( .A1(rf_reg_q[336]), .A2(n3), .B1(
+        rf_reg_q[208]), .B2(n2541), .Y(n1213) );
+  sky130_fd_sc_hd__a22oi_1 U2292 ( .A1(rf_reg_q[720]), .A2(n1498), .B1(
+        rf_reg_q[592]), .B2(n1500), .Y(n1212) );
+  sky130_fd_sc_hd__a22oi_1 U2293 ( .A1(rf_reg_q[976]), .A2(n2552), .B1(
+        rf_reg_q[848]), .B2(n1), .Y(n1211) );
+  sky130_fd_sc_hd__nand4_1 U2294 ( .A(n1214), .B(n1213), .C(n1212), .D(n1211), 
+        .Y(n1215) );
+  sky130_fd_sc_hd__a22oi_1 U2295 ( .A1(n2549), .A2(n1216), .B1(n2547), .B2(
+        n1215), .Y(n1228) );
+  sky130_fd_sc_hd__a22oi_1 U2296 ( .A1(rf_reg_q[816]), .A2(n1521), .B1(
+        rf_reg_q[944]), .B2(n2552), .Y(n1220) );
+  sky130_fd_sc_hd__a22oi_1 U2297 ( .A1(rf_reg_q[176]), .A2(n2541), .B1(
+        rf_reg_q[304]), .B2(n1508), .Y(n1219) );
+  sky130_fd_sc_hd__a22oi_1 U2298 ( .A1(rf_reg_q[48]), .A2(n4), .B1(
+        rf_reg_q[432]), .B2(n2), .Y(n1218) );
+  sky130_fd_sc_hd__a22oi_1 U2299 ( .A1(rf_reg_q[688]), .A2(n2550), .B1(
+        rf_reg_q[560]), .B2(n1500), .Y(n1217) );
+  sky130_fd_sc_hd__nand4_1 U2300 ( .A(n1220), .B(n1219), .C(n1218), .D(n1217), 
+        .Y(n1226) );
+  sky130_fd_sc_hd__a22oi_1 U2301 ( .A1(rf_reg_q[400]), .A2(n2560), .B1(
+        rf_reg_q[528]), .B2(n2564), .Y(n1224) );
+  sky130_fd_sc_hd__a22oi_1 U2302 ( .A1(rf_reg_q[144]), .A2(n2561), .B1(
+        rf_reg_q[656]), .B2(n2559), .Y(n1223) );
+  sky130_fd_sc_hd__a22o_1 U2303 ( .A1(rf_reg_q[272]), .A2(n2562), .B1(
+        rf_reg_q[912]), .B2(n2558), .X(n1221) );
+  sky130_fd_sc_hd__a21oi_1 U2304 ( .A1(rf_reg_q[784]), .A2(n2557), .B1(n1221), 
+        .Y(n1222) );
+  sky130_fd_sc_hd__a31oi_1 U2305 ( .A1(n1224), .A2(n1223), .A3(n1222), .B1(
+        raddr_a_i[0]), .Y(n1225) );
+  sky130_fd_sc_hd__a21oi_1 U2306 ( .A1(n1226), .A2(n2569), .B1(n1225), .Y(
+        n1227) );
+  sky130_fd_sc_hd__nand2_1 U2307 ( .A(n1228), .B(n1227), .Y(rdata_a_o[16]) );
+  sky130_fd_sc_hd__a22oi_1 U2308 ( .A1(rf_reg_q[241]), .A2(n2541), .B1(
+        rf_reg_q[113]), .B2(n4), .Y(n1232) );
+  sky130_fd_sc_hd__a22oi_1 U2309 ( .A1(rf_reg_q[625]), .A2(n1500), .B1(
+        rf_reg_q[497]), .B2(n2), .Y(n1231) );
+  sky130_fd_sc_hd__a22oi_1 U2310 ( .A1(rf_reg_q[881]), .A2(n1521), .B1(
+        rf_reg_q[753]), .B2(n1498), .Y(n1230) );
+  sky130_fd_sc_hd__a22oi_1 U2311 ( .A1(rf_reg_q[1009]), .A2(n2552), .B1(
+        rf_reg_q[369]), .B2(n1508), .Y(n1229) );
+  sky130_fd_sc_hd__nand4_1 U2312 ( .A(n1232), .B(n1231), .C(n1230), .D(n1229), 
+        .Y(n1238) );
+  sky130_fd_sc_hd__a22oi_1 U2313 ( .A1(rf_reg_q[209]), .A2(n2541), .B1(
+        rf_reg_q[977]), .B2(n1499), .Y(n1236) );
+  sky130_fd_sc_hd__a22oi_1 U2314 ( .A1(rf_reg_q[337]), .A2(n3), .B1(
+        rf_reg_q[849]), .B2(n1), .Y(n1235) );
+  sky130_fd_sc_hd__a22oi_1 U2315 ( .A1(rf_reg_q[465]), .A2(n2), .B1(
+        rf_reg_q[721]), .B2(n2550), .Y(n1234) );
+  sky130_fd_sc_hd__a22oi_1 U2316 ( .A1(rf_reg_q[81]), .A2(n4), .B1(
+        rf_reg_q[593]), .B2(n1500), .Y(n1233) );
+  sky130_fd_sc_hd__nand4_1 U2317 ( .A(n1236), .B(n1235), .C(n1234), .D(n1233), 
+        .Y(n1237) );
+  sky130_fd_sc_hd__a22oi_1 U2318 ( .A1(n2549), .A2(n1238), .B1(n2547), .B2(
+        n1237), .Y(n1250) );
+  sky130_fd_sc_hd__a22oi_1 U2319 ( .A1(rf_reg_q[945]), .A2(n2552), .B1(
+        rf_reg_q[177]), .B2(n2541), .Y(n1242) );
+  sky130_fd_sc_hd__a22oi_1 U2320 ( .A1(rf_reg_q[49]), .A2(n4), .B1(
+        rf_reg_q[689]), .B2(n1498), .Y(n1241) );
+  sky130_fd_sc_hd__a22oi_1 U2321 ( .A1(rf_reg_q[561]), .A2(n1500), .B1(
+        rf_reg_q[305]), .B2(n1508), .Y(n1240) );
+  sky130_fd_sc_hd__a22oi_1 U2322 ( .A1(rf_reg_q[433]), .A2(n2), .B1(
+        rf_reg_q[817]), .B2(n1), .Y(n1239) );
+  sky130_fd_sc_hd__nand4_1 U2323 ( .A(n1242), .B(n1241), .C(n1240), .D(n1239), 
+        .Y(n1248) );
+  sky130_fd_sc_hd__a22oi_1 U2324 ( .A1(rf_reg_q[529]), .A2(n2564), .B1(
+        rf_reg_q[785]), .B2(n2557), .Y(n1246) );
+  sky130_fd_sc_hd__a22oi_1 U2325 ( .A1(rf_reg_q[273]), .A2(n2562), .B1(
+        rf_reg_q[401]), .B2(n2560), .Y(n1245) );
+  sky130_fd_sc_hd__a22o_1 U2326 ( .A1(rf_reg_q[657]), .A2(n2559), .B1(
+        rf_reg_q[145]), .B2(n2561), .X(n1243) );
+  sky130_fd_sc_hd__a21oi_1 U2327 ( .A1(rf_reg_q[913]), .A2(n2558), .B1(n1243), 
+        .Y(n1244) );
+  sky130_fd_sc_hd__a31oi_1 U2328 ( .A1(n1246), .A2(n1245), .A3(n1244), .B1(
+        raddr_a_i[0]), .Y(n1247) );
+  sky130_fd_sc_hd__a21oi_1 U2329 ( .A1(n1248), .A2(n2569), .B1(n1247), .Y(
+        n1249) );
+  sky130_fd_sc_hd__nand2_1 U2330 ( .A(n1250), .B(n1249), .Y(rdata_a_o[17]) );
+  sky130_fd_sc_hd__a22oi_1 U2331 ( .A1(rf_reg_q[818]), .A2(n1521), .B1(
+        rf_reg_q[434]), .B2(n2), .Y(n1254) );
+  sky130_fd_sc_hd__a22oi_1 U2332 ( .A1(rf_reg_q[50]), .A2(n1532), .B1(
+        rf_reg_q[946]), .B2(n2552), .Y(n1253) );
+  sky130_fd_sc_hd__a22oi_1 U2333 ( .A1(rf_reg_q[178]), .A2(n2541), .B1(
+        rf_reg_q[690]), .B2(n2550), .Y(n1252) );
+  sky130_fd_sc_hd__a22oi_1 U2334 ( .A1(rf_reg_q[562]), .A2(n1500), .B1(
+        rf_reg_q[306]), .B2(n1508), .Y(n1251) );
+  sky130_fd_sc_hd__nand4_1 U2335 ( .A(n1254), .B(n1253), .C(n1252), .D(n1251), 
+        .Y(n1260) );
+  sky130_fd_sc_hd__a22oi_1 U2336 ( .A1(rf_reg_q[242]), .A2(n2541), .B1(
+        rf_reg_q[882]), .B2(n1), .Y(n1258) );
+  sky130_fd_sc_hd__a22oi_1 U2337 ( .A1(rf_reg_q[370]), .A2(n3), .B1(
+        rf_reg_q[1010]), .B2(n1499), .Y(n1257) );
+  sky130_fd_sc_hd__a22oi_1 U2338 ( .A1(rf_reg_q[754]), .A2(n1498), .B1(
+        rf_reg_q[626]), .B2(n1500), .Y(n1256) );
+  sky130_fd_sc_hd__a22oi_1 U2339 ( .A1(rf_reg_q[498]), .A2(n2), .B1(
+        rf_reg_q[114]), .B2(n4), .Y(n1255) );
+  sky130_fd_sc_hd__nand4_1 U2340 ( .A(n1258), .B(n1257), .C(n1256), .D(n1255), 
+        .Y(n1259) );
+  sky130_fd_sc_hd__a22oi_1 U2341 ( .A1(n2569), .A2(n1260), .B1(n2549), .B2(
+        n1259), .Y(n1272) );
+  sky130_fd_sc_hd__a22oi_1 U2342 ( .A1(rf_reg_q[466]), .A2(n2), .B1(
+        rf_reg_q[338]), .B2(n1508), .Y(n1264) );
+  sky130_fd_sc_hd__a22oi_1 U2343 ( .A1(rf_reg_q[594]), .A2(n1500), .B1(
+        rf_reg_q[210]), .B2(n2541), .Y(n1263) );
+  sky130_fd_sc_hd__a22oi_1 U2344 ( .A1(rf_reg_q[82]), .A2(n1532), .B1(
+        rf_reg_q[722]), .B2(n1498), .Y(n1262) );
+  sky130_fd_sc_hd__a22oi_1 U2345 ( .A1(rf_reg_q[850]), .A2(n1521), .B1(
+        rf_reg_q[978]), .B2(n2552), .Y(n1261) );
+  sky130_fd_sc_hd__nand4_1 U2346 ( .A(n1264), .B(n1263), .C(n1262), .D(n1261), 
+        .Y(n1270) );
+  sky130_fd_sc_hd__a22oi_1 U2347 ( .A1(rf_reg_q[786]), .A2(n2557), .B1(
+        rf_reg_q[914]), .B2(n2558), .Y(n1268) );
+  sky130_fd_sc_hd__a22oi_1 U2348 ( .A1(rf_reg_q[530]), .A2(n2564), .B1(
+        rf_reg_q[146]), .B2(n2561), .Y(n1267) );
+  sky130_fd_sc_hd__a22o_1 U2349 ( .A1(rf_reg_q[274]), .A2(n2562), .B1(
+        rf_reg_q[658]), .B2(n2559), .X(n1265) );
+  sky130_fd_sc_hd__a21oi_1 U2350 ( .A1(rf_reg_q[402]), .A2(n2560), .B1(n1265), 
+        .Y(n1266) );
+  sky130_fd_sc_hd__a31oi_1 U2351 ( .A1(n1268), .A2(n1267), .A3(n1266), .B1(
+        raddr_a_i[0]), .Y(n1269) );
+  sky130_fd_sc_hd__a21oi_1 U2352 ( .A1(n1270), .A2(n2547), .B1(n1269), .Y(
+        n1271) );
+  sky130_fd_sc_hd__nand2_1 U2353 ( .A(n1272), .B(n1271), .Y(rdata_a_o[18]) );
+  sky130_fd_sc_hd__a22oi_1 U2354 ( .A1(rf_reg_q[883]), .A2(n1), .B1(
+        rf_reg_q[627]), .B2(n1500), .Y(n1276) );
+  sky130_fd_sc_hd__a22oi_1 U2355 ( .A1(rf_reg_q[371]), .A2(n3), .B1(
+        rf_reg_q[115]), .B2(n4), .Y(n1275) );
+  sky130_fd_sc_hd__a22oi_1 U2356 ( .A1(rf_reg_q[499]), .A2(n2), .B1(
+        rf_reg_q[1011]), .B2(n1499), .Y(n1274) );
+  sky130_fd_sc_hd__a22oi_1 U2357 ( .A1(rf_reg_q[243]), .A2(n2541), .B1(
+        rf_reg_q[755]), .B2(n1498), .Y(n1273) );
+  sky130_fd_sc_hd__nand4_1 U2358 ( .A(n1276), .B(n1275), .C(n1274), .D(n1273), 
+        .Y(n1282) );
+  sky130_fd_sc_hd__a22oi_1 U2359 ( .A1(rf_reg_q[467]), .A2(n2), .B1(
+        rf_reg_q[339]), .B2(n1508), .Y(n1280) );
+  sky130_fd_sc_hd__a22oi_1 U2360 ( .A1(rf_reg_q[211]), .A2(n2541), .B1(
+        rf_reg_q[83]), .B2(n4), .Y(n1279) );
+  sky130_fd_sc_hd__a22oi_1 U2361 ( .A1(rf_reg_q[595]), .A2(n1500), .B1(
+        rf_reg_q[851]), .B2(n1), .Y(n1278) );
+  sky130_fd_sc_hd__a22oi_1 U2362 ( .A1(rf_reg_q[979]), .A2(n2552), .B1(
+        rf_reg_q[723]), .B2(n2550), .Y(n1277) );
+  sky130_fd_sc_hd__nand4_1 U2363 ( .A(n1280), .B(n1279), .C(n1278), .D(n1277), 
+        .Y(n1281) );
+  sky130_fd_sc_hd__a22oi_1 U2364 ( .A1(n2549), .A2(n1282), .B1(n2547), .B2(
+        n1281), .Y(n1294) );
+  sky130_fd_sc_hd__a22oi_1 U2365 ( .A1(rf_reg_q[307]), .A2(n3), .B1(
+        rf_reg_q[819]), .B2(n1), .Y(n1286) );
+  sky130_fd_sc_hd__a22oi_1 U2366 ( .A1(rf_reg_q[51]), .A2(n4), .B1(
+        rf_reg_q[435]), .B2(n2), .Y(n1285) );
+  sky130_fd_sc_hd__a22oi_1 U2367 ( .A1(rf_reg_q[947]), .A2(n2552), .B1(
+        rf_reg_q[563]), .B2(n1500), .Y(n1284) );
+  sky130_fd_sc_hd__a22oi_1 U2368 ( .A1(rf_reg_q[179]), .A2(n2541), .B1(
+        rf_reg_q[691]), .B2(n1498), .Y(n1283) );
+  sky130_fd_sc_hd__nand4_1 U2369 ( .A(n1286), .B(n1285), .C(n1284), .D(n1283), 
+        .Y(n1292) );
+  sky130_fd_sc_hd__a22oi_1 U2370 ( .A1(rf_reg_q[659]), .A2(n2559), .B1(
+        rf_reg_q[531]), .B2(n2564), .Y(n1290) );
+  sky130_fd_sc_hd__a22oi_1 U2371 ( .A1(rf_reg_q[147]), .A2(n2561), .B1(
+        rf_reg_q[915]), .B2(n2558), .Y(n1289) );
+  sky130_fd_sc_hd__a22o_1 U2372 ( .A1(rf_reg_q[787]), .A2(n2557), .B1(
+        rf_reg_q[275]), .B2(n2562), .X(n1287) );
+  sky130_fd_sc_hd__a21oi_1 U2373 ( .A1(rf_reg_q[403]), .A2(n2560), .B1(n1287), 
+        .Y(n1288) );
+  sky130_fd_sc_hd__a31oi_1 U2374 ( .A1(n1290), .A2(n1289), .A3(n1288), .B1(
+        raddr_a_i[0]), .Y(n1291) );
+  sky130_fd_sc_hd__a21oi_1 U2375 ( .A1(n1292), .A2(n2569), .B1(n1291), .Y(
+        n1293) );
+  sky130_fd_sc_hd__nand2_1 U2376 ( .A(n1294), .B(n1293), .Y(rdata_a_o[19]) );
+  sky130_fd_sc_hd__a22oi_1 U2377 ( .A1(rf_reg_q[116]), .A2(n1532), .B1(
+        rf_reg_q[756]), .B2(n2550), .Y(n1298) );
+  sky130_fd_sc_hd__a22oi_1 U2378 ( .A1(rf_reg_q[628]), .A2(n1500), .B1(
+        rf_reg_q[1012]), .B2(n2552), .Y(n1297) );
+  sky130_fd_sc_hd__a22oi_1 U2379 ( .A1(rf_reg_q[500]), .A2(n2), .B1(
+        rf_reg_q[372]), .B2(n1508), .Y(n1296) );
+  sky130_fd_sc_hd__a22oi_1 U2380 ( .A1(rf_reg_q[244]), .A2(n2541), .B1(
+        rf_reg_q[884]), .B2(n1), .Y(n1295) );
+  sky130_fd_sc_hd__nand4_1 U2381 ( .A(n1298), .B(n1297), .C(n1296), .D(n1295), 
+        .Y(n1304) );
+  sky130_fd_sc_hd__a22oi_1 U2382 ( .A1(rf_reg_q[724]), .A2(n1498), .B1(
+        rf_reg_q[340]), .B2(n1508), .Y(n1302) );
+  sky130_fd_sc_hd__a22oi_1 U2383 ( .A1(rf_reg_q[596]), .A2(n1500), .B1(
+        rf_reg_q[468]), .B2(n2), .Y(n1301) );
+  sky130_fd_sc_hd__a22oi_1 U2384 ( .A1(rf_reg_q[84]), .A2(n4), .B1(
+        rf_reg_q[852]), .B2(n1), .Y(n1300) );
+  sky130_fd_sc_hd__a22oi_1 U2385 ( .A1(rf_reg_q[980]), .A2(n2552), .B1(
+        rf_reg_q[212]), .B2(n2541), .Y(n1299) );
+  sky130_fd_sc_hd__nand4_1 U2386 ( .A(n1302), .B(n1301), .C(n1300), .D(n1299), 
+        .Y(n1303) );
+  sky130_fd_sc_hd__a22oi_1 U2387 ( .A1(n2549), .A2(n1304), .B1(n2547), .B2(
+        n1303), .Y(n1316) );
+  sky130_fd_sc_hd__a22oi_1 U2388 ( .A1(rf_reg_q[692]), .A2(n2550), .B1(
+        rf_reg_q[820]), .B2(n1), .Y(n1308) );
+  sky130_fd_sc_hd__a22oi_1 U2389 ( .A1(rf_reg_q[52]), .A2(n1532), .B1(
+        rf_reg_q[308]), .B2(n3), .Y(n1307) );
+  sky130_fd_sc_hd__a22oi_1 U2390 ( .A1(rf_reg_q[564]), .A2(n1500), .B1(
+        rf_reg_q[948]), .B2(n1499), .Y(n1306) );
+  sky130_fd_sc_hd__a22oi_1 U2391 ( .A1(rf_reg_q[180]), .A2(n2541), .B1(
+        rf_reg_q[436]), .B2(n2), .Y(n1305) );
+  sky130_fd_sc_hd__nand4_1 U2392 ( .A(n1308), .B(n1307), .C(n1306), .D(n1305), 
+        .Y(n1314) );
+  sky130_fd_sc_hd__a22oi_1 U2393 ( .A1(rf_reg_q[404]), .A2(n2560), .B1(
+        rf_reg_q[532]), .B2(n2564), .Y(n1312) );
+  sky130_fd_sc_hd__a22oi_1 U2394 ( .A1(rf_reg_q[148]), .A2(n2561), .B1(
+        rf_reg_q[788]), .B2(n2557), .Y(n1311) );
+  sky130_fd_sc_hd__a22o_1 U2395 ( .A1(rf_reg_q[916]), .A2(n2558), .B1(
+        rf_reg_q[276]), .B2(n2562), .X(n1309) );
+  sky130_fd_sc_hd__a21oi_1 U2396 ( .A1(rf_reg_q[660]), .A2(n2559), .B1(n1309), 
+        .Y(n1310) );
+  sky130_fd_sc_hd__a31oi_1 U2397 ( .A1(n1312), .A2(n1311), .A3(n1310), .B1(
+        raddr_a_i[0]), .Y(n1313) );
+  sky130_fd_sc_hd__a21oi_1 U2398 ( .A1(n1314), .A2(n2569), .B1(n1313), .Y(
+        n1315) );
+  sky130_fd_sc_hd__nand2_1 U2399 ( .A(n1316), .B(n1315), .Y(rdata_a_o[20]) );
+  sky130_fd_sc_hd__a22oi_1 U2400 ( .A1(rf_reg_q[885]), .A2(n1), .B1(
+        rf_reg_q[1013]), .B2(n2552), .Y(n1320) );
+  sky130_fd_sc_hd__a22oi_1 U2401 ( .A1(rf_reg_q[117]), .A2(n1532), .B1(
+        rf_reg_q[757]), .B2(n2550), .Y(n1319) );
+  sky130_fd_sc_hd__a22oi_1 U2402 ( .A1(rf_reg_q[245]), .A2(n2541), .B1(
+        rf_reg_q[501]), .B2(n2), .Y(n1318) );
+  sky130_fd_sc_hd__a22oi_1 U2403 ( .A1(rf_reg_q[373]), .A2(n3), .B1(
+        rf_reg_q[629]), .B2(n1500), .Y(n1317) );
+  sky130_fd_sc_hd__nand4_1 U2404 ( .A(n1320), .B(n1319), .C(n1318), .D(n1317), 
+        .Y(n1327) );
+  sky130_fd_sc_hd__a22oi_1 U2405 ( .A1(rf_reg_q[853]), .A2(n1), .B1(
+        rf_reg_q[85]), .B2(n4), .Y(n1325) );
+  sky130_fd_sc_hd__a22oi_1 U2406 ( .A1(rf_reg_q[341]), .A2(n3), .B1(
+        rf_reg_q[725]), .B2(n1498), .Y(n1324) );
+  sky130_fd_sc_hd__a22oi_1 U2407 ( .A1(rf_reg_q[469]), .A2(n1507), .B1(
+        rf_reg_q[597]), .B2(n1500), .Y(n1323) );
+  sky130_fd_sc_hd__a22oi_1 U2408 ( .A1(rf_reg_q[981]), .A2(n2552), .B1(
+        rf_reg_q[213]), .B2(n2541), .Y(n1322) );
+  sky130_fd_sc_hd__nand4_1 U2409 ( .A(n1325), .B(n1324), .C(n1323), .D(n1322), 
+        .Y(n1326) );
+  sky130_fd_sc_hd__a22oi_1 U2410 ( .A1(n2549), .A2(n1327), .B1(n2547), .B2(
+        n1326), .Y(n1339) );
+  sky130_fd_sc_hd__a22oi_1 U2411 ( .A1(rf_reg_q[437]), .A2(n1507), .B1(
+        rf_reg_q[565]), .B2(n1500), .Y(n1331) );
+  sky130_fd_sc_hd__a22oi_1 U2412 ( .A1(rf_reg_q[53]), .A2(n1532), .B1(
+        rf_reg_q[821]), .B2(n1), .Y(n1330) );
+  sky130_fd_sc_hd__a22oi_1 U2413 ( .A1(rf_reg_q[949]), .A2(n1499), .B1(
+        rf_reg_q[693]), .B2(n2550), .Y(n1329) );
+  sky130_fd_sc_hd__a22oi_1 U2414 ( .A1(rf_reg_q[309]), .A2(n3), .B1(
+        rf_reg_q[181]), .B2(n2541), .Y(n1328) );
+  sky130_fd_sc_hd__nand4_1 U2415 ( .A(n1331), .B(n1330), .C(n1329), .D(n1328), 
+        .Y(n1337) );
+  sky130_fd_sc_hd__a22oi_1 U2416 ( .A1(rf_reg_q[661]), .A2(n2559), .B1(
+        rf_reg_q[789]), .B2(n2557), .Y(n1335) );
+  sky130_fd_sc_hd__a22oi_1 U2417 ( .A1(rf_reg_q[917]), .A2(n2558), .B1(
+        rf_reg_q[533]), .B2(n2564), .Y(n1334) );
+  sky130_fd_sc_hd__a22o_1 U2418 ( .A1(rf_reg_q[149]), .A2(n2561), .B1(
+        rf_reg_q[277]), .B2(n2562), .X(n1332) );
+  sky130_fd_sc_hd__a21oi_1 U2419 ( .A1(rf_reg_q[405]), .A2(n2560), .B1(n1332), 
+        .Y(n1333) );
+  sky130_fd_sc_hd__a31oi_1 U2420 ( .A1(n1335), .A2(n1334), .A3(n1333), .B1(
+        raddr_a_i[0]), .Y(n1336) );
+  sky130_fd_sc_hd__a21oi_1 U2421 ( .A1(n1337), .A2(n2569), .B1(n1336), .Y(
+        n1338) );
+  sky130_fd_sc_hd__nand2_1 U2422 ( .A(n1339), .B(n1338), .Y(rdata_a_o[21]) );
+  sky130_fd_sc_hd__a22oi_1 U2423 ( .A1(rf_reg_q[502]), .A2(n2), .B1(
+        rf_reg_q[758]), .B2(n1498), .Y(n1343) );
+  sky130_fd_sc_hd__a22oi_1 U2424 ( .A1(rf_reg_q[374]), .A2(n3), .B1(
+        rf_reg_q[630]), .B2(n1500), .Y(n1342) );
+  sky130_fd_sc_hd__a22oi_1 U2425 ( .A1(rf_reg_q[118]), .A2(n1532), .B1(
+        rf_reg_q[1014]), .B2(n2552), .Y(n1341) );
+  sky130_fd_sc_hd__a22oi_1 U2426 ( .A1(rf_reg_q[886]), .A2(n1), .B1(
+        rf_reg_q[246]), .B2(n2541), .Y(n1340) );
+  sky130_fd_sc_hd__nand4_1 U2427 ( .A(n1343), .B(n1342), .C(n1341), .D(n1340), 
+        .Y(n1349) );
+  sky130_fd_sc_hd__a22oi_1 U2428 ( .A1(rf_reg_q[982]), .A2(n2552), .B1(
+        rf_reg_q[598]), .B2(n1500), .Y(n1347) );
+  sky130_fd_sc_hd__a22oi_1 U2429 ( .A1(rf_reg_q[86]), .A2(n1532), .B1(
+        rf_reg_q[214]), .B2(n2541), .Y(n1346) );
+  sky130_fd_sc_hd__a22oi_1 U2430 ( .A1(rf_reg_q[726]), .A2(n2550), .B1(
+        rf_reg_q[470]), .B2(n2), .Y(n1345) );
+  sky130_fd_sc_hd__a22oi_1 U2431 ( .A1(rf_reg_q[854]), .A2(n1), .B1(
+        rf_reg_q[342]), .B2(n3), .Y(n1344) );
+  sky130_fd_sc_hd__nand4_1 U2432 ( .A(n1347), .B(n1346), .C(n1345), .D(n1344), 
+        .Y(n1348) );
+  sky130_fd_sc_hd__a22oi_1 U2433 ( .A1(n2549), .A2(n1349), .B1(n2547), .B2(
+        n1348), .Y(n1361) );
+  sky130_fd_sc_hd__a22oi_1 U2434 ( .A1(rf_reg_q[310]), .A2(n3), .B1(
+        rf_reg_q[566]), .B2(n1500), .Y(n1353) );
+  sky130_fd_sc_hd__a22oi_1 U2435 ( .A1(rf_reg_q[822]), .A2(n1), .B1(
+        rf_reg_q[54]), .B2(n4), .Y(n1352) );
+  sky130_fd_sc_hd__a22oi_1 U2436 ( .A1(rf_reg_q[438]), .A2(n2), .B1(
+        rf_reg_q[182]), .B2(n2541), .Y(n1351) );
+  sky130_fd_sc_hd__a22oi_1 U2437 ( .A1(rf_reg_q[950]), .A2(n1499), .B1(
+        rf_reg_q[694]), .B2(n2550), .Y(n1350) );
+  sky130_fd_sc_hd__nand4_1 U2438 ( .A(n1353), .B(n1352), .C(n1351), .D(n1350), 
+        .Y(n1359) );
+  sky130_fd_sc_hd__a22oi_1 U2439 ( .A1(rf_reg_q[406]), .A2(n2560), .B1(
+        rf_reg_q[150]), .B2(n2561), .Y(n1357) );
+  sky130_fd_sc_hd__a22oi_1 U2440 ( .A1(rf_reg_q[918]), .A2(n2558), .B1(
+        rf_reg_q[534]), .B2(n2564), .Y(n1356) );
+  sky130_fd_sc_hd__a22o_1 U2441 ( .A1(rf_reg_q[662]), .A2(n2559), .B1(
+        rf_reg_q[278]), .B2(n2562), .X(n1354) );
+  sky130_fd_sc_hd__a21oi_1 U2442 ( .A1(rf_reg_q[790]), .A2(n2557), .B1(n1354), 
+        .Y(n1355) );
+  sky130_fd_sc_hd__a31oi_1 U2443 ( .A1(n1357), .A2(n1356), .A3(n1355), .B1(
+        raddr_a_i[0]), .Y(n1358) );
+  sky130_fd_sc_hd__a21oi_1 U2444 ( .A1(n1359), .A2(n2569), .B1(n1358), .Y(
+        n1360) );
+  sky130_fd_sc_hd__nand2_1 U2445 ( .A(n1361), .B(n1360), .Y(rdata_a_o[22]) );
+  sky130_fd_sc_hd__a22oi_1 U2446 ( .A1(rf_reg_q[247]), .A2(n2541), .B1(
+        rf_reg_q[887]), .B2(n1), .Y(n1365) );
+  sky130_fd_sc_hd__a22oi_1 U2447 ( .A1(rf_reg_q[375]), .A2(n3), .B1(
+        rf_reg_q[759]), .B2(n1498), .Y(n1364) );
+  sky130_fd_sc_hd__a22oi_1 U2448 ( .A1(rf_reg_q[119]), .A2(n1532), .B1(
+        rf_reg_q[503]), .B2(n2), .Y(n1363) );
+  sky130_fd_sc_hd__a22oi_1 U2449 ( .A1(rf_reg_q[631]), .A2(n1500), .B1(
+        rf_reg_q[1015]), .B2(n2552), .Y(n1362) );
+  sky130_fd_sc_hd__nand4_1 U2450 ( .A(n1365), .B(n1364), .C(n1363), .D(n1362), 
+        .Y(n1371) );
+  sky130_fd_sc_hd__a22oi_1 U2451 ( .A1(rf_reg_q[471]), .A2(n2), .B1(
+        rf_reg_q[215]), .B2(n2541), .Y(n1369) );
+  sky130_fd_sc_hd__a22oi_1 U2452 ( .A1(rf_reg_q[343]), .A2(n3), .B1(
+        rf_reg_q[727]), .B2(n1498), .Y(n1368) );
+  sky130_fd_sc_hd__a22oi_1 U2453 ( .A1(rf_reg_q[599]), .A2(n1500), .B1(
+        rf_reg_q[87]), .B2(n4), .Y(n1367) );
+  sky130_fd_sc_hd__a22oi_1 U2454 ( .A1(rf_reg_q[855]), .A2(n1), .B1(
+        rf_reg_q[983]), .B2(n2552), .Y(n1366) );
+  sky130_fd_sc_hd__nand4_1 U2455 ( .A(n1369), .B(n1368), .C(n1367), .D(n1366), 
+        .Y(n1370) );
+  sky130_fd_sc_hd__a22oi_1 U2456 ( .A1(n2549), .A2(n1371), .B1(n2547), .B2(
+        n1370), .Y(n1383) );
+  sky130_fd_sc_hd__a22oi_1 U2457 ( .A1(rf_reg_q[55]), .A2(n4), .B1(
+        rf_reg_q[823]), .B2(n1), .Y(n1375) );
+  sky130_fd_sc_hd__a22oi_1 U2458 ( .A1(rf_reg_q[695]), .A2(n2550), .B1(
+        rf_reg_q[311]), .B2(n3), .Y(n1374) );
+  sky130_fd_sc_hd__a22oi_1 U2459 ( .A1(rf_reg_q[183]), .A2(n2541), .B1(
+        rf_reg_q[567]), .B2(n1500), .Y(n1373) );
+  sky130_fd_sc_hd__a22oi_1 U2460 ( .A1(rf_reg_q[439]), .A2(n2), .B1(
+        rf_reg_q[951]), .B2(n2552), .Y(n1372) );
+  sky130_fd_sc_hd__nand4_1 U2461 ( .A(n1375), .B(n1374), .C(n1373), .D(n1372), 
+        .Y(n1381) );
+  sky130_fd_sc_hd__a22oi_1 U2462 ( .A1(rf_reg_q[535]), .A2(n2564), .B1(
+        rf_reg_q[791]), .B2(n2557), .Y(n1379) );
+  sky130_fd_sc_hd__a22oi_1 U2463 ( .A1(rf_reg_q[663]), .A2(n2559), .B1(
+        rf_reg_q[919]), .B2(n2558), .Y(n1378) );
+  sky130_fd_sc_hd__a22o_1 U2464 ( .A1(rf_reg_q[151]), .A2(n2561), .B1(
+        rf_reg_q[407]), .B2(n2560), .X(n1376) );
+  sky130_fd_sc_hd__a21oi_1 U2465 ( .A1(rf_reg_q[279]), .A2(n2562), .B1(n1376), 
+        .Y(n1377) );
+  sky130_fd_sc_hd__a31oi_1 U2466 ( .A1(n1379), .A2(n1378), .A3(n1377), .B1(
+        raddr_a_i[0]), .Y(n1380) );
+  sky130_fd_sc_hd__a21oi_1 U2467 ( .A1(n1381), .A2(n2569), .B1(n1380), .Y(
+        n1382) );
+  sky130_fd_sc_hd__nand2_1 U2468 ( .A(n1383), .B(n1382), .Y(rdata_a_o[23]) );
+  sky130_fd_sc_hd__a22oi_1 U2469 ( .A1(rf_reg_q[376]), .A2(n3), .B1(
+        rf_reg_q[248]), .B2(n2541), .Y(n1387) );
+  sky130_fd_sc_hd__a22oi_1 U2470 ( .A1(rf_reg_q[760]), .A2(n2550), .B1(
+        rf_reg_q[632]), .B2(n1500), .Y(n1386) );
+  sky130_fd_sc_hd__a22oi_1 U2471 ( .A1(rf_reg_q[888]), .A2(n1), .B1(
+        rf_reg_q[1016]), .B2(n2552), .Y(n1385) );
+  sky130_fd_sc_hd__a22oi_1 U2472 ( .A1(rf_reg_q[504]), .A2(n2), .B1(
+        rf_reg_q[120]), .B2(n4), .Y(n1384) );
+  sky130_fd_sc_hd__nand4_1 U2473 ( .A(n1387), .B(n1386), .C(n1385), .D(n1384), 
+        .Y(n1393) );
+  sky130_fd_sc_hd__a22oi_1 U2474 ( .A1(rf_reg_q[88]), .A2(n4), .B1(
+        rf_reg_q[856]), .B2(n1), .Y(n1391) );
+  sky130_fd_sc_hd__a22oi_1 U2475 ( .A1(rf_reg_q[216]), .A2(n2541), .B1(
+        rf_reg_q[344]), .B2(n3), .Y(n1390) );
+  sky130_fd_sc_hd__a22oi_1 U2476 ( .A1(rf_reg_q[984]), .A2(n2552), .B1(
+        rf_reg_q[728]), .B2(n1498), .Y(n1389) );
+  sky130_fd_sc_hd__a22oi_1 U2477 ( .A1(rf_reg_q[472]), .A2(n2), .B1(
+        rf_reg_q[600]), .B2(n1500), .Y(n1388) );
+  sky130_fd_sc_hd__nand4_1 U2478 ( .A(n1391), .B(n1390), .C(n1389), .D(n1388), 
+        .Y(n1392) );
+  sky130_fd_sc_hd__a22oi_1 U2479 ( .A1(n2549), .A2(n1393), .B1(n2547), .B2(
+        n1392), .Y(n1405) );
+  sky130_fd_sc_hd__a22oi_1 U2480 ( .A1(rf_reg_q[952]), .A2(n1499), .B1(
+        rf_reg_q[696]), .B2(n2550), .Y(n1397) );
+  sky130_fd_sc_hd__a22oi_1 U2481 ( .A1(rf_reg_q[824]), .A2(n1), .B1(
+        rf_reg_q[312]), .B2(n3), .Y(n1396) );
+  sky130_fd_sc_hd__a22oi_1 U2482 ( .A1(rf_reg_q[184]), .A2(n2541), .B1(
+        rf_reg_q[56]), .B2(n4), .Y(n1395) );
+  sky130_fd_sc_hd__a22oi_1 U2483 ( .A1(rf_reg_q[568]), .A2(n1500), .B1(
+        rf_reg_q[440]), .B2(n2), .Y(n1394) );
+  sky130_fd_sc_hd__nand4_1 U2484 ( .A(n1397), .B(n1396), .C(n1395), .D(n1394), 
+        .Y(n1403) );
+  sky130_fd_sc_hd__a22oi_1 U2485 ( .A1(rf_reg_q[280]), .A2(n2562), .B1(
+        rf_reg_q[536]), .B2(n2564), .Y(n1401) );
+  sky130_fd_sc_hd__a22oi_1 U2486 ( .A1(rf_reg_q[920]), .A2(n2558), .B1(
+        rf_reg_q[408]), .B2(n2560), .Y(n1400) );
+  sky130_fd_sc_hd__a22o_1 U2487 ( .A1(rf_reg_q[664]), .A2(n2559), .B1(
+        rf_reg_q[152]), .B2(n2561), .X(n1398) );
+  sky130_fd_sc_hd__a21oi_1 U2488 ( .A1(rf_reg_q[792]), .A2(n2557), .B1(n1398), 
+        .Y(n1399) );
+  sky130_fd_sc_hd__a31oi_1 U2489 ( .A1(n1401), .A2(n1400), .A3(n1399), .B1(
+        raddr_a_i[0]), .Y(n1402) );
+  sky130_fd_sc_hd__a21oi_1 U2490 ( .A1(n1403), .A2(n2569), .B1(n1402), .Y(
+        n1404) );
+  sky130_fd_sc_hd__nand2_1 U2491 ( .A(n1405), .B(n1404), .Y(rdata_a_o[24]) );
+  sky130_fd_sc_hd__a22oi_1 U2492 ( .A1(rf_reg_q[825]), .A2(n1), .B1(
+        rf_reg_q[57]), .B2(n4), .Y(n1409) );
+  sky130_fd_sc_hd__a22oi_1 U2493 ( .A1(rf_reg_q[569]), .A2(n1500), .B1(
+        rf_reg_q[953]), .B2(n2552), .Y(n1408) );
+  sky130_fd_sc_hd__a22oi_1 U2494 ( .A1(rf_reg_q[313]), .A2(n3), .B1(
+        rf_reg_q[441]), .B2(n2), .Y(n1407) );
+  sky130_fd_sc_hd__a22oi_1 U2495 ( .A1(rf_reg_q[697]), .A2(n2550), .B1(
+        rf_reg_q[185]), .B2(n2551), .Y(n1406) );
+  sky130_fd_sc_hd__nand4_1 U2496 ( .A(n1409), .B(n1408), .C(n1407), .D(n1406), 
+        .Y(n1415) );
+  sky130_fd_sc_hd__a22oi_1 U2497 ( .A1(rf_reg_q[857]), .A2(n1), .B1(
+        rf_reg_q[729]), .B2(n1498), .Y(n1413) );
+  sky130_fd_sc_hd__a22oi_1 U2498 ( .A1(rf_reg_q[601]), .A2(n1500), .B1(
+        rf_reg_q[89]), .B2(n4), .Y(n1412) );
+  sky130_fd_sc_hd__a22oi_1 U2499 ( .A1(rf_reg_q[345]), .A2(n3), .B1(
+        rf_reg_q[217]), .B2(n2551), .Y(n1411) );
+  sky130_fd_sc_hd__a22oi_1 U2500 ( .A1(rf_reg_q[985]), .A2(n2552), .B1(
+        rf_reg_q[473]), .B2(n2), .Y(n1410) );
+  sky130_fd_sc_hd__nand4_1 U2501 ( .A(n1413), .B(n1412), .C(n1411), .D(n1410), 
+        .Y(n1414) );
+  sky130_fd_sc_hd__a22oi_1 U2502 ( .A1(n2569), .A2(n1415), .B1(n2547), .B2(
+        n1414), .Y(n1427) );
+  sky130_fd_sc_hd__a22oi_1 U2503 ( .A1(rf_reg_q[1017]), .A2(n1499), .B1(
+        rf_reg_q[761]), .B2(n1498), .Y(n1419) );
+  sky130_fd_sc_hd__a22oi_1 U2504 ( .A1(rf_reg_q[505]), .A2(n1507), .B1(
+        rf_reg_q[377]), .B2(n1508), .Y(n1418) );
+  sky130_fd_sc_hd__a22oi_1 U2505 ( .A1(rf_reg_q[249]), .A2(n2541), .B1(
+        rf_reg_q[633]), .B2(n1500), .Y(n1417) );
+  sky130_fd_sc_hd__a22oi_1 U2506 ( .A1(rf_reg_q[121]), .A2(n4), .B1(
+        rf_reg_q[889]), .B2(n1), .Y(n1416) );
+  sky130_fd_sc_hd__nand4_1 U2507 ( .A(n1419), .B(n1418), .C(n1417), .D(n1416), 
+        .Y(n1425) );
+  sky130_fd_sc_hd__a22oi_1 U2508 ( .A1(rf_reg_q[409]), .A2(n2560), .B1(
+        rf_reg_q[537]), .B2(n2564), .Y(n1423) );
+  sky130_fd_sc_hd__a22oi_1 U2509 ( .A1(rf_reg_q[921]), .A2(n2558), .B1(
+        rf_reg_q[153]), .B2(n2561), .Y(n1422) );
+  sky130_fd_sc_hd__a22o_1 U2510 ( .A1(rf_reg_q[793]), .A2(n2557), .B1(
+        rf_reg_q[665]), .B2(n2559), .X(n1420) );
+  sky130_fd_sc_hd__a21oi_1 U2511 ( .A1(rf_reg_q[281]), .A2(n2562), .B1(n1420), 
+        .Y(n1421) );
+  sky130_fd_sc_hd__a31oi_1 U2512 ( .A1(n1423), .A2(n1422), .A3(n1421), .B1(
+        raddr_a_i[0]), .Y(n1424) );
+  sky130_fd_sc_hd__a21oi_1 U2513 ( .A1(n1425), .A2(n2549), .B1(n1424), .Y(
+        n1426) );
+  sky130_fd_sc_hd__nand2_1 U2514 ( .A(n1427), .B(n1426), .Y(rdata_a_o[25]) );
+  sky130_fd_sc_hd__a22oi_1 U2515 ( .A1(rf_reg_q[506]), .A2(n1507), .B1(
+        rf_reg_q[890]), .B2(n1), .Y(n1431) );
+  sky130_fd_sc_hd__a22oi_1 U2516 ( .A1(rf_reg_q[122]), .A2(n4), .B1(
+        rf_reg_q[762]), .B2(n1498), .Y(n1430) );
+  sky130_fd_sc_hd__a22oi_1 U2517 ( .A1(rf_reg_q[1018]), .A2(n2552), .B1(
+        rf_reg_q[634]), .B2(n1500), .Y(n1429) );
+  sky130_fd_sc_hd__a22oi_1 U2518 ( .A1(rf_reg_q[250]), .A2(n2551), .B1(
+        rf_reg_q[378]), .B2(n1508), .Y(n1428) );
+  sky130_fd_sc_hd__nand4_1 U2519 ( .A(n1431), .B(n1430), .C(n1429), .D(n1428), 
+        .Y(n1437) );
+  sky130_fd_sc_hd__a22oi_1 U2520 ( .A1(rf_reg_q[346]), .A2(n3), .B1(
+        rf_reg_q[986]), .B2(n2552), .Y(n1435) );
+  sky130_fd_sc_hd__a22oi_1 U2521 ( .A1(rf_reg_q[858]), .A2(n1), .B1(
+        rf_reg_q[730]), .B2(n2550), .Y(n1434) );
+  sky130_fd_sc_hd__a22oi_1 U2522 ( .A1(rf_reg_q[474]), .A2(n1507), .B1(
+        rf_reg_q[90]), .B2(n4), .Y(n1433) );
+  sky130_fd_sc_hd__a22oi_1 U2523 ( .A1(rf_reg_q[602]), .A2(n1500), .B1(
+        rf_reg_q[218]), .B2(n2551), .Y(n1432) );
+  sky130_fd_sc_hd__nand4_1 U2524 ( .A(n1435), .B(n1434), .C(n1433), .D(n1432), 
+        .Y(n1436) );
+  sky130_fd_sc_hd__a22oi_1 U2525 ( .A1(n2549), .A2(n1437), .B1(n2547), .B2(
+        n1436), .Y(n1449) );
+  sky130_fd_sc_hd__a22oi_1 U2526 ( .A1(rf_reg_q[442]), .A2(n1507), .B1(
+        rf_reg_q[954]), .B2(n2552), .Y(n1441) );
+  sky130_fd_sc_hd__a22oi_1 U2527 ( .A1(rf_reg_q[698]), .A2(n2550), .B1(
+        rf_reg_q[58]), .B2(n4), .Y(n1440) );
+  sky130_fd_sc_hd__a22oi_1 U2528 ( .A1(rf_reg_q[570]), .A2(n1500), .B1(
+        rf_reg_q[186]), .B2(n2551), .Y(n1439) );
+  sky130_fd_sc_hd__a22oi_1 U2529 ( .A1(rf_reg_q[826]), .A2(n1), .B1(
+        rf_reg_q[314]), .B2(n1508), .Y(n1438) );
+  sky130_fd_sc_hd__nand4_1 U2530 ( .A(n1441), .B(n1440), .C(n1439), .D(n1438), 
+        .Y(n1447) );
+  sky130_fd_sc_hd__a22oi_1 U2531 ( .A1(rf_reg_q[922]), .A2(n2558), .B1(
+        rf_reg_q[666]), .B2(n2559), .Y(n1445) );
+  sky130_fd_sc_hd__a22oi_1 U2532 ( .A1(rf_reg_q[794]), .A2(n2557), .B1(
+        rf_reg_q[154]), .B2(n2561), .Y(n1444) );
+  sky130_fd_sc_hd__a22o_1 U2533 ( .A1(rf_reg_q[282]), .A2(n2562), .B1(
+        rf_reg_q[538]), .B2(n2564), .X(n1442) );
+  sky130_fd_sc_hd__a21oi_1 U2534 ( .A1(rf_reg_q[410]), .A2(n2560), .B1(n1442), 
+        .Y(n1443) );
+  sky130_fd_sc_hd__a31oi_1 U2535 ( .A1(n1445), .A2(n1444), .A3(n1443), .B1(
+        raddr_a_i[0]), .Y(n1446) );
+  sky130_fd_sc_hd__a21oi_1 U2536 ( .A1(n1447), .A2(n2569), .B1(n1446), .Y(
+        n1448) );
+  sky130_fd_sc_hd__nand2_1 U2537 ( .A(n1449), .B(n1448), .Y(rdata_a_o[26]) );
+  sky130_fd_sc_hd__a22oi_1 U2538 ( .A1(rf_reg_q[891]), .A2(n1), .B1(
+        rf_reg_q[507]), .B2(n2), .Y(n1453) );
+  sky130_fd_sc_hd__a22oi_1 U2539 ( .A1(rf_reg_q[763]), .A2(n2550), .B1(
+        rf_reg_q[123]), .B2(n4), .Y(n1452) );
+  sky130_fd_sc_hd__a22oi_1 U2540 ( .A1(rf_reg_q[379]), .A2(n3), .B1(
+        rf_reg_q[251]), .B2(n2541), .Y(n1451) );
+  sky130_fd_sc_hd__a22oi_1 U2541 ( .A1(rf_reg_q[635]), .A2(n1500), .B1(
+        rf_reg_q[1019]), .B2(n2552), .Y(n1450) );
+  sky130_fd_sc_hd__nand4_1 U2542 ( .A(n1453), .B(n1452), .C(n1451), .D(n1450), 
+        .Y(n1459) );
+  sky130_fd_sc_hd__a22oi_1 U2543 ( .A1(rf_reg_q[859]), .A2(n1), .B1(
+        rf_reg_q[987]), .B2(n2552), .Y(n1457) );
+  sky130_fd_sc_hd__a22oi_1 U2544 ( .A1(rf_reg_q[475]), .A2(n1507), .B1(
+        rf_reg_q[603]), .B2(n1500), .Y(n1456) );
+  sky130_fd_sc_hd__a22oi_1 U2545 ( .A1(rf_reg_q[91]), .A2(n4), .B1(
+        rf_reg_q[731]), .B2(n1498), .Y(n1455) );
+  sky130_fd_sc_hd__a22oi_1 U2546 ( .A1(rf_reg_q[347]), .A2(n3), .B1(
+        rf_reg_q[219]), .B2(n2551), .Y(n1454) );
+  sky130_fd_sc_hd__nand4_1 U2547 ( .A(n1457), .B(n1456), .C(n1455), .D(n1454), 
+        .Y(n1458) );
+  sky130_fd_sc_hd__a22oi_1 U2548 ( .A1(n2549), .A2(n1459), .B1(n2547), .B2(
+        n1458), .Y(n1471) );
+  sky130_fd_sc_hd__a22oi_1 U2549 ( .A1(rf_reg_q[955]), .A2(n1499), .B1(
+        rf_reg_q[827]), .B2(n1), .Y(n1463) );
+  sky130_fd_sc_hd__a22oi_1 U2550 ( .A1(rf_reg_q[443]), .A2(n1507), .B1(
+        rf_reg_q[699]), .B2(n1498), .Y(n1462) );
+  sky130_fd_sc_hd__a22oi_1 U2551 ( .A1(rf_reg_q[59]), .A2(n4), .B1(
+        rf_reg_q[571]), .B2(n1500), .Y(n1461) );
+  sky130_fd_sc_hd__a22oi_1 U2552 ( .A1(rf_reg_q[187]), .A2(n2541), .B1(
+        rf_reg_q[315]), .B2(n3), .Y(n1460) );
+  sky130_fd_sc_hd__nand4_1 U2553 ( .A(n1463), .B(n1462), .C(n1461), .D(n1460), 
+        .Y(n1469) );
+  sky130_fd_sc_hd__a22oi_1 U2554 ( .A1(rf_reg_q[539]), .A2(n2564), .B1(
+        rf_reg_q[667]), .B2(n2559), .Y(n1467) );
+  sky130_fd_sc_hd__a22oi_1 U2555 ( .A1(rf_reg_q[795]), .A2(n2557), .B1(
+        rf_reg_q[283]), .B2(n2562), .Y(n1466) );
+  sky130_fd_sc_hd__a22o_1 U2556 ( .A1(rf_reg_q[411]), .A2(n2560), .B1(
+        rf_reg_q[923]), .B2(n2558), .X(n1464) );
+  sky130_fd_sc_hd__a21oi_1 U2557 ( .A1(rf_reg_q[155]), .A2(n2561), .B1(n1464), 
+        .Y(n1465) );
+  sky130_fd_sc_hd__a31oi_1 U2558 ( .A1(n1467), .A2(n1466), .A3(n1465), .B1(
+        raddr_a_i[0]), .Y(n1468) );
+  sky130_fd_sc_hd__a21oi_1 U2559 ( .A1(n1469), .A2(n2569), .B1(n1468), .Y(
+        n1470) );
+  sky130_fd_sc_hd__nand2_1 U2560 ( .A(n1471), .B(n1470), .Y(rdata_a_o[27]) );
+  sky130_fd_sc_hd__a22oi_1 U2561 ( .A1(rf_reg_q[60]), .A2(n1532), .B1(
+        rf_reg_q[956]), .B2(n1499), .Y(n1475) );
+  sky130_fd_sc_hd__a22oi_1 U2562 ( .A1(rf_reg_q[700]), .A2(n1498), .B1(
+        rf_reg_q[316]), .B2(n1508), .Y(n1474) );
+  sky130_fd_sc_hd__a22oi_1 U2563 ( .A1(rf_reg_q[188]), .A2(n2541), .B1(
+        rf_reg_q[444]), .B2(n2), .Y(n1473) );
+  sky130_fd_sc_hd__a22oi_1 U2564 ( .A1(rf_reg_q[828]), .A2(n1), .B1(
+        rf_reg_q[572]), .B2(n1500), .Y(n1472) );
+  sky130_fd_sc_hd__nand4_1 U2565 ( .A(n1475), .B(n1474), .C(n1473), .D(n1472), 
+        .Y(n1481) );
+  sky130_fd_sc_hd__a22oi_1 U2566 ( .A1(rf_reg_q[636]), .A2(n1500), .B1(
+        rf_reg_q[380]), .B2(n1508), .Y(n1479) );
+  sky130_fd_sc_hd__a22oi_1 U2567 ( .A1(rf_reg_q[892]), .A2(n1521), .B1(
+        rf_reg_q[124]), .B2(n4), .Y(n1478) );
+  sky130_fd_sc_hd__a22oi_1 U2568 ( .A1(rf_reg_q[1020]), .A2(n1499), .B1(
+        rf_reg_q[508]), .B2(n2), .Y(n1477) );
+  sky130_fd_sc_hd__a22oi_1 U2569 ( .A1(rf_reg_q[764]), .A2(n1498), .B1(
+        rf_reg_q[252]), .B2(n2541), .Y(n1476) );
+  sky130_fd_sc_hd__nand4_1 U2570 ( .A(n1479), .B(n1478), .C(n1477), .D(n1476), 
+        .Y(n1480) );
+  sky130_fd_sc_hd__a22oi_1 U2571 ( .A1(n2569), .A2(n1481), .B1(n2549), .B2(
+        n1480), .Y(n1493) );
+  sky130_fd_sc_hd__a22oi_1 U2572 ( .A1(rf_reg_q[860]), .A2(n1521), .B1(
+        rf_reg_q[604]), .B2(n1500), .Y(n1485) );
+  sky130_fd_sc_hd__a22oi_1 U2573 ( .A1(rf_reg_q[220]), .A2(n2551), .B1(
+        rf_reg_q[732]), .B2(n1498), .Y(n1484) );
+  sky130_fd_sc_hd__a22oi_1 U2574 ( .A1(rf_reg_q[92]), .A2(n1532), .B1(
+        rf_reg_q[476]), .B2(n2), .Y(n1483) );
+  sky130_fd_sc_hd__a22oi_1 U2575 ( .A1(rf_reg_q[988]), .A2(n1499), .B1(
+        rf_reg_q[348]), .B2(n1508), .Y(n1482) );
+  sky130_fd_sc_hd__nand4_1 U2576 ( .A(n1485), .B(n1484), .C(n1483), .D(n1482), 
+        .Y(n1491) );
+  sky130_fd_sc_hd__a22oi_1 U2577 ( .A1(rf_reg_q[156]), .A2(n2561), .B1(
+        rf_reg_q[540]), .B2(n2564), .Y(n1489) );
+  sky130_fd_sc_hd__a22oi_1 U2578 ( .A1(rf_reg_q[412]), .A2(n2560), .B1(
+        rf_reg_q[796]), .B2(n2557), .Y(n1488) );
+  sky130_fd_sc_hd__a22o_1 U2579 ( .A1(rf_reg_q[284]), .A2(n2562), .B1(
+        rf_reg_q[668]), .B2(n2559), .X(n1486) );
+  sky130_fd_sc_hd__a21oi_1 U2580 ( .A1(rf_reg_q[924]), .A2(n2558), .B1(n1486), 
+        .Y(n1487) );
+  sky130_fd_sc_hd__a31oi_1 U2581 ( .A1(n1489), .A2(n1488), .A3(n1487), .B1(
+        raddr_a_i[0]), .Y(n1490) );
+  sky130_fd_sc_hd__a21oi_1 U2582 ( .A1(n1491), .A2(n2547), .B1(n1490), .Y(
+        n1492) );
+  sky130_fd_sc_hd__nand2_1 U2583 ( .A(n1493), .B(n1492), .Y(rdata_a_o[28]) );
+  sky130_fd_sc_hd__a22oi_1 U2584 ( .A1(rf_reg_q[893]), .A2(n1521), .B1(
+        rf_reg_q[765]), .B2(n1498), .Y(n1497) );
+  sky130_fd_sc_hd__a22oi_1 U2585 ( .A1(rf_reg_q[125]), .A2(n1532), .B1(
+        rf_reg_q[253]), .B2(n2551), .Y(n1496) );
+  sky130_fd_sc_hd__a22oi_1 U2586 ( .A1(rf_reg_q[381]), .A2(n3), .B1(
+        rf_reg_q[509]), .B2(n2), .Y(n1495) );
+  sky130_fd_sc_hd__a22oi_1 U2587 ( .A1(rf_reg_q[1021]), .A2(n1499), .B1(
+        rf_reg_q[637]), .B2(n1500), .Y(n1494) );
+  sky130_fd_sc_hd__nand4_1 U2588 ( .A(n1497), .B(n1496), .C(n1495), .D(n1494), 
+        .Y(n1506) );
+  sky130_fd_sc_hd__a22oi_1 U2589 ( .A1(rf_reg_q[861]), .A2(n1521), .B1(
+        rf_reg_q[477]), .B2(n2), .Y(n1504) );
+  sky130_fd_sc_hd__a22oi_1 U2590 ( .A1(rf_reg_q[989]), .A2(n1499), .B1(
+        rf_reg_q[733]), .B2(n1498), .Y(n1503) );
+  sky130_fd_sc_hd__a22oi_1 U2591 ( .A1(rf_reg_q[349]), .A2(n3), .B1(
+        rf_reg_q[221]), .B2(n2551), .Y(n1502) );
+  sky130_fd_sc_hd__a22oi_1 U2592 ( .A1(rf_reg_q[605]), .A2(n1500), .B1(
+        rf_reg_q[93]), .B2(n4), .Y(n1501) );
+  sky130_fd_sc_hd__nand4_1 U2593 ( .A(n1504), .B(n1503), .C(n1502), .D(n1501), 
+        .Y(n1505) );
+  sky130_fd_sc_hd__a22oi_1 U2594 ( .A1(n2549), .A2(n1506), .B1(n2547), .B2(
+        n1505), .Y(n1520) );
+  sky130_fd_sc_hd__a22oi_1 U2595 ( .A1(rf_reg_q[61]), .A2(n1532), .B1(
+        rf_reg_q[573]), .B2(n1500), .Y(n1512) );
+  sky130_fd_sc_hd__a22oi_1 U2596 ( .A1(rf_reg_q[445]), .A2(n1507), .B1(
+        rf_reg_q[189]), .B2(n2541), .Y(n1511) );
+  sky130_fd_sc_hd__a22oi_1 U2597 ( .A1(rf_reg_q[829]), .A2(n1521), .B1(
+        rf_reg_q[701]), .B2(n1498), .Y(n1510) );
+  sky130_fd_sc_hd__a22oi_1 U2598 ( .A1(rf_reg_q[957]), .A2(n1499), .B1(
+        rf_reg_q[317]), .B2(n1508), .Y(n1509) );
+  sky130_fd_sc_hd__nand4_1 U2599 ( .A(n1512), .B(n1511), .C(n1510), .D(n1509), 
+        .Y(n1518) );
+  sky130_fd_sc_hd__a22oi_1 U2600 ( .A1(rf_reg_q[541]), .A2(n2564), .B1(
+        rf_reg_q[157]), .B2(n2561), .Y(n1516) );
+  sky130_fd_sc_hd__a22oi_1 U2601 ( .A1(rf_reg_q[925]), .A2(n2558), .B1(
+        rf_reg_q[669]), .B2(n2559), .Y(n1515) );
+  sky130_fd_sc_hd__a22o_1 U2602 ( .A1(rf_reg_q[797]), .A2(n2557), .B1(
+        rf_reg_q[285]), .B2(n2562), .X(n1513) );
+  sky130_fd_sc_hd__a21oi_1 U2603 ( .A1(rf_reg_q[413]), .A2(n2560), .B1(n1513), 
+        .Y(n1514) );
+  sky130_fd_sc_hd__a31oi_1 U2604 ( .A1(n1516), .A2(n1515), .A3(n1514), .B1(
+        raddr_a_i[0]), .Y(n1517) );
+  sky130_fd_sc_hd__a21oi_1 U2605 ( .A1(n1518), .A2(n2569), .B1(n1517), .Y(
+        n1519) );
+  sky130_fd_sc_hd__nand2_1 U2606 ( .A(n1520), .B(n1519), .Y(rdata_a_o[29]) );
+  sky130_fd_sc_hd__a22oi_1 U2607 ( .A1(rf_reg_q[638]), .A2(n1500), .B1(
+        rf_reg_q[510]), .B2(n2), .Y(n1525) );
+  sky130_fd_sc_hd__a22oi_1 U2608 ( .A1(rf_reg_q[1022]), .A2(n1499), .B1(
+        rf_reg_q[126]), .B2(n4), .Y(n1524) );
+  sky130_fd_sc_hd__a22oi_1 U2609 ( .A1(rf_reg_q[894]), .A2(n1521), .B1(
+        rf_reg_q[382]), .B2(n3), .Y(n1523) );
+  sky130_fd_sc_hd__a22oi_1 U2610 ( .A1(rf_reg_q[254]), .A2(n2541), .B1(
+        rf_reg_q[766]), .B2(n2550), .Y(n1522) );
+  sky130_fd_sc_hd__nand4_1 U2611 ( .A(n1525), .B(n1524), .C(n1523), .D(n1522), 
+        .Y(n1531) );
+  sky130_fd_sc_hd__a22oi_1 U2612 ( .A1(rf_reg_q[606]), .A2(n1500), .B1(
+        rf_reg_q[222]), .B2(n2541), .Y(n1529) );
+  sky130_fd_sc_hd__a22oi_1 U2613 ( .A1(rf_reg_q[350]), .A2(n3), .B1(
+        rf_reg_q[862]), .B2(n1), .Y(n1528) );
+  sky130_fd_sc_hd__a22oi_1 U2614 ( .A1(rf_reg_q[94]), .A2(n1532), .B1(
+        rf_reg_q[478]), .B2(n2), .Y(n1527) );
+  sky130_fd_sc_hd__a22oi_1 U2615 ( .A1(rf_reg_q[734]), .A2(n1498), .B1(
+        rf_reg_q[990]), .B2(n2552), .Y(n1526) );
+  sky130_fd_sc_hd__nand4_1 U2616 ( .A(n1529), .B(n1528), .C(n1527), .D(n1526), 
+        .Y(n1530) );
+  sky130_fd_sc_hd__a22oi_1 U2617 ( .A1(n2549), .A2(n1531), .B1(n2547), .B2(
+        n1530), .Y(n2536) );
+  sky130_fd_sc_hd__a22oi_1 U2618 ( .A1(rf_reg_q[62]), .A2(n1532), .B1(
+        rf_reg_q[446]), .B2(n2), .Y(n2528) );
+  sky130_fd_sc_hd__a22oi_1 U2619 ( .A1(rf_reg_q[958]), .A2(n1499), .B1(
+        rf_reg_q[830]), .B2(n1), .Y(n1535) );
+  sky130_fd_sc_hd__a22oi_1 U2620 ( .A1(rf_reg_q[190]), .A2(n2541), .B1(
+        rf_reg_q[318]), .B2(n3), .Y(n1534) );
+  sky130_fd_sc_hd__a22oi_1 U2621 ( .A1(rf_reg_q[574]), .A2(n1500), .B1(
+        rf_reg_q[702]), .B2(n2550), .Y(n1533) );
+  sky130_fd_sc_hd__nand4_1 U2622 ( .A(n2528), .B(n1535), .C(n1534), .D(n1533), 
+        .Y(n2534) );
+  sky130_fd_sc_hd__a22oi_1 U2623 ( .A1(rf_reg_q[670]), .A2(n2559), .B1(
+        rf_reg_q[798]), .B2(n2557), .Y(n2532) );
+  sky130_fd_sc_hd__a22oi_1 U2624 ( .A1(rf_reg_q[926]), .A2(n2558), .B1(
+        rf_reg_q[286]), .B2(n2562), .Y(n2531) );
+  sky130_fd_sc_hd__a22o_1 U2625 ( .A1(rf_reg_q[542]), .A2(n2564), .B1(
+        rf_reg_q[414]), .B2(n2560), .X(n2529) );
+  sky130_fd_sc_hd__a21oi_1 U2626 ( .A1(rf_reg_q[158]), .A2(n2561), .B1(n2529), 
+        .Y(n2530) );
+  sky130_fd_sc_hd__a31oi_1 U2627 ( .A1(n2532), .A2(n2531), .A3(n2530), .B1(
+        raddr_a_i[0]), .Y(n2533) );
+  sky130_fd_sc_hd__a21oi_1 U2628 ( .A1(n2534), .A2(n2569), .B1(n2533), .Y(
+        n2535) );
+  sky130_fd_sc_hd__nand2_1 U2629 ( .A(n2536), .B(n2535), .Y(rdata_a_o[30]) );
+  sky130_fd_sc_hd__a22oi_1 U2630 ( .A1(rf_reg_q[1023]), .A2(n1499), .B1(
+        rf_reg_q[127]), .B2(n4), .Y(n2540) );
+  sky130_fd_sc_hd__a22oi_1 U2631 ( .A1(rf_reg_q[255]), .A2(n2551), .B1(
+        rf_reg_q[895]), .B2(n1), .Y(n2539) );
+  sky130_fd_sc_hd__a22oi_1 U2632 ( .A1(rf_reg_q[639]), .A2(n1500), .B1(
+        rf_reg_q[383]), .B2(n3), .Y(n2538) );
+  sky130_fd_sc_hd__a22oi_1 U2633 ( .A1(rf_reg_q[767]), .A2(n1498), .B1(
+        rf_reg_q[511]), .B2(n2), .Y(n2537) );
+  sky130_fd_sc_hd__nand4_1 U2634 ( .A(n2540), .B(n2539), .C(n2538), .D(n2537), 
+        .Y(n2548) );
+  sky130_fd_sc_hd__a22oi_1 U2635 ( .A1(rf_reg_q[735]), .A2(n1498), .B1(
+        rf_reg_q[863]), .B2(n1), .Y(n2545) );
+  sky130_fd_sc_hd__a22oi_1 U2636 ( .A1(rf_reg_q[351]), .A2(n3), .B1(
+        rf_reg_q[479]), .B2(n1507), .Y(n2544) );
+  sky130_fd_sc_hd__a22oi_1 U2637 ( .A1(rf_reg_q[223]), .A2(n2541), .B1(
+        rf_reg_q[991]), .B2(n2552), .Y(n2543) );
+  sky130_fd_sc_hd__a22oi_1 U2638 ( .A1(rf_reg_q[607]), .A2(n1500), .B1(
+        rf_reg_q[95]), .B2(n4), .Y(n2542) );
+  sky130_fd_sc_hd__nand4_1 U2639 ( .A(n2545), .B(n2544), .C(n2543), .D(n2542), 
+        .Y(n2546) );
+  sky130_fd_sc_hd__a22oi_1 U2640 ( .A1(n2549), .A2(n2548), .B1(n2547), .B2(
+        n2546), .Y(n2572) );
+  sky130_fd_sc_hd__a22oi_1 U2641 ( .A1(rf_reg_q[703]), .A2(n2550), .B1(
+        rf_reg_q[63]), .B2(n4), .Y(n2556) );
+  sky130_fd_sc_hd__a22oi_1 U2642 ( .A1(rf_reg_q[575]), .A2(n1500), .B1(
+        rf_reg_q[447]), .B2(n2), .Y(n2555) );
+  sky130_fd_sc_hd__a22oi_1 U2643 ( .A1(rf_reg_q[191]), .A2(n2551), .B1(
+        rf_reg_q[831]), .B2(n1), .Y(n2554) );
+  sky130_fd_sc_hd__a22oi_1 U2644 ( .A1(rf_reg_q[959]), .A2(n2552), .B1(
+        rf_reg_q[319]), .B2(n3), .Y(n2553) );
+  sky130_fd_sc_hd__nand4_1 U2645 ( .A(n2556), .B(n2555), .C(n2554), .D(n2553), 
+        .Y(n2570) );
+  sky130_fd_sc_hd__a22oi_1 U2646 ( .A1(rf_reg_q[927]), .A2(n2558), .B1(
+        rf_reg_q[799]), .B2(n2557), .Y(n2567) );
+  sky130_fd_sc_hd__a22oi_1 U2647 ( .A1(rf_reg_q[415]), .A2(n2560), .B1(
+        rf_reg_q[671]), .B2(n2559), .Y(n2566) );
+  sky130_fd_sc_hd__a22o_1 U2648 ( .A1(rf_reg_q[287]), .A2(n2562), .B1(
+        rf_reg_q[159]), .B2(n2561), .X(n2563) );
+  sky130_fd_sc_hd__a21oi_1 U2649 ( .A1(rf_reg_q[543]), .A2(n2564), .B1(n2563), 
+        .Y(n2565) );
+  sky130_fd_sc_hd__a31oi_1 U2650 ( .A1(n2567), .A2(n2566), .A3(n2565), .B1(
+        raddr_a_i[0]), .Y(n2568) );
+  sky130_fd_sc_hd__a21oi_1 U2651 ( .A1(n2570), .A2(n2569), .B1(n2568), .Y(
+        n2571) );
+  sky130_fd_sc_hd__nand2_1 U2652 ( .A(n2572), .B(n2571), .Y(rdata_a_o[31]) );
+  sky130_fd_sc_hd__buf_4 U23 ( .A(n2581), .X(n20) );
+  sky130_fd_sc_hd__buf_4 U11 ( .A(n2586), .X(n9) );
+  sky130_fd_sc_hd__buf_4 U47 ( .A(rst_ni), .X(n2584) );
+endmodule
+
+
+
+    module opentitan_soc_top_ibex_top_0_00000000_00000004_00000000_00000028_0_0_1_0_0_0_0_00000001_0_00000000_00000000_0 ( 
+        clk_i, rst_ni, test_en_i, ram_cfg_i, hart_id_i, boot_addr_i, 
+        instr_req_o, instr_gnt_i, instr_rvalid_i, instr_addr_o, instr_rdata_i, 
+        instr_err_i, data_req_o, data_gnt_i, data_rvalid_i, data_we_o, 
+        data_be_o, data_addr_o, data_wdata_o, data_rdata_i, data_err_i, 
+        irq_software_i, irq_timer_i, irq_external_i, irq_fast_i, irq_nm_i, 
+        debug_req_i, crash_dump_o, fetch_enable_i, alert_minor_o, 
+        alert_major_o, core_sleep_o, scan_rst_ni );
+  input [9:0] ram_cfg_i;
+  input [31:0] hart_id_i;
+  input [31:0] boot_addr_i;
+  output [31:0] instr_addr_o;
+  input [31:0] instr_rdata_i;
+  output [3:0] data_be_o;
+  output [31:0] data_addr_o;
+  output [31:0] data_wdata_o;
+  input [31:0] data_rdata_i;
+  input [14:0] irq_fast_i;
+  output [127:0] crash_dump_o;
+  input clk_i, rst_ni, test_en_i, instr_gnt_i, instr_rvalid_i, instr_err_i,
+         data_gnt_i, data_rvalid_i, data_err_i, irq_software_i, irq_timer_i,
+         irq_external_i, irq_nm_i, debug_req_i, fetch_enable_i, scan_rst_ni;
+  output instr_req_o, data_req_o, data_we_o, alert_minor_o, alert_major_o,
+         core_sleep_o;
+  wire   clk, rf_we_wb, n3, n78, n79, n80, n81, n82, SYNOPSYS_UNCONNECTED_1,
+         SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3,
+         SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5,
+         SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7,
+         SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9,
+         SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11,
+         SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13,
+         SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15,
+         SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17,
+         SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19,
+         SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21,
+         SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23,
+         SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25,
+         SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27,
+         SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29,
+         SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31,
+         SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33,
+         SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35,
+         SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37,
+         SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39,
+         SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41,
+         SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43,
+         SYNOPSYS_UNCONNECTED_44, SYNOPSYS_UNCONNECTED_45,
+         SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_47,
+         SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49,
+         SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51,
+         SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53,
+         SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55,
+         SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57,
+         SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59,
+         SYNOPSYS_UNCONNECTED_60, SYNOPSYS_UNCONNECTED_61,
+         SYNOPSYS_UNCONNECTED_62, SYNOPSYS_UNCONNECTED_63,
+         SYNOPSYS_UNCONNECTED_64, SYNOPSYS_UNCONNECTED_65,
+         SYNOPSYS_UNCONNECTED_66, SYNOPSYS_UNCONNECTED_67,
+         SYNOPSYS_UNCONNECTED_68, SYNOPSYS_UNCONNECTED_69,
+         SYNOPSYS_UNCONNECTED_70, SYNOPSYS_UNCONNECTED_71,
+         SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_73,
+         SYNOPSYS_UNCONNECTED_74, SYNOPSYS_UNCONNECTED_75,
+         SYNOPSYS_UNCONNECTED_76, SYNOPSYS_UNCONNECTED_77,
+         SYNOPSYS_UNCONNECTED_78, SYNOPSYS_UNCONNECTED_79,
+         SYNOPSYS_UNCONNECTED_80, SYNOPSYS_UNCONNECTED_81,
+         SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_83,
+         SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_85,
+         SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_87,
+         SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_89,
+         SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_91,
+         SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_93,
+         SYNOPSYS_UNCONNECTED_94, SYNOPSYS_UNCONNECTED_95,
+         SYNOPSYS_UNCONNECTED_96, SYNOPSYS_UNCONNECTED_97,
+         SYNOPSYS_UNCONNECTED_98, SYNOPSYS_UNCONNECTED_99,
+         SYNOPSYS_UNCONNECTED_100, SYNOPSYS_UNCONNECTED_101,
+         SYNOPSYS_UNCONNECTED_102, SYNOPSYS_UNCONNECTED_103,
+         SYNOPSYS_UNCONNECTED_104, SYNOPSYS_UNCONNECTED_105,
+         SYNOPSYS_UNCONNECTED_106, SYNOPSYS_UNCONNECTED_107,
+         SYNOPSYS_UNCONNECTED_108, SYNOPSYS_UNCONNECTED_109,
+         SYNOPSYS_UNCONNECTED_110, SYNOPSYS_UNCONNECTED_111,
+         SYNOPSYS_UNCONNECTED_112, SYNOPSYS_UNCONNECTED_113,
+         SYNOPSYS_UNCONNECTED_114, SYNOPSYS_UNCONNECTED_115,
+         SYNOPSYS_UNCONNECTED_116, SYNOPSYS_UNCONNECTED_117,
+         SYNOPSYS_UNCONNECTED_118, SYNOPSYS_UNCONNECTED_119,
+         SYNOPSYS_UNCONNECTED_120, SYNOPSYS_UNCONNECTED_121,
+         SYNOPSYS_UNCONNECTED_122, SYNOPSYS_UNCONNECTED_123,
+         SYNOPSYS_UNCONNECTED_124, SYNOPSYS_UNCONNECTED_125,
+         SYNOPSYS_UNCONNECTED_126, SYNOPSYS_UNCONNECTED_127,
+         SYNOPSYS_UNCONNECTED_128, SYNOPSYS_UNCONNECTED_129,
+         SYNOPSYS_UNCONNECTED_130, SYNOPSYS_UNCONNECTED_131,
+         SYNOPSYS_UNCONNECTED_132, SYNOPSYS_UNCONNECTED_133,
+         SYNOPSYS_UNCONNECTED_134, SYNOPSYS_UNCONNECTED_135,
+         SYNOPSYS_UNCONNECTED_136, SYNOPSYS_UNCONNECTED_137,
+         SYNOPSYS_UNCONNECTED_138, SYNOPSYS_UNCONNECTED_139,
+         SYNOPSYS_UNCONNECTED_140, SYNOPSYS_UNCONNECTED_141,
+         SYNOPSYS_UNCONNECTED_142, SYNOPSYS_UNCONNECTED_143,
+         SYNOPSYS_UNCONNECTED_144, SYNOPSYS_UNCONNECTED_145,
+         SYNOPSYS_UNCONNECTED_146, SYNOPSYS_UNCONNECTED_147,
+         SYNOPSYS_UNCONNECTED_148, SYNOPSYS_UNCONNECTED_149,
+         SYNOPSYS_UNCONNECTED_150, SYNOPSYS_UNCONNECTED_151,
+         SYNOPSYS_UNCONNECTED_152, SYNOPSYS_UNCONNECTED_153,
+         SYNOPSYS_UNCONNECTED_154, SYNOPSYS_UNCONNECTED_155,
+         SYNOPSYS_UNCONNECTED_156, SYNOPSYS_UNCONNECTED_157,
+         SYNOPSYS_UNCONNECTED_158, SYNOPSYS_UNCONNECTED_159,
+         SYNOPSYS_UNCONNECTED_160, SYNOPSYS_UNCONNECTED_161,
+         SYNOPSYS_UNCONNECTED_162, SYNOPSYS_UNCONNECTED_163,
+         SYNOPSYS_UNCONNECTED_164, SYNOPSYS_UNCONNECTED_165,
+         SYNOPSYS_UNCONNECTED_166, SYNOPSYS_UNCONNECTED_167,
+         SYNOPSYS_UNCONNECTED_168, SYNOPSYS_UNCONNECTED_169,
+         SYNOPSYS_UNCONNECTED_170, SYNOPSYS_UNCONNECTED_171,
+         SYNOPSYS_UNCONNECTED_172, SYNOPSYS_UNCONNECTED_173,
+         SYNOPSYS_UNCONNECTED_174, SYNOPSYS_UNCONNECTED_175,
+         SYNOPSYS_UNCONNECTED_176, SYNOPSYS_UNCONNECTED_177,
+         SYNOPSYS_UNCONNECTED_178, SYNOPSYS_UNCONNECTED_179,
+         SYNOPSYS_UNCONNECTED_180, SYNOPSYS_UNCONNECTED_181,
+         SYNOPSYS_UNCONNECTED_182, SYNOPSYS_UNCONNECTED_183,
+         SYNOPSYS_UNCONNECTED_184, SYNOPSYS_UNCONNECTED_185,
+         SYNOPSYS_UNCONNECTED_186, SYNOPSYS_UNCONNECTED_187,
+         SYNOPSYS_UNCONNECTED_188, SYNOPSYS_UNCONNECTED_189,
+         SYNOPSYS_UNCONNECTED_190, SYNOPSYS_UNCONNECTED_191,
+         SYNOPSYS_UNCONNECTED_192, SYNOPSYS_UNCONNECTED_193,
+         SYNOPSYS_UNCONNECTED_194, SYNOPSYS_UNCONNECTED_195,
+         SYNOPSYS_UNCONNECTED_196, SYNOPSYS_UNCONNECTED_197,
+         SYNOPSYS_UNCONNECTED_198, SYNOPSYS_UNCONNECTED_199,
+         SYNOPSYS_UNCONNECTED_200, SYNOPSYS_UNCONNECTED_201,
+         SYNOPSYS_UNCONNECTED_202, SYNOPSYS_UNCONNECTED_203,
+         SYNOPSYS_UNCONNECTED_204, SYNOPSYS_UNCONNECTED_205,
+         SYNOPSYS_UNCONNECTED_206, SYNOPSYS_UNCONNECTED_207,
+         SYNOPSYS_UNCONNECTED_208, SYNOPSYS_UNCONNECTED_209,
+         SYNOPSYS_UNCONNECTED_210, SYNOPSYS_UNCONNECTED_211,
+         SYNOPSYS_UNCONNECTED_212, SYNOPSYS_UNCONNECTED_213,
+         SYNOPSYS_UNCONNECTED_214, SYNOPSYS_UNCONNECTED_215,
+         SYNOPSYS_UNCONNECTED_216, SYNOPSYS_UNCONNECTED_217,
+         SYNOPSYS_UNCONNECTED_218, SYNOPSYS_UNCONNECTED_219,
+         SYNOPSYS_UNCONNECTED_220, SYNOPSYS_UNCONNECTED_221,
+         SYNOPSYS_UNCONNECTED_222, SYNOPSYS_UNCONNECTED_223,
+         SYNOPSYS_UNCONNECTED_224, SYNOPSYS_UNCONNECTED_225,
+         SYNOPSYS_UNCONNECTED_226, SYNOPSYS_UNCONNECTED_227,
+         SYNOPSYS_UNCONNECTED_228, SYNOPSYS_UNCONNECTED_229,
+         SYNOPSYS_UNCONNECTED_230, SYNOPSYS_UNCONNECTED_231,
+         SYNOPSYS_UNCONNECTED_232, SYNOPSYS_UNCONNECTED_233,
+         SYNOPSYS_UNCONNECTED_234, SYNOPSYS_UNCONNECTED_235,
+         SYNOPSYS_UNCONNECTED_236, SYNOPSYS_UNCONNECTED_237,
+         SYNOPSYS_UNCONNECTED_238, SYNOPSYS_UNCONNECTED_239,
+         SYNOPSYS_UNCONNECTED_240, SYNOPSYS_UNCONNECTED_241,
+         SYNOPSYS_UNCONNECTED_242, SYNOPSYS_UNCONNECTED_243,
+         SYNOPSYS_UNCONNECTED_244, SYNOPSYS_UNCONNECTED_245,
+         SYNOPSYS_UNCONNECTED_246, SYNOPSYS_UNCONNECTED_247,
+         SYNOPSYS_UNCONNECTED_248, SYNOPSYS_UNCONNECTED_249,
+         SYNOPSYS_UNCONNECTED_250, SYNOPSYS_UNCONNECTED_251,
+         SYNOPSYS_UNCONNECTED_252, SYNOPSYS_UNCONNECTED_253,
+         SYNOPSYS_UNCONNECTED_254, SYNOPSYS_UNCONNECTED_255,
+         SYNOPSYS_UNCONNECTED_256, SYNOPSYS_UNCONNECTED_257,
+         SYNOPSYS_UNCONNECTED_258, SYNOPSYS_UNCONNECTED_259,
+         SYNOPSYS_UNCONNECTED_260;
+  wire   [4:0] rf_raddr_a;
+  wire   [4:0] rf_raddr_b;
+  wire   [4:0] rf_waddr_wb;
+  wire   [31:0] rf_wdata_wb_ecc;
+  wire   [31:0] rf_rdata_a_ecc;
+  wire   [31:0] rf_rdata_b_ecc;
+
+  opentitan_soc_top_prim_clock_gating_0 core_clock_gate_i ( .clk_i(clk_i), 
+        .en_i(1'b0), .test_en_i(n3), .clk_o(clk) );
+  opentitan_soc_top_ibex_core_0_00000000_00000004_00000000_00000028_0_2_0_0_1_0_0_00000020_00000016_00000040_0_0_00000001_0_0_0_00000020_00000000_00000000_0 u_ibex_core ( 
+        .clk_i(n78), .rst_ni(n81), .hart_id_i({n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82}), 
+        .boot_addr_i({n82, n82, n3, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82}), .instr_req_o(instr_req_o), 
+        .instr_gnt_i(instr_gnt_i), .instr_rvalid_i(instr_rvalid_i), 
+        .instr_addr_o({SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2, 
+        SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, 
+        SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, 
+        SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10, 
+        SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12, 
+        SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14, 
+        SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16, 
+        SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18, instr_addr_o[13], 
+        SYNOPSYS_UNCONNECTED_19, instr_addr_o[11:2], SYNOPSYS_UNCONNECTED_20, 
+        SYNOPSYS_UNCONNECTED_21}), .instr_rdata_i(instr_rdata_i), 
+        .instr_err_i(instr_err_i), .data_req_o(data_req_o), .data_gnt_i(
+        data_gnt_i), .data_rvalid_i(data_rvalid_i), .data_we_o(data_we_o), 
+        .data_be_o(data_be_o), .data_addr_o({data_addr_o[31:16], 
+        SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23, data_addr_o[13], 
+        SYNOPSYS_UNCONNECTED_24, data_addr_o[11:2], SYNOPSYS_UNCONNECTED_25, 
+        SYNOPSYS_UNCONNECTED_26}), .data_wdata_o(data_wdata_o), .data_rdata_i(
+        data_rdata_i), .data_err_i(data_err_i), .rf_raddr_a_o(rf_raddr_a), 
+        .rf_raddr_b_o(rf_raddr_b), .rf_waddr_wb_o(rf_waddr_wb), .rf_we_wb_o(
+        rf_we_wb), .rf_wdata_wb_ecc_o(rf_wdata_wb_ecc), .rf_rdata_a_ecc_i(
+        rf_rdata_a_ecc), .rf_rdata_b_ecc_i(rf_rdata_b_ecc), .ic_tag_req_o({
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28}), .ic_tag_addr_o({
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32, 
+        SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34, 
+        SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36}), .ic_tag_wdata_o({
+        SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38, 
+        SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40, 
+        SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42, 
+        SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44, 
+        SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46, 
+        SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48, 
+        SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50, 
+        SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52, 
+        SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54, 
+        SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56, 
+        SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58}), .ic_tag_rdata_i({
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82}), .ic_data_req_o({SYNOPSYS_UNCONNECTED_59, 
+        SYNOPSYS_UNCONNECTED_60}), .ic_data_addr_o({SYNOPSYS_UNCONNECTED_61, 
+        SYNOPSYS_UNCONNECTED_62, SYNOPSYS_UNCONNECTED_63, 
+        SYNOPSYS_UNCONNECTED_64, SYNOPSYS_UNCONNECTED_65, 
+        SYNOPSYS_UNCONNECTED_66, SYNOPSYS_UNCONNECTED_67, 
+        SYNOPSYS_UNCONNECTED_68}), .ic_data_wdata_o({SYNOPSYS_UNCONNECTED_69, 
+        SYNOPSYS_UNCONNECTED_70, SYNOPSYS_UNCONNECTED_71, 
+        SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_73, 
+        SYNOPSYS_UNCONNECTED_74, SYNOPSYS_UNCONNECTED_75, 
+        SYNOPSYS_UNCONNECTED_76, SYNOPSYS_UNCONNECTED_77, 
+        SYNOPSYS_UNCONNECTED_78, SYNOPSYS_UNCONNECTED_79, 
+        SYNOPSYS_UNCONNECTED_80, SYNOPSYS_UNCONNECTED_81, 
+        SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_83, 
+        SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_85, 
+        SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_87, 
+        SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_89, 
+        SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_91, 
+        SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_93, 
+        SYNOPSYS_UNCONNECTED_94, SYNOPSYS_UNCONNECTED_95, 
+        SYNOPSYS_UNCONNECTED_96, SYNOPSYS_UNCONNECTED_97, 
+        SYNOPSYS_UNCONNECTED_98, SYNOPSYS_UNCONNECTED_99, 
+        SYNOPSYS_UNCONNECTED_100, SYNOPSYS_UNCONNECTED_101, 
+        SYNOPSYS_UNCONNECTED_102, SYNOPSYS_UNCONNECTED_103, 
+        SYNOPSYS_UNCONNECTED_104, SYNOPSYS_UNCONNECTED_105, 
+        SYNOPSYS_UNCONNECTED_106, SYNOPSYS_UNCONNECTED_107, 
+        SYNOPSYS_UNCONNECTED_108, SYNOPSYS_UNCONNECTED_109, 
+        SYNOPSYS_UNCONNECTED_110, SYNOPSYS_UNCONNECTED_111, 
+        SYNOPSYS_UNCONNECTED_112, SYNOPSYS_UNCONNECTED_113, 
+        SYNOPSYS_UNCONNECTED_114, SYNOPSYS_UNCONNECTED_115, 
+        SYNOPSYS_UNCONNECTED_116, SYNOPSYS_UNCONNECTED_117, 
+        SYNOPSYS_UNCONNECTED_118, SYNOPSYS_UNCONNECTED_119, 
+        SYNOPSYS_UNCONNECTED_120, SYNOPSYS_UNCONNECTED_121, 
+        SYNOPSYS_UNCONNECTED_122, SYNOPSYS_UNCONNECTED_123, 
+        SYNOPSYS_UNCONNECTED_124, SYNOPSYS_UNCONNECTED_125, 
+        SYNOPSYS_UNCONNECTED_126, SYNOPSYS_UNCONNECTED_127, 
+        SYNOPSYS_UNCONNECTED_128, SYNOPSYS_UNCONNECTED_129, 
+        SYNOPSYS_UNCONNECTED_130, SYNOPSYS_UNCONNECTED_131, 
+        SYNOPSYS_UNCONNECTED_132}), .ic_data_rdata_i({n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82, n82, n82, n82, n82}), 
+        .irq_software_i(n82), .irq_timer_i(n82), .irq_external_i(
+        irq_external_i), .irq_fast_i({n82, n82, n82, n82, n82, n82, n82, n82, 
+        n82, n82, n82, n82, n82, n82, n82}), .irq_nm_i(n82), .debug_req_i(n82), 
+        .crash_dump_o({SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134, 
+        SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136, 
+        SYNOPSYS_UNCONNECTED_137, SYNOPSYS_UNCONNECTED_138, 
+        SYNOPSYS_UNCONNECTED_139, SYNOPSYS_UNCONNECTED_140, 
+        SYNOPSYS_UNCONNECTED_141, SYNOPSYS_UNCONNECTED_142, 
+        SYNOPSYS_UNCONNECTED_143, SYNOPSYS_UNCONNECTED_144, 
+        SYNOPSYS_UNCONNECTED_145, SYNOPSYS_UNCONNECTED_146, 
+        SYNOPSYS_UNCONNECTED_147, SYNOPSYS_UNCONNECTED_148, 
+        SYNOPSYS_UNCONNECTED_149, SYNOPSYS_UNCONNECTED_150, 
+        SYNOPSYS_UNCONNECTED_151, SYNOPSYS_UNCONNECTED_152, 
+        SYNOPSYS_UNCONNECTED_153, SYNOPSYS_UNCONNECTED_154, 
+        SYNOPSYS_UNCONNECTED_155, SYNOPSYS_UNCONNECTED_156, 
+        SYNOPSYS_UNCONNECTED_157, SYNOPSYS_UNCONNECTED_158, 
+        SYNOPSYS_UNCONNECTED_159, SYNOPSYS_UNCONNECTED_160, 
+        SYNOPSYS_UNCONNECTED_161, SYNOPSYS_UNCONNECTED_162, 
+        SYNOPSYS_UNCONNECTED_163, SYNOPSYS_UNCONNECTED_164, 
+        SYNOPSYS_UNCONNECTED_165, SYNOPSYS_UNCONNECTED_166, 
+        SYNOPSYS_UNCONNECTED_167, SYNOPSYS_UNCONNECTED_168, 
+        SYNOPSYS_UNCONNECTED_169, SYNOPSYS_UNCONNECTED_170, 
+        SYNOPSYS_UNCONNECTED_171, SYNOPSYS_UNCONNECTED_172, 
+        SYNOPSYS_UNCONNECTED_173, SYNOPSYS_UNCONNECTED_174, 
+        SYNOPSYS_UNCONNECTED_175, SYNOPSYS_UNCONNECTED_176, 
+        SYNOPSYS_UNCONNECTED_177, SYNOPSYS_UNCONNECTED_178, 
+        SYNOPSYS_UNCONNECTED_179, SYNOPSYS_UNCONNECTED_180, 
+        SYNOPSYS_UNCONNECTED_181, SYNOPSYS_UNCONNECTED_182, 
+        SYNOPSYS_UNCONNECTED_183, SYNOPSYS_UNCONNECTED_184, 
+        SYNOPSYS_UNCONNECTED_185, SYNOPSYS_UNCONNECTED_186, 
+        SYNOPSYS_UNCONNECTED_187, SYNOPSYS_UNCONNECTED_188, 
+        SYNOPSYS_UNCONNECTED_189, SYNOPSYS_UNCONNECTED_190, 
+        SYNOPSYS_UNCONNECTED_191, SYNOPSYS_UNCONNECTED_192, 
+        SYNOPSYS_UNCONNECTED_193, SYNOPSYS_UNCONNECTED_194, 
+        SYNOPSYS_UNCONNECTED_195, SYNOPSYS_UNCONNECTED_196, 
+        SYNOPSYS_UNCONNECTED_197, SYNOPSYS_UNCONNECTED_198, 
+        SYNOPSYS_UNCONNECTED_199, SYNOPSYS_UNCONNECTED_200, 
+        SYNOPSYS_UNCONNECTED_201, SYNOPSYS_UNCONNECTED_202, 
+        SYNOPSYS_UNCONNECTED_203, SYNOPSYS_UNCONNECTED_204, 
+        SYNOPSYS_UNCONNECTED_205, SYNOPSYS_UNCONNECTED_206, 
+        SYNOPSYS_UNCONNECTED_207, SYNOPSYS_UNCONNECTED_208, 
+        SYNOPSYS_UNCONNECTED_209, SYNOPSYS_UNCONNECTED_210, 
+        SYNOPSYS_UNCONNECTED_211, SYNOPSYS_UNCONNECTED_212, 
+        SYNOPSYS_UNCONNECTED_213, SYNOPSYS_UNCONNECTED_214, 
+        SYNOPSYS_UNCONNECTED_215, SYNOPSYS_UNCONNECTED_216, 
+        SYNOPSYS_UNCONNECTED_217, SYNOPSYS_UNCONNECTED_218, 
+        SYNOPSYS_UNCONNECTED_219, SYNOPSYS_UNCONNECTED_220, 
+        SYNOPSYS_UNCONNECTED_221, SYNOPSYS_UNCONNECTED_222, 
+        SYNOPSYS_UNCONNECTED_223, SYNOPSYS_UNCONNECTED_224, 
+        SYNOPSYS_UNCONNECTED_225, SYNOPSYS_UNCONNECTED_226, 
+        SYNOPSYS_UNCONNECTED_227, SYNOPSYS_UNCONNECTED_228, 
+        SYNOPSYS_UNCONNECTED_229, SYNOPSYS_UNCONNECTED_230, 
+        SYNOPSYS_UNCONNECTED_231, SYNOPSYS_UNCONNECTED_232, 
+        SYNOPSYS_UNCONNECTED_233, SYNOPSYS_UNCONNECTED_234, 
+        SYNOPSYS_UNCONNECTED_235, SYNOPSYS_UNCONNECTED_236, 
+        SYNOPSYS_UNCONNECTED_237, SYNOPSYS_UNCONNECTED_238, 
+        SYNOPSYS_UNCONNECTED_239, SYNOPSYS_UNCONNECTED_240, 
+        SYNOPSYS_UNCONNECTED_241, SYNOPSYS_UNCONNECTED_242, 
+        SYNOPSYS_UNCONNECTED_243, SYNOPSYS_UNCONNECTED_244, 
+        SYNOPSYS_UNCONNECTED_245, SYNOPSYS_UNCONNECTED_246, 
+        SYNOPSYS_UNCONNECTED_247, SYNOPSYS_UNCONNECTED_248, 
+        SYNOPSYS_UNCONNECTED_249, SYNOPSYS_UNCONNECTED_250, 
+        SYNOPSYS_UNCONNECTED_251, SYNOPSYS_UNCONNECTED_252, 
+        SYNOPSYS_UNCONNECTED_253, SYNOPSYS_UNCONNECTED_254, 
+        SYNOPSYS_UNCONNECTED_255, SYNOPSYS_UNCONNECTED_256, 
+        SYNOPSYS_UNCONNECTED_257, SYNOPSYS_UNCONNECTED_258, 
+        SYNOPSYS_UNCONNECTED_259, SYNOPSYS_UNCONNECTED_260}) );
+  opentitan_soc_top_ibex_register_file_ff_0_00000020_0_0 gen_regfile_ff_register_file_i ( 
+        .clk_i(n78), .rst_ni(n81), .test_en_i(n3), .dummy_instr_id_i(n82), 
+        .raddr_a_i(rf_raddr_a), .rdata_a_o(rf_rdata_a_ecc), .raddr_b_i(
+        rf_raddr_b), .rdata_b_o(rf_rdata_b_ecc), .waddr_a_i(rf_waddr_wb), 
+        .wdata_a_i(rf_wdata_wb_ecc), .we_a_i(rf_we_wb) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(n79), .Y(n78) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(clk), .Y(n79) );
+  sky130_fd_sc_hd__inv_2 U6 ( .A(n80), .Y(n81) );
+  sky130_fd_sc_hd__conb_1 U7 ( .LO(n82), .HI(n3) );
+  sky130_fd_sc_hd__clkinv_1 U8 ( .A(rst_ni), .Y(n80) );
+endmodule
+
+
+module opentitan_soc_top_tlul_host_adapter_MAX_REQS2_1 ( clk_i, rst_ni, req_i, 
+        gnt_o, addr_i, we_i, wdata_i, be_i, valid_o, rdata_o, err_o, tl_h_c_a, 
+        tl_h_c_d );
+  input [31:0] addr_i;
+  input [31:0] wdata_i;
+  input [3:0] be_i;
+  output [31:0] rdata_o;
+  output [85:0] tl_h_c_a;
+  input [51:0] tl_h_c_d;
+  input clk_i, rst_ni, req_i, we_i;
+  output gnt_o, valid_o, err_o;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(addr_i[2]), .X(tl_h_c_a[39]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(tl_h_c_d[9]), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(tl_h_c_d[23]), .X(rdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(addr_i[3]), .X(tl_h_c_a[40]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(addr_i[4]), .X(tl_h_c_a[41]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(addr_i[5]), .X(tl_h_c_a[42]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(addr_i[6]), .X(tl_h_c_a[43]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(addr_i[7]), .X(tl_h_c_a[44]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(addr_i[8]), .X(tl_h_c_a[45]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(addr_i[9]), .X(tl_h_c_a[46]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(addr_i[10]), .X(tl_h_c_a[47]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(addr_i[11]), .X(tl_h_c_a[48]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(addr_i[13]), .X(tl_h_c_a[50]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(req_i), .X(tl_h_c_a[85]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(tl_h_c_d[0]), .X(gnt_o) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(tl_h_c_d[1]), .X(err_o) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(tl_h_c_d[2]), .X(rdata_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(tl_h_c_d[3]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(tl_h_c_d[4]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(tl_h_c_d[5]), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(tl_h_c_d[6]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(tl_h_c_d[7]), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U45 ( .A(tl_h_c_d[8]), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(tl_h_c_d[10]), .X(rdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U47 ( .A(tl_h_c_d[11]), .X(rdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U48 ( .A(tl_h_c_d[12]), .X(rdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U49 ( .A(tl_h_c_d[13]), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U50 ( .A(tl_h_c_d[14]), .X(rdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U51 ( .A(tl_h_c_d[15]), .X(rdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U52 ( .A(tl_h_c_d[16]), .X(rdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U53 ( .A(tl_h_c_d[17]), .X(rdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U54 ( .A(tl_h_c_d[18]), .X(rdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U55 ( .A(tl_h_c_d[19]), .X(rdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U56 ( .A(tl_h_c_d[20]), .X(rdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U57 ( .A(tl_h_c_d[21]), .X(rdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U58 ( .A(tl_h_c_d[22]), .X(rdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U59 ( .A(tl_h_c_d[24]), .X(rdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U60 ( .A(tl_h_c_d[25]), .X(rdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U61 ( .A(tl_h_c_d[26]), .X(rdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U62 ( .A(tl_h_c_d[27]), .X(rdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U63 ( .A(tl_h_c_d[28]), .X(rdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U64 ( .A(tl_h_c_d[29]), .X(rdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U65 ( .A(tl_h_c_d[30]), .X(rdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U66 ( .A(tl_h_c_d[31]), .X(rdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U67 ( .A(tl_h_c_d[32]), .X(rdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U68 ( .A(tl_h_c_d[33]), .X(rdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U69 ( .A(tl_h_c_d[51]), .X(valid_o) );
+endmodule
+
+
+module opentitan_soc_top_tlul_host_adapter_MAX_REQS2_0 ( clk_i, rst_ni, req_i, 
+        gnt_o, addr_i, we_i, wdata_i, be_i, valid_o, rdata_o, err_o, tl_h_c_a, 
+        tl_h_c_d );
+  input [31:0] addr_i;
+  input [31:0] wdata_i;
+  input [3:0] be_i;
+  output [31:0] rdata_o;
+  output [85:0] tl_h_c_a;
+  input [51:0] tl_h_c_d;
+  input clk_i, rst_ni, req_i, we_i;
+  output gnt_o, valid_o, err_o;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(addr_i[25]), .X(tl_h_c_a[62]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(tl_h_c_d[6]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(addr_i[28]), .X(tl_h_c_a[65]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wdata_i[4]), .X(tl_h_c_a[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(req_i), .X(tl_h_c_a[85]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wdata_i[6]), .X(tl_h_c_a[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wdata_i[20]), .X(tl_h_c_a[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(tl_h_c_d[19]), .X(rdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wdata_i[0]), .X(tl_h_c_a[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wdata_i[1]), .X(tl_h_c_a[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wdata_i[2]), .X(tl_h_c_a[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wdata_i[3]), .X(tl_h_c_a[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wdata_i[5]), .X(tl_h_c_a[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wdata_i[7]), .X(tl_h_c_a[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(wdata_i[8]), .X(tl_h_c_a[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(wdata_i[9]), .X(tl_h_c_a[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(wdata_i[10]), .X(tl_h_c_a[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(wdata_i[11]), .X(tl_h_c_a[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wdata_i[12]), .X(tl_h_c_a[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wdata_i[13]), .X(tl_h_c_a[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wdata_i[14]), .X(tl_h_c_a[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wdata_i[15]), .X(tl_h_c_a[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wdata_i[16]), .X(tl_h_c_a[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wdata_i[17]), .X(tl_h_c_a[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wdata_i[18]), .X(tl_h_c_a[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wdata_i[19]), .X(tl_h_c_a[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wdata_i[21]), .X(tl_h_c_a[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wdata_i[22]), .X(tl_h_c_a[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wdata_i[23]), .X(tl_h_c_a[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wdata_i[24]), .X(tl_h_c_a[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(wdata_i[25]), .X(tl_h_c_a[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(wdata_i[26]), .X(tl_h_c_a[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(wdata_i[27]), .X(tl_h_c_a[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(wdata_i[28]), .X(tl_h_c_a[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(wdata_i[29]), .X(tl_h_c_a[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(wdata_i[30]), .X(tl_h_c_a[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(wdata_i[31]), .X(tl_h_c_a[32]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(addr_i[2]), .X(tl_h_c_a[39]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(addr_i[3]), .X(tl_h_c_a[40]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(addr_i[4]), .X(tl_h_c_a[41]) );
+  sky130_fd_sc_hd__clkbuf_1 U45 ( .A(addr_i[5]), .X(tl_h_c_a[42]) );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(addr_i[6]), .X(tl_h_c_a[43]) );
+  sky130_fd_sc_hd__clkbuf_1 U47 ( .A(addr_i[7]), .X(tl_h_c_a[44]) );
+  sky130_fd_sc_hd__clkbuf_1 U48 ( .A(addr_i[8]), .X(tl_h_c_a[45]) );
+  sky130_fd_sc_hd__clkbuf_1 U49 ( .A(addr_i[9]), .X(tl_h_c_a[46]) );
+  sky130_fd_sc_hd__clkbuf_1 U50 ( .A(addr_i[10]), .X(tl_h_c_a[47]) );
+  sky130_fd_sc_hd__clkbuf_1 U51 ( .A(addr_i[11]), .X(tl_h_c_a[48]) );
+  sky130_fd_sc_hd__clkbuf_1 U52 ( .A(addr_i[13]), .X(tl_h_c_a[50]) );
+  sky130_fd_sc_hd__clkbuf_1 U55 ( .A(addr_i[16]), .X(tl_h_c_a[53]) );
+  sky130_fd_sc_hd__clkbuf_1 U56 ( .A(addr_i[17]), .X(tl_h_c_a[54]) );
+  sky130_fd_sc_hd__clkbuf_1 U57 ( .A(addr_i[18]), .X(tl_h_c_a[55]) );
+  sky130_fd_sc_hd__clkbuf_1 U58 ( .A(addr_i[19]), .X(tl_h_c_a[56]) );
+  sky130_fd_sc_hd__clkbuf_1 U59 ( .A(addr_i[20]), .X(tl_h_c_a[57]) );
+  sky130_fd_sc_hd__clkbuf_1 U60 ( .A(addr_i[21]), .X(tl_h_c_a[58]) );
+  sky130_fd_sc_hd__clkbuf_1 U61 ( .A(addr_i[22]), .X(tl_h_c_a[59]) );
+  sky130_fd_sc_hd__clkbuf_1 U62 ( .A(addr_i[23]), .X(tl_h_c_a[60]) );
+  sky130_fd_sc_hd__clkbuf_1 U63 ( .A(addr_i[24]), .X(tl_h_c_a[61]) );
+  sky130_fd_sc_hd__clkbuf_1 U64 ( .A(addr_i[26]), .X(tl_h_c_a[63]) );
+  sky130_fd_sc_hd__clkbuf_1 U65 ( .A(addr_i[27]), .X(tl_h_c_a[64]) );
+  sky130_fd_sc_hd__clkbuf_1 U66 ( .A(addr_i[29]), .X(tl_h_c_a[66]) );
+  sky130_fd_sc_hd__clkbuf_1 U67 ( .A(addr_i[30]), .X(tl_h_c_a[67]) );
+  sky130_fd_sc_hd__clkbuf_1 U68 ( .A(addr_i[31]), .X(tl_h_c_a[68]) );
+  sky130_fd_sc_hd__clkbuf_1 U69 ( .A(tl_h_c_d[0]), .X(gnt_o) );
+  sky130_fd_sc_hd__clkbuf_1 U70 ( .A(tl_h_c_d[1]), .X(err_o) );
+  sky130_fd_sc_hd__clkbuf_1 U71 ( .A(tl_h_c_d[2]), .X(rdata_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U72 ( .A(tl_h_c_d[3]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U73 ( .A(tl_h_c_d[4]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U74 ( .A(tl_h_c_d[5]), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U75 ( .A(tl_h_c_d[7]), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U76 ( .A(tl_h_c_d[8]), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U77 ( .A(tl_h_c_d[9]), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U78 ( .A(tl_h_c_d[10]), .X(rdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U79 ( .A(tl_h_c_d[11]), .X(rdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U80 ( .A(tl_h_c_d[12]), .X(rdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U81 ( .A(tl_h_c_d[13]), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U82 ( .A(tl_h_c_d[14]), .X(rdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U83 ( .A(tl_h_c_d[15]), .X(rdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U84 ( .A(tl_h_c_d[16]), .X(rdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U85 ( .A(tl_h_c_d[17]), .X(rdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U86 ( .A(tl_h_c_d[18]), .X(rdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U87 ( .A(tl_h_c_d[20]), .X(rdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U88 ( .A(tl_h_c_d[21]), .X(rdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U89 ( .A(tl_h_c_d[22]), .X(rdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U90 ( .A(tl_h_c_d[23]), .X(rdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U91 ( .A(tl_h_c_d[24]), .X(rdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U92 ( .A(tl_h_c_d[25]), .X(rdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U93 ( .A(tl_h_c_d[26]), .X(rdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U94 ( .A(tl_h_c_d[27]), .X(rdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U95 ( .A(tl_h_c_d[28]), .X(rdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U96 ( .A(tl_h_c_d[29]), .X(rdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U97 ( .A(tl_h_c_d[30]), .X(rdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U98 ( .A(tl_h_c_d[31]), .X(rdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U99 ( .A(tl_h_c_d[32]), .X(rdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U100 ( .A(tl_h_c_d[33]), .X(rdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U101 ( .A(tl_h_c_d[51]), .X(valid_o) );
+  sky130_fd_sc_hd__nand2b_1 U102 ( .A_N(be_i[0]), .B(we_i), .Y(tl_h_c_a[33])
+         );
+  sky130_fd_sc_hd__nand2b_1 U103 ( .A_N(be_i[2]), .B(we_i), .Y(tl_h_c_a[35])
+         );
+  sky130_fd_sc_hd__clkinv_1 U104 ( .A(we_i), .Y(tl_h_c_a[84]) );
+  sky130_fd_sc_hd__nand2b_1 U105 ( .A_N(be_i[1]), .B(we_i), .Y(tl_h_c_a[34])
+         );
+  sky130_fd_sc_hd__nand2b_1 U106 ( .A_N(be_i[3]), .B(we_i), .Y(tl_h_c_a[36])
+         );
+  sky130_fd_sc_hd__nand4_1 U107 ( .A(tl_h_c_a[34]), .B(tl_h_c_a[33]), .C(
+        tl_h_c_a[36]), .D(tl_h_c_a[35]), .Y(tl_h_c_a[82]) );
+endmodule
+
+
+module opentitan_soc_top_opentitan_tlul_wrapper_0 ( clk_i, rst_ni, ram_cfg_i, 
+        scan_rst_ni, crash_dump_o, tl_i_i, tl_i_o, tl_d_i, tl_d_o, test_en_i, 
+        hart_id_i, boot_addr_i, irq_software_i, irq_timer_i, irq_external_i, 
+        irq_fast_i, irq_nm_i, fetch_enable_i, alert_minor_o, alert_major_o, 
+        core_sleep_o );
+  output [127:0] crash_dump_o;
+  input [51:0] tl_i_i;
+  output [85:0] tl_i_o;
+  input [51:0] tl_d_i;
+  output [85:0] tl_d_o;
+  input [31:0] hart_id_i;
+  input [31:0] boot_addr_i;
+  input [14:0] irq_fast_i;
+  input clk_i, rst_ni, ram_cfg_i, scan_rst_ni, test_en_i, irq_software_i,
+         irq_timer_i, irq_external_i, irq_nm_i, fetch_enable_i;
+  output alert_minor_o, alert_major_o, core_sleep_o;
+  wire   instr_req, instr_gnt, instr_rvalid, instr_err, data_req, data_gnt,
+         data_rvalid, data_we, data_err, n77, n78, SYNOPSYS_UNCONNECTED_1,
+         SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3,
+         SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5,
+         SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7,
+         SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9,
+         SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11,
+         SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13,
+         SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15,
+         SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17,
+         SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19,
+         SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21,
+         SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23,
+         SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25,
+         SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27,
+         SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29,
+         SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31,
+         SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33,
+         SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35,
+         SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37,
+         SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39,
+         SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41,
+         SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43,
+         SYNOPSYS_UNCONNECTED_44, SYNOPSYS_UNCONNECTED_45,
+         SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_47,
+         SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49,
+         SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51,
+         SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53,
+         SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55,
+         SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57,
+         SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59,
+         SYNOPSYS_UNCONNECTED_60, SYNOPSYS_UNCONNECTED_61,
+         SYNOPSYS_UNCONNECTED_62, SYNOPSYS_UNCONNECTED_63,
+         SYNOPSYS_UNCONNECTED_64, SYNOPSYS_UNCONNECTED_65,
+         SYNOPSYS_UNCONNECTED_66, SYNOPSYS_UNCONNECTED_67,
+         SYNOPSYS_UNCONNECTED_68, SYNOPSYS_UNCONNECTED_69,
+         SYNOPSYS_UNCONNECTED_70, SYNOPSYS_UNCONNECTED_71,
+         SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_73,
+         SYNOPSYS_UNCONNECTED_74, SYNOPSYS_UNCONNECTED_75,
+         SYNOPSYS_UNCONNECTED_76, SYNOPSYS_UNCONNECTED_77,
+         SYNOPSYS_UNCONNECTED_78, SYNOPSYS_UNCONNECTED_79,
+         SYNOPSYS_UNCONNECTED_80, SYNOPSYS_UNCONNECTED_81,
+         SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_83,
+         SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_85,
+         SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_87,
+         SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_89,
+         SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_91,
+         SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_93,
+         SYNOPSYS_UNCONNECTED_94, SYNOPSYS_UNCONNECTED_95,
+         SYNOPSYS_UNCONNECTED_96, SYNOPSYS_UNCONNECTED_97,
+         SYNOPSYS_UNCONNECTED_98, SYNOPSYS_UNCONNECTED_99,
+         SYNOPSYS_UNCONNECTED_100, SYNOPSYS_UNCONNECTED_101,
+         SYNOPSYS_UNCONNECTED_102, SYNOPSYS_UNCONNECTED_103,
+         SYNOPSYS_UNCONNECTED_104, SYNOPSYS_UNCONNECTED_105,
+         SYNOPSYS_UNCONNECTED_106, SYNOPSYS_UNCONNECTED_107,
+         SYNOPSYS_UNCONNECTED_108, SYNOPSYS_UNCONNECTED_109,
+         SYNOPSYS_UNCONNECTED_110, SYNOPSYS_UNCONNECTED_111,
+         SYNOPSYS_UNCONNECTED_112, SYNOPSYS_UNCONNECTED_113,
+         SYNOPSYS_UNCONNECTED_114, SYNOPSYS_UNCONNECTED_115,
+         SYNOPSYS_UNCONNECTED_116, SYNOPSYS_UNCONNECTED_117,
+         SYNOPSYS_UNCONNECTED_118, SYNOPSYS_UNCONNECTED_119,
+         SYNOPSYS_UNCONNECTED_120, SYNOPSYS_UNCONNECTED_121,
+         SYNOPSYS_UNCONNECTED_122, SYNOPSYS_UNCONNECTED_123,
+         SYNOPSYS_UNCONNECTED_124, SYNOPSYS_UNCONNECTED_125,
+         SYNOPSYS_UNCONNECTED_126, SYNOPSYS_UNCONNECTED_127,
+         SYNOPSYS_UNCONNECTED_128, SYNOPSYS_UNCONNECTED_129,
+         SYNOPSYS_UNCONNECTED_130, SYNOPSYS_UNCONNECTED_131,
+         SYNOPSYS_UNCONNECTED_132, SYNOPSYS_UNCONNECTED_133,
+         SYNOPSYS_UNCONNECTED_134, SYNOPSYS_UNCONNECTED_135,
+         SYNOPSYS_UNCONNECTED_136, SYNOPSYS_UNCONNECTED_137,
+         SYNOPSYS_UNCONNECTED_138, SYNOPSYS_UNCONNECTED_139,
+         SYNOPSYS_UNCONNECTED_140, SYNOPSYS_UNCONNECTED_141,
+         SYNOPSYS_UNCONNECTED_142, SYNOPSYS_UNCONNECTED_143,
+         SYNOPSYS_UNCONNECTED_144, SYNOPSYS_UNCONNECTED_145,
+         SYNOPSYS_UNCONNECTED_146, SYNOPSYS_UNCONNECTED_147,
+         SYNOPSYS_UNCONNECTED_148, SYNOPSYS_UNCONNECTED_149,
+         SYNOPSYS_UNCONNECTED_150, SYNOPSYS_UNCONNECTED_151,
+         SYNOPSYS_UNCONNECTED_152, SYNOPSYS_UNCONNECTED_153,
+         SYNOPSYS_UNCONNECTED_154, SYNOPSYS_UNCONNECTED_155,
+         SYNOPSYS_UNCONNECTED_156, SYNOPSYS_UNCONNECTED_157,
+         SYNOPSYS_UNCONNECTED_158, SYNOPSYS_UNCONNECTED_159,
+         SYNOPSYS_UNCONNECTED_160, SYNOPSYS_UNCONNECTED_161,
+         SYNOPSYS_UNCONNECTED_162, SYNOPSYS_UNCONNECTED_163,
+         SYNOPSYS_UNCONNECTED_164, SYNOPSYS_UNCONNECTED_165,
+         SYNOPSYS_UNCONNECTED_166, SYNOPSYS_UNCONNECTED_167,
+         SYNOPSYS_UNCONNECTED_168, SYNOPSYS_UNCONNECTED_169,
+         SYNOPSYS_UNCONNECTED_170, SYNOPSYS_UNCONNECTED_171,
+         SYNOPSYS_UNCONNECTED_172, SYNOPSYS_UNCONNECTED_173,
+         SYNOPSYS_UNCONNECTED_174, SYNOPSYS_UNCONNECTED_175,
+         SYNOPSYS_UNCONNECTED_176, SYNOPSYS_UNCONNECTED_177,
+         SYNOPSYS_UNCONNECTED_178, SYNOPSYS_UNCONNECTED_179,
+         SYNOPSYS_UNCONNECTED_180, SYNOPSYS_UNCONNECTED_181,
+         SYNOPSYS_UNCONNECTED_182, SYNOPSYS_UNCONNECTED_183,
+         SYNOPSYS_UNCONNECTED_184, SYNOPSYS_UNCONNECTED_185,
+         SYNOPSYS_UNCONNECTED_186, SYNOPSYS_UNCONNECTED_187,
+         SYNOPSYS_UNCONNECTED_188, SYNOPSYS_UNCONNECTED_189,
+         SYNOPSYS_UNCONNECTED_190, SYNOPSYS_UNCONNECTED_191,
+         SYNOPSYS_UNCONNECTED_192, SYNOPSYS_UNCONNECTED_193,
+         SYNOPSYS_UNCONNECTED_194, SYNOPSYS_UNCONNECTED_195,
+         SYNOPSYS_UNCONNECTED_196, SYNOPSYS_UNCONNECTED_197,
+         SYNOPSYS_UNCONNECTED_198, SYNOPSYS_UNCONNECTED_199,
+         SYNOPSYS_UNCONNECTED_200, SYNOPSYS_UNCONNECTED_201,
+         SYNOPSYS_UNCONNECTED_202, SYNOPSYS_UNCONNECTED_203,
+         SYNOPSYS_UNCONNECTED_204, SYNOPSYS_UNCONNECTED_205,
+         SYNOPSYS_UNCONNECTED_206, SYNOPSYS_UNCONNECTED_207,
+         SYNOPSYS_UNCONNECTED_208, SYNOPSYS_UNCONNECTED_209,
+         SYNOPSYS_UNCONNECTED_210, SYNOPSYS_UNCONNECTED_211,
+         SYNOPSYS_UNCONNECTED_212, SYNOPSYS_UNCONNECTED_213,
+         SYNOPSYS_UNCONNECTED_214, SYNOPSYS_UNCONNECTED_215,
+         SYNOPSYS_UNCONNECTED_216, SYNOPSYS_UNCONNECTED_217,
+         SYNOPSYS_UNCONNECTED_218, SYNOPSYS_UNCONNECTED_219,
+         SYNOPSYS_UNCONNECTED_220, SYNOPSYS_UNCONNECTED_221,
+         SYNOPSYS_UNCONNECTED_222, SYNOPSYS_UNCONNECTED_223,
+         SYNOPSYS_UNCONNECTED_224, SYNOPSYS_UNCONNECTED_225,
+         SYNOPSYS_UNCONNECTED_226, SYNOPSYS_UNCONNECTED_227,
+         SYNOPSYS_UNCONNECTED_228, SYNOPSYS_UNCONNECTED_229,
+         SYNOPSYS_UNCONNECTED_230, SYNOPSYS_UNCONNECTED_231,
+         SYNOPSYS_UNCONNECTED_232, SYNOPSYS_UNCONNECTED_233,
+         SYNOPSYS_UNCONNECTED_234, SYNOPSYS_UNCONNECTED_235,
+         SYNOPSYS_UNCONNECTED_236, SYNOPSYS_UNCONNECTED_237,
+         SYNOPSYS_UNCONNECTED_238, SYNOPSYS_UNCONNECTED_239,
+         SYNOPSYS_UNCONNECTED_240, SYNOPSYS_UNCONNECTED_241,
+         SYNOPSYS_UNCONNECTED_242, SYNOPSYS_UNCONNECTED_243,
+         SYNOPSYS_UNCONNECTED_244, SYNOPSYS_UNCONNECTED_245,
+         SYNOPSYS_UNCONNECTED_246, SYNOPSYS_UNCONNECTED_247,
+         SYNOPSYS_UNCONNECTED_248;
+  wire   [13:2] instr_addr;
+  wire   [31:0] instr_rdata;
+  wire   [3:0] data_be;
+  wire   [31:2] data_addr;
+  wire   [31:0] data_wdata;
+  wire   [31:0] data_rdata;
+
+  opentitan_soc_top_ibex_top_0_00000000_00000004_00000000_00000028_0_0_1_0_0_0_0_00000001_0_00000000_00000000_0 u_core ( 
+        .clk_i(clk_i), .rst_ni(rst_ni), .test_en_i(n78), .ram_cfg_i({n78, n78, 
+        n78, n78, n78, n78, n78, n78, n78, n78}), .hart_id_i({n77, n77, n77, 
+        n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, 
+        n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, 
+        n77}), .boot_addr_i({n77, n77, n78, n77, n77, n77, n77, n77, n77, n77, 
+        n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, 
+        n77, n77, n77, n77, n77, n77, n77, n77}), .instr_req_o(instr_req), 
+        .instr_gnt_i(instr_gnt), .instr_rvalid_i(instr_rvalid), .instr_addr_o(
+        {SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2, 
+        SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, 
+        SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, 
+        SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10, 
+        SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12, 
+        SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14, 
+        SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16, 
+        SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18, instr_addr[13], 
+        SYNOPSYS_UNCONNECTED_19, instr_addr[11:2], SYNOPSYS_UNCONNECTED_20, 
+        SYNOPSYS_UNCONNECTED_21}), .instr_rdata_i(instr_rdata), .instr_err_i(
+        instr_err), .data_req_o(data_req), .data_gnt_i(data_gnt), 
+        .data_rvalid_i(data_rvalid), .data_we_o(data_we), .data_be_o(data_be), 
+        .data_addr_o({data_addr[31:16], SYNOPSYS_UNCONNECTED_22, 
+        SYNOPSYS_UNCONNECTED_23, data_addr[13], SYNOPSYS_UNCONNECTED_24, 
+        data_addr[11:2], SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26}), 
+        .data_wdata_o(data_wdata), .data_rdata_i(data_rdata), .data_err_i(
+        data_err), .irq_software_i(n77), .irq_timer_i(n77), .irq_external_i(
+        irq_external_i), .irq_fast_i({n77, n77, n77, n77, n77, n77, n77, n77, 
+        n77, n77, n77, n77, n77, n77, n77}), .irq_nm_i(n77), .debug_req_i(n77), 
+        .crash_dump_o({SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32, 
+        SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34, 
+        SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36, 
+        SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38, 
+        SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40, 
+        SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42, 
+        SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44, 
+        SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46, 
+        SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48, 
+        SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50, 
+        SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52, 
+        SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54, 
+        SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56, 
+        SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58, 
+        SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60, 
+        SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62, 
+        SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64, 
+        SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66, 
+        SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68, 
+        SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70, 
+        SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72, 
+        SYNOPSYS_UNCONNECTED_73, SYNOPSYS_UNCONNECTED_74, 
+        SYNOPSYS_UNCONNECTED_75, SYNOPSYS_UNCONNECTED_76, 
+        SYNOPSYS_UNCONNECTED_77, SYNOPSYS_UNCONNECTED_78, 
+        SYNOPSYS_UNCONNECTED_79, SYNOPSYS_UNCONNECTED_80, 
+        SYNOPSYS_UNCONNECTED_81, SYNOPSYS_UNCONNECTED_82, 
+        SYNOPSYS_UNCONNECTED_83, SYNOPSYS_UNCONNECTED_84, 
+        SYNOPSYS_UNCONNECTED_85, SYNOPSYS_UNCONNECTED_86, 
+        SYNOPSYS_UNCONNECTED_87, SYNOPSYS_UNCONNECTED_88, 
+        SYNOPSYS_UNCONNECTED_89, SYNOPSYS_UNCONNECTED_90, 
+        SYNOPSYS_UNCONNECTED_91, SYNOPSYS_UNCONNECTED_92, 
+        SYNOPSYS_UNCONNECTED_93, SYNOPSYS_UNCONNECTED_94, 
+        SYNOPSYS_UNCONNECTED_95, SYNOPSYS_UNCONNECTED_96, 
+        SYNOPSYS_UNCONNECTED_97, SYNOPSYS_UNCONNECTED_98, 
+        SYNOPSYS_UNCONNECTED_99, SYNOPSYS_UNCONNECTED_100, 
+        SYNOPSYS_UNCONNECTED_101, SYNOPSYS_UNCONNECTED_102, 
+        SYNOPSYS_UNCONNECTED_103, SYNOPSYS_UNCONNECTED_104, 
+        SYNOPSYS_UNCONNECTED_105, SYNOPSYS_UNCONNECTED_106, 
+        SYNOPSYS_UNCONNECTED_107, SYNOPSYS_UNCONNECTED_108, 
+        SYNOPSYS_UNCONNECTED_109, SYNOPSYS_UNCONNECTED_110, 
+        SYNOPSYS_UNCONNECTED_111, SYNOPSYS_UNCONNECTED_112, 
+        SYNOPSYS_UNCONNECTED_113, SYNOPSYS_UNCONNECTED_114, 
+        SYNOPSYS_UNCONNECTED_115, SYNOPSYS_UNCONNECTED_116, 
+        SYNOPSYS_UNCONNECTED_117, SYNOPSYS_UNCONNECTED_118, 
+        SYNOPSYS_UNCONNECTED_119, SYNOPSYS_UNCONNECTED_120, 
+        SYNOPSYS_UNCONNECTED_121, SYNOPSYS_UNCONNECTED_122, 
+        SYNOPSYS_UNCONNECTED_123, SYNOPSYS_UNCONNECTED_124, 
+        SYNOPSYS_UNCONNECTED_125, SYNOPSYS_UNCONNECTED_126, 
+        SYNOPSYS_UNCONNECTED_127, SYNOPSYS_UNCONNECTED_128, 
+        SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_130, 
+        SYNOPSYS_UNCONNECTED_131, SYNOPSYS_UNCONNECTED_132, 
+        SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134, 
+        SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136, 
+        SYNOPSYS_UNCONNECTED_137, SYNOPSYS_UNCONNECTED_138, 
+        SYNOPSYS_UNCONNECTED_139, SYNOPSYS_UNCONNECTED_140, 
+        SYNOPSYS_UNCONNECTED_141, SYNOPSYS_UNCONNECTED_142, 
+        SYNOPSYS_UNCONNECTED_143, SYNOPSYS_UNCONNECTED_144, 
+        SYNOPSYS_UNCONNECTED_145, SYNOPSYS_UNCONNECTED_146, 
+        SYNOPSYS_UNCONNECTED_147, SYNOPSYS_UNCONNECTED_148, 
+        SYNOPSYS_UNCONNECTED_149, SYNOPSYS_UNCONNECTED_150, 
+        SYNOPSYS_UNCONNECTED_151, SYNOPSYS_UNCONNECTED_152, 
+        SYNOPSYS_UNCONNECTED_153, SYNOPSYS_UNCONNECTED_154}), .fetch_enable_i(
+        n78), .scan_rst_ni(n77) );
+  opentitan_soc_top_tlul_host_adapter_MAX_REQS2_1 intr_interface ( .clk_i(1'b0), .rst_ni(1'b0), .req_i(instr_req), .gnt_o(instr_gnt), .addr_i({1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, instr_addr[13], 1'b0, instr_addr[11:2], n77, 
+        n77}), .we_i(n77), .wdata_i({n77, n77, n77, n77, n77, n77, n77, n77, 
+        n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, n77, 
+        n77, n77, n77, n77, n77, n77, n77, n77, n77, n77}), .be_i({n78, n78, 
+        n78, n78}), .valid_o(instr_rvalid), .rdata_o(instr_rdata), .err_o(
+        instr_err), .tl_h_c_a({tl_i_o[85], SYNOPSYS_UNCONNECTED_155, 
+        SYNOPSYS_UNCONNECTED_156, SYNOPSYS_UNCONNECTED_157, 
+        SYNOPSYS_UNCONNECTED_158, SYNOPSYS_UNCONNECTED_159, 
+        SYNOPSYS_UNCONNECTED_160, SYNOPSYS_UNCONNECTED_161, 
+        SYNOPSYS_UNCONNECTED_162, SYNOPSYS_UNCONNECTED_163, 
+        SYNOPSYS_UNCONNECTED_164, SYNOPSYS_UNCONNECTED_165, 
+        SYNOPSYS_UNCONNECTED_166, SYNOPSYS_UNCONNECTED_167, 
+        SYNOPSYS_UNCONNECTED_168, SYNOPSYS_UNCONNECTED_169, 
+        SYNOPSYS_UNCONNECTED_170, SYNOPSYS_UNCONNECTED_171, 
+        SYNOPSYS_UNCONNECTED_172, SYNOPSYS_UNCONNECTED_173, 
+        SYNOPSYS_UNCONNECTED_174, SYNOPSYS_UNCONNECTED_175, 
+        SYNOPSYS_UNCONNECTED_176, SYNOPSYS_UNCONNECTED_177, 
+        SYNOPSYS_UNCONNECTED_178, SYNOPSYS_UNCONNECTED_179, 
+        SYNOPSYS_UNCONNECTED_180, SYNOPSYS_UNCONNECTED_181, 
+        SYNOPSYS_UNCONNECTED_182, SYNOPSYS_UNCONNECTED_183, 
+        SYNOPSYS_UNCONNECTED_184, SYNOPSYS_UNCONNECTED_185, 
+        SYNOPSYS_UNCONNECTED_186, SYNOPSYS_UNCONNECTED_187, 
+        SYNOPSYS_UNCONNECTED_188, tl_i_o[50], SYNOPSYS_UNCONNECTED_189, 
+        tl_i_o[48:39], SYNOPSYS_UNCONNECTED_190, SYNOPSYS_UNCONNECTED_191, 
+        SYNOPSYS_UNCONNECTED_192, SYNOPSYS_UNCONNECTED_193, 
+        SYNOPSYS_UNCONNECTED_194, SYNOPSYS_UNCONNECTED_195, 
+        SYNOPSYS_UNCONNECTED_196, SYNOPSYS_UNCONNECTED_197, 
+        SYNOPSYS_UNCONNECTED_198, SYNOPSYS_UNCONNECTED_199, 
+        SYNOPSYS_UNCONNECTED_200, SYNOPSYS_UNCONNECTED_201, 
+        SYNOPSYS_UNCONNECTED_202, SYNOPSYS_UNCONNECTED_203, 
+        SYNOPSYS_UNCONNECTED_204, SYNOPSYS_UNCONNECTED_205, 
+        SYNOPSYS_UNCONNECTED_206, SYNOPSYS_UNCONNECTED_207, 
+        SYNOPSYS_UNCONNECTED_208, SYNOPSYS_UNCONNECTED_209, 
+        SYNOPSYS_UNCONNECTED_210, SYNOPSYS_UNCONNECTED_211, 
+        SYNOPSYS_UNCONNECTED_212, SYNOPSYS_UNCONNECTED_213, 
+        SYNOPSYS_UNCONNECTED_214, SYNOPSYS_UNCONNECTED_215, 
+        SYNOPSYS_UNCONNECTED_216, SYNOPSYS_UNCONNECTED_217, 
+        SYNOPSYS_UNCONNECTED_218, SYNOPSYS_UNCONNECTED_219, 
+        SYNOPSYS_UNCONNECTED_220, SYNOPSYS_UNCONNECTED_221, 
+        SYNOPSYS_UNCONNECTED_222, SYNOPSYS_UNCONNECTED_223, 
+        SYNOPSYS_UNCONNECTED_224, SYNOPSYS_UNCONNECTED_225, 
+        SYNOPSYS_UNCONNECTED_226, SYNOPSYS_UNCONNECTED_227, 
+        SYNOPSYS_UNCONNECTED_228}), .tl_h_c_d({tl_i_i[51], n77, n77, 1'b0, n77, 
+        n77, n77, 1'b0, n77, n77, n77, n77, n77, n77, n77, n77, 1'b0, n77, 
+        tl_i_i[33:0]}) );
+  opentitan_soc_top_tlul_host_adapter_MAX_REQS2_0 data_interface ( .clk_i(1'b0), .rst_ni(1'b0), .req_i(data_req), .gnt_o(data_gnt), .addr_i({data_addr[31:16], 
+        1'b0, 1'b0, data_addr[13], 1'b0, data_addr[11:2], n77, n77}), .we_i(
+        data_we), .wdata_i(data_wdata), .be_i(data_be), .valid_o(data_rvalid), 
+        .rdata_o(data_rdata), .err_o(data_err), .tl_h_c_a({tl_d_o[85:84], 
+        SYNOPSYS_UNCONNECTED_229, tl_d_o[82], SYNOPSYS_UNCONNECTED_230, 
+        SYNOPSYS_UNCONNECTED_231, SYNOPSYS_UNCONNECTED_232, 
+        SYNOPSYS_UNCONNECTED_233, SYNOPSYS_UNCONNECTED_234, 
+        SYNOPSYS_UNCONNECTED_235, SYNOPSYS_UNCONNECTED_236, 
+        SYNOPSYS_UNCONNECTED_237, SYNOPSYS_UNCONNECTED_238, 
+        SYNOPSYS_UNCONNECTED_239, SYNOPSYS_UNCONNECTED_240, 
+        SYNOPSYS_UNCONNECTED_241, SYNOPSYS_UNCONNECTED_242, tl_d_o[68:53], 
+        SYNOPSYS_UNCONNECTED_243, SYNOPSYS_UNCONNECTED_244, tl_d_o[50], 
+        SYNOPSYS_UNCONNECTED_245, tl_d_o[48:39], SYNOPSYS_UNCONNECTED_246, 
+        SYNOPSYS_UNCONNECTED_247, tl_d_o[36:1], SYNOPSYS_UNCONNECTED_248}), 
+        .tl_h_c_d({tl_d_i[51], n77, n77, 1'b0, n77, n77, n77, 1'b0, n77, n77, 
+        n77, n77, n77, n77, n77, n77, 1'b0, n77, tl_d_i[33:0]}) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n77), .HI(n78) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_00000058_1_00000000_0 ( clk_i, rst_ni, 
+        clr_i, wvalid_i, wready_o, wdata_i, rvalid_o, rready_i, rdata_o, 
+        depth_o );
+  input [87:0] wdata_i;
+  output [87:0] rdata_o;
+  output [0:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wdata_i[37]), .X(rdata_o[37]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wvalid_i), .X(rvalid_o) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wdata_i[3]), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wdata_i[7]), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wdata_i[21]), .X(rdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wdata_i[0]), .X(rdata_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wdata_i[1]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wdata_i[2]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wdata_i[4]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wdata_i[5]), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wdata_i[6]), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wdata_i[8]), .X(rdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wdata_i[9]), .X(rdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wdata_i[10]), .X(rdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wdata_i[11]), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(wdata_i[12]), .X(rdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(wdata_i[13]), .X(rdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(wdata_i[14]), .X(rdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(wdata_i[15]), .X(rdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wdata_i[16]), .X(rdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wdata_i[17]), .X(rdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wdata_i[18]), .X(rdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wdata_i[19]), .X(rdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wdata_i[20]), .X(rdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wdata_i[22]), .X(rdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wdata_i[23]), .X(rdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wdata_i[24]), .X(rdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wdata_i[25]), .X(rdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wdata_i[26]), .X(rdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wdata_i[27]), .X(rdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wdata_i[28]), .X(rdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(wdata_i[29]), .X(rdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(wdata_i[30]), .X(rdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(wdata_i[31]), .X(rdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(wdata_i[32]), .X(rdata_o[32]) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(wdata_i[33]), .X(rdata_o[33]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(wdata_i[34]), .X(rdata_o[34]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(wdata_i[35]), .X(rdata_o[35]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(wdata_i[36]), .X(rdata_o[36]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(wdata_i[38]), .X(rdata_o[38]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(wdata_i[39]), .X(rdata_o[39]) );
+  sky130_fd_sc_hd__clkbuf_1 U45 ( .A(wdata_i[42]), .X(rdata_o[42]) );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(wdata_i[43]), .X(rdata_o[43]) );
+  sky130_fd_sc_hd__clkbuf_1 U47 ( .A(wdata_i[44]), .X(rdata_o[44]) );
+  sky130_fd_sc_hd__clkbuf_1 U48 ( .A(wdata_i[45]), .X(rdata_o[45]) );
+  sky130_fd_sc_hd__clkbuf_1 U49 ( .A(wdata_i[46]), .X(rdata_o[46]) );
+  sky130_fd_sc_hd__clkbuf_1 U50 ( .A(wdata_i[47]), .X(rdata_o[47]) );
+  sky130_fd_sc_hd__clkbuf_1 U51 ( .A(wdata_i[48]), .X(rdata_o[48]) );
+  sky130_fd_sc_hd__clkbuf_1 U52 ( .A(wdata_i[49]), .X(rdata_o[49]) );
+  sky130_fd_sc_hd__clkbuf_1 U53 ( .A(wdata_i[50]), .X(rdata_o[50]) );
+  sky130_fd_sc_hd__clkbuf_1 U54 ( .A(wdata_i[51]), .X(rdata_o[51]) );
+  sky130_fd_sc_hd__clkbuf_1 U56 ( .A(wdata_i[53]), .X(rdata_o[53]) );
+  sky130_fd_sc_hd__clkbuf_1 U74 ( .A(wdata_i[85]), .X(rdata_o[85]) );
+  sky130_fd_sc_hd__clkbuf_1 U75 ( .A(wdata_i[87]), .X(rdata_o[87]) );
+  sky130_fd_sc_hd__clkbuf_1 U76 ( .A(rready_i), .X(wready_o) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_00000033_1_00000000_0 ( clk_i, rst_ni, 
+        clr_i, wvalid_i, wready_o, wdata_i, rvalid_o, rready_i, rdata_o, 
+        depth_o );
+  input [50:0] wdata_i;
+  output [50:0] rdata_o;
+  output [0:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wdata_i[2]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wdata_i[5]), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wdata_i[13]), .X(rdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wdata_i[28]), .X(rdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wdata_i[1]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wdata_i[3]), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wdata_i[4]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wdata_i[6]), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wdata_i[7]), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wdata_i[8]), .X(rdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wdata_i[9]), .X(rdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wdata_i[10]), .X(rdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wdata_i[11]), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wdata_i[12]), .X(rdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wdata_i[14]), .X(rdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wdata_i[15]), .X(rdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wdata_i[16]), .X(rdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(wdata_i[17]), .X(rdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(wdata_i[18]), .X(rdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(wdata_i[19]), .X(rdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(wdata_i[20]), .X(rdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wdata_i[21]), .X(rdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wdata_i[22]), .X(rdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wdata_i[23]), .X(rdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wdata_i[24]), .X(rdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wdata_i[25]), .X(rdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wdata_i[26]), .X(rdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wdata_i[27]), .X(rdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wdata_i[29]), .X(rdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wdata_i[30]), .X(rdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wdata_i[31]), .X(rdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wdata_i[32]), .X(rdata_o[32]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wdata_i[33]), .X(rdata_o[33]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(wvalid_i), .X(rvalid_o) );
+endmodule
+
+
+module opentitan_soc_top_tlul_fifo_sync_1_1_0_0_00000004_0 ( clk_i, rst_ni, 
+        tl_h_i, tl_h_o, tl_d_o, tl_d_i, spare_req_i, spare_req_o, spare_rsp_i, 
+        spare_rsp_o );
+  input [85:0] tl_h_i;
+  output [51:0] tl_h_o;
+  output [85:0] tl_d_o;
+  input [51:0] tl_d_i;
+  input [3:0] spare_req_i;
+  output [3:0] spare_req_o;
+  input [0:0] spare_rsp_i;
+  output [0:0] spare_rsp_o;
+  input clk_i, rst_ni;
+  wire   n_10_net__33_, n_10_net__32_, n_10_net__31_, n_10_net__30_,
+         n_10_net__29_, n_10_net__28_, n_10_net__27_, n_10_net__26_,
+         n_10_net__25_, n_10_net__24_, n_10_net__23_, n_10_net__22_,
+         n_10_net__21_, n_10_net__20_, n_10_net__19_, n_10_net__18_,
+         n_10_net__17_, n_10_net__16_, n_10_net__15_, n_10_net__14_,
+         n_10_net__13_, n_10_net__12_, n_10_net__11_, n_10_net__10_,
+         n_10_net__9_, n_10_net__8_, n_10_net__7_, n_10_net__6_, n_10_net__5_,
+         n_10_net__4_, n_10_net__3_, n_10_net__2_, n14, n15,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55;
+
+  opentitan_soc_top_fifo_sync_00000058_1_00000000_0 reqfifo ( .clk_i(1'b0), 
+        .rst_ni(1'b0), .clr_i(n15), .wvalid_i(tl_h_i[85]), .wready_o(tl_h_o[0]), .wdata_i({tl_h_i[84], n15, tl_h_i[82], n15, n15, n15, n14, n15, n15, n15, 
+        n15, n15, n15, n15, n15, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        tl_h_i[50], 1'b0, tl_h_i[48:39], n15, n15, tl_h_i[36:1], spare_req_i}), 
+        .rvalid_o(tl_d_o[85]), .rready_i(tl_d_i[0]), .rdata_o({tl_d_o[84], 
+        SYNOPSYS_UNCONNECTED_1, tl_d_o[82], SYNOPSYS_UNCONNECTED_2, 
+        SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, 
+        SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, 
+        SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10, 
+        SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12, 
+        SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14, 
+        SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16, 
+        SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18, 
+        SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20, 
+        SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22, 
+        SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24, 
+        SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32, tl_d_o[50], 
+        SYNOPSYS_UNCONNECTED_33, tl_d_o[48:39], SYNOPSYS_UNCONNECTED_34, 
+        SYNOPSYS_UNCONNECTED_35, tl_d_o[36:1], spare_req_o}), .depth_o(
+        SYNOPSYS_UNCONNECTED_36) );
+  opentitan_soc_top_fifo_sync_00000033_1_00000000_0 rspfifo ( .clk_i(1'b0), 
+        .rst_ni(1'b0), .clr_i(n15), .wvalid_i(tl_d_i[51]), .wdata_i({n15, n15, 
+        1'b0, n15, n15, n15, 1'b0, n15, n15, n15, n15, n15, n15, n15, n15, 
+        1'b0, n15, n_10_net__33_, n_10_net__32_, n_10_net__31_, n_10_net__30_, 
+        n_10_net__29_, n_10_net__28_, n_10_net__27_, n_10_net__26_, 
+        n_10_net__25_, n_10_net__24_, n_10_net__23_, n_10_net__22_, 
+        n_10_net__21_, n_10_net__20_, n_10_net__19_, n_10_net__18_, 
+        n_10_net__17_, n_10_net__16_, n_10_net__15_, n_10_net__14_, 
+        n_10_net__13_, n_10_net__12_, n_10_net__11_, n_10_net__10_, 
+        n_10_net__9_, n_10_net__8_, n_10_net__7_, n_10_net__6_, n_10_net__5_, 
+        n_10_net__4_, n_10_net__3_, n_10_net__2_, tl_d_i[1], n15}), .rvalid_o(
+        tl_h_o[51]), .rready_i(n14), .rdata_o({SYNOPSYS_UNCONNECTED_37, 
+        SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39, 
+        SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41, 
+        SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43, 
+        SYNOPSYS_UNCONNECTED_44, SYNOPSYS_UNCONNECTED_45, 
+        SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_47, 
+        SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49, 
+        SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51, 
+        SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53, tl_h_o[33:1], 
+        SYNOPSYS_UNCONNECTED_54}), .depth_o(SYNOPSYS_UNCONNECTED_55) );
+  sky130_fd_sc_hd__conb_1 U2 ( .LO(n15), .HI(n14) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(tl_d_i[48]), .B(tl_d_i[9]), .X(n_10_net__9_)
+         );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(tl_d_i[48]), .B(tl_d_i[16]), .X(
+        n_10_net__16_) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(tl_d_i[48]), .B(tl_d_i[15]), .X(
+        n_10_net__15_) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(tl_d_i[48]), .B(tl_d_i[14]), .X(
+        n_10_net__14_) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(tl_d_i[48]), .B(tl_d_i[11]), .X(
+        n_10_net__11_) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(tl_d_i[48]), .B(tl_d_i[13]), .X(
+        n_10_net__13_) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(tl_d_i[48]), .B(tl_d_i[10]), .X(
+        n_10_net__10_) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(tl_d_i[48]), .B(tl_d_i[17]), .X(
+        n_10_net__17_) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(tl_d_i[48]), .B(tl_d_i[33]), .X(
+        n_10_net__33_) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(tl_d_i[48]), .B(tl_d_i[12]), .X(
+        n_10_net__12_) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(tl_d_i[48]), .B(tl_d_i[32]), .X(
+        n_10_net__32_) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(tl_d_i[48]), .B(tl_d_i[31]), .X(
+        n_10_net__31_) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(tl_d_i[48]), .B(tl_d_i[24]), .X(
+        n_10_net__24_) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(tl_d_i[48]), .B(tl_d_i[8]), .X(n_10_net__8_) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(tl_d_i[48]), .B(tl_d_i[27]), .X(
+        n_10_net__27_) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(tl_d_i[48]), .B(tl_d_i[23]), .X(
+        n_10_net__23_) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(tl_d_i[48]), .B(tl_d_i[7]), .X(n_10_net__7_) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(tl_d_i[48]), .B(tl_d_i[30]), .X(
+        n_10_net__30_) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(tl_d_i[48]), .B(tl_d_i[22]), .X(
+        n_10_net__22_) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(tl_d_i[48]), .B(tl_d_i[6]), .X(n_10_net__6_) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(tl_d_i[48]), .B(tl_d_i[19]), .X(
+        n_10_net__19_) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(tl_d_i[48]), .B(tl_d_i[3]), .X(n_10_net__3_) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(tl_d_i[48]), .B(tl_d_i[21]), .X(
+        n_10_net__21_) );
+  sky130_fd_sc_hd__and2_0 U26 ( .A(tl_d_i[48]), .B(tl_d_i[29]), .X(
+        n_10_net__29_) );
+  sky130_fd_sc_hd__and2_0 U27 ( .A(tl_d_i[48]), .B(tl_d_i[5]), .X(n_10_net__5_) );
+  sky130_fd_sc_hd__and2_0 U28 ( .A(tl_d_i[48]), .B(tl_d_i[18]), .X(
+        n_10_net__18_) );
+  sky130_fd_sc_hd__and2_0 U29 ( .A(tl_d_i[48]), .B(tl_d_i[26]), .X(
+        n_10_net__26_) );
+  sky130_fd_sc_hd__and2_0 U30 ( .A(tl_d_i[48]), .B(tl_d_i[2]), .X(n_10_net__2_) );
+  sky130_fd_sc_hd__and2_0 U31 ( .A(tl_d_i[48]), .B(tl_d_i[20]), .X(
+        n_10_net__20_) );
+  sky130_fd_sc_hd__and2_0 U32 ( .A(tl_d_i[48]), .B(tl_d_i[28]), .X(
+        n_10_net__28_) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(tl_d_i[48]), .B(tl_d_i[4]), .X(n_10_net__4_) );
+  sky130_fd_sc_hd__and2_0 U34 ( .A(tl_d_i[48]), .B(tl_d_i[25]), .X(
+        n_10_net__25_) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_00000055_1_00000000_0 ( clk_i, rst_ni, 
+        clr_i, wvalid_i, wready_o, wdata_i, rvalid_o, rready_i, rdata_o, 
+        depth_o );
+  input [84:0] wdata_i;
+  output [84:0] rdata_o;
+  output [0:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+  wire   n4;
+
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(n4), .Y(rvalid_o) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wdata_i[50]), .X(rdata_o[50]) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(wvalid_i), .Y(n4) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wdata_i[23]), .X(rdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wdata_i[3]), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wdata_i[16]), .X(rdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wdata_i[41]), .X(rdata_o[41]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(rready_i), .X(wready_o) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wdata_i[5]), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wdata_i[1]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wdata_i[2]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wdata_i[4]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wdata_i[6]), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wdata_i[7]), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wdata_i[8]), .X(rdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wdata_i[9]), .X(rdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(wdata_i[10]), .X(rdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(wdata_i[11]), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(wdata_i[12]), .X(rdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(wdata_i[13]), .X(rdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wdata_i[14]), .X(rdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wdata_i[15]), .X(rdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wdata_i[17]), .X(rdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wdata_i[18]), .X(rdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wdata_i[19]), .X(rdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wdata_i[20]), .X(rdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wdata_i[21]), .X(rdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wdata_i[22]), .X(rdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wdata_i[24]), .X(rdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wdata_i[25]), .X(rdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wdata_i[26]), .X(rdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wdata_i[27]), .X(rdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(wdata_i[28]), .X(rdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(wdata_i[29]), .X(rdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(wdata_i[30]), .X(rdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(wdata_i[31]), .X(rdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(wdata_i[32]), .X(rdata_o[32]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(wdata_i[33]), .X(rdata_o[33]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(wdata_i[34]), .X(rdata_o[34]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(wdata_i[35]), .X(rdata_o[35]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(wdata_i[36]), .X(rdata_o[36]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(wdata_i[39]), .X(rdata_o[39]) );
+  sky130_fd_sc_hd__clkbuf_1 U45 ( .A(wdata_i[40]), .X(rdata_o[40]) );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(wdata_i[42]), .X(rdata_o[42]) );
+  sky130_fd_sc_hd__clkbuf_1 U47 ( .A(wdata_i[43]), .X(rdata_o[43]) );
+  sky130_fd_sc_hd__clkbuf_1 U48 ( .A(wdata_i[44]), .X(rdata_o[44]) );
+  sky130_fd_sc_hd__clkbuf_1 U49 ( .A(wdata_i[45]), .X(rdata_o[45]) );
+  sky130_fd_sc_hd__clkbuf_1 U50 ( .A(wdata_i[46]), .X(rdata_o[46]) );
+  sky130_fd_sc_hd__clkbuf_1 U51 ( .A(wdata_i[47]), .X(rdata_o[47]) );
+  sky130_fd_sc_hd__clkbuf_1 U52 ( .A(wdata_i[48]), .X(rdata_o[48]) );
+  sky130_fd_sc_hd__clkbuf_1 U72 ( .A(wdata_i[82]), .X(rdata_o[82]) );
+  sky130_fd_sc_hd__clkbuf_1 U73 ( .A(wdata_i[84]), .X(rdata_o[84]) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_00000033_1_00000000_2 ( clk_i, rst_ni, 
+        clr_i, wvalid_i, wready_o, wdata_i, rvalid_o, rready_i, rdata_o, 
+        depth_o );
+  input [50:0] wdata_i;
+  output [50:0] rdata_o;
+  output [0:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wdata_i[17]), .X(rdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wdata_i[32]), .X(rdata_o[32]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wvalid_i), .X(rvalid_o) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wdata_i[2]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wdata_i[3]), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wdata_i[4]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wdata_i[5]), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wdata_i[6]), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wdata_i[7]), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wdata_i[8]), .X(rdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wdata_i[9]), .X(rdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wdata_i[10]), .X(rdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wdata_i[11]), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wdata_i[12]), .X(rdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wdata_i[13]), .X(rdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wdata_i[14]), .X(rdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wdata_i[15]), .X(rdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(wdata_i[16]), .X(rdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(wdata_i[18]), .X(rdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(wdata_i[19]), .X(rdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(wdata_i[20]), .X(rdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wdata_i[21]), .X(rdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wdata_i[22]), .X(rdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wdata_i[23]), .X(rdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wdata_i[24]), .X(rdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wdata_i[25]), .X(rdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wdata_i[26]), .X(rdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wdata_i[27]), .X(rdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wdata_i[28]), .X(rdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wdata_i[29]), .X(rdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wdata_i[30]), .X(rdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wdata_i[31]), .X(rdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wdata_i[33]), .X(rdata_o[33]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(wdata_i[1]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(wdata_i[48]), .X(rdata_o[48]) );
+endmodule
+
+
+module opentitan_soc_top_tlul_fifo_sync_1_1_0_0_0 ( clk_i, rst_ni, tl_h_i, 
+        tl_h_o, tl_d_o, tl_d_i, spare_req_i, spare_req_o, spare_rsp_i, 
+        spare_rsp_o );
+  input [85:0] tl_h_i;
+  output [51:0] tl_h_o;
+  output [85:0] tl_d_o;
+  input [51:0] tl_d_i;
+  input [0:0] spare_req_i;
+  output [0:0] spare_req_o;
+  input [0:0] spare_rsp_i;
+  output [0:0] spare_rsp_o;
+  input clk_i, rst_ni;
+  wire   n_10_net__33_, n_10_net__32_, n_10_net__31_, n_10_net__30_,
+         n_10_net__29_, n_10_net__28_, n_10_net__27_, n_10_net__26_,
+         n_10_net__25_, n_10_net__24_, n_10_net__23_, n_10_net__22_,
+         n_10_net__21_, n_10_net__20_, n_10_net__19_, n_10_net__18_,
+         n_10_net__17_, n_10_net__16_, n_10_net__15_, n_10_net__14_,
+         n_10_net__13_, n_10_net__12_, n_10_net__11_, n_10_net__10_,
+         n_10_net__9_, n_10_net__8_, n_10_net__7_, n_10_net__6_, n_10_net__5_,
+         n_10_net__4_, n_10_net__3_, n_10_net__2_, n20, n21,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55;
+
+  opentitan_soc_top_fifo_sync_00000055_1_00000000_0 reqfifo ( .clk_i(1'b0), 
+        .rst_ni(1'b0), .clr_i(n21), .wvalid_i(tl_h_i[85]), .wready_o(tl_h_o[0]), .wdata_i({tl_h_i[84], n21, tl_h_i[82], n21, n21, n21, n20, n21, n21, n21, 
+        n21, n21, n21, n21, n21, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        tl_h_i[50], 1'b0, tl_h_i[48:39], n21, n21, tl_h_i[36:1], n21}), 
+        .rvalid_o(tl_d_o[85]), .rready_i(tl_d_i[0]), .rdata_o({tl_d_o[84], 
+        SYNOPSYS_UNCONNECTED_1, tl_d_o[82], SYNOPSYS_UNCONNECTED_2, 
+        SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, 
+        SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, 
+        SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10, 
+        SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12, 
+        SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14, 
+        SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16, 
+        SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18, 
+        SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20, 
+        SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22, 
+        SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24, 
+        SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32, tl_d_o[50], 
+        SYNOPSYS_UNCONNECTED_33, tl_d_o[48:39], SYNOPSYS_UNCONNECTED_34, 
+        SYNOPSYS_UNCONNECTED_35, tl_d_o[36:1], SYNOPSYS_UNCONNECTED_36}), 
+        .depth_o(SYNOPSYS_UNCONNECTED_37) );
+  opentitan_soc_top_fifo_sync_00000033_1_00000000_2 rspfifo ( .clk_i(1'b0), 
+        .rst_ni(1'b0), .clr_i(n21), .wvalid_i(tl_d_i[51]), .wdata_i({n21, n21, 
+        tl_d_i[48], n21, n21, n21, 1'b0, n21, n21, n21, n21, n21, n21, n21, 
+        n21, 1'b0, n21, n_10_net__33_, n_10_net__32_, n_10_net__31_, 
+        n_10_net__30_, n_10_net__29_, n_10_net__28_, n_10_net__27_, 
+        n_10_net__26_, n_10_net__25_, n_10_net__24_, n_10_net__23_, 
+        n_10_net__22_, n_10_net__21_, n_10_net__20_, n_10_net__19_, 
+        n_10_net__18_, n_10_net__17_, n_10_net__16_, n_10_net__15_, 
+        n_10_net__14_, n_10_net__13_, n_10_net__12_, n_10_net__11_, 
+        n_10_net__10_, n_10_net__9_, n_10_net__8_, n_10_net__7_, n_10_net__6_, 
+        n_10_net__5_, n_10_net__4_, n_10_net__3_, n_10_net__2_, tl_d_i[1], n21}), .rvalid_o(tl_h_o[51]), .rready_i(n20), .rdata_o({SYNOPSYS_UNCONNECTED_38, 
+        SYNOPSYS_UNCONNECTED_39, tl_h_o[48], SYNOPSYS_UNCONNECTED_40, 
+        SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42, 
+        SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44, 
+        SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46, 
+        SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48, 
+        SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50, 
+        SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52, 
+        SYNOPSYS_UNCONNECTED_53, tl_h_o[33:1], SYNOPSYS_UNCONNECTED_54}), 
+        .depth_o(SYNOPSYS_UNCONNECTED_55) );
+  sky130_fd_sc_hd__conb_1 U2 ( .LO(n21), .HI(n20) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(tl_d_i[48]), .B(tl_d_i[32]), .X(
+        n_10_net__32_) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(tl_d_i[48]), .B(tl_d_i[31]), .X(
+        n_10_net__31_) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(tl_d_i[48]), .B(tl_d_i[16]), .X(
+        n_10_net__16_) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(tl_d_i[48]), .B(tl_d_i[24]), .X(
+        n_10_net__24_) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(tl_d_i[48]), .B(tl_d_i[8]), .X(n_10_net__8_)
+         );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(tl_d_i[48]), .B(tl_d_i[27]), .X(
+        n_10_net__27_) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(tl_d_i[48]), .B(tl_d_i[15]), .X(
+        n_10_net__15_) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(tl_d_i[48]), .B(tl_d_i[23]), .X(
+        n_10_net__23_) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(tl_d_i[48]), .B(tl_d_i[7]), .X(n_10_net__7_) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(tl_d_i[48]), .B(tl_d_i[30]), .X(
+        n_10_net__30_) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(tl_d_i[48]), .B(tl_d_i[14]), .X(
+        n_10_net__14_) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(tl_d_i[48]), .B(tl_d_i[22]), .X(
+        n_10_net__22_) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(tl_d_i[48]), .B(tl_d_i[6]), .X(n_10_net__6_) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(tl_d_i[48]), .B(tl_d_i[11]), .X(
+        n_10_net__11_) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(tl_d_i[48]), .B(tl_d_i[19]), .X(
+        n_10_net__19_) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(tl_d_i[48]), .B(tl_d_i[3]), .X(n_10_net__3_) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(tl_d_i[48]), .B(tl_d_i[21]), .X(
+        n_10_net__21_) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(tl_d_i[48]), .B(tl_d_i[29]), .X(
+        n_10_net__29_) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(tl_d_i[48]), .B(tl_d_i[5]), .X(n_10_net__5_) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(tl_d_i[48]), .B(tl_d_i[13]), .X(
+        n_10_net__13_) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(tl_d_i[48]), .B(tl_d_i[18]), .X(
+        n_10_net__18_) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(tl_d_i[48]), .B(tl_d_i[26]), .X(
+        n_10_net__26_) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(tl_d_i[48]), .B(tl_d_i[2]), .X(n_10_net__2_) );
+  sky130_fd_sc_hd__and2_0 U26 ( .A(tl_d_i[48]), .B(tl_d_i[10]), .X(
+        n_10_net__10_) );
+  sky130_fd_sc_hd__and2_0 U27 ( .A(tl_d_i[48]), .B(tl_d_i[20]), .X(
+        n_10_net__20_) );
+  sky130_fd_sc_hd__and2_0 U28 ( .A(tl_d_i[48]), .B(tl_d_i[28]), .X(
+        n_10_net__28_) );
+  sky130_fd_sc_hd__and2_0 U29 ( .A(tl_d_i[48]), .B(tl_d_i[4]), .X(n_10_net__4_) );
+  sky130_fd_sc_hd__and2_0 U30 ( .A(tl_d_i[48]), .B(tl_d_i[17]), .X(
+        n_10_net__17_) );
+  sky130_fd_sc_hd__and2_0 U31 ( .A(tl_d_i[48]), .B(tl_d_i[9]), .X(n_10_net__9_) );
+  sky130_fd_sc_hd__and2_0 U32 ( .A(tl_d_i[48]), .B(tl_d_i[33]), .X(
+        n_10_net__33_) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(tl_d_i[48]), .B(tl_d_i[25]), .X(
+        n_10_net__25_) );
+  sky130_fd_sc_hd__and2_0 U34 ( .A(tl_d_i[48]), .B(tl_d_i[12]), .X(
+        n_10_net__12_) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_00000055_1_00000000_1 ( clk_i, rst_ni, 
+        clr_i, wvalid_i, wready_o, wdata_i, rvalid_o, rready_i, rdata_o, 
+        depth_o );
+  input [84:0] wdata_i;
+  output [84:0] rdata_o;
+  output [0:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wdata_i[34]), .X(rdata_o[34]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wdata_i[9]), .X(rdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wdata_i[20]), .X(rdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wdata_i[27]), .X(rdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wdata_i[13]), .X(rdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(rready_i), .X(wready_o) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wvalid_i), .X(rvalid_o) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wdata_i[5]), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wdata_i[23]), .X(rdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wdata_i[1]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wdata_i[2]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wdata_i[3]), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wdata_i[4]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wdata_i[6]), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wdata_i[7]), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(wdata_i[8]), .X(rdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(wdata_i[10]), .X(rdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(wdata_i[11]), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(wdata_i[12]), .X(rdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wdata_i[14]), .X(rdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wdata_i[15]), .X(rdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wdata_i[16]), .X(rdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wdata_i[17]), .X(rdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wdata_i[18]), .X(rdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wdata_i[19]), .X(rdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wdata_i[21]), .X(rdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wdata_i[22]), .X(rdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wdata_i[24]), .X(rdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wdata_i[25]), .X(rdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wdata_i[26]), .X(rdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wdata_i[28]), .X(rdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(wdata_i[29]), .X(rdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(wdata_i[30]), .X(rdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(wdata_i[31]), .X(rdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(wdata_i[32]), .X(rdata_o[32]) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(wdata_i[33]), .X(rdata_o[33]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(wdata_i[35]), .X(rdata_o[35]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(wdata_i[36]), .X(rdata_o[36]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(wdata_i[39]), .X(rdata_o[39]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(wdata_i[40]), .X(rdata_o[40]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(wdata_i[41]), .X(rdata_o[41]) );
+  sky130_fd_sc_hd__clkbuf_1 U45 ( .A(wdata_i[42]), .X(rdata_o[42]) );
+  sky130_fd_sc_hd__clkbuf_1 U71 ( .A(wdata_i[82]), .X(rdata_o[82]) );
+  sky130_fd_sc_hd__clkbuf_1 U72 ( .A(wdata_i[84]), .X(rdata_o[84]) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_00000033_1_00000000_1 ( clk_i, rst_ni, 
+        clr_i, wvalid_i, wready_o, wdata_i, rvalid_o, rready_i, rdata_o, 
+        depth_o );
+  input [50:0] wdata_i;
+  output [50:0] rdata_o;
+  output [0:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wdata_i[6]), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wdata_i[25]), .X(rdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wdata_i[2]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wdata_i[3]), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wdata_i[4]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wdata_i[5]), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wdata_i[7]), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wdata_i[8]), .X(rdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wdata_i[9]), .X(rdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wdata_i[10]), .X(rdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wdata_i[11]), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wdata_i[12]), .X(rdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wdata_i[13]), .X(rdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wdata_i[14]), .X(rdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wdata_i[15]), .X(rdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wdata_i[16]), .X(rdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wdata_i[17]), .X(rdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(wdata_i[18]), .X(rdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(wdata_i[19]), .X(rdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(wdata_i[20]), .X(rdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(wdata_i[21]), .X(rdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wdata_i[22]), .X(rdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wdata_i[23]), .X(rdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wdata_i[24]), .X(rdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wdata_i[26]), .X(rdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wdata_i[27]), .X(rdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wdata_i[28]), .X(rdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wdata_i[29]), .X(rdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wdata_i[30]), .X(rdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wdata_i[31]), .X(rdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wdata_i[32]), .X(rdata_o[32]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wdata_i[33]), .X(rdata_o[33]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wdata_i[1]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(wdata_i[48]), .X(rdata_o[48]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(wvalid_i), .X(rvalid_o) );
+endmodule
+
+
+module opentitan_soc_top_tlul_fifo_sync_1_1_0_0_1 ( clk_i, rst_ni, tl_h_i, 
+        tl_h_o, tl_d_o, tl_d_i, spare_req_i, spare_req_o, spare_rsp_i, 
+        spare_rsp_o );
+  input [85:0] tl_h_i;
+  output [51:0] tl_h_o;
+  output [85:0] tl_d_o;
+  input [51:0] tl_d_i;
+  input [0:0] spare_req_i;
+  output [0:0] spare_req_o;
+  input [0:0] spare_rsp_i;
+  output [0:0] spare_rsp_o;
+  input clk_i, rst_ni;
+  wire   n_10_net__33_, n_10_net__32_, n_10_net__31_, n_10_net__30_,
+         n_10_net__29_, n_10_net__28_, n_10_net__27_, n_10_net__26_,
+         n_10_net__25_, n_10_net__24_, n_10_net__23_, n_10_net__22_,
+         n_10_net__21_, n_10_net__20_, n_10_net__19_, n_10_net__18_,
+         n_10_net__17_, n_10_net__16_, n_10_net__15_, n_10_net__14_,
+         n_10_net__13_, n_10_net__12_, n_10_net__11_, n_10_net__10_,
+         n_10_net__9_, n_10_net__8_, n_10_net__7_, n_10_net__6_, n_10_net__5_,
+         n_10_net__4_, n_10_net__3_, n_10_net__2_, n18, n19,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56,
+         SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58,
+         SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60,
+         SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62;
+
+  opentitan_soc_top_fifo_sync_00000055_1_00000000_1 reqfifo ( .clk_i(1'b0), 
+        .rst_ni(1'b0), .clr_i(n19), .wvalid_i(tl_h_i[85]), .wready_o(tl_h_o[0]), .wdata_i({tl_h_i[84], n19, tl_h_i[82], n19, n19, n19, n18, n19, n19, n19, 
+        n19, n19, n19, n19, n19, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, tl_h_i[42:39], n19, 
+        n19, tl_h_i[36:1], n19}), .rvalid_o(tl_d_o[85]), .rready_i(tl_d_i[0]), 
+        .rdata_o({tl_d_o[84], SYNOPSYS_UNCONNECTED_1, tl_d_o[82], 
+        SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, 
+        SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, 
+        SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19, 
+        SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21, 
+        SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23, 
+        SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25, 
+        SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27, 
+        SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29, 
+        SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31, 
+        SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33, 
+        SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35, 
+        SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37, 
+        SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39, 
+        SYNOPSYS_UNCONNECTED_40, tl_d_o[42:39], SYNOPSYS_UNCONNECTED_41, 
+        SYNOPSYS_UNCONNECTED_42, tl_d_o[36:1], SYNOPSYS_UNCONNECTED_43}), 
+        .depth_o(SYNOPSYS_UNCONNECTED_44) );
+  opentitan_soc_top_fifo_sync_00000033_1_00000000_1 rspfifo ( .clk_i(1'b0), 
+        .rst_ni(1'b0), .clr_i(n19), .wvalid_i(tl_d_i[51]), .wdata_i({n19, n19, 
+        tl_d_i[48], n19, n19, n19, 1'b0, n19, n19, n19, n19, n19, n19, n19, 
+        n19, 1'b0, n19, n_10_net__33_, n_10_net__32_, n_10_net__31_, 
+        n_10_net__30_, n_10_net__29_, n_10_net__28_, n_10_net__27_, 
+        n_10_net__26_, n_10_net__25_, n_10_net__24_, n_10_net__23_, 
+        n_10_net__22_, n_10_net__21_, n_10_net__20_, n_10_net__19_, 
+        n_10_net__18_, n_10_net__17_, n_10_net__16_, n_10_net__15_, 
+        n_10_net__14_, n_10_net__13_, n_10_net__12_, n_10_net__11_, 
+        n_10_net__10_, n_10_net__9_, n_10_net__8_, n_10_net__7_, n_10_net__6_, 
+        n_10_net__5_, n_10_net__4_, n_10_net__3_, n_10_net__2_, tl_d_i[1], n19}), .rvalid_o(tl_h_o[51]), .rready_i(n18), .rdata_o({SYNOPSYS_UNCONNECTED_45, 
+        SYNOPSYS_UNCONNECTED_46, tl_h_o[48], SYNOPSYS_UNCONNECTED_47, 
+        SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49, 
+        SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51, 
+        SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53, 
+        SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55, 
+        SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57, 
+        SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59, 
+        SYNOPSYS_UNCONNECTED_60, tl_h_o[33:1], SYNOPSYS_UNCONNECTED_61}), 
+        .depth_o(SYNOPSYS_UNCONNECTED_62) );
+  sky130_fd_sc_hd__conb_1 U2 ( .LO(n19), .HI(n18) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(tl_d_i[48]), .B(tl_d_i[32]), .X(
+        n_10_net__32_) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(tl_d_i[48]), .B(tl_d_i[31]), .X(
+        n_10_net__31_) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(tl_d_i[48]), .B(tl_d_i[16]), .X(
+        n_10_net__16_) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(tl_d_i[48]), .B(tl_d_i[24]), .X(
+        n_10_net__24_) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(tl_d_i[48]), .B(tl_d_i[8]), .X(n_10_net__8_)
+         );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(tl_d_i[48]), .B(tl_d_i[27]), .X(
+        n_10_net__27_) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(tl_d_i[48]), .B(tl_d_i[15]), .X(
+        n_10_net__15_) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(tl_d_i[48]), .B(tl_d_i[23]), .X(
+        n_10_net__23_) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(tl_d_i[48]), .B(tl_d_i[7]), .X(n_10_net__7_) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(tl_d_i[48]), .B(tl_d_i[30]), .X(
+        n_10_net__30_) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(tl_d_i[48]), .B(tl_d_i[14]), .X(
+        n_10_net__14_) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(tl_d_i[48]), .B(tl_d_i[22]), .X(
+        n_10_net__22_) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(tl_d_i[48]), .B(tl_d_i[6]), .X(n_10_net__6_) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(tl_d_i[48]), .B(tl_d_i[11]), .X(
+        n_10_net__11_) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(tl_d_i[48]), .B(tl_d_i[19]), .X(
+        n_10_net__19_) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(tl_d_i[48]), .B(tl_d_i[3]), .X(n_10_net__3_) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(tl_d_i[48]), .B(tl_d_i[21]), .X(
+        n_10_net__21_) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(tl_d_i[48]), .B(tl_d_i[29]), .X(
+        n_10_net__29_) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(tl_d_i[48]), .B(tl_d_i[5]), .X(n_10_net__5_) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(tl_d_i[48]), .B(tl_d_i[13]), .X(
+        n_10_net__13_) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(tl_d_i[48]), .B(tl_d_i[18]), .X(
+        n_10_net__18_) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(tl_d_i[48]), .B(tl_d_i[26]), .X(
+        n_10_net__26_) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(tl_d_i[48]), .B(tl_d_i[2]), .X(n_10_net__2_) );
+  sky130_fd_sc_hd__and2_0 U26 ( .A(tl_d_i[48]), .B(tl_d_i[10]), .X(
+        n_10_net__10_) );
+  sky130_fd_sc_hd__and2_0 U27 ( .A(tl_d_i[48]), .B(tl_d_i[20]), .X(
+        n_10_net__20_) );
+  sky130_fd_sc_hd__and2_0 U28 ( .A(tl_d_i[48]), .B(tl_d_i[28]), .X(
+        n_10_net__28_) );
+  sky130_fd_sc_hd__and2_0 U29 ( .A(tl_d_i[48]), .B(tl_d_i[4]), .X(n_10_net__4_) );
+  sky130_fd_sc_hd__and2_0 U30 ( .A(tl_d_i[48]), .B(tl_d_i[17]), .X(
+        n_10_net__17_) );
+  sky130_fd_sc_hd__and2_0 U31 ( .A(tl_d_i[48]), .B(tl_d_i[9]), .X(n_10_net__9_) );
+  sky130_fd_sc_hd__and2_0 U32 ( .A(tl_d_i[48]), .B(tl_d_i[33]), .X(
+        n_10_net__33_) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(tl_d_i[48]), .B(tl_d_i[25]), .X(
+        n_10_net__25_) );
+  sky130_fd_sc_hd__and2_0 U34 ( .A(tl_d_i[48]), .B(tl_d_i[12]), .X(
+        n_10_net__12_) );
+endmodule
+
+
+module opentitan_soc_top_tlul_err_resp_0 ( clk_i, rst_ni, tl_h_i, tl_h_o );
+  input [85:0] tl_h_i;
+  output [51:0] tl_h_o;
+  input clk_i, rst_ni;
+  wire   n4, n6, n11;
+  wire   [2:0] err_opcode;
+
+  sky130_fd_sc_hd__dfrtp_1 err_req_pending_reg ( .D(tl_h_i[85]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(tl_h_o[51]) );
+  sky130_fd_sc_hd__dfrtp_1 err_opcode_reg_0_ ( .D(n4), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(err_opcode[0]) );
+  sky130_fd_sc_hd__dfstp_1 err_opcode_reg_2_ ( .D(n6), .CLK(clk_i), .SET_B(
+        rst_ni), .Q(err_opcode[2]) );
+  sky130_fd_sc_hd__nor2b_1 U3 ( .B_N(err_opcode[2]), .A(err_opcode[0]), .Y(
+        tl_h_o[48]) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(tl_h_i[85]), .Y(n11) );
+  sky130_fd_sc_hd__a22o_1 U7 ( .A1(n11), .A2(err_opcode[2]), .B1(tl_h_i[85]), 
+        .B2(tl_h_i[84]), .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(tl_h_i[85]), .A2(tl_h_i[82]), .B1(n11), 
+        .B2(err_opcode[0]), .X(n4) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_00000055_1_00000000_2 ( clk_i, rst_ni, 
+        clr_i, wvalid_i, wready_o, wdata_i, rvalid_o, rready_i, rdata_o, 
+        depth_o );
+  input [84:0] wdata_i;
+  output [84:0] rdata_o;
+  output [0:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wdata_i[12]), .X(rdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wdata_i[18]), .X(rdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wdata_i[17]), .X(rdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(rready_i), .X(wready_o) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wvalid_i), .X(rvalid_o) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wdata_i[5]), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wdata_i[23]), .X(rdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wdata_i[1]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wdata_i[2]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wdata_i[3]), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wdata_i[4]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wdata_i[6]), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wdata_i[7]), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wdata_i[8]), .X(rdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wdata_i[9]), .X(rdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wdata_i[10]), .X(rdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(wdata_i[11]), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(wdata_i[13]), .X(rdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(wdata_i[14]), .X(rdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(wdata_i[15]), .X(rdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wdata_i[16]), .X(rdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wdata_i[19]), .X(rdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wdata_i[20]), .X(rdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wdata_i[21]), .X(rdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wdata_i[22]), .X(rdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wdata_i[24]), .X(rdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wdata_i[25]), .X(rdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wdata_i[26]), .X(rdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wdata_i[27]), .X(rdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wdata_i[28]), .X(rdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wdata_i[29]), .X(rdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wdata_i[30]), .X(rdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(wdata_i[31]), .X(rdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(wdata_i[32]), .X(rdata_o[32]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(wdata_i[33]), .X(rdata_o[33]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(wdata_i[34]), .X(rdata_o[34]) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(wdata_i[35]), .X(rdata_o[35]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(wdata_i[36]), .X(rdata_o[36]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(wdata_i[39]), .X(rdata_o[39]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(wdata_i[40]), .X(rdata_o[40]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(wdata_i[41]), .X(rdata_o[41]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(wdata_i[42]), .X(rdata_o[42]) );
+  sky130_fd_sc_hd__clkbuf_1 U71 ( .A(wdata_i[82]), .X(rdata_o[82]) );
+  sky130_fd_sc_hd__clkbuf_1 U72 ( .A(wdata_i[84]), .X(rdata_o[84]) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_00000033_1_00000000_3 ( clk_i, rst_ni, 
+        clr_i, wvalid_i, wready_o, wdata_i, rvalid_o, rready_i, rdata_o, 
+        depth_o );
+  input [50:0] wdata_i;
+  output [50:0] rdata_o;
+  output [0:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wdata_i[2]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wdata_i[21]), .X(rdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wdata_i[3]), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wdata_i[4]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wdata_i[5]), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wdata_i[6]), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wdata_i[7]), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wdata_i[8]), .X(rdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wdata_i[9]), .X(rdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wdata_i[10]), .X(rdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wdata_i[11]), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wdata_i[12]), .X(rdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wdata_i[13]), .X(rdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wdata_i[14]), .X(rdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wdata_i[15]), .X(rdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wdata_i[16]), .X(rdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wdata_i[17]), .X(rdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(wdata_i[18]), .X(rdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(wdata_i[19]), .X(rdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(wdata_i[20]), .X(rdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(wdata_i[22]), .X(rdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wdata_i[23]), .X(rdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wdata_i[24]), .X(rdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wdata_i[25]), .X(rdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wdata_i[26]), .X(rdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wdata_i[27]), .X(rdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wdata_i[28]), .X(rdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wdata_i[29]), .X(rdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wdata_i[30]), .X(rdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wdata_i[31]), .X(rdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wdata_i[32]), .X(rdata_o[32]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wdata_i[33]), .X(rdata_o[33]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wdata_i[1]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(wdata_i[48]), .X(rdata_o[48]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(wvalid_i), .X(rvalid_o) );
+endmodule
+
+
+module opentitan_soc_top_tlul_fifo_sync_1_1_0_0_2 ( clk_i, rst_ni, tl_h_i, 
+        tl_h_o, tl_d_o, tl_d_i, spare_req_i, spare_req_o, spare_rsp_i, 
+        spare_rsp_o );
+  input [85:0] tl_h_i;
+  output [51:0] tl_h_o;
+  output [85:0] tl_d_o;
+  input [51:0] tl_d_i;
+  input [0:0] spare_req_i;
+  output [0:0] spare_req_o;
+  input [0:0] spare_rsp_i;
+  output [0:0] spare_rsp_o;
+  input clk_i, rst_ni;
+  wire   n_10_net__33_, n_10_net__32_, n_10_net__31_, n_10_net__30_,
+         n_10_net__29_, n_10_net__28_, n_10_net__27_, n_10_net__26_,
+         n_10_net__25_, n_10_net__24_, n_10_net__23_, n_10_net__22_,
+         n_10_net__21_, n_10_net__20_, n_10_net__19_, n_10_net__18_,
+         n_10_net__17_, n_10_net__16_, n_10_net__15_, n_10_net__14_,
+         n_10_net__13_, n_10_net__12_, n_10_net__11_, n_10_net__10_,
+         n_10_net__9_, n_10_net__8_, n_10_net__7_, n_10_net__6_, n_10_net__5_,
+         n_10_net__4_, n_10_net__3_, n_10_net__2_, n18, n19,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56,
+         SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58,
+         SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60,
+         SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62;
+
+  opentitan_soc_top_fifo_sync_00000055_1_00000000_2 reqfifo ( .clk_i(1'b0), 
+        .rst_ni(1'b0), .clr_i(n19), .wvalid_i(tl_h_i[85]), .wready_o(tl_h_o[0]), .wdata_i({tl_h_i[84], n19, tl_h_i[82], n19, n19, n19, n18, n19, n19, n19, 
+        n19, n19, n19, n19, n19, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, tl_h_i[42:39], n19, 
+        n19, tl_h_i[36:1], n19}), .rvalid_o(tl_d_o[85]), .rready_i(tl_d_i[0]), 
+        .rdata_o({tl_d_o[84], SYNOPSYS_UNCONNECTED_1, tl_d_o[82], 
+        SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, 
+        SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, 
+        SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19, 
+        SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21, 
+        SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23, 
+        SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25, 
+        SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27, 
+        SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29, 
+        SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31, 
+        SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33, 
+        SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35, 
+        SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37, 
+        SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39, 
+        SYNOPSYS_UNCONNECTED_40, tl_d_o[42:39], SYNOPSYS_UNCONNECTED_41, 
+        SYNOPSYS_UNCONNECTED_42, tl_d_o[36:1], SYNOPSYS_UNCONNECTED_43}), 
+        .depth_o(SYNOPSYS_UNCONNECTED_44) );
+  opentitan_soc_top_fifo_sync_00000033_1_00000000_3 rspfifo ( .clk_i(1'b0), 
+        .rst_ni(1'b0), .clr_i(n19), .wvalid_i(tl_d_i[51]), .wdata_i({n19, n19, 
+        tl_d_i[48], n19, n19, n19, 1'b0, n19, n19, n19, n19, n19, n19, n19, 
+        n19, 1'b0, n19, n_10_net__33_, n_10_net__32_, n_10_net__31_, 
+        n_10_net__30_, n_10_net__29_, n_10_net__28_, n_10_net__27_, 
+        n_10_net__26_, n_10_net__25_, n_10_net__24_, n_10_net__23_, 
+        n_10_net__22_, n_10_net__21_, n_10_net__20_, n_10_net__19_, 
+        n_10_net__18_, n_10_net__17_, n_10_net__16_, n_10_net__15_, 
+        n_10_net__14_, n_10_net__13_, n_10_net__12_, n_10_net__11_, 
+        n_10_net__10_, n_10_net__9_, n_10_net__8_, n_10_net__7_, n_10_net__6_, 
+        n_10_net__5_, n_10_net__4_, n_10_net__3_, n_10_net__2_, tl_d_i[1], n19}), .rvalid_o(tl_h_o[51]), .rready_i(n18), .rdata_o({SYNOPSYS_UNCONNECTED_45, 
+        SYNOPSYS_UNCONNECTED_46, tl_h_o[48], SYNOPSYS_UNCONNECTED_47, 
+        SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49, 
+        SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51, 
+        SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53, 
+        SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55, 
+        SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57, 
+        SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59, 
+        SYNOPSYS_UNCONNECTED_60, tl_h_o[33:1], SYNOPSYS_UNCONNECTED_61}), 
+        .depth_o(SYNOPSYS_UNCONNECTED_62) );
+  sky130_fd_sc_hd__conb_1 U2 ( .LO(n19), .HI(n18) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(tl_d_i[48]), .B(tl_d_i[32]), .X(
+        n_10_net__32_) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(tl_d_i[48]), .B(tl_d_i[31]), .X(
+        n_10_net__31_) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(tl_d_i[48]), .B(tl_d_i[16]), .X(
+        n_10_net__16_) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(tl_d_i[48]), .B(tl_d_i[24]), .X(
+        n_10_net__24_) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(tl_d_i[48]), .B(tl_d_i[8]), .X(n_10_net__8_)
+         );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(tl_d_i[48]), .B(tl_d_i[27]), .X(
+        n_10_net__27_) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(tl_d_i[48]), .B(tl_d_i[15]), .X(
+        n_10_net__15_) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(tl_d_i[48]), .B(tl_d_i[23]), .X(
+        n_10_net__23_) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(tl_d_i[48]), .B(tl_d_i[7]), .X(n_10_net__7_) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(tl_d_i[48]), .B(tl_d_i[30]), .X(
+        n_10_net__30_) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(tl_d_i[48]), .B(tl_d_i[14]), .X(
+        n_10_net__14_) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(tl_d_i[48]), .B(tl_d_i[22]), .X(
+        n_10_net__22_) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(tl_d_i[48]), .B(tl_d_i[6]), .X(n_10_net__6_) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(tl_d_i[48]), .B(tl_d_i[11]), .X(
+        n_10_net__11_) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(tl_d_i[48]), .B(tl_d_i[19]), .X(
+        n_10_net__19_) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(tl_d_i[48]), .B(tl_d_i[3]), .X(n_10_net__3_) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(tl_d_i[48]), .B(tl_d_i[21]), .X(
+        n_10_net__21_) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(tl_d_i[48]), .B(tl_d_i[29]), .X(
+        n_10_net__29_) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(tl_d_i[48]), .B(tl_d_i[5]), .X(n_10_net__5_) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(tl_d_i[48]), .B(tl_d_i[13]), .X(
+        n_10_net__13_) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(tl_d_i[48]), .B(tl_d_i[18]), .X(
+        n_10_net__18_) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(tl_d_i[48]), .B(tl_d_i[26]), .X(
+        n_10_net__26_) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(tl_d_i[48]), .B(tl_d_i[2]), .X(n_10_net__2_) );
+  sky130_fd_sc_hd__and2_0 U26 ( .A(tl_d_i[48]), .B(tl_d_i[10]), .X(
+        n_10_net__10_) );
+  sky130_fd_sc_hd__and2_0 U27 ( .A(tl_d_i[48]), .B(tl_d_i[20]), .X(
+        n_10_net__20_) );
+  sky130_fd_sc_hd__and2_0 U28 ( .A(tl_d_i[48]), .B(tl_d_i[28]), .X(
+        n_10_net__28_) );
+  sky130_fd_sc_hd__and2_0 U29 ( .A(tl_d_i[48]), .B(tl_d_i[4]), .X(n_10_net__4_) );
+  sky130_fd_sc_hd__and2_0 U30 ( .A(tl_d_i[48]), .B(tl_d_i[17]), .X(
+        n_10_net__17_) );
+  sky130_fd_sc_hd__and2_0 U31 ( .A(tl_d_i[48]), .B(tl_d_i[9]), .X(n_10_net__9_) );
+  sky130_fd_sc_hd__and2_0 U32 ( .A(tl_d_i[48]), .B(tl_d_i[33]), .X(
+        n_10_net__33_) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(tl_d_i[48]), .B(tl_d_i[25]), .X(
+        n_10_net__25_) );
+  sky130_fd_sc_hd__and2_0 U34 ( .A(tl_d_i[48]), .B(tl_d_i[12]), .X(
+        n_10_net__12_) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_00000055_1_00000000_3 ( clk_i, rst_ni, 
+        clr_i, wvalid_i, wready_o, wdata_i, rvalid_o, rready_i, rdata_o, 
+        depth_o );
+  input [84:0] wdata_i;
+  output [84:0] rdata_o;
+  output [0:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wdata_i[44]), .X(rdata_o[44]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wdata_i[2]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wdata_i[45]), .X(rdata_o[45]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wdata_i[18]), .X(rdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wdata_i[40]), .X(rdata_o[40]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wdata_i[41]), .X(rdata_o[41]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wdata_i[39]), .X(rdata_o[39]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wdata_i[84]), .X(rdata_o[84]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(rready_i), .X(wready_o) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wvalid_i), .X(rvalid_o) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wdata_i[5]), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wdata_i[23]), .X(rdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wdata_i[1]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wdata_i[3]), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wdata_i[4]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(wdata_i[6]), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(wdata_i[7]), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(wdata_i[8]), .X(rdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(wdata_i[9]), .X(rdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wdata_i[10]), .X(rdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wdata_i[11]), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wdata_i[12]), .X(rdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wdata_i[13]), .X(rdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wdata_i[14]), .X(rdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wdata_i[15]), .X(rdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wdata_i[16]), .X(rdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wdata_i[17]), .X(rdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wdata_i[19]), .X(rdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wdata_i[20]), .X(rdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wdata_i[21]), .X(rdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wdata_i[22]), .X(rdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(wdata_i[24]), .X(rdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(wdata_i[25]), .X(rdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(wdata_i[26]), .X(rdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(wdata_i[27]), .X(rdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(wdata_i[28]), .X(rdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(wdata_i[29]), .X(rdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(wdata_i[30]), .X(rdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(wdata_i[31]), .X(rdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(wdata_i[32]), .X(rdata_o[32]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(wdata_i[33]), .X(rdata_o[33]) );
+  sky130_fd_sc_hd__clkbuf_1 U45 ( .A(wdata_i[34]), .X(rdata_o[34]) );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(wdata_i[35]), .X(rdata_o[35]) );
+  sky130_fd_sc_hd__clkbuf_1 U47 ( .A(wdata_i[36]), .X(rdata_o[36]) );
+  sky130_fd_sc_hd__clkbuf_1 U48 ( .A(wdata_i[42]), .X(rdata_o[42]) );
+  sky130_fd_sc_hd__clkbuf_1 U49 ( .A(wdata_i[43]), .X(rdata_o[43]) );
+  sky130_fd_sc_hd__clkbuf_1 U72 ( .A(wdata_i[82]), .X(rdata_o[82]) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_00000033_1_00000000_4 ( clk_i, rst_ni, 
+        clr_i, wvalid_i, wready_o, wdata_i, rvalid_o, rready_i, rdata_o, 
+        depth_o );
+  input [50:0] wdata_i;
+  output [50:0] rdata_o;
+  output [0:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wdata_i[2]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wdata_i[14]), .X(rdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wdata_i[29]), .X(rdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wdata_i[3]), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wdata_i[4]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wdata_i[5]), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wdata_i[6]), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wdata_i[7]), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wdata_i[8]), .X(rdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wdata_i[9]), .X(rdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wdata_i[10]), .X(rdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wdata_i[11]), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wdata_i[12]), .X(rdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wdata_i[13]), .X(rdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wdata_i[15]), .X(rdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wdata_i[16]), .X(rdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(wdata_i[17]), .X(rdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(wdata_i[18]), .X(rdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(wdata_i[19]), .X(rdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(wdata_i[20]), .X(rdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wdata_i[21]), .X(rdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wdata_i[22]), .X(rdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wdata_i[23]), .X(rdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wdata_i[24]), .X(rdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wdata_i[25]), .X(rdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wdata_i[26]), .X(rdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wdata_i[27]), .X(rdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wdata_i[28]), .X(rdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wdata_i[30]), .X(rdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wdata_i[31]), .X(rdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wdata_i[32]), .X(rdata_o[32]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wdata_i[33]), .X(rdata_o[33]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(wdata_i[1]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(wdata_i[48]), .X(rdata_o[48]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(wvalid_i), .X(rvalid_o) );
+endmodule
+
+
+module opentitan_soc_top_tlul_fifo_sync_1_1_0_0_3 ( clk_i, rst_ni, tl_h_i, 
+        tl_h_o, tl_d_o, tl_d_i, spare_req_i, spare_req_o, spare_rsp_i, 
+        spare_rsp_o );
+  input [85:0] tl_h_i;
+  output [51:0] tl_h_o;
+  output [85:0] tl_d_o;
+  input [51:0] tl_d_i;
+  input [0:0] spare_req_i;
+  output [0:0] spare_req_o;
+  input [0:0] spare_rsp_i;
+  output [0:0] spare_rsp_o;
+  input clk_i, rst_ni;
+  wire   n_10_net__33_, n_10_net__32_, n_10_net__31_, n_10_net__30_,
+         n_10_net__29_, n_10_net__28_, n_10_net__27_, n_10_net__26_,
+         n_10_net__25_, n_10_net__24_, n_10_net__23_, n_10_net__22_,
+         n_10_net__21_, n_10_net__20_, n_10_net__19_, n_10_net__18_,
+         n_10_net__17_, n_10_net__16_, n_10_net__15_, n_10_net__14_,
+         n_10_net__13_, n_10_net__12_, n_10_net__11_, n_10_net__10_,
+         n_10_net__9_, n_10_net__8_, n_10_net__7_, n_10_net__6_, n_10_net__5_,
+         n_10_net__4_, n_10_net__3_, n_10_net__2_, n18, n19,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56,
+         SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58,
+         SYNOPSYS_UNCONNECTED_59;
+
+  opentitan_soc_top_fifo_sync_00000055_1_00000000_3 reqfifo ( .clk_i(1'b0), 
+        .rst_ni(1'b0), .clr_i(n19), .wvalid_i(tl_h_i[85]), .wready_o(tl_h_o[0]), .wdata_i({tl_h_i[84], n19, tl_h_i[82], n19, n19, n19, n18, n19, n19, n19, 
+        n19, n19, n19, n19, n19, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, tl_h_i[45:39], n19, n19, tl_h_i[36:1], 
+        n19}), .rvalid_o(tl_d_o[85]), .rready_i(tl_d_i[0]), .rdata_o({
+        tl_d_o[84], SYNOPSYS_UNCONNECTED_1, tl_d_o[82], SYNOPSYS_UNCONNECTED_2, 
+        SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, 
+        SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, 
+        SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10, 
+        SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12, 
+        SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14, 
+        SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16, 
+        SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18, 
+        SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20, 
+        SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22, 
+        SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24, 
+        SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32, 
+        SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34, 
+        SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36, 
+        SYNOPSYS_UNCONNECTED_37, tl_d_o[45:39], SYNOPSYS_UNCONNECTED_38, 
+        SYNOPSYS_UNCONNECTED_39, tl_d_o[36:1], SYNOPSYS_UNCONNECTED_40}), 
+        .depth_o(SYNOPSYS_UNCONNECTED_41) );
+  opentitan_soc_top_fifo_sync_00000033_1_00000000_4 rspfifo ( .clk_i(1'b0), 
+        .rst_ni(1'b0), .clr_i(n19), .wvalid_i(tl_d_i[51]), .wdata_i({n19, n19, 
+        tl_d_i[48], n19, n19, n19, 1'b0, n19, n19, n19, n19, n19, n19, n19, 
+        n19, 1'b0, n19, n_10_net__33_, n_10_net__32_, n_10_net__31_, 
+        n_10_net__30_, n_10_net__29_, n_10_net__28_, n_10_net__27_, 
+        n_10_net__26_, n_10_net__25_, n_10_net__24_, n_10_net__23_, 
+        n_10_net__22_, n_10_net__21_, n_10_net__20_, n_10_net__19_, 
+        n_10_net__18_, n_10_net__17_, n_10_net__16_, n_10_net__15_, 
+        n_10_net__14_, n_10_net__13_, n_10_net__12_, n_10_net__11_, 
+        n_10_net__10_, n_10_net__9_, n_10_net__8_, n_10_net__7_, n_10_net__6_, 
+        n_10_net__5_, n_10_net__4_, n_10_net__3_, n_10_net__2_, tl_d_i[1], n19}), .rvalid_o(tl_h_o[51]), .rready_i(n18), .rdata_o({SYNOPSYS_UNCONNECTED_42, 
+        SYNOPSYS_UNCONNECTED_43, tl_h_o[48], SYNOPSYS_UNCONNECTED_44, 
+        SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46, 
+        SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48, 
+        SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50, 
+        SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52, 
+        SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54, 
+        SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56, 
+        SYNOPSYS_UNCONNECTED_57, tl_h_o[33:1], SYNOPSYS_UNCONNECTED_58}), 
+        .depth_o(SYNOPSYS_UNCONNECTED_59) );
+  sky130_fd_sc_hd__conb_1 U2 ( .LO(n19), .HI(n18) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(tl_d_i[48]), .B(tl_d_i[32]), .X(
+        n_10_net__32_) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(tl_d_i[48]), .B(tl_d_i[31]), .X(
+        n_10_net__31_) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(tl_d_i[48]), .B(tl_d_i[16]), .X(
+        n_10_net__16_) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(tl_d_i[48]), .B(tl_d_i[24]), .X(
+        n_10_net__24_) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(tl_d_i[48]), .B(tl_d_i[8]), .X(n_10_net__8_)
+         );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(tl_d_i[48]), .B(tl_d_i[27]), .X(
+        n_10_net__27_) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(tl_d_i[48]), .B(tl_d_i[15]), .X(
+        n_10_net__15_) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(tl_d_i[48]), .B(tl_d_i[23]), .X(
+        n_10_net__23_) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(tl_d_i[48]), .B(tl_d_i[7]), .X(n_10_net__7_) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(tl_d_i[48]), .B(tl_d_i[30]), .X(
+        n_10_net__30_) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(tl_d_i[48]), .B(tl_d_i[14]), .X(
+        n_10_net__14_) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(tl_d_i[48]), .B(tl_d_i[22]), .X(
+        n_10_net__22_) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(tl_d_i[48]), .B(tl_d_i[6]), .X(n_10_net__6_) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(tl_d_i[48]), .B(tl_d_i[11]), .X(
+        n_10_net__11_) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(tl_d_i[48]), .B(tl_d_i[19]), .X(
+        n_10_net__19_) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(tl_d_i[48]), .B(tl_d_i[3]), .X(n_10_net__3_) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(tl_d_i[48]), .B(tl_d_i[21]), .X(
+        n_10_net__21_) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(tl_d_i[48]), .B(tl_d_i[29]), .X(
+        n_10_net__29_) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(tl_d_i[48]), .B(tl_d_i[5]), .X(n_10_net__5_) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(tl_d_i[48]), .B(tl_d_i[13]), .X(
+        n_10_net__13_) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(tl_d_i[48]), .B(tl_d_i[18]), .X(
+        n_10_net__18_) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(tl_d_i[48]), .B(tl_d_i[26]), .X(
+        n_10_net__26_) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(tl_d_i[48]), .B(tl_d_i[2]), .X(n_10_net__2_) );
+  sky130_fd_sc_hd__and2_0 U26 ( .A(tl_d_i[48]), .B(tl_d_i[10]), .X(
+        n_10_net__10_) );
+  sky130_fd_sc_hd__and2_0 U27 ( .A(tl_d_i[48]), .B(tl_d_i[20]), .X(
+        n_10_net__20_) );
+  sky130_fd_sc_hd__and2_0 U28 ( .A(tl_d_i[48]), .B(tl_d_i[28]), .X(
+        n_10_net__28_) );
+  sky130_fd_sc_hd__and2_0 U29 ( .A(tl_d_i[48]), .B(tl_d_i[4]), .X(n_10_net__4_) );
+  sky130_fd_sc_hd__and2_0 U30 ( .A(tl_d_i[48]), .B(tl_d_i[17]), .X(
+        n_10_net__17_) );
+  sky130_fd_sc_hd__and2_0 U31 ( .A(tl_d_i[48]), .B(tl_d_i[9]), .X(n_10_net__9_) );
+  sky130_fd_sc_hd__and2_0 U32 ( .A(tl_d_i[48]), .B(tl_d_i[33]), .X(
+        n_10_net__33_) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(tl_d_i[48]), .B(tl_d_i[25]), .X(
+        n_10_net__25_) );
+  sky130_fd_sc_hd__and2_0 U34 ( .A(tl_d_i[48]), .B(tl_d_i[12]), .X(
+        n_10_net__12_) );
+endmodule
+
+
+
+    module opentitan_soc_top_tlul_socket_1n_11_0_0_0000000000000_0000000000000_DP_OP_12J5_122_1926_J5_0_0 ( 
+        I1, I2, O1 );
+  input [16:0] I1;
+  output [16:0] O1;
+  input I2;
+  wire   n2, n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16,
+         n56;
+
+  sky130_fd_sc_hd__fa_1 U3 ( .A(I1[15]), .B(I2), .CIN(n3), .COUT(n2), .SUM(
+        O1[15]) );
+  sky130_fd_sc_hd__fa_1 U4 ( .A(I1[14]), .B(I2), .CIN(n4), .COUT(n3), .SUM(
+        O1[14]) );
+  sky130_fd_sc_hd__fa_1 U5 ( .A(I1[13]), .B(I2), .CIN(n5), .COUT(n4), .SUM(
+        O1[13]) );
+  sky130_fd_sc_hd__fa_1 U6 ( .A(I1[12]), .B(I2), .CIN(n6), .COUT(n5), .SUM(
+        O1[12]) );
+  sky130_fd_sc_hd__fa_1 U7 ( .A(I1[11]), .B(I2), .CIN(n7), .COUT(n6), .SUM(
+        O1[11]) );
+  sky130_fd_sc_hd__fa_1 U8 ( .A(I1[10]), .B(I2), .CIN(n8), .COUT(n7), .SUM(
+        O1[10]) );
+  sky130_fd_sc_hd__fa_1 U9 ( .A(I1[9]), .B(I2), .CIN(n9), .COUT(n8), .SUM(
+        O1[9]) );
+  sky130_fd_sc_hd__fa_1 U10 ( .A(I1[8]), .B(I2), .CIN(n10), .COUT(n9), .SUM(
+        O1[8]) );
+  sky130_fd_sc_hd__fa_1 U11 ( .A(I1[7]), .B(I2), .CIN(n11), .COUT(n10), .SUM(
+        O1[7]) );
+  sky130_fd_sc_hd__fa_1 U12 ( .A(I1[6]), .B(I2), .CIN(n12), .COUT(n11), .SUM(
+        O1[6]) );
+  sky130_fd_sc_hd__fa_1 U13 ( .A(I1[5]), .B(I2), .CIN(n13), .COUT(n12), .SUM(
+        O1[5]) );
+  sky130_fd_sc_hd__fa_1 U14 ( .A(I1[4]), .B(I2), .CIN(n14), .COUT(n13), .SUM(
+        O1[4]) );
+  sky130_fd_sc_hd__fa_1 U15 ( .A(I1[3]), .B(I2), .CIN(n15), .COUT(n14), .SUM(
+        O1[3]) );
+  sky130_fd_sc_hd__fa_1 U16 ( .A(I1[2]), .B(I2), .CIN(n16), .COUT(n15), .SUM(
+        O1[2]) );
+  sky130_fd_sc_hd__fa_1 U17 ( .A(I1[1]), .B(I2), .CIN(I1[0]), .COUT(n16), 
+        .SUM(O1[1]) );
+  sky130_fd_sc_hd__clkinv_1 U21 ( .A(I1[0]), .Y(O1[0]) );
+  sky130_fd_sc_hd__xor2_1 U22 ( .A(I2), .B(I1[16]), .X(n56) );
+  sky130_fd_sc_hd__xor2_1 U23 ( .A(n56), .B(n2), .X(O1[16]) );
+endmodule
+
+
+
+    module opentitan_soc_top_tlul_socket_1n_11_0_0_0000000000000_0000000000000_0 ( 
+        clk_i, rst_ni, tl_h_i, tl_h_o, tl_d_o, tl_d_i, dev_select_i );
+  input [85:0] tl_h_i;
+  output [51:0] tl_h_o;
+  output [945:0] tl_d_o;
+  input [571:0] tl_d_i;
+  input [3:0] dev_select_i;
+  input clk_i, rst_ni;
+  wire   accept_t_req, N90, N91, N92, N93, N94, N95, N96, N97, N98, N99, N100,
+         N101, N102, N103, N104, N105, N106, tl_u_o_0__a_valid_,
+         tl_u_o_1__a_valid_, tl_u_o_9__a_valid_, tl_u_o_10__a_valid_,
+         tl_u_o_11__a_valid_, tl_t_p_d_valid_, tl_t_p_d_opcode__0_,
+         tl_t_p_d_data__31_, tl_t_p_d_data__30_, tl_t_p_d_data__29_,
+         tl_t_p_d_data__28_, tl_t_p_d_data__27_, tl_t_p_d_data__26_,
+         tl_t_p_d_data__25_, tl_t_p_d_data__24_, tl_t_p_d_data__23_,
+         tl_t_p_d_data__22_, tl_t_p_d_data__21_, tl_t_p_d_data__20_,
+         tl_t_p_d_data__19_, tl_t_p_d_data__18_, tl_t_p_d_data__17_,
+         tl_t_p_d_data__16_, tl_t_p_d_data__15_, tl_t_p_d_data__14_,
+         tl_t_p_d_data__13_, tl_t_p_d_data__12_, tl_t_p_d_data__11_,
+         tl_t_p_d_data__10_, tl_t_p_d_data__9_, tl_t_p_d_data__8_,
+         tl_t_p_d_data__7_, tl_t_p_d_data__6_, tl_t_p_d_data__5_,
+         tl_t_p_d_data__4_, tl_t_p_d_data__3_, tl_t_p_d_data__2_,
+         tl_t_p_d_data__1_, tl_t_p_d_data__0_, tl_t_p_d_error_, n157, n602,
+         n159, n160, n161, n162, n163, n164, n165, n166, n167, n168, n169,
+         n170, n171, n172, n173, n174, n175, n176, n177, n178, n400, n401,
+         n402, n403, n404, n405, n406, n407, n408, n409, n410, n411, n412,
+         n413, n414, n415, n416, n417, n418, n419, n420, n421, n424, n425,
+         n426, n427, n428, n429, n430, n431, n432, n433, n434, n435, n436,
+         n437, n438, n439, n440, n441, n442, n443, n444, n445, n446, n447,
+         n448, n449, n450, n451, n452, n453, n454, n455, n456, n457, n458,
+         n459, n460, n461, n462, n463, n464, n465, n466, n467, n468, n469,
+         n470, n471, n472, n473, n474, n475, n476, n479, n480, n481, n482,
+         n483, n484, n485, n486, n487, n488, n489, n490, n491, n492, n493,
+         n494, n495, n496, n497, n498, n499, n500, n501, n502, n503, n504,
+         n505, n506, n507, n508, n509, n510, n511, n512, n513, n514, n515,
+         n516, n517, n518, n519, n520, n521, n522, n523, n524, n525, n526,
+         n527, n528, n529, n530, n531, n532, n533, n534, n535, n536, n537,
+         n538, n539, n540, n541, n542, n543, n544, n545, n546, n547, n548,
+         n549, n550, n551, n552, n553, n554, n555, n556, n557, n558, n559,
+         n560, n561, n562, n563, n564, n565, n566, n567, n568, n569, n570,
+         n571, n572, n573, n574, n575, n577, n578, n579, n583, n584, n585,
+         n589, n590, n591, n592, n593, n594, n595, n596, n597, n598, n601,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56,
+         SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58,
+         SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60,
+         SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62,
+         SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64,
+         SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66,
+         SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68,
+         SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70,
+         SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72,
+         SYNOPSYS_UNCONNECTED_73, SYNOPSYS_UNCONNECTED_74,
+         SYNOPSYS_UNCONNECTED_75, SYNOPSYS_UNCONNECTED_76,
+         SYNOPSYS_UNCONNECTED_77, SYNOPSYS_UNCONNECTED_78,
+         SYNOPSYS_UNCONNECTED_79, SYNOPSYS_UNCONNECTED_80,
+         SYNOPSYS_UNCONNECTED_81, SYNOPSYS_UNCONNECTED_82,
+         SYNOPSYS_UNCONNECTED_83, SYNOPSYS_UNCONNECTED_84,
+         SYNOPSYS_UNCONNECTED_85, SYNOPSYS_UNCONNECTED_86,
+         SYNOPSYS_UNCONNECTED_87, SYNOPSYS_UNCONNECTED_88,
+         SYNOPSYS_UNCONNECTED_89, SYNOPSYS_UNCONNECTED_90,
+         SYNOPSYS_UNCONNECTED_91, SYNOPSYS_UNCONNECTED_92,
+         SYNOPSYS_UNCONNECTED_93, SYNOPSYS_UNCONNECTED_94,
+         SYNOPSYS_UNCONNECTED_95, SYNOPSYS_UNCONNECTED_96,
+         SYNOPSYS_UNCONNECTED_97, SYNOPSYS_UNCONNECTED_98,
+         SYNOPSYS_UNCONNECTED_99, SYNOPSYS_UNCONNECTED_100,
+         SYNOPSYS_UNCONNECTED_101, SYNOPSYS_UNCONNECTED_102,
+         SYNOPSYS_UNCONNECTED_103, SYNOPSYS_UNCONNECTED_104,
+         SYNOPSYS_UNCONNECTED_105, SYNOPSYS_UNCONNECTED_106,
+         SYNOPSYS_UNCONNECTED_107, SYNOPSYS_UNCONNECTED_108,
+         SYNOPSYS_UNCONNECTED_109, SYNOPSYS_UNCONNECTED_110,
+         SYNOPSYS_UNCONNECTED_111, SYNOPSYS_UNCONNECTED_112,
+         SYNOPSYS_UNCONNECTED_113, SYNOPSYS_UNCONNECTED_114,
+         SYNOPSYS_UNCONNECTED_115, SYNOPSYS_UNCONNECTED_116,
+         SYNOPSYS_UNCONNECTED_117, SYNOPSYS_UNCONNECTED_118,
+         SYNOPSYS_UNCONNECTED_119, SYNOPSYS_UNCONNECTED_120,
+         SYNOPSYS_UNCONNECTED_121, SYNOPSYS_UNCONNECTED_122,
+         SYNOPSYS_UNCONNECTED_123, SYNOPSYS_UNCONNECTED_124,
+         SYNOPSYS_UNCONNECTED_125, SYNOPSYS_UNCONNECTED_126,
+         SYNOPSYS_UNCONNECTED_127, SYNOPSYS_UNCONNECTED_128,
+         SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_130,
+         SYNOPSYS_UNCONNECTED_131, SYNOPSYS_UNCONNECTED_132,
+         SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134,
+         SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136,
+         SYNOPSYS_UNCONNECTED_137, SYNOPSYS_UNCONNECTED_138,
+         SYNOPSYS_UNCONNECTED_139, SYNOPSYS_UNCONNECTED_140,
+         SYNOPSYS_UNCONNECTED_141, SYNOPSYS_UNCONNECTED_142,
+         SYNOPSYS_UNCONNECTED_143, SYNOPSYS_UNCONNECTED_144,
+         SYNOPSYS_UNCONNECTED_145, SYNOPSYS_UNCONNECTED_146,
+         SYNOPSYS_UNCONNECTED_147, SYNOPSYS_UNCONNECTED_148,
+         SYNOPSYS_UNCONNECTED_149, SYNOPSYS_UNCONNECTED_150,
+         SYNOPSYS_UNCONNECTED_151, SYNOPSYS_UNCONNECTED_152,
+         SYNOPSYS_UNCONNECTED_153, SYNOPSYS_UNCONNECTED_154,
+         SYNOPSYS_UNCONNECTED_155, SYNOPSYS_UNCONNECTED_156,
+         SYNOPSYS_UNCONNECTED_157, SYNOPSYS_UNCONNECTED_158,
+         SYNOPSYS_UNCONNECTED_159, SYNOPSYS_UNCONNECTED_160,
+         SYNOPSYS_UNCONNECTED_161, SYNOPSYS_UNCONNECTED_162,
+         SYNOPSYS_UNCONNECTED_163, SYNOPSYS_UNCONNECTED_164,
+         SYNOPSYS_UNCONNECTED_165, SYNOPSYS_UNCONNECTED_166,
+         SYNOPSYS_UNCONNECTED_167, SYNOPSYS_UNCONNECTED_168,
+         SYNOPSYS_UNCONNECTED_169, SYNOPSYS_UNCONNECTED_170,
+         SYNOPSYS_UNCONNECTED_171, SYNOPSYS_UNCONNECTED_172,
+         SYNOPSYS_UNCONNECTED_173, SYNOPSYS_UNCONNECTED_174,
+         SYNOPSYS_UNCONNECTED_175, SYNOPSYS_UNCONNECTED_176,
+         SYNOPSYS_UNCONNECTED_177, SYNOPSYS_UNCONNECTED_178,
+         SYNOPSYS_UNCONNECTED_179, SYNOPSYS_UNCONNECTED_180,
+         SYNOPSYS_UNCONNECTED_181, SYNOPSYS_UNCONNECTED_182,
+         SYNOPSYS_UNCONNECTED_183, SYNOPSYS_UNCONNECTED_184,
+         SYNOPSYS_UNCONNECTED_185, SYNOPSYS_UNCONNECTED_186,
+         SYNOPSYS_UNCONNECTED_187, SYNOPSYS_UNCONNECTED_188,
+         SYNOPSYS_UNCONNECTED_189, SYNOPSYS_UNCONNECTED_190,
+         SYNOPSYS_UNCONNECTED_191, SYNOPSYS_UNCONNECTED_192,
+         SYNOPSYS_UNCONNECTED_193, SYNOPSYS_UNCONNECTED_194,
+         SYNOPSYS_UNCONNECTED_195, SYNOPSYS_UNCONNECTED_196,
+         SYNOPSYS_UNCONNECTED_197, SYNOPSYS_UNCONNECTED_198,
+         SYNOPSYS_UNCONNECTED_199, SYNOPSYS_UNCONNECTED_200,
+         SYNOPSYS_UNCONNECTED_201, SYNOPSYS_UNCONNECTED_202,
+         SYNOPSYS_UNCONNECTED_203, SYNOPSYS_UNCONNECTED_204,
+         SYNOPSYS_UNCONNECTED_205, SYNOPSYS_UNCONNECTED_206,
+         SYNOPSYS_UNCONNECTED_207, SYNOPSYS_UNCONNECTED_208,
+         SYNOPSYS_UNCONNECTED_209, SYNOPSYS_UNCONNECTED_210,
+         SYNOPSYS_UNCONNECTED_211, SYNOPSYS_UNCONNECTED_212,
+         SYNOPSYS_UNCONNECTED_213, SYNOPSYS_UNCONNECTED_214,
+         SYNOPSYS_UNCONNECTED_215, SYNOPSYS_UNCONNECTED_216,
+         SYNOPSYS_UNCONNECTED_217, SYNOPSYS_UNCONNECTED_218,
+         SYNOPSYS_UNCONNECTED_219, SYNOPSYS_UNCONNECTED_220,
+         SYNOPSYS_UNCONNECTED_221, SYNOPSYS_UNCONNECTED_222,
+         SYNOPSYS_UNCONNECTED_223, SYNOPSYS_UNCONNECTED_224,
+         SYNOPSYS_UNCONNECTED_225, SYNOPSYS_UNCONNECTED_226,
+         SYNOPSYS_UNCONNECTED_227, SYNOPSYS_UNCONNECTED_228,
+         SYNOPSYS_UNCONNECTED_229, SYNOPSYS_UNCONNECTED_230,
+         SYNOPSYS_UNCONNECTED_231, SYNOPSYS_UNCONNECTED_232,
+         SYNOPSYS_UNCONNECTED_233, SYNOPSYS_UNCONNECTED_234,
+         SYNOPSYS_UNCONNECTED_235, SYNOPSYS_UNCONNECTED_236,
+         SYNOPSYS_UNCONNECTED_237, SYNOPSYS_UNCONNECTED_238,
+         SYNOPSYS_UNCONNECTED_239, SYNOPSYS_UNCONNECTED_240,
+         SYNOPSYS_UNCONNECTED_241, SYNOPSYS_UNCONNECTED_242,
+         SYNOPSYS_UNCONNECTED_243, SYNOPSYS_UNCONNECTED_244,
+         SYNOPSYS_UNCONNECTED_245, SYNOPSYS_UNCONNECTED_246,
+         SYNOPSYS_UNCONNECTED_247, SYNOPSYS_UNCONNECTED_248,
+         SYNOPSYS_UNCONNECTED_249, SYNOPSYS_UNCONNECTED_250,
+         SYNOPSYS_UNCONNECTED_251, SYNOPSYS_UNCONNECTED_252,
+         SYNOPSYS_UNCONNECTED_253, SYNOPSYS_UNCONNECTED_254,
+         SYNOPSYS_UNCONNECTED_255, SYNOPSYS_UNCONNECTED_256,
+         SYNOPSYS_UNCONNECTED_257, SYNOPSYS_UNCONNECTED_258,
+         SYNOPSYS_UNCONNECTED_259, SYNOPSYS_UNCONNECTED_260,
+         SYNOPSYS_UNCONNECTED_261, SYNOPSYS_UNCONNECTED_262,
+         SYNOPSYS_UNCONNECTED_263, SYNOPSYS_UNCONNECTED_264,
+         SYNOPSYS_UNCONNECTED_265, SYNOPSYS_UNCONNECTED_266,
+         SYNOPSYS_UNCONNECTED_267, SYNOPSYS_UNCONNECTED_268,
+         SYNOPSYS_UNCONNECTED_269, SYNOPSYS_UNCONNECTED_270,
+         SYNOPSYS_UNCONNECTED_271, SYNOPSYS_UNCONNECTED_272,
+         SYNOPSYS_UNCONNECTED_273, SYNOPSYS_UNCONNECTED_274,
+         SYNOPSYS_UNCONNECTED_275, SYNOPSYS_UNCONNECTED_276,
+         SYNOPSYS_UNCONNECTED_277, SYNOPSYS_UNCONNECTED_278,
+         SYNOPSYS_UNCONNECTED_279, SYNOPSYS_UNCONNECTED_280,
+         SYNOPSYS_UNCONNECTED_281, SYNOPSYS_UNCONNECTED_282,
+         SYNOPSYS_UNCONNECTED_283, SYNOPSYS_UNCONNECTED_284,
+         SYNOPSYS_UNCONNECTED_285, SYNOPSYS_UNCONNECTED_286,
+         SYNOPSYS_UNCONNECTED_287, SYNOPSYS_UNCONNECTED_288,
+         SYNOPSYS_UNCONNECTED_289, SYNOPSYS_UNCONNECTED_290,
+         SYNOPSYS_UNCONNECTED_291, SYNOPSYS_UNCONNECTED_292,
+         SYNOPSYS_UNCONNECTED_293, SYNOPSYS_UNCONNECTED_294,
+         SYNOPSYS_UNCONNECTED_295, SYNOPSYS_UNCONNECTED_296,
+         SYNOPSYS_UNCONNECTED_297, SYNOPSYS_UNCONNECTED_298,
+         SYNOPSYS_UNCONNECTED_299, SYNOPSYS_UNCONNECTED_300,
+         SYNOPSYS_UNCONNECTED_301, SYNOPSYS_UNCONNECTED_302,
+         SYNOPSYS_UNCONNECTED_303, SYNOPSYS_UNCONNECTED_304,
+         SYNOPSYS_UNCONNECTED_305, SYNOPSYS_UNCONNECTED_306,
+         SYNOPSYS_UNCONNECTED_307, SYNOPSYS_UNCONNECTED_308,
+         SYNOPSYS_UNCONNECTED_309, SYNOPSYS_UNCONNECTED_310,
+         SYNOPSYS_UNCONNECTED_311, SYNOPSYS_UNCONNECTED_312,
+         SYNOPSYS_UNCONNECTED_313, SYNOPSYS_UNCONNECTED_314,
+         SYNOPSYS_UNCONNECTED_315, SYNOPSYS_UNCONNECTED_316,
+         SYNOPSYS_UNCONNECTED_317, SYNOPSYS_UNCONNECTED_318,
+         SYNOPSYS_UNCONNECTED_319, SYNOPSYS_UNCONNECTED_320,
+         SYNOPSYS_UNCONNECTED_321, SYNOPSYS_UNCONNECTED_322,
+         SYNOPSYS_UNCONNECTED_323, SYNOPSYS_UNCONNECTED_324,
+         SYNOPSYS_UNCONNECTED_325, SYNOPSYS_UNCONNECTED_326,
+         SYNOPSYS_UNCONNECTED_327, SYNOPSYS_UNCONNECTED_328,
+         SYNOPSYS_UNCONNECTED_329, SYNOPSYS_UNCONNECTED_330,
+         SYNOPSYS_UNCONNECTED_331, SYNOPSYS_UNCONNECTED_332,
+         SYNOPSYS_UNCONNECTED_333, SYNOPSYS_UNCONNECTED_334,
+         SYNOPSYS_UNCONNECTED_335, SYNOPSYS_UNCONNECTED_336,
+         SYNOPSYS_UNCONNECTED_337, SYNOPSYS_UNCONNECTED_338;
+  wire   [49:0] tl_t_o;
+  wire   [3:0] dev_select_t;
+  wire   [16:0] num_req_outstanding;
+  wire   [3:0] dev_select_outstanding;
+  wire   [145:0] tl_u_i;
+
+  opentitan_soc_top_tlul_fifo_sync_1_1_0_0_00000004_0 fifo_h ( .clk_i(1'b0), 
+        .rst_ni(1'b0), .tl_h_i({tl_h_i[85:84], n601, tl_h_i[82], n601, n601, 
+        n601, n602, n601, n601, n601, n601, n601, n601, n601, n601, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, tl_h_i[50], 1'b0, tl_h_i[48:39], n601, 
+        n601, tl_h_i[36:1], n602}), .tl_h_o({tl_h_o[51], 
+        SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3, 
+        SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, 
+        SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17, tl_h_o[33:0]}), 
+        .tl_d_o({tl_t_o[49:48], SYNOPSYS_UNCONNECTED_18, tl_t_o[47], 
+        SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20, 
+        SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22, 
+        SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24, 
+        SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32, 
+        SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34, 
+        SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36, 
+        SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38, 
+        SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40, 
+        SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42, 
+        SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44, 
+        SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46, 
+        SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48, 
+        SYNOPSYS_UNCONNECTED_49, tl_t_o[46], SYNOPSYS_UNCONNECTED_50, 
+        tl_t_o[45:36], SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52, 
+        tl_t_o[35:0], SYNOPSYS_UNCONNECTED_53}), .tl_d_i({tl_t_p_d_valid_, 
+        n601, n601, tl_t_p_d_opcode__0_, n601, n601, n601, 1'b0, n601, n601, 
+        n601, n601, n601, n601, n601, n601, 1'b0, n601, tl_t_p_d_data__31_, 
+        tl_t_p_d_data__30_, tl_t_p_d_data__29_, tl_t_p_d_data__28_, 
+        tl_t_p_d_data__27_, tl_t_p_d_data__26_, tl_t_p_d_data__25_, 
+        tl_t_p_d_data__24_, tl_t_p_d_data__23_, tl_t_p_d_data__22_, 
+        tl_t_p_d_data__21_, tl_t_p_d_data__20_, tl_t_p_d_data__19_, 
+        tl_t_p_d_data__18_, tl_t_p_d_data__17_, tl_t_p_d_data__16_, 
+        tl_t_p_d_data__15_, tl_t_p_d_data__14_, tl_t_p_d_data__13_, 
+        tl_t_p_d_data__12_, tl_t_p_d_data__11_, tl_t_p_d_data__10_, 
+        tl_t_p_d_data__9_, tl_t_p_d_data__8_, tl_t_p_d_data__7_, 
+        tl_t_p_d_data__6_, tl_t_p_d_data__5_, tl_t_p_d_data__4_, 
+        tl_t_p_d_data__3_, tl_t_p_d_data__2_, tl_t_p_d_data__1_, 
+        tl_t_p_d_data__0_, tl_t_p_d_error_, accept_t_req}), .spare_req_i(
+        dev_select_i), .spare_req_o(dev_select_t), .spare_rsp_i(n601), 
+        .spare_rsp_o(SYNOPSYS_UNCONNECTED_54) );
+  opentitan_soc_top_tlul_fifo_sync_1_1_0_0_0 gen_dfifo_0__fifo_d ( .clk_i(1'b0), .rst_ni(1'b0), .tl_h_i({tl_u_o_0__a_valid_, tl_t_o[48], n601, tl_t_o[47], 
+        n601, n601, n601, n602, n601, n601, n601, n601, n601, n601, n601, n601, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, tl_t_o[46], 1'b0, 
+        tl_t_o[45:36], n601, n601, tl_t_o[35:0], n602}), .tl_h_o({tl_u_i[145], 
+        SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56, tl_u_i[144], 
+        SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58, 
+        SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60, 
+        SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62, 
+        SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64, 
+        SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66, 
+        SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68, 
+        SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70, tl_u_i[143:110]}), 
+        .tl_d_o({tl_d_o[945:944], SYNOPSYS_UNCONNECTED_71, tl_d_o[942], 
+        SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_73, 
+        SYNOPSYS_UNCONNECTED_74, SYNOPSYS_UNCONNECTED_75, 
+        SYNOPSYS_UNCONNECTED_76, SYNOPSYS_UNCONNECTED_77, 
+        SYNOPSYS_UNCONNECTED_78, SYNOPSYS_UNCONNECTED_79, 
+        SYNOPSYS_UNCONNECTED_80, SYNOPSYS_UNCONNECTED_81, 
+        SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_83, 
+        SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_85, 
+        SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_87, 
+        SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_89, 
+        SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_91, 
+        SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_93, 
+        SYNOPSYS_UNCONNECTED_94, SYNOPSYS_UNCONNECTED_95, 
+        SYNOPSYS_UNCONNECTED_96, SYNOPSYS_UNCONNECTED_97, 
+        SYNOPSYS_UNCONNECTED_98, SYNOPSYS_UNCONNECTED_99, 
+        SYNOPSYS_UNCONNECTED_100, SYNOPSYS_UNCONNECTED_101, 
+        SYNOPSYS_UNCONNECTED_102, tl_d_o[910], SYNOPSYS_UNCONNECTED_103, 
+        tl_d_o[908:899], SYNOPSYS_UNCONNECTED_104, SYNOPSYS_UNCONNECTED_105, 
+        tl_d_o[896:861], SYNOPSYS_UNCONNECTED_106}), .tl_d_i({tl_d_i[571], 
+        n601, n601, tl_d_i[568], n601, n601, n601, 1'b0, n601, n601, n601, 
+        n601, n601, n601, n601, n601, 1'b0, n601, tl_d_i[553:520]}), 
+        .spare_req_i(n601), .spare_req_o(SYNOPSYS_UNCONNECTED_107), 
+        .spare_rsp_i(n601), .spare_rsp_o(SYNOPSYS_UNCONNECTED_108) );
+  opentitan_soc_top_tlul_fifo_sync_1_1_0_0_1 gen_dfifo_1__fifo_d ( .clk_i(1'b0), .rst_ni(1'b0), .tl_h_i({tl_u_o_1__a_valid_, tl_t_o[48], n601, tl_t_o[47], 
+        n601, n601, n601, n602, n601, n601, n601, n601, n601, n601, n601, n601, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, tl_t_o[39:36], n601, n601, tl_t_o[35:0], n602}), 
+        .tl_h_o({tl_u_i[109], SYNOPSYS_UNCONNECTED_109, 
+        SYNOPSYS_UNCONNECTED_110, tl_u_i[108], SYNOPSYS_UNCONNECTED_111, 
+        SYNOPSYS_UNCONNECTED_112, SYNOPSYS_UNCONNECTED_113, 
+        SYNOPSYS_UNCONNECTED_114, SYNOPSYS_UNCONNECTED_115, 
+        SYNOPSYS_UNCONNECTED_116, SYNOPSYS_UNCONNECTED_117, 
+        SYNOPSYS_UNCONNECTED_118, SYNOPSYS_UNCONNECTED_119, 
+        SYNOPSYS_UNCONNECTED_120, SYNOPSYS_UNCONNECTED_121, 
+        SYNOPSYS_UNCONNECTED_122, SYNOPSYS_UNCONNECTED_123, 
+        SYNOPSYS_UNCONNECTED_124, tl_u_i[107:74]}), .tl_d_o({tl_d_o[859:858], 
+        SYNOPSYS_UNCONNECTED_125, tl_d_o[856], SYNOPSYS_UNCONNECTED_126, 
+        SYNOPSYS_UNCONNECTED_127, SYNOPSYS_UNCONNECTED_128, 
+        SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_130, 
+        SYNOPSYS_UNCONNECTED_131, SYNOPSYS_UNCONNECTED_132, 
+        SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134, 
+        SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136, 
+        SYNOPSYS_UNCONNECTED_137, SYNOPSYS_UNCONNECTED_138, 
+        SYNOPSYS_UNCONNECTED_139, SYNOPSYS_UNCONNECTED_140, 
+        SYNOPSYS_UNCONNECTED_141, SYNOPSYS_UNCONNECTED_142, 
+        SYNOPSYS_UNCONNECTED_143, SYNOPSYS_UNCONNECTED_144, 
+        SYNOPSYS_UNCONNECTED_145, SYNOPSYS_UNCONNECTED_146, 
+        SYNOPSYS_UNCONNECTED_147, SYNOPSYS_UNCONNECTED_148, 
+        SYNOPSYS_UNCONNECTED_149, SYNOPSYS_UNCONNECTED_150, 
+        SYNOPSYS_UNCONNECTED_151, SYNOPSYS_UNCONNECTED_152, 
+        SYNOPSYS_UNCONNECTED_153, SYNOPSYS_UNCONNECTED_154, 
+        SYNOPSYS_UNCONNECTED_155, SYNOPSYS_UNCONNECTED_156, 
+        SYNOPSYS_UNCONNECTED_157, SYNOPSYS_UNCONNECTED_158, 
+        SYNOPSYS_UNCONNECTED_159, SYNOPSYS_UNCONNECTED_160, 
+        SYNOPSYS_UNCONNECTED_161, SYNOPSYS_UNCONNECTED_162, 
+        SYNOPSYS_UNCONNECTED_163, SYNOPSYS_UNCONNECTED_164, tl_d_o[816:813], 
+        SYNOPSYS_UNCONNECTED_165, SYNOPSYS_UNCONNECTED_166, tl_d_o[810:775], 
+        SYNOPSYS_UNCONNECTED_167}), .tl_d_i({tl_d_i[519], n601, n601, 
+        tl_d_i[516], n601, n601, n601, 1'b0, n601, n601, n601, n601, n601, 
+        n601, n601, n601, 1'b0, n601, tl_d_i[501:468]}), .spare_req_i(n601), 
+        .spare_req_o(SYNOPSYS_UNCONNECTED_168), .spare_rsp_i(n601), 
+        .spare_rsp_o(SYNOPSYS_UNCONNECTED_169) );
+  opentitan_soc_top_tlul_fifo_sync_1_1_0_0_3 gen_dfifo_9__fifo_d ( .clk_i(1'b0), .rst_ni(1'b0), .tl_h_i({tl_u_o_9__a_valid_, tl_t_o[48], n601, tl_t_o[47], 
+        n601, n601, n601, n602, n601, n601, n601, n601, n601, n601, n601, n601, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        tl_t_o[42:36], n601, n601, tl_t_o[35:0], n602}), .tl_h_o({tl_u_i[73], 
+        SYNOPSYS_UNCONNECTED_170, SYNOPSYS_UNCONNECTED_171, tl_u_i[72], 
+        SYNOPSYS_UNCONNECTED_172, SYNOPSYS_UNCONNECTED_173, 
+        SYNOPSYS_UNCONNECTED_174, SYNOPSYS_UNCONNECTED_175, 
+        SYNOPSYS_UNCONNECTED_176, SYNOPSYS_UNCONNECTED_177, 
+        SYNOPSYS_UNCONNECTED_178, SYNOPSYS_UNCONNECTED_179, 
+        SYNOPSYS_UNCONNECTED_180, SYNOPSYS_UNCONNECTED_181, 
+        SYNOPSYS_UNCONNECTED_182, SYNOPSYS_UNCONNECTED_183, 
+        SYNOPSYS_UNCONNECTED_184, SYNOPSYS_UNCONNECTED_185, tl_u_i[71:38]}), 
+        .tl_d_o({tl_d_o[171:170], SYNOPSYS_UNCONNECTED_186, tl_d_o[168], 
+        SYNOPSYS_UNCONNECTED_187, SYNOPSYS_UNCONNECTED_188, 
+        SYNOPSYS_UNCONNECTED_189, SYNOPSYS_UNCONNECTED_190, 
+        SYNOPSYS_UNCONNECTED_191, SYNOPSYS_UNCONNECTED_192, 
+        SYNOPSYS_UNCONNECTED_193, SYNOPSYS_UNCONNECTED_194, 
+        SYNOPSYS_UNCONNECTED_195, SYNOPSYS_UNCONNECTED_196, 
+        SYNOPSYS_UNCONNECTED_197, SYNOPSYS_UNCONNECTED_198, 
+        SYNOPSYS_UNCONNECTED_199, SYNOPSYS_UNCONNECTED_200, 
+        SYNOPSYS_UNCONNECTED_201, SYNOPSYS_UNCONNECTED_202, 
+        SYNOPSYS_UNCONNECTED_203, SYNOPSYS_UNCONNECTED_204, 
+        SYNOPSYS_UNCONNECTED_205, SYNOPSYS_UNCONNECTED_206, 
+        SYNOPSYS_UNCONNECTED_207, SYNOPSYS_UNCONNECTED_208, 
+        SYNOPSYS_UNCONNECTED_209, SYNOPSYS_UNCONNECTED_210, 
+        SYNOPSYS_UNCONNECTED_211, SYNOPSYS_UNCONNECTED_212, 
+        SYNOPSYS_UNCONNECTED_213, SYNOPSYS_UNCONNECTED_214, 
+        SYNOPSYS_UNCONNECTED_215, SYNOPSYS_UNCONNECTED_216, 
+        SYNOPSYS_UNCONNECTED_217, SYNOPSYS_UNCONNECTED_218, 
+        SYNOPSYS_UNCONNECTED_219, SYNOPSYS_UNCONNECTED_220, 
+        SYNOPSYS_UNCONNECTED_221, SYNOPSYS_UNCONNECTED_222, tl_d_o[131:125], 
+        SYNOPSYS_UNCONNECTED_223, SYNOPSYS_UNCONNECTED_224, tl_d_o[122:87], 
+        SYNOPSYS_UNCONNECTED_225}), .tl_d_i({tl_d_i[103], n601, n601, 
+        tl_d_i[100], n601, n601, n601, 1'b0, n601, n601, n601, n601, n601, 
+        n601, n601, n601, 1'b0, n601, tl_d_i[85:52]}), .spare_req_i(n601), 
+        .spare_req_o(SYNOPSYS_UNCONNECTED_226), .spare_rsp_i(n601), 
+        .spare_rsp_o(SYNOPSYS_UNCONNECTED_227) );
+  opentitan_soc_top_tlul_fifo_sync_1_1_0_0_2 gen_dfifo_10__fifo_d ( .clk_i(
+        1'b0), .rst_ni(1'b0), .tl_h_i({tl_u_o_10__a_valid_, tl_t_o[48], n601, 
+        tl_t_o[47], n601, n601, n601, n602, n601, n601, n601, n601, n601, n601, 
+        n601, n601, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, tl_t_o[39:36], n601, n601, tl_t_o[35:0], 
+        n602}), .tl_h_o({tl_u_i[37], SYNOPSYS_UNCONNECTED_228, 
+        SYNOPSYS_UNCONNECTED_229, tl_u_i[36], SYNOPSYS_UNCONNECTED_230, 
+        SYNOPSYS_UNCONNECTED_231, SYNOPSYS_UNCONNECTED_232, 
+        SYNOPSYS_UNCONNECTED_233, SYNOPSYS_UNCONNECTED_234, 
+        SYNOPSYS_UNCONNECTED_235, SYNOPSYS_UNCONNECTED_236, 
+        SYNOPSYS_UNCONNECTED_237, SYNOPSYS_UNCONNECTED_238, 
+        SYNOPSYS_UNCONNECTED_239, SYNOPSYS_UNCONNECTED_240, 
+        SYNOPSYS_UNCONNECTED_241, SYNOPSYS_UNCONNECTED_242, 
+        SYNOPSYS_UNCONNECTED_243, tl_u_i[35:2]}), .tl_d_o({tl_d_o[85:84], 
+        SYNOPSYS_UNCONNECTED_244, tl_d_o[82], SYNOPSYS_UNCONNECTED_245, 
+        SYNOPSYS_UNCONNECTED_246, SYNOPSYS_UNCONNECTED_247, 
+        SYNOPSYS_UNCONNECTED_248, SYNOPSYS_UNCONNECTED_249, 
+        SYNOPSYS_UNCONNECTED_250, SYNOPSYS_UNCONNECTED_251, 
+        SYNOPSYS_UNCONNECTED_252, SYNOPSYS_UNCONNECTED_253, 
+        SYNOPSYS_UNCONNECTED_254, SYNOPSYS_UNCONNECTED_255, 
+        SYNOPSYS_UNCONNECTED_256, SYNOPSYS_UNCONNECTED_257, 
+        SYNOPSYS_UNCONNECTED_258, SYNOPSYS_UNCONNECTED_259, 
+        SYNOPSYS_UNCONNECTED_260, SYNOPSYS_UNCONNECTED_261, 
+        SYNOPSYS_UNCONNECTED_262, SYNOPSYS_UNCONNECTED_263, 
+        SYNOPSYS_UNCONNECTED_264, SYNOPSYS_UNCONNECTED_265, 
+        SYNOPSYS_UNCONNECTED_266, SYNOPSYS_UNCONNECTED_267, 
+        SYNOPSYS_UNCONNECTED_268, SYNOPSYS_UNCONNECTED_269, 
+        SYNOPSYS_UNCONNECTED_270, SYNOPSYS_UNCONNECTED_271, 
+        SYNOPSYS_UNCONNECTED_272, SYNOPSYS_UNCONNECTED_273, 
+        SYNOPSYS_UNCONNECTED_274, SYNOPSYS_UNCONNECTED_275, 
+        SYNOPSYS_UNCONNECTED_276, SYNOPSYS_UNCONNECTED_277, 
+        SYNOPSYS_UNCONNECTED_278, SYNOPSYS_UNCONNECTED_279, 
+        SYNOPSYS_UNCONNECTED_280, SYNOPSYS_UNCONNECTED_281, 
+        SYNOPSYS_UNCONNECTED_282, SYNOPSYS_UNCONNECTED_283, tl_d_o[42:39], 
+        SYNOPSYS_UNCONNECTED_284, SYNOPSYS_UNCONNECTED_285, tl_d_o[36:1], 
+        SYNOPSYS_UNCONNECTED_286}), .tl_d_i({tl_d_i[51], n601, n601, 
+        tl_d_i[48], n601, n601, n601, 1'b0, n601, n601, n601, n601, n601, n601, 
+        n601, n601, 1'b0, n601, tl_d_i[33:0]}), .spare_req_i(n601), 
+        .spare_req_o(SYNOPSYS_UNCONNECTED_287), .spare_rsp_i(n601), 
+        .spare_rsp_o(SYNOPSYS_UNCONNECTED_288) );
+  opentitan_soc_top_tlul_err_resp_0 err_resp ( .clk_i(n400), .rst_ni(rst_ni), 
+        .tl_h_i({tl_u_o_11__a_valid_, tl_t_o[48], n601, tl_t_o[47], n601, n601, 
+        n601, n602, n601, n601, n601, n601, n601, n601, n601, n601, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, n601, n601, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, n602}), .tl_h_o({tl_u_i[1], 
+        SYNOPSYS_UNCONNECTED_289, SYNOPSYS_UNCONNECTED_290, tl_u_i[0], 
+        SYNOPSYS_UNCONNECTED_291, SYNOPSYS_UNCONNECTED_292, 
+        SYNOPSYS_UNCONNECTED_293, SYNOPSYS_UNCONNECTED_294, 
+        SYNOPSYS_UNCONNECTED_295, SYNOPSYS_UNCONNECTED_296, 
+        SYNOPSYS_UNCONNECTED_297, SYNOPSYS_UNCONNECTED_298, 
+        SYNOPSYS_UNCONNECTED_299, SYNOPSYS_UNCONNECTED_300, 
+        SYNOPSYS_UNCONNECTED_301, SYNOPSYS_UNCONNECTED_302, 
+        SYNOPSYS_UNCONNECTED_303, SYNOPSYS_UNCONNECTED_304, 
+        SYNOPSYS_UNCONNECTED_305, SYNOPSYS_UNCONNECTED_306, 
+        SYNOPSYS_UNCONNECTED_307, SYNOPSYS_UNCONNECTED_308, 
+        SYNOPSYS_UNCONNECTED_309, SYNOPSYS_UNCONNECTED_310, 
+        SYNOPSYS_UNCONNECTED_311, SYNOPSYS_UNCONNECTED_312, 
+        SYNOPSYS_UNCONNECTED_313, SYNOPSYS_UNCONNECTED_314, 
+        SYNOPSYS_UNCONNECTED_315, SYNOPSYS_UNCONNECTED_316, 
+        SYNOPSYS_UNCONNECTED_317, SYNOPSYS_UNCONNECTED_318, 
+        SYNOPSYS_UNCONNECTED_319, SYNOPSYS_UNCONNECTED_320, 
+        SYNOPSYS_UNCONNECTED_321, SYNOPSYS_UNCONNECTED_322, 
+        SYNOPSYS_UNCONNECTED_323, SYNOPSYS_UNCONNECTED_324, 
+        SYNOPSYS_UNCONNECTED_325, SYNOPSYS_UNCONNECTED_326, 
+        SYNOPSYS_UNCONNECTED_327, SYNOPSYS_UNCONNECTED_328, 
+        SYNOPSYS_UNCONNECTED_329, SYNOPSYS_UNCONNECTED_330, 
+        SYNOPSYS_UNCONNECTED_331, SYNOPSYS_UNCONNECTED_332, 
+        SYNOPSYS_UNCONNECTED_333, SYNOPSYS_UNCONNECTED_334, 
+        SYNOPSYS_UNCONNECTED_335, SYNOPSYS_UNCONNECTED_336, 
+        SYNOPSYS_UNCONNECTED_337, SYNOPSYS_UNCONNECTED_338}) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_0_ ( .D(n178), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[0]) );
+  sky130_fd_sc_hd__dfrtp_1 dev_select_outstanding_reg_0_ ( .D(n173), .CLK(n400), .RESET_B(rst_ni), .Q(dev_select_outstanding[0]) );
+  sky130_fd_sc_hd__dfrtp_1 dev_select_outstanding_reg_1_ ( .D(n176), .CLK(n400), .RESET_B(rst_ni), .Q(dev_select_outstanding[1]) );
+  sky130_fd_sc_hd__dfrtp_1 dev_select_outstanding_reg_2_ ( .D(n175), .CLK(n400), .RESET_B(rst_ni), .Q(dev_select_outstanding[2]) );
+  sky130_fd_sc_hd__dfrtp_1 dev_select_outstanding_reg_3_ ( .D(n174), .CLK(n400), .RESET_B(rst_ni), .Q(dev_select_outstanding[3]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_16_ ( .D(n177), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[16]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_1_ ( .D(n172), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[1]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_2_ ( .D(n171), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[2]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_3_ ( .D(n170), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[3]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_4_ ( .D(n169), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[4]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_5_ ( .D(n168), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[5]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_6_ ( .D(n167), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[6]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_7_ ( .D(n166), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[7]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_8_ ( .D(n165), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[8]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_9_ ( .D(n164), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[9]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_10_ ( .D(n163), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[10]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_11_ ( .D(n162), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[11]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_12_ ( .D(n161), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[12]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_13_ ( .D(n160), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[13]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_14_ ( .D(n159), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[14]) );
+  sky130_fd_sc_hd__dfrtp_1 num_req_outstanding_reg_15_ ( .D(n157), .CLK(n400), 
+        .RESET_B(rst_ni), .Q(num_req_outstanding[15]) );
+  opentitan_soc_top_tlul_socket_1n_11_0_0_0000000000000_0000000000000_DP_OP_12J5_122_1926_J5_0_0 DP_OP_12J5_122_1926 ( 
+        .I1(num_req_outstanding), .I2(n598), .O1({N106, N105, N104, N103, N102, 
+        N101, N100, N99, N98, N97, N96, N95, N94, N93, N92, N91, N90}) );
+  sky130_fd_sc_hd__inv_2 U3 ( .A(n401), .Y(n400) );
+  sky130_fd_sc_hd__and2_1 U4 ( .A(n597), .B(tl_t_p_d_valid_), .X(n598) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(dev_select_t[0]), .Y(n596) );
+  sky130_fd_sc_hd__nor2_2 U6 ( .A(dev_select_outstanding[3]), .B(n414), .Y(
+        n553) );
+  sky130_fd_sc_hd__nor2_2 U7 ( .A(n593), .B(n414), .Y(n584) );
+  sky130_fd_sc_hd__clkinv_1 U8 ( .A(clk_i), .Y(n401) );
+  sky130_fd_sc_hd__clkinv_1 U9 ( .A(n432), .Y(n572) );
+  sky130_fd_sc_hd__conb_1 U10 ( .LO(n601), .HI(n602) );
+  sky130_fd_sc_hd__clkinv_1 U11 ( .A(dev_select_t[2]), .Y(n592) );
+  sky130_fd_sc_hd__nand2_1 U12 ( .A(dev_select_t[1]), .B(n592), .Y(n475) );
+  sky130_fd_sc_hd__nor2_1 U13 ( .A(dev_select_t[0]), .B(n475), .Y(n402) );
+  sky130_fd_sc_hd__nand2_1 U14 ( .A(n402), .B(dev_select_t[3]), .Y(n424) );
+  sky130_fd_sc_hd__clkinv_1 U15 ( .A(dev_select_outstanding[0]), .Y(n595) );
+  sky130_fd_sc_hd__o22ai_1 U16 ( .A1(dev_select_outstanding[0]), .A2(n596), 
+        .B1(n595), .B2(dev_select_t[0]), .Y(n411) );
+  sky130_fd_sc_hd__clkinv_1 U17 ( .A(dev_select_t[1]), .Y(n590) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(dev_select_outstanding[1]), .Y(n589) );
+  sky130_fd_sc_hd__clkinv_1 U19 ( .A(dev_select_outstanding[2]), .Y(n591) );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(dev_select_outstanding[3]), .Y(n593) );
+  sky130_fd_sc_hd__o22ai_1 U21 ( .A1(n591), .A2(dev_select_t[2]), .B1(n593), 
+        .B2(dev_select_t[3]), .Y(n403) );
+  sky130_fd_sc_hd__a221oi_1 U22 ( .A1(n591), .A2(dev_select_t[2]), .B1(
+        dev_select_t[3]), .B2(n593), .C1(n403), .Y(n404) );
+  sky130_fd_sc_hd__o221ai_1 U23 ( .A1(dev_select_outstanding[1]), .A2(n590), 
+        .B1(n589), .B2(dev_select_t[1]), .C1(n404), .Y(n410) );
+  sky130_fd_sc_hd__or4_1 U24 ( .A(num_req_outstanding[14]), .B(
+        num_req_outstanding[12]), .C(num_req_outstanding[11]), .D(
+        num_req_outstanding[10]), .X(n409) );
+  sky130_fd_sc_hd__nor4_1 U25 ( .A(num_req_outstanding[5]), .B(
+        num_req_outstanding[4]), .C(num_req_outstanding[3]), .D(
+        num_req_outstanding[2]), .Y(n407) );
+  sky130_fd_sc_hd__nor4_1 U26 ( .A(num_req_outstanding[9]), .B(
+        num_req_outstanding[8]), .C(num_req_outstanding[7]), .D(
+        num_req_outstanding[6]), .Y(n406) );
+  sky130_fd_sc_hd__nor4_1 U27 ( .A(num_req_outstanding[16]), .B(
+        num_req_outstanding[0]), .C(num_req_outstanding[13]), .D(
+        num_req_outstanding[15]), .Y(n405) );
+  sky130_fd_sc_hd__clkinv_1 U28 ( .A(num_req_outstanding[1]), .Y(n467) );
+  sky130_fd_sc_hd__nand4_1 U29 ( .A(n407), .B(n406), .C(n405), .D(n467), .Y(
+        n408) );
+  sky130_fd_sc_hd__o22ai_1 U30 ( .A1(n411), .A2(n410), .B1(n409), .B2(n408), 
+        .Y(n412) );
+  sky130_fd_sc_hd__nand2_1 U31 ( .A(tl_t_o[49]), .B(n412), .Y(n436) );
+  sky130_fd_sc_hd__nor2_1 U32 ( .A(n424), .B(n436), .Y(tl_u_o_10__a_valid_) );
+  sky130_fd_sc_hd__nor4_1 U33 ( .A(dev_select_outstanding[2]), .B(
+        dev_select_outstanding[0]), .C(n593), .D(n589), .Y(n546) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(n546), .X(n583) );
+  sky130_fd_sc_hd__nand4_1 U35 ( .A(n591), .B(n595), .C(n593), .D(n589), .Y(
+        n432) );
+  sky130_fd_sc_hd__a22oi_1 U36 ( .A1(n583), .A2(tl_u_i[37]), .B1(n572), .B2(
+        tl_u_i[145]), .Y(n418) );
+  sky130_fd_sc_hd__nor2_1 U37 ( .A(dev_select_outstanding[2]), .B(
+        dev_select_outstanding[1]), .Y(n413) );
+  sky130_fd_sc_hd__nand2_1 U38 ( .A(dev_select_outstanding[0]), .B(n413), .Y(
+        n414) );
+  sky130_fd_sc_hd__a22oi_1 U39 ( .A1(n553), .A2(tl_u_i[109]), .B1(n584), .B2(
+        tl_u_i[73]), .Y(n417) );
+  sky130_fd_sc_hd__o21ai_1 U40 ( .A1(dev_select_outstanding[2]), .A2(
+        dev_select_outstanding[1]), .B1(dev_select_outstanding[3]), .Y(n415)
+         );
+  sky130_fd_sc_hd__nand2_1 U43 ( .A(tl_u_i[1]), .B(n585), .Y(n416) );
+  sky130_fd_sc_hd__nand3_1 U44 ( .A(n418), .B(n417), .C(n416), .Y(
+        tl_t_p_d_valid_) );
+  sky130_fd_sc_hd__clkinv_1 U45 ( .A(n436), .Y(n426) );
+  sky130_fd_sc_hd__nor2_1 U46 ( .A(dev_select_t[2]), .B(dev_select_t[1]), .Y(
+        n427) );
+  sky130_fd_sc_hd__nand3_1 U47 ( .A(dev_select_t[3]), .B(n426), .C(n427), .Y(
+        n479) );
+  sky130_fd_sc_hd__nor2_1 U48 ( .A(n596), .B(n479), .Y(tl_u_o_9__a_valid_) );
+  sky130_fd_sc_hd__clkinv_1 U50 ( .A(dev_select_t[3]), .Y(n594) );
+  sky130_fd_sc_hd__o22ai_1 U51 ( .A1(dev_select_t[0]), .A2(tl_u_i[110]), .B1(
+        n596), .B2(tl_u_i[74]), .Y(n420) );
+  sky130_fd_sc_hd__nand2_1 U52 ( .A(n427), .B(n594), .Y(n419) );
+  sky130_fd_sc_hd__o22ai_1 U53 ( .A1(n427), .A2(n594), .B1(n420), .B2(n419), 
+        .Y(n421) );
+  sky130_fd_sc_hd__a22oi_1 U56 ( .A1(tl_u_i[2]), .A2(tl_u_o_10__a_valid_), 
+        .B1(n425), .B2(n424), .Y(n597) );
+  sky130_fd_sc_hd__clkinv_1 U57 ( .A(n597), .Y(accept_t_req) );
+  sky130_fd_sc_hd__nand2_1 U58 ( .A(n594), .B(n426), .Y(n474) );
+  sky130_fd_sc_hd__clkinv_1 U59 ( .A(n474), .Y(n476) );
+  sky130_fd_sc_hd__nand2_1 U60 ( .A(n427), .B(n476), .Y(n428) );
+  sky130_fd_sc_hd__nor2_1 U61 ( .A(dev_select_t[0]), .B(n428), .Y(
+        tl_u_o_0__a_valid_) );
+  sky130_fd_sc_hd__nor2_1 U63 ( .A(n596), .B(n428), .Y(tl_u_o_1__a_valid_) );
+  sky130_fd_sc_hd__a22oi_1 U64 ( .A1(n583), .A2(tl_u_i[36]), .B1(n572), .B2(
+        tl_u_i[144]), .Y(n431) );
+  sky130_fd_sc_hd__a22oi_1 U65 ( .A1(n553), .A2(tl_u_i[108]), .B1(n584), .B2(
+        tl_u_i[72]), .Y(n430) );
+  sky130_fd_sc_hd__nand2_1 U66 ( .A(n585), .B(tl_u_i[0]), .Y(n429) );
+  sky130_fd_sc_hd__nand3_1 U67 ( .A(n431), .B(n430), .C(n429), .Y(
+        tl_t_p_d_opcode__0_) );
+  sky130_fd_sc_hd__nand2_1 U69 ( .A(tl_u_i[111]), .B(n572), .Y(n435) );
+  sky130_fd_sc_hd__a21oi_1 U70 ( .A1(n583), .A2(tl_u_i[3]), .B1(n585), .Y(n434) );
+  sky130_fd_sc_hd__a22oi_1 U71 ( .A1(n553), .A2(tl_u_i[75]), .B1(n584), .B2(
+        tl_u_i[39]), .Y(n433) );
+  sky130_fd_sc_hd__nand3_1 U72 ( .A(n435), .B(n434), .C(n433), .Y(
+        tl_t_p_d_error_) );
+  sky130_fd_sc_hd__nor4_1 U73 ( .A(n475), .B(n596), .C(n594), .D(n436), .Y(
+        tl_u_o_11__a_valid_) );
+  sky130_fd_sc_hd__clkinv_1 U74 ( .A(tl_t_p_d_valid_), .Y(n437) );
+  sky130_fd_sc_hd__xor2_1 U75 ( .A(n437), .B(n597), .X(n473) );
+  sky130_fd_sc_hd__clkinv_1 U76 ( .A(num_req_outstanding[16]), .Y(n439) );
+  sky130_fd_sc_hd__clkbuf_1 U77 ( .A(n473), .X(n470) );
+  sky130_fd_sc_hd__nand2_1 U78 ( .A(N106), .B(n470), .Y(n438) );
+  sky130_fd_sc_hd__o21ai_1 U79 ( .A1(n473), .A2(n439), .B1(n438), .Y(n177) );
+  sky130_fd_sc_hd__clkinv_1 U80 ( .A(num_req_outstanding[15]), .Y(n441) );
+  sky130_fd_sc_hd__nand2_1 U81 ( .A(N105), .B(n470), .Y(n440) );
+  sky130_fd_sc_hd__o21ai_1 U82 ( .A1(n473), .A2(n441), .B1(n440), .Y(n157) );
+  sky130_fd_sc_hd__clkinv_1 U83 ( .A(num_req_outstanding[13]), .Y(n443) );
+  sky130_fd_sc_hd__nand2_1 U84 ( .A(N103), .B(n470), .Y(n442) );
+  sky130_fd_sc_hd__o21ai_1 U85 ( .A1(n473), .A2(n443), .B1(n442), .Y(n160) );
+  sky130_fd_sc_hd__clkinv_1 U86 ( .A(num_req_outstanding[12]), .Y(n445) );
+  sky130_fd_sc_hd__nand2_1 U87 ( .A(N102), .B(n473), .Y(n444) );
+  sky130_fd_sc_hd__o21ai_1 U88 ( .A1(n473), .A2(n445), .B1(n444), .Y(n161) );
+  sky130_fd_sc_hd__clkinv_1 U89 ( .A(num_req_outstanding[11]), .Y(n447) );
+  sky130_fd_sc_hd__nand2_1 U90 ( .A(N101), .B(n473), .Y(n446) );
+  sky130_fd_sc_hd__o21ai_1 U91 ( .A1(n473), .A2(n447), .B1(n446), .Y(n162) );
+  sky130_fd_sc_hd__clkinv_1 U92 ( .A(num_req_outstanding[10]), .Y(n449) );
+  sky130_fd_sc_hd__nand2_1 U93 ( .A(N100), .B(n470), .Y(n448) );
+  sky130_fd_sc_hd__o21ai_1 U94 ( .A1(n473), .A2(n449), .B1(n448), .Y(n163) );
+  sky130_fd_sc_hd__clkinv_1 U95 ( .A(num_req_outstanding[9]), .Y(n451) );
+  sky130_fd_sc_hd__nand2_1 U96 ( .A(N99), .B(n470), .Y(n450) );
+  sky130_fd_sc_hd__o21ai_1 U97 ( .A1(n473), .A2(n451), .B1(n450), .Y(n164) );
+  sky130_fd_sc_hd__clkinv_1 U98 ( .A(num_req_outstanding[8]), .Y(n453) );
+  sky130_fd_sc_hd__nand2_1 U99 ( .A(N98), .B(n473), .Y(n452) );
+  sky130_fd_sc_hd__o21ai_1 U100 ( .A1(n473), .A2(n453), .B1(n452), .Y(n165) );
+  sky130_fd_sc_hd__clkinv_1 U101 ( .A(num_req_outstanding[7]), .Y(n455) );
+  sky130_fd_sc_hd__nand2_1 U102 ( .A(N97), .B(n470), .Y(n454) );
+  sky130_fd_sc_hd__o21ai_1 U103 ( .A1(n470), .A2(n455), .B1(n454), .Y(n166) );
+  sky130_fd_sc_hd__clkinv_1 U104 ( .A(num_req_outstanding[6]), .Y(n457) );
+  sky130_fd_sc_hd__nand2_1 U105 ( .A(N96), .B(n470), .Y(n456) );
+  sky130_fd_sc_hd__o21ai_1 U106 ( .A1(n470), .A2(n457), .B1(n456), .Y(n167) );
+  sky130_fd_sc_hd__clkinv_1 U107 ( .A(num_req_outstanding[5]), .Y(n459) );
+  sky130_fd_sc_hd__nand2_1 U108 ( .A(N95), .B(n470), .Y(n458) );
+  sky130_fd_sc_hd__o21ai_1 U109 ( .A1(n470), .A2(n459), .B1(n458), .Y(n168) );
+  sky130_fd_sc_hd__clkinv_1 U110 ( .A(num_req_outstanding[4]), .Y(n461) );
+  sky130_fd_sc_hd__nand2_1 U111 ( .A(N94), .B(n470), .Y(n460) );
+  sky130_fd_sc_hd__o21ai_1 U112 ( .A1(n470), .A2(n461), .B1(n460), .Y(n169) );
+  sky130_fd_sc_hd__clkinv_1 U113 ( .A(num_req_outstanding[3]), .Y(n463) );
+  sky130_fd_sc_hd__nand2_1 U114 ( .A(N93), .B(n470), .Y(n462) );
+  sky130_fd_sc_hd__o21ai_1 U115 ( .A1(n473), .A2(n463), .B1(n462), .Y(n170) );
+  sky130_fd_sc_hd__clkinv_1 U116 ( .A(num_req_outstanding[2]), .Y(n465) );
+  sky130_fd_sc_hd__nand2_1 U117 ( .A(N92), .B(n470), .Y(n464) );
+  sky130_fd_sc_hd__o21ai_1 U118 ( .A1(n473), .A2(n465), .B1(n464), .Y(n171) );
+  sky130_fd_sc_hd__nand2_1 U119 ( .A(N91), .B(n470), .Y(n466) );
+  sky130_fd_sc_hd__o21ai_1 U120 ( .A1(n473), .A2(n467), .B1(n466), .Y(n172) );
+  sky130_fd_sc_hd__clkinv_1 U121 ( .A(num_req_outstanding[0]), .Y(n469) );
+  sky130_fd_sc_hd__nand2_1 U122 ( .A(n473), .B(N90), .Y(n468) );
+  sky130_fd_sc_hd__o21ai_1 U123 ( .A1(n469), .A2(n473), .B1(n468), .Y(n178) );
+  sky130_fd_sc_hd__clkinv_1 U124 ( .A(num_req_outstanding[14]), .Y(n472) );
+  sky130_fd_sc_hd__nand2_1 U125 ( .A(N104), .B(n470), .Y(n471) );
+  sky130_fd_sc_hd__o21ai_1 U126 ( .A1(n473), .A2(n472), .B1(n471), .Y(n159) );
+  sky130_fd_sc_hd__nand2_1 U136 ( .A(tl_u_i[112]), .B(n572), .Y(n482) );
+  sky130_fd_sc_hd__a21oi_1 U137 ( .A1(n583), .A2(tl_u_i[4]), .B1(n585), .Y(
+        n481) );
+  sky130_fd_sc_hd__a22oi_1 U138 ( .A1(n553), .A2(tl_u_i[76]), .B1(n584), .B2(
+        tl_u_i[40]), .Y(n480) );
+  sky130_fd_sc_hd__nand3_1 U139 ( .A(n482), .B(n481), .C(n480), .Y(
+        tl_t_p_d_data__0_) );
+  sky130_fd_sc_hd__nand2_1 U140 ( .A(tl_u_i[113]), .B(n572), .Y(n485) );
+  sky130_fd_sc_hd__a21oi_1 U141 ( .A1(n546), .A2(tl_u_i[5]), .B1(n585), .Y(
+        n484) );
+  sky130_fd_sc_hd__a22oi_1 U142 ( .A1(n553), .A2(tl_u_i[77]), .B1(n584), .B2(
+        tl_u_i[41]), .Y(n483) );
+  sky130_fd_sc_hd__nand3_1 U143 ( .A(n485), .B(n484), .C(n483), .Y(
+        tl_t_p_d_data__1_) );
+  sky130_fd_sc_hd__nand2_1 U144 ( .A(tl_u_i[114]), .B(n572), .Y(n488) );
+  sky130_fd_sc_hd__a21oi_1 U145 ( .A1(n546), .A2(tl_u_i[6]), .B1(n585), .Y(
+        n487) );
+  sky130_fd_sc_hd__a22oi_1 U146 ( .A1(n553), .A2(tl_u_i[78]), .B1(n584), .B2(
+        tl_u_i[42]), .Y(n486) );
+  sky130_fd_sc_hd__nand3_1 U147 ( .A(n488), .B(n487), .C(n486), .Y(
+        tl_t_p_d_data__2_) );
+  sky130_fd_sc_hd__nand2_1 U148 ( .A(tl_u_i[115]), .B(n572), .Y(n491) );
+  sky130_fd_sc_hd__a21oi_1 U149 ( .A1(n546), .A2(tl_u_i[7]), .B1(n585), .Y(
+        n490) );
+  sky130_fd_sc_hd__a22oi_1 U150 ( .A1(n553), .A2(tl_u_i[79]), .B1(n584), .B2(
+        tl_u_i[43]), .Y(n489) );
+  sky130_fd_sc_hd__nand3_1 U151 ( .A(n491), .B(n490), .C(n489), .Y(
+        tl_t_p_d_data__3_) );
+  sky130_fd_sc_hd__nand2_1 U152 ( .A(tl_u_i[116]), .B(n572), .Y(n494) );
+  sky130_fd_sc_hd__a21oi_1 U153 ( .A1(n546), .A2(tl_u_i[8]), .B1(n585), .Y(
+        n493) );
+  sky130_fd_sc_hd__a22oi_1 U154 ( .A1(n553), .A2(tl_u_i[80]), .B1(n584), .B2(
+        tl_u_i[44]), .Y(n492) );
+  sky130_fd_sc_hd__nand3_1 U155 ( .A(n494), .B(n493), .C(n492), .Y(
+        tl_t_p_d_data__4_) );
+  sky130_fd_sc_hd__nand2_1 U156 ( .A(tl_u_i[117]), .B(n572), .Y(n497) );
+  sky130_fd_sc_hd__a21oi_1 U157 ( .A1(n583), .A2(tl_u_i[9]), .B1(n585), .Y(
+        n496) );
+  sky130_fd_sc_hd__a22oi_1 U158 ( .A1(n553), .A2(tl_u_i[81]), .B1(n584), .B2(
+        tl_u_i[45]), .Y(n495) );
+  sky130_fd_sc_hd__nand3_1 U159 ( .A(n497), .B(n496), .C(n495), .Y(
+        tl_t_p_d_data__5_) );
+  sky130_fd_sc_hd__nand2_1 U160 ( .A(tl_u_i[118]), .B(n572), .Y(n500) );
+  sky130_fd_sc_hd__a21oi_1 U161 ( .A1(n583), .A2(tl_u_i[10]), .B1(n585), .Y(
+        n499) );
+  sky130_fd_sc_hd__a22oi_1 U162 ( .A1(n553), .A2(tl_u_i[82]), .B1(n584), .B2(
+        tl_u_i[46]), .Y(n498) );
+  sky130_fd_sc_hd__nand3_1 U163 ( .A(n500), .B(n499), .C(n498), .Y(
+        tl_t_p_d_data__6_) );
+  sky130_fd_sc_hd__nand2_1 U164 ( .A(tl_u_i[119]), .B(n572), .Y(n503) );
+  sky130_fd_sc_hd__a21oi_1 U165 ( .A1(n583), .A2(tl_u_i[11]), .B1(n585), .Y(
+        n502) );
+  sky130_fd_sc_hd__a22oi_1 U166 ( .A1(n553), .A2(tl_u_i[83]), .B1(n584), .B2(
+        tl_u_i[47]), .Y(n501) );
+  sky130_fd_sc_hd__nand3_1 U167 ( .A(n503), .B(n502), .C(n501), .Y(
+        tl_t_p_d_data__7_) );
+  sky130_fd_sc_hd__nand2_1 U168 ( .A(tl_u_i[120]), .B(n572), .Y(n506) );
+  sky130_fd_sc_hd__a21oi_1 U169 ( .A1(n583), .A2(tl_u_i[12]), .B1(n585), .Y(
+        n505) );
+  sky130_fd_sc_hd__a22oi_1 U170 ( .A1(n553), .A2(tl_u_i[84]), .B1(n584), .B2(
+        tl_u_i[48]), .Y(n504) );
+  sky130_fd_sc_hd__nand3_1 U171 ( .A(n506), .B(n505), .C(n504), .Y(
+        tl_t_p_d_data__8_) );
+  sky130_fd_sc_hd__nand2_1 U172 ( .A(tl_u_i[121]), .B(n572), .Y(n509) );
+  sky130_fd_sc_hd__a21oi_1 U173 ( .A1(n583), .A2(tl_u_i[13]), .B1(n585), .Y(
+        n508) );
+  sky130_fd_sc_hd__a22oi_1 U174 ( .A1(n553), .A2(tl_u_i[85]), .B1(n584), .B2(
+        tl_u_i[49]), .Y(n507) );
+  sky130_fd_sc_hd__nand3_1 U175 ( .A(n509), .B(n508), .C(n507), .Y(
+        tl_t_p_d_data__9_) );
+  sky130_fd_sc_hd__nand2_1 U176 ( .A(tl_u_i[122]), .B(n572), .Y(n512) );
+  sky130_fd_sc_hd__a21oi_1 U177 ( .A1(n583), .A2(tl_u_i[14]), .B1(n585), .Y(
+        n511) );
+  sky130_fd_sc_hd__a22oi_1 U178 ( .A1(n553), .A2(tl_u_i[86]), .B1(n584), .B2(
+        tl_u_i[50]), .Y(n510) );
+  sky130_fd_sc_hd__nand3_1 U179 ( .A(n512), .B(n511), .C(n510), .Y(
+        tl_t_p_d_data__10_) );
+  sky130_fd_sc_hd__nand2_1 U180 ( .A(tl_u_i[123]), .B(n572), .Y(n515) );
+  sky130_fd_sc_hd__a21oi_1 U181 ( .A1(n546), .A2(tl_u_i[15]), .B1(n585), .Y(
+        n514) );
+  sky130_fd_sc_hd__a22oi_1 U182 ( .A1(n553), .A2(tl_u_i[87]), .B1(n584), .B2(
+        tl_u_i[51]), .Y(n513) );
+  sky130_fd_sc_hd__nand3_1 U183 ( .A(n515), .B(n514), .C(n513), .Y(
+        tl_t_p_d_data__11_) );
+  sky130_fd_sc_hd__nand2_1 U184 ( .A(tl_u_i[124]), .B(n572), .Y(n518) );
+  sky130_fd_sc_hd__a21oi_1 U185 ( .A1(n546), .A2(tl_u_i[16]), .B1(n585), .Y(
+        n517) );
+  sky130_fd_sc_hd__a22oi_1 U186 ( .A1(n553), .A2(tl_u_i[88]), .B1(n584), .B2(
+        tl_u_i[52]), .Y(n516) );
+  sky130_fd_sc_hd__nand3_1 U187 ( .A(n518), .B(n517), .C(n516), .Y(
+        tl_t_p_d_data__12_) );
+  sky130_fd_sc_hd__nand2_1 U188 ( .A(tl_u_i[125]), .B(n572), .Y(n521) );
+  sky130_fd_sc_hd__a21oi_1 U189 ( .A1(n546), .A2(tl_u_i[17]), .B1(n585), .Y(
+        n520) );
+  sky130_fd_sc_hd__a22oi_1 U190 ( .A1(n553), .A2(tl_u_i[89]), .B1(n584), .B2(
+        tl_u_i[53]), .Y(n519) );
+  sky130_fd_sc_hd__nand3_1 U191 ( .A(n521), .B(n520), .C(n519), .Y(
+        tl_t_p_d_data__13_) );
+  sky130_fd_sc_hd__nand2_1 U192 ( .A(tl_u_i[126]), .B(n572), .Y(n524) );
+  sky130_fd_sc_hd__a21oi_1 U193 ( .A1(n546), .A2(tl_u_i[18]), .B1(n585), .Y(
+        n523) );
+  sky130_fd_sc_hd__a22oi_1 U194 ( .A1(n553), .A2(tl_u_i[90]), .B1(n584), .B2(
+        tl_u_i[54]), .Y(n522) );
+  sky130_fd_sc_hd__nand3_1 U195 ( .A(n524), .B(n523), .C(n522), .Y(
+        tl_t_p_d_data__14_) );
+  sky130_fd_sc_hd__nand2_1 U196 ( .A(tl_u_i[127]), .B(n572), .Y(n527) );
+  sky130_fd_sc_hd__a21oi_1 U197 ( .A1(n583), .A2(tl_u_i[19]), .B1(n585), .Y(
+        n526) );
+  sky130_fd_sc_hd__a22oi_1 U198 ( .A1(n553), .A2(tl_u_i[91]), .B1(n584), .B2(
+        tl_u_i[55]), .Y(n525) );
+  sky130_fd_sc_hd__nand3_1 U199 ( .A(n527), .B(n526), .C(n525), .Y(
+        tl_t_p_d_data__15_) );
+  sky130_fd_sc_hd__nand2_1 U200 ( .A(tl_u_i[128]), .B(n572), .Y(n530) );
+  sky130_fd_sc_hd__a21oi_1 U201 ( .A1(n583), .A2(tl_u_i[20]), .B1(n585), .Y(
+        n529) );
+  sky130_fd_sc_hd__a22oi_1 U202 ( .A1(n553), .A2(tl_u_i[92]), .B1(n584), .B2(
+        tl_u_i[56]), .Y(n528) );
+  sky130_fd_sc_hd__nand3_1 U203 ( .A(n530), .B(n529), .C(n528), .Y(
+        tl_t_p_d_data__16_) );
+  sky130_fd_sc_hd__nand2_1 U204 ( .A(tl_u_i[129]), .B(n572), .Y(n533) );
+  sky130_fd_sc_hd__a21oi_1 U205 ( .A1(n546), .A2(tl_u_i[21]), .B1(n585), .Y(
+        n532) );
+  sky130_fd_sc_hd__a22oi_1 U206 ( .A1(n553), .A2(tl_u_i[93]), .B1(n584), .B2(
+        tl_u_i[57]), .Y(n531) );
+  sky130_fd_sc_hd__nand3_1 U207 ( .A(n533), .B(n532), .C(n531), .Y(
+        tl_t_p_d_data__17_) );
+  sky130_fd_sc_hd__nand2_1 U208 ( .A(tl_u_i[130]), .B(n572), .Y(n536) );
+  sky130_fd_sc_hd__a21oi_1 U209 ( .A1(n546), .A2(tl_u_i[22]), .B1(n585), .Y(
+        n535) );
+  sky130_fd_sc_hd__a22oi_1 U210 ( .A1(n553), .A2(tl_u_i[94]), .B1(n584), .B2(
+        tl_u_i[58]), .Y(n534) );
+  sky130_fd_sc_hd__nand3_1 U211 ( .A(n536), .B(n535), .C(n534), .Y(
+        tl_t_p_d_data__18_) );
+  sky130_fd_sc_hd__nand2_1 U212 ( .A(tl_u_i[131]), .B(n572), .Y(n539) );
+  sky130_fd_sc_hd__a21oi_1 U213 ( .A1(n546), .A2(tl_u_i[23]), .B1(n585), .Y(
+        n538) );
+  sky130_fd_sc_hd__a22oi_1 U214 ( .A1(n553), .A2(tl_u_i[95]), .B1(n584), .B2(
+        tl_u_i[59]), .Y(n537) );
+  sky130_fd_sc_hd__nand3_1 U215 ( .A(n539), .B(n538), .C(n537), .Y(
+        tl_t_p_d_data__19_) );
+  sky130_fd_sc_hd__nand2_1 U216 ( .A(tl_u_i[132]), .B(n572), .Y(n542) );
+  sky130_fd_sc_hd__a21oi_1 U217 ( .A1(n546), .A2(tl_u_i[24]), .B1(n585), .Y(
+        n541) );
+  sky130_fd_sc_hd__a22oi_1 U218 ( .A1(n553), .A2(tl_u_i[96]), .B1(n584), .B2(
+        tl_u_i[60]), .Y(n540) );
+  sky130_fd_sc_hd__nand3_1 U219 ( .A(n542), .B(n541), .C(n540), .Y(
+        tl_t_p_d_data__20_) );
+  sky130_fd_sc_hd__nand2_1 U220 ( .A(tl_u_i[133]), .B(n572), .Y(n545) );
+  sky130_fd_sc_hd__a21oi_1 U221 ( .A1(n546), .A2(tl_u_i[25]), .B1(n585), .Y(
+        n544) );
+  sky130_fd_sc_hd__a22oi_1 U222 ( .A1(n553), .A2(tl_u_i[97]), .B1(n584), .B2(
+        tl_u_i[61]), .Y(n543) );
+  sky130_fd_sc_hd__nand3_1 U223 ( .A(n545), .B(n544), .C(n543), .Y(
+        tl_t_p_d_data__21_) );
+  sky130_fd_sc_hd__nand2_1 U224 ( .A(tl_u_i[134]), .B(n572), .Y(n549) );
+  sky130_fd_sc_hd__a21oi_1 U225 ( .A1(n546), .A2(tl_u_i[26]), .B1(n585), .Y(
+        n548) );
+  sky130_fd_sc_hd__a22oi_1 U226 ( .A1(n553), .A2(tl_u_i[98]), .B1(n584), .B2(
+        tl_u_i[62]), .Y(n547) );
+  sky130_fd_sc_hd__nand3_1 U227 ( .A(n549), .B(n548), .C(n547), .Y(
+        tl_t_p_d_data__22_) );
+  sky130_fd_sc_hd__nand2_1 U228 ( .A(tl_u_i[135]), .B(n572), .Y(n552) );
+  sky130_fd_sc_hd__a21oi_1 U229 ( .A1(n583), .A2(tl_u_i[27]), .B1(n585), .Y(
+        n551) );
+  sky130_fd_sc_hd__a22oi_1 U230 ( .A1(n553), .A2(tl_u_i[99]), .B1(n584), .B2(
+        tl_u_i[63]), .Y(n550) );
+  sky130_fd_sc_hd__nand3_1 U231 ( .A(n552), .B(n551), .C(n550), .Y(
+        tl_t_p_d_data__23_) );
+  sky130_fd_sc_hd__nand2_1 U232 ( .A(tl_u_i[136]), .B(n572), .Y(n556) );
+  sky130_fd_sc_hd__a21oi_1 U233 ( .A1(n583), .A2(tl_u_i[28]), .B1(n585), .Y(
+        n555) );
+  sky130_fd_sc_hd__a22oi_1 U234 ( .A1(n553), .A2(tl_u_i[100]), .B1(n584), .B2(
+        tl_u_i[64]), .Y(n554) );
+  sky130_fd_sc_hd__nand3_1 U235 ( .A(n556), .B(n555), .C(n554), .Y(
+        tl_t_p_d_data__24_) );
+  sky130_fd_sc_hd__nand2_1 U236 ( .A(tl_u_i[137]), .B(n572), .Y(n559) );
+  sky130_fd_sc_hd__a21oi_1 U237 ( .A1(n583), .A2(tl_u_i[29]), .B1(n585), .Y(
+        n558) );
+  sky130_fd_sc_hd__a22oi_1 U238 ( .A1(n553), .A2(tl_u_i[101]), .B1(n584), .B2(
+        tl_u_i[65]), .Y(n557) );
+  sky130_fd_sc_hd__nand3_1 U239 ( .A(n559), .B(n558), .C(n557), .Y(
+        tl_t_p_d_data__25_) );
+  sky130_fd_sc_hd__nand2_1 U240 ( .A(tl_u_i[138]), .B(n572), .Y(n562) );
+  sky130_fd_sc_hd__a21oi_1 U241 ( .A1(n583), .A2(tl_u_i[30]), .B1(n585), .Y(
+        n561) );
+  sky130_fd_sc_hd__a22oi_1 U242 ( .A1(n553), .A2(tl_u_i[102]), .B1(n584), .B2(
+        tl_u_i[66]), .Y(n560) );
+  sky130_fd_sc_hd__nand3_1 U243 ( .A(n562), .B(n561), .C(n560), .Y(
+        tl_t_p_d_data__26_) );
+  sky130_fd_sc_hd__nand2_1 U244 ( .A(tl_u_i[139]), .B(n572), .Y(n565) );
+  sky130_fd_sc_hd__a21oi_1 U245 ( .A1(n583), .A2(tl_u_i[31]), .B1(n585), .Y(
+        n564) );
+  sky130_fd_sc_hd__a22oi_1 U246 ( .A1(n553), .A2(tl_u_i[103]), .B1(n584), .B2(
+        tl_u_i[67]), .Y(n563) );
+  sky130_fd_sc_hd__nand3_1 U247 ( .A(n565), .B(n564), .C(n563), .Y(
+        tl_t_p_d_data__27_) );
+  sky130_fd_sc_hd__nand2_1 U248 ( .A(tl_u_i[140]), .B(n572), .Y(n568) );
+  sky130_fd_sc_hd__a21oi_1 U249 ( .A1(n583), .A2(tl_u_i[32]), .B1(n585), .Y(
+        n567) );
+  sky130_fd_sc_hd__a22oi_1 U250 ( .A1(n553), .A2(tl_u_i[104]), .B1(n584), .B2(
+        tl_u_i[68]), .Y(n566) );
+  sky130_fd_sc_hd__nand3_1 U251 ( .A(n568), .B(n567), .C(n566), .Y(
+        tl_t_p_d_data__28_) );
+  sky130_fd_sc_hd__nand2_1 U252 ( .A(tl_u_i[141]), .B(n572), .Y(n571) );
+  sky130_fd_sc_hd__a21oi_1 U253 ( .A1(n583), .A2(tl_u_i[33]), .B1(n585), .Y(
+        n570) );
+  sky130_fd_sc_hd__a22oi_1 U254 ( .A1(n553), .A2(tl_u_i[105]), .B1(n584), .B2(
+        tl_u_i[69]), .Y(n569) );
+  sky130_fd_sc_hd__nand3_1 U255 ( .A(n571), .B(n570), .C(n569), .Y(
+        tl_t_p_d_data__29_) );
+  sky130_fd_sc_hd__nand2_1 U256 ( .A(tl_u_i[142]), .B(n572), .Y(n575) );
+  sky130_fd_sc_hd__a21oi_1 U257 ( .A1(n583), .A2(tl_u_i[34]), .B1(n585), .Y(
+        n574) );
+  sky130_fd_sc_hd__a22oi_1 U258 ( .A1(n553), .A2(tl_u_i[106]), .B1(n584), .B2(
+        tl_u_i[70]), .Y(n573) );
+  sky130_fd_sc_hd__nand3_1 U259 ( .A(n575), .B(n574), .C(n573), .Y(
+        tl_t_p_d_data__30_) );
+  sky130_fd_sc_hd__nand2_1 U260 ( .A(tl_u_i[143]), .B(n572), .Y(n579) );
+  sky130_fd_sc_hd__a21oi_1 U261 ( .A1(n583), .A2(tl_u_i[35]), .B1(n585), .Y(
+        n578) );
+  sky130_fd_sc_hd__a22oi_1 U262 ( .A1(n553), .A2(tl_u_i[107]), .B1(n584), .B2(
+        tl_u_i[71]), .Y(n577) );
+  sky130_fd_sc_hd__nand3_1 U263 ( .A(n579), .B(n578), .C(n577), .Y(
+        tl_t_p_d_data__31_) );
+  sky130_fd_sc_hd__o22ai_1 U272 ( .A1(n597), .A2(n590), .B1(accept_t_req), 
+        .B2(n589), .Y(n176) );
+  sky130_fd_sc_hd__o22ai_1 U273 ( .A1(n597), .A2(n592), .B1(accept_t_req), 
+        .B2(n591), .Y(n175) );
+  sky130_fd_sc_hd__o22ai_1 U274 ( .A1(n597), .A2(n594), .B1(accept_t_req), 
+        .B2(n593), .Y(n174) );
+  sky130_fd_sc_hd__o22ai_1 U275 ( .A1(n597), .A2(n596), .B1(accept_t_req), 
+        .B2(n595), .Y(n173) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(n421), .A2(n426), .B1(tl_u_i[38]), .B2(
+        tl_u_o_9__a_valid_), .X(n425) );
+  sky130_fd_sc_hd__nor2_2 U42 ( .A(n583), .B(n415), .Y(n585) );
+endmodule
+
+
+module opentitan_soc_top_xbar_periph_0 ( clk_i, rst_ni, tl_if_i, tl_if_o, 
+        tl_lsu_i, tl_lsu_o, tl_iccm_o, tl_iccm_i, tl_dccm_o, tl_dccm_i, 
+        tl_gpio_o, tl_gpio_i, tl_ldo1_o, tl_ldo1_i, tl_ldo2_o, tl_ldo2_i, 
+        tl_dcdc_o, tl_dcdc_i, tl_pll1_o, tl_pll1_i, tl_tsen1_o, tl_tsen1_i, 
+        tl_tsen2_o, tl_tsen2_i, tl_dap_o, tl_dap_i, tl_plic_o, tl_plic_i, 
+        tl_uart_o, tl_uart_i );
+  input [85:0] tl_if_i;
+  output [51:0] tl_if_o;
+  input [85:0] tl_lsu_i;
+  output [51:0] tl_lsu_o;
+  output [85:0] tl_iccm_o;
+  input [51:0] tl_iccm_i;
+  output [85:0] tl_dccm_o;
+  input [51:0] tl_dccm_i;
+  output [85:0] tl_gpio_o;
+  input [51:0] tl_gpio_i;
+  output [85:0] tl_ldo1_o;
+  input [51:0] tl_ldo1_i;
+  output [85:0] tl_ldo2_o;
+  input [51:0] tl_ldo2_i;
+  output [85:0] tl_dcdc_o;
+  input [51:0] tl_dcdc_i;
+  output [85:0] tl_pll1_o;
+  input [51:0] tl_pll1_i;
+  output [85:0] tl_tsen1_o;
+  input [51:0] tl_tsen1_i;
+  output [85:0] tl_tsen2_o;
+  input [51:0] tl_tsen2_i;
+  output [85:0] tl_dap_o;
+  input [51:0] tl_dap_i;
+  output [85:0] tl_plic_o;
+  input [51:0] tl_plic_i;
+  output [85:0] tl_uart_o;
+  input [51:0] tl_uart_i;
+  input clk_i, rst_ni;
+  wire   n396, n397, n398, n399, n401, n402, n403, n404, n405, n406, n407,
+         n408, n409, n410, n411, n412, n413, n414, n415, n416, n487,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56,
+         SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58,
+         SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60,
+         SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62,
+         SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64,
+         SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66,
+         SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68,
+         SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70,
+         SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72,
+         SYNOPSYS_UNCONNECTED_73, SYNOPSYS_UNCONNECTED_74,
+         SYNOPSYS_UNCONNECTED_75, SYNOPSYS_UNCONNECTED_76,
+         SYNOPSYS_UNCONNECTED_77, SYNOPSYS_UNCONNECTED_78,
+         SYNOPSYS_UNCONNECTED_79, SYNOPSYS_UNCONNECTED_80,
+         SYNOPSYS_UNCONNECTED_81, SYNOPSYS_UNCONNECTED_82,
+         SYNOPSYS_UNCONNECTED_83, SYNOPSYS_UNCONNECTED_84,
+         SYNOPSYS_UNCONNECTED_85, SYNOPSYS_UNCONNECTED_86,
+         SYNOPSYS_UNCONNECTED_87, SYNOPSYS_UNCONNECTED_88,
+         SYNOPSYS_UNCONNECTED_89, SYNOPSYS_UNCONNECTED_90,
+         SYNOPSYS_UNCONNECTED_91, SYNOPSYS_UNCONNECTED_92,
+         SYNOPSYS_UNCONNECTED_93, SYNOPSYS_UNCONNECTED_94,
+         SYNOPSYS_UNCONNECTED_95, SYNOPSYS_UNCONNECTED_96,
+         SYNOPSYS_UNCONNECTED_97, SYNOPSYS_UNCONNECTED_98,
+         SYNOPSYS_UNCONNECTED_99, SYNOPSYS_UNCONNECTED_100,
+         SYNOPSYS_UNCONNECTED_101, SYNOPSYS_UNCONNECTED_102,
+         SYNOPSYS_UNCONNECTED_103, SYNOPSYS_UNCONNECTED_104,
+         SYNOPSYS_UNCONNECTED_105, SYNOPSYS_UNCONNECTED_106,
+         SYNOPSYS_UNCONNECTED_107, SYNOPSYS_UNCONNECTED_108,
+         SYNOPSYS_UNCONNECTED_109, SYNOPSYS_UNCONNECTED_110,
+         SYNOPSYS_UNCONNECTED_111, SYNOPSYS_UNCONNECTED_112,
+         SYNOPSYS_UNCONNECTED_113, SYNOPSYS_UNCONNECTED_114,
+         SYNOPSYS_UNCONNECTED_115, SYNOPSYS_UNCONNECTED_116,
+         SYNOPSYS_UNCONNECTED_117, SYNOPSYS_UNCONNECTED_118,
+         SYNOPSYS_UNCONNECTED_119, SYNOPSYS_UNCONNECTED_120,
+         SYNOPSYS_UNCONNECTED_121, SYNOPSYS_UNCONNECTED_122,
+         SYNOPSYS_UNCONNECTED_123, SYNOPSYS_UNCONNECTED_124,
+         SYNOPSYS_UNCONNECTED_125, SYNOPSYS_UNCONNECTED_126,
+         SYNOPSYS_UNCONNECTED_127, SYNOPSYS_UNCONNECTED_128,
+         SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_130,
+         SYNOPSYS_UNCONNECTED_131, SYNOPSYS_UNCONNECTED_132,
+         SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134,
+         SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136,
+         SYNOPSYS_UNCONNECTED_137, SYNOPSYS_UNCONNECTED_138,
+         SYNOPSYS_UNCONNECTED_139, SYNOPSYS_UNCONNECTED_140,
+         SYNOPSYS_UNCONNECTED_141, SYNOPSYS_UNCONNECTED_142,
+         SYNOPSYS_UNCONNECTED_143, SYNOPSYS_UNCONNECTED_144,
+         SYNOPSYS_UNCONNECTED_145, SYNOPSYS_UNCONNECTED_146,
+         SYNOPSYS_UNCONNECTED_147, SYNOPSYS_UNCONNECTED_148,
+         SYNOPSYS_UNCONNECTED_149, SYNOPSYS_UNCONNECTED_150,
+         SYNOPSYS_UNCONNECTED_151, SYNOPSYS_UNCONNECTED_152,
+         SYNOPSYS_UNCONNECTED_153, SYNOPSYS_UNCONNECTED_154,
+         SYNOPSYS_UNCONNECTED_155, SYNOPSYS_UNCONNECTED_156,
+         SYNOPSYS_UNCONNECTED_157, SYNOPSYS_UNCONNECTED_158,
+         SYNOPSYS_UNCONNECTED_159, SYNOPSYS_UNCONNECTED_160,
+         SYNOPSYS_UNCONNECTED_161, SYNOPSYS_UNCONNECTED_162,
+         SYNOPSYS_UNCONNECTED_163, SYNOPSYS_UNCONNECTED_164,
+         SYNOPSYS_UNCONNECTED_165, SYNOPSYS_UNCONNECTED_166,
+         SYNOPSYS_UNCONNECTED_167, SYNOPSYS_UNCONNECTED_168,
+         SYNOPSYS_UNCONNECTED_169, SYNOPSYS_UNCONNECTED_170,
+         SYNOPSYS_UNCONNECTED_171, SYNOPSYS_UNCONNECTED_172,
+         SYNOPSYS_UNCONNECTED_173, SYNOPSYS_UNCONNECTED_174,
+         SYNOPSYS_UNCONNECTED_175, SYNOPSYS_UNCONNECTED_176,
+         SYNOPSYS_UNCONNECTED_177, SYNOPSYS_UNCONNECTED_178,
+         SYNOPSYS_UNCONNECTED_179, SYNOPSYS_UNCONNECTED_180,
+         SYNOPSYS_UNCONNECTED_181, SYNOPSYS_UNCONNECTED_182,
+         SYNOPSYS_UNCONNECTED_183, SYNOPSYS_UNCONNECTED_184,
+         SYNOPSYS_UNCONNECTED_185, SYNOPSYS_UNCONNECTED_186,
+         SYNOPSYS_UNCONNECTED_187, SYNOPSYS_UNCONNECTED_188,
+         SYNOPSYS_UNCONNECTED_189, SYNOPSYS_UNCONNECTED_190,
+         SYNOPSYS_UNCONNECTED_191, SYNOPSYS_UNCONNECTED_192,
+         SYNOPSYS_UNCONNECTED_193, SYNOPSYS_UNCONNECTED_194,
+         SYNOPSYS_UNCONNECTED_195, SYNOPSYS_UNCONNECTED_196,
+         SYNOPSYS_UNCONNECTED_197, SYNOPSYS_UNCONNECTED_198,
+         SYNOPSYS_UNCONNECTED_199, SYNOPSYS_UNCONNECTED_200,
+         SYNOPSYS_UNCONNECTED_201, SYNOPSYS_UNCONNECTED_202,
+         SYNOPSYS_UNCONNECTED_203, SYNOPSYS_UNCONNECTED_204,
+         SYNOPSYS_UNCONNECTED_205, SYNOPSYS_UNCONNECTED_206,
+         SYNOPSYS_UNCONNECTED_207, SYNOPSYS_UNCONNECTED_208,
+         SYNOPSYS_UNCONNECTED_209, SYNOPSYS_UNCONNECTED_210,
+         SYNOPSYS_UNCONNECTED_211, SYNOPSYS_UNCONNECTED_212,
+         SYNOPSYS_UNCONNECTED_213, SYNOPSYS_UNCONNECTED_214,
+         SYNOPSYS_UNCONNECTED_215, SYNOPSYS_UNCONNECTED_216,
+         SYNOPSYS_UNCONNECTED_217, SYNOPSYS_UNCONNECTED_218,
+         SYNOPSYS_UNCONNECTED_219, SYNOPSYS_UNCONNECTED_220,
+         SYNOPSYS_UNCONNECTED_221, SYNOPSYS_UNCONNECTED_222,
+         SYNOPSYS_UNCONNECTED_223, SYNOPSYS_UNCONNECTED_224,
+         SYNOPSYS_UNCONNECTED_225, SYNOPSYS_UNCONNECTED_226,
+         SYNOPSYS_UNCONNECTED_227, SYNOPSYS_UNCONNECTED_228,
+         SYNOPSYS_UNCONNECTED_229, SYNOPSYS_UNCONNECTED_230,
+         SYNOPSYS_UNCONNECTED_231, SYNOPSYS_UNCONNECTED_232,
+         SYNOPSYS_UNCONNECTED_233, SYNOPSYS_UNCONNECTED_234,
+         SYNOPSYS_UNCONNECTED_235, SYNOPSYS_UNCONNECTED_236,
+         SYNOPSYS_UNCONNECTED_237, SYNOPSYS_UNCONNECTED_238,
+         SYNOPSYS_UNCONNECTED_239, SYNOPSYS_UNCONNECTED_240,
+         SYNOPSYS_UNCONNECTED_241, SYNOPSYS_UNCONNECTED_242,
+         SYNOPSYS_UNCONNECTED_243, SYNOPSYS_UNCONNECTED_244,
+         SYNOPSYS_UNCONNECTED_245, SYNOPSYS_UNCONNECTED_246,
+         SYNOPSYS_UNCONNECTED_247, SYNOPSYS_UNCONNECTED_248,
+         SYNOPSYS_UNCONNECTED_249, SYNOPSYS_UNCONNECTED_250,
+         SYNOPSYS_UNCONNECTED_251, SYNOPSYS_UNCONNECTED_252,
+         SYNOPSYS_UNCONNECTED_253, SYNOPSYS_UNCONNECTED_254,
+         SYNOPSYS_UNCONNECTED_255, SYNOPSYS_UNCONNECTED_256,
+         SYNOPSYS_UNCONNECTED_257, SYNOPSYS_UNCONNECTED_258,
+         SYNOPSYS_UNCONNECTED_259, SYNOPSYS_UNCONNECTED_260,
+         SYNOPSYS_UNCONNECTED_261, SYNOPSYS_UNCONNECTED_262,
+         SYNOPSYS_UNCONNECTED_263, SYNOPSYS_UNCONNECTED_264,
+         SYNOPSYS_UNCONNECTED_265, SYNOPSYS_UNCONNECTED_266,
+         SYNOPSYS_UNCONNECTED_267, SYNOPSYS_UNCONNECTED_268,
+         SYNOPSYS_UNCONNECTED_269, SYNOPSYS_UNCONNECTED_270,
+         SYNOPSYS_UNCONNECTED_271, SYNOPSYS_UNCONNECTED_272,
+         SYNOPSYS_UNCONNECTED_273, SYNOPSYS_UNCONNECTED_274,
+         SYNOPSYS_UNCONNECTED_275, SYNOPSYS_UNCONNECTED_276,
+         SYNOPSYS_UNCONNECTED_277, SYNOPSYS_UNCONNECTED_278,
+         SYNOPSYS_UNCONNECTED_279, SYNOPSYS_UNCONNECTED_280,
+         SYNOPSYS_UNCONNECTED_281, SYNOPSYS_UNCONNECTED_282,
+         SYNOPSYS_UNCONNECTED_283, SYNOPSYS_UNCONNECTED_284,
+         SYNOPSYS_UNCONNECTED_285, SYNOPSYS_UNCONNECTED_286,
+         SYNOPSYS_UNCONNECTED_287, SYNOPSYS_UNCONNECTED_288,
+         SYNOPSYS_UNCONNECTED_289, SYNOPSYS_UNCONNECTED_290,
+         SYNOPSYS_UNCONNECTED_291, SYNOPSYS_UNCONNECTED_292,
+         SYNOPSYS_UNCONNECTED_293, SYNOPSYS_UNCONNECTED_294,
+         SYNOPSYS_UNCONNECTED_295, SYNOPSYS_UNCONNECTED_296,
+         SYNOPSYS_UNCONNECTED_297, SYNOPSYS_UNCONNECTED_298,
+         SYNOPSYS_UNCONNECTED_299, SYNOPSYS_UNCONNECTED_300,
+         SYNOPSYS_UNCONNECTED_301, SYNOPSYS_UNCONNECTED_302,
+         SYNOPSYS_UNCONNECTED_303, SYNOPSYS_UNCONNECTED_304,
+         SYNOPSYS_UNCONNECTED_305, SYNOPSYS_UNCONNECTED_306,
+         SYNOPSYS_UNCONNECTED_307, SYNOPSYS_UNCONNECTED_308,
+         SYNOPSYS_UNCONNECTED_309, SYNOPSYS_UNCONNECTED_310,
+         SYNOPSYS_UNCONNECTED_311, SYNOPSYS_UNCONNECTED_312,
+         SYNOPSYS_UNCONNECTED_313, SYNOPSYS_UNCONNECTED_314,
+         SYNOPSYS_UNCONNECTED_315, SYNOPSYS_UNCONNECTED_316,
+         SYNOPSYS_UNCONNECTED_317, SYNOPSYS_UNCONNECTED_318,
+         SYNOPSYS_UNCONNECTED_319, SYNOPSYS_UNCONNECTED_320,
+         SYNOPSYS_UNCONNECTED_321, SYNOPSYS_UNCONNECTED_322,
+         SYNOPSYS_UNCONNECTED_323, SYNOPSYS_UNCONNECTED_324,
+         SYNOPSYS_UNCONNECTED_325, SYNOPSYS_UNCONNECTED_326,
+         SYNOPSYS_UNCONNECTED_327, SYNOPSYS_UNCONNECTED_328,
+         SYNOPSYS_UNCONNECTED_329, SYNOPSYS_UNCONNECTED_330,
+         SYNOPSYS_UNCONNECTED_331, SYNOPSYS_UNCONNECTED_332,
+         SYNOPSYS_UNCONNECTED_333, SYNOPSYS_UNCONNECTED_334,
+         SYNOPSYS_UNCONNECTED_335, SYNOPSYS_UNCONNECTED_336,
+         SYNOPSYS_UNCONNECTED_337, SYNOPSYS_UNCONNECTED_338,
+         SYNOPSYS_UNCONNECTED_339, SYNOPSYS_UNCONNECTED_340,
+         SYNOPSYS_UNCONNECTED_341, SYNOPSYS_UNCONNECTED_342,
+         SYNOPSYS_UNCONNECTED_343, SYNOPSYS_UNCONNECTED_344,
+         SYNOPSYS_UNCONNECTED_345, SYNOPSYS_UNCONNECTED_346,
+         SYNOPSYS_UNCONNECTED_347, SYNOPSYS_UNCONNECTED_348,
+         SYNOPSYS_UNCONNECTED_349, SYNOPSYS_UNCONNECTED_350,
+         SYNOPSYS_UNCONNECTED_351, SYNOPSYS_UNCONNECTED_352,
+         SYNOPSYS_UNCONNECTED_353, SYNOPSYS_UNCONNECTED_354,
+         SYNOPSYS_UNCONNECTED_355, SYNOPSYS_UNCONNECTED_356,
+         SYNOPSYS_UNCONNECTED_357, SYNOPSYS_UNCONNECTED_358,
+         SYNOPSYS_UNCONNECTED_359, SYNOPSYS_UNCONNECTED_360,
+         SYNOPSYS_UNCONNECTED_361, SYNOPSYS_UNCONNECTED_362,
+         SYNOPSYS_UNCONNECTED_363, SYNOPSYS_UNCONNECTED_364,
+         SYNOPSYS_UNCONNECTED_365, SYNOPSYS_UNCONNECTED_366,
+         SYNOPSYS_UNCONNECTED_367, SYNOPSYS_UNCONNECTED_368,
+         SYNOPSYS_UNCONNECTED_369, SYNOPSYS_UNCONNECTED_370,
+         SYNOPSYS_UNCONNECTED_371, SYNOPSYS_UNCONNECTED_372,
+         SYNOPSYS_UNCONNECTED_373, SYNOPSYS_UNCONNECTED_374,
+         SYNOPSYS_UNCONNECTED_375, SYNOPSYS_UNCONNECTED_376,
+         SYNOPSYS_UNCONNECTED_377, SYNOPSYS_UNCONNECTED_378,
+         SYNOPSYS_UNCONNECTED_379, SYNOPSYS_UNCONNECTED_380,
+         SYNOPSYS_UNCONNECTED_381, SYNOPSYS_UNCONNECTED_382,
+         SYNOPSYS_UNCONNECTED_383, SYNOPSYS_UNCONNECTED_384,
+         SYNOPSYS_UNCONNECTED_385, SYNOPSYS_UNCONNECTED_386,
+         SYNOPSYS_UNCONNECTED_387, SYNOPSYS_UNCONNECTED_388,
+         SYNOPSYS_UNCONNECTED_389, SYNOPSYS_UNCONNECTED_390,
+         SYNOPSYS_UNCONNECTED_391, SYNOPSYS_UNCONNECTED_392,
+         SYNOPSYS_UNCONNECTED_393, SYNOPSYS_UNCONNECTED_394,
+         SYNOPSYS_UNCONNECTED_395, SYNOPSYS_UNCONNECTED_396,
+         SYNOPSYS_UNCONNECTED_397, SYNOPSYS_UNCONNECTED_398,
+         SYNOPSYS_UNCONNECTED_399, SYNOPSYS_UNCONNECTED_400,
+         SYNOPSYS_UNCONNECTED_401, SYNOPSYS_UNCONNECTED_402,
+         SYNOPSYS_UNCONNECTED_403, SYNOPSYS_UNCONNECTED_404,
+         SYNOPSYS_UNCONNECTED_405, SYNOPSYS_UNCONNECTED_406,
+         SYNOPSYS_UNCONNECTED_407, SYNOPSYS_UNCONNECTED_408,
+         SYNOPSYS_UNCONNECTED_409, SYNOPSYS_UNCONNECTED_410,
+         SYNOPSYS_UNCONNECTED_411, SYNOPSYS_UNCONNECTED_412,
+         SYNOPSYS_UNCONNECTED_413, SYNOPSYS_UNCONNECTED_414,
+         SYNOPSYS_UNCONNECTED_415, SYNOPSYS_UNCONNECTED_416,
+         SYNOPSYS_UNCONNECTED_417, SYNOPSYS_UNCONNECTED_418,
+         SYNOPSYS_UNCONNECTED_419, SYNOPSYS_UNCONNECTED_420,
+         SYNOPSYS_UNCONNECTED_421, SYNOPSYS_UNCONNECTED_422,
+         SYNOPSYS_UNCONNECTED_423, SYNOPSYS_UNCONNECTED_424,
+         SYNOPSYS_UNCONNECTED_425, SYNOPSYS_UNCONNECTED_426,
+         SYNOPSYS_UNCONNECTED_427, SYNOPSYS_UNCONNECTED_428,
+         SYNOPSYS_UNCONNECTED_429, SYNOPSYS_UNCONNECTED_430,
+         SYNOPSYS_UNCONNECTED_431, SYNOPSYS_UNCONNECTED_432,
+         SYNOPSYS_UNCONNECTED_433, SYNOPSYS_UNCONNECTED_434,
+         SYNOPSYS_UNCONNECTED_435, SYNOPSYS_UNCONNECTED_436,
+         SYNOPSYS_UNCONNECTED_437, SYNOPSYS_UNCONNECTED_438,
+         SYNOPSYS_UNCONNECTED_439, SYNOPSYS_UNCONNECTED_440,
+         SYNOPSYS_UNCONNECTED_441, SYNOPSYS_UNCONNECTED_442,
+         SYNOPSYS_UNCONNECTED_443, SYNOPSYS_UNCONNECTED_444,
+         SYNOPSYS_UNCONNECTED_445, SYNOPSYS_UNCONNECTED_446,
+         SYNOPSYS_UNCONNECTED_447, SYNOPSYS_UNCONNECTED_448,
+         SYNOPSYS_UNCONNECTED_449, SYNOPSYS_UNCONNECTED_450,
+         SYNOPSYS_UNCONNECTED_451, SYNOPSYS_UNCONNECTED_452,
+         SYNOPSYS_UNCONNECTED_453, SYNOPSYS_UNCONNECTED_454,
+         SYNOPSYS_UNCONNECTED_455, SYNOPSYS_UNCONNECTED_456,
+         SYNOPSYS_UNCONNECTED_457, SYNOPSYS_UNCONNECTED_458,
+         SYNOPSYS_UNCONNECTED_459, SYNOPSYS_UNCONNECTED_460,
+         SYNOPSYS_UNCONNECTED_461, SYNOPSYS_UNCONNECTED_462,
+         SYNOPSYS_UNCONNECTED_463, SYNOPSYS_UNCONNECTED_464,
+         SYNOPSYS_UNCONNECTED_465, SYNOPSYS_UNCONNECTED_466,
+         SYNOPSYS_UNCONNECTED_467, SYNOPSYS_UNCONNECTED_468,
+         SYNOPSYS_UNCONNECTED_469, SYNOPSYS_UNCONNECTED_470,
+         SYNOPSYS_UNCONNECTED_471, SYNOPSYS_UNCONNECTED_472,
+         SYNOPSYS_UNCONNECTED_473, SYNOPSYS_UNCONNECTED_474,
+         SYNOPSYS_UNCONNECTED_475, SYNOPSYS_UNCONNECTED_476,
+         SYNOPSYS_UNCONNECTED_477, SYNOPSYS_UNCONNECTED_478,
+         SYNOPSYS_UNCONNECTED_479, SYNOPSYS_UNCONNECTED_480,
+         SYNOPSYS_UNCONNECTED_481, SYNOPSYS_UNCONNECTED_482,
+         SYNOPSYS_UNCONNECTED_483, SYNOPSYS_UNCONNECTED_484,
+         SYNOPSYS_UNCONNECTED_485, SYNOPSYS_UNCONNECTED_486,
+         SYNOPSYS_UNCONNECTED_487, SYNOPSYS_UNCONNECTED_488,
+         SYNOPSYS_UNCONNECTED_489, SYNOPSYS_UNCONNECTED_490,
+         SYNOPSYS_UNCONNECTED_491, SYNOPSYS_UNCONNECTED_492,
+         SYNOPSYS_UNCONNECTED_493, SYNOPSYS_UNCONNECTED_494,
+         SYNOPSYS_UNCONNECTED_495, SYNOPSYS_UNCONNECTED_496,
+         SYNOPSYS_UNCONNECTED_497, SYNOPSYS_UNCONNECTED_498,
+         SYNOPSYS_UNCONNECTED_499, SYNOPSYS_UNCONNECTED_500,
+         SYNOPSYS_UNCONNECTED_501, SYNOPSYS_UNCONNECTED_502,
+         SYNOPSYS_UNCONNECTED_503, SYNOPSYS_UNCONNECTED_504,
+         SYNOPSYS_UNCONNECTED_505, SYNOPSYS_UNCONNECTED_506,
+         SYNOPSYS_UNCONNECTED_507, SYNOPSYS_UNCONNECTED_508,
+         SYNOPSYS_UNCONNECTED_509, SYNOPSYS_UNCONNECTED_510,
+         SYNOPSYS_UNCONNECTED_511, SYNOPSYS_UNCONNECTED_512,
+         SYNOPSYS_UNCONNECTED_513, SYNOPSYS_UNCONNECTED_514,
+         SYNOPSYS_UNCONNECTED_515, SYNOPSYS_UNCONNECTED_516,
+         SYNOPSYS_UNCONNECTED_517, SYNOPSYS_UNCONNECTED_518,
+         SYNOPSYS_UNCONNECTED_519, SYNOPSYS_UNCONNECTED_520,
+         SYNOPSYS_UNCONNECTED_521, SYNOPSYS_UNCONNECTED_522,
+         SYNOPSYS_UNCONNECTED_523, SYNOPSYS_UNCONNECTED_524,
+         SYNOPSYS_UNCONNECTED_525, SYNOPSYS_UNCONNECTED_526,
+         SYNOPSYS_UNCONNECTED_527, SYNOPSYS_UNCONNECTED_528,
+         SYNOPSYS_UNCONNECTED_529, SYNOPSYS_UNCONNECTED_530,
+         SYNOPSYS_UNCONNECTED_531, SYNOPSYS_UNCONNECTED_532,
+         SYNOPSYS_UNCONNECTED_533, SYNOPSYS_UNCONNECTED_534,
+         SYNOPSYS_UNCONNECTED_535, SYNOPSYS_UNCONNECTED_536,
+         SYNOPSYS_UNCONNECTED_537, SYNOPSYS_UNCONNECTED_538,
+         SYNOPSYS_UNCONNECTED_539, SYNOPSYS_UNCONNECTED_540,
+         SYNOPSYS_UNCONNECTED_541, SYNOPSYS_UNCONNECTED_542,
+         SYNOPSYS_UNCONNECTED_543, SYNOPSYS_UNCONNECTED_544,
+         SYNOPSYS_UNCONNECTED_545, SYNOPSYS_UNCONNECTED_546,
+         SYNOPSYS_UNCONNECTED_547, SYNOPSYS_UNCONNECTED_548,
+         SYNOPSYS_UNCONNECTED_549, SYNOPSYS_UNCONNECTED_550,
+         SYNOPSYS_UNCONNECTED_551, SYNOPSYS_UNCONNECTED_552,
+         SYNOPSYS_UNCONNECTED_553, SYNOPSYS_UNCONNECTED_554,
+         SYNOPSYS_UNCONNECTED_555, SYNOPSYS_UNCONNECTED_556,
+         SYNOPSYS_UNCONNECTED_557, SYNOPSYS_UNCONNECTED_558,
+         SYNOPSYS_UNCONNECTED_559, SYNOPSYS_UNCONNECTED_560,
+         SYNOPSYS_UNCONNECTED_561, SYNOPSYS_UNCONNECTED_562,
+         SYNOPSYS_UNCONNECTED_563, SYNOPSYS_UNCONNECTED_564,
+         SYNOPSYS_UNCONNECTED_565, SYNOPSYS_UNCONNECTED_566,
+         SYNOPSYS_UNCONNECTED_567, SYNOPSYS_UNCONNECTED_568,
+         SYNOPSYS_UNCONNECTED_569, SYNOPSYS_UNCONNECTED_570,
+         SYNOPSYS_UNCONNECTED_571, SYNOPSYS_UNCONNECTED_572,
+         SYNOPSYS_UNCONNECTED_573, SYNOPSYS_UNCONNECTED_574,
+         SYNOPSYS_UNCONNECTED_575, SYNOPSYS_UNCONNECTED_576,
+         SYNOPSYS_UNCONNECTED_577, SYNOPSYS_UNCONNECTED_578,
+         SYNOPSYS_UNCONNECTED_579, SYNOPSYS_UNCONNECTED_580,
+         SYNOPSYS_UNCONNECTED_581, SYNOPSYS_UNCONNECTED_582,
+         SYNOPSYS_UNCONNECTED_583, SYNOPSYS_UNCONNECTED_584,
+         SYNOPSYS_UNCONNECTED_585, SYNOPSYS_UNCONNECTED_586,
+         SYNOPSYS_UNCONNECTED_587, SYNOPSYS_UNCONNECTED_588,
+         SYNOPSYS_UNCONNECTED_589, SYNOPSYS_UNCONNECTED_590,
+         SYNOPSYS_UNCONNECTED_591, SYNOPSYS_UNCONNECTED_592,
+         SYNOPSYS_UNCONNECTED_593, SYNOPSYS_UNCONNECTED_594,
+         SYNOPSYS_UNCONNECTED_595, SYNOPSYS_UNCONNECTED_596,
+         SYNOPSYS_UNCONNECTED_597, SYNOPSYS_UNCONNECTED_598,
+         SYNOPSYS_UNCONNECTED_599, SYNOPSYS_UNCONNECTED_600,
+         SYNOPSYS_UNCONNECTED_601, SYNOPSYS_UNCONNECTED_602,
+         SYNOPSYS_UNCONNECTED_603, SYNOPSYS_UNCONNECTED_604,
+         SYNOPSYS_UNCONNECTED_605, SYNOPSYS_UNCONNECTED_606,
+         SYNOPSYS_UNCONNECTED_607, SYNOPSYS_UNCONNECTED_608,
+         SYNOPSYS_UNCONNECTED_609, SYNOPSYS_UNCONNECTED_610,
+         SYNOPSYS_UNCONNECTED_611, SYNOPSYS_UNCONNECTED_612,
+         SYNOPSYS_UNCONNECTED_613, SYNOPSYS_UNCONNECTED_614,
+         SYNOPSYS_UNCONNECTED_615, SYNOPSYS_UNCONNECTED_616,
+         SYNOPSYS_UNCONNECTED_617, SYNOPSYS_UNCONNECTED_618,
+         SYNOPSYS_UNCONNECTED_619, SYNOPSYS_UNCONNECTED_620,
+         SYNOPSYS_UNCONNECTED_621, SYNOPSYS_UNCONNECTED_622,
+         SYNOPSYS_UNCONNECTED_623, SYNOPSYS_UNCONNECTED_624,
+         SYNOPSYS_UNCONNECTED_625, SYNOPSYS_UNCONNECTED_626,
+         SYNOPSYS_UNCONNECTED_627, SYNOPSYS_UNCONNECTED_628,
+         SYNOPSYS_UNCONNECTED_629, SYNOPSYS_UNCONNECTED_630,
+         SYNOPSYS_UNCONNECTED_631, SYNOPSYS_UNCONNECTED_632,
+         SYNOPSYS_UNCONNECTED_633, SYNOPSYS_UNCONNECTED_634,
+         SYNOPSYS_UNCONNECTED_635, SYNOPSYS_UNCONNECTED_636,
+         SYNOPSYS_UNCONNECTED_637, SYNOPSYS_UNCONNECTED_638,
+         SYNOPSYS_UNCONNECTED_639, SYNOPSYS_UNCONNECTED_640,
+         SYNOPSYS_UNCONNECTED_641, SYNOPSYS_UNCONNECTED_642,
+         SYNOPSYS_UNCONNECTED_643, SYNOPSYS_UNCONNECTED_644,
+         SYNOPSYS_UNCONNECTED_645, SYNOPSYS_UNCONNECTED_646,
+         SYNOPSYS_UNCONNECTED_647, SYNOPSYS_UNCONNECTED_648,
+         SYNOPSYS_UNCONNECTED_649, SYNOPSYS_UNCONNECTED_650,
+         SYNOPSYS_UNCONNECTED_651, SYNOPSYS_UNCONNECTED_652,
+         SYNOPSYS_UNCONNECTED_653, SYNOPSYS_UNCONNECTED_654,
+         SYNOPSYS_UNCONNECTED_655, SYNOPSYS_UNCONNECTED_656,
+         SYNOPSYS_UNCONNECTED_657, SYNOPSYS_UNCONNECTED_658,
+         SYNOPSYS_UNCONNECTED_659, SYNOPSYS_UNCONNECTED_660,
+         SYNOPSYS_UNCONNECTED_661, SYNOPSYS_UNCONNECTED_662,
+         SYNOPSYS_UNCONNECTED_663, SYNOPSYS_UNCONNECTED_664,
+         SYNOPSYS_UNCONNECTED_665, SYNOPSYS_UNCONNECTED_666,
+         SYNOPSYS_UNCONNECTED_667, SYNOPSYS_UNCONNECTED_668,
+         SYNOPSYS_UNCONNECTED_669, SYNOPSYS_UNCONNECTED_670,
+         SYNOPSYS_UNCONNECTED_671, SYNOPSYS_UNCONNECTED_672,
+         SYNOPSYS_UNCONNECTED_673, SYNOPSYS_UNCONNECTED_674,
+         SYNOPSYS_UNCONNECTED_675, SYNOPSYS_UNCONNECTED_676,
+         SYNOPSYS_UNCONNECTED_677, SYNOPSYS_UNCONNECTED_678,
+         SYNOPSYS_UNCONNECTED_679, SYNOPSYS_UNCONNECTED_680,
+         SYNOPSYS_UNCONNECTED_681, SYNOPSYS_UNCONNECTED_682,
+         SYNOPSYS_UNCONNECTED_683, SYNOPSYS_UNCONNECTED_684,
+         SYNOPSYS_UNCONNECTED_685, SYNOPSYS_UNCONNECTED_686,
+         SYNOPSYS_UNCONNECTED_687, SYNOPSYS_UNCONNECTED_688,
+         SYNOPSYS_UNCONNECTED_689, SYNOPSYS_UNCONNECTED_690,
+         SYNOPSYS_UNCONNECTED_691, SYNOPSYS_UNCONNECTED_692,
+         SYNOPSYS_UNCONNECTED_693, SYNOPSYS_UNCONNECTED_694,
+         SYNOPSYS_UNCONNECTED_695, SYNOPSYS_UNCONNECTED_696,
+         SYNOPSYS_UNCONNECTED_697, SYNOPSYS_UNCONNECTED_698,
+         SYNOPSYS_UNCONNECTED_699, SYNOPSYS_UNCONNECTED_700,
+         SYNOPSYS_UNCONNECTED_701, SYNOPSYS_UNCONNECTED_702,
+         SYNOPSYS_UNCONNECTED_703, SYNOPSYS_UNCONNECTED_704,
+         SYNOPSYS_UNCONNECTED_705, SYNOPSYS_UNCONNECTED_706,
+         SYNOPSYS_UNCONNECTED_707, SYNOPSYS_UNCONNECTED_708,
+         SYNOPSYS_UNCONNECTED_709, SYNOPSYS_UNCONNECTED_710,
+         SYNOPSYS_UNCONNECTED_711, SYNOPSYS_UNCONNECTED_712,
+         SYNOPSYS_UNCONNECTED_713, SYNOPSYS_UNCONNECTED_714,
+         SYNOPSYS_UNCONNECTED_715, SYNOPSYS_UNCONNECTED_716,
+         SYNOPSYS_UNCONNECTED_717, SYNOPSYS_UNCONNECTED_718,
+         SYNOPSYS_UNCONNECTED_719, SYNOPSYS_UNCONNECTED_720,
+         SYNOPSYS_UNCONNECTED_721, SYNOPSYS_UNCONNECTED_722,
+         SYNOPSYS_UNCONNECTED_723, SYNOPSYS_UNCONNECTED_724,
+         SYNOPSYS_UNCONNECTED_725, SYNOPSYS_UNCONNECTED_726,
+         SYNOPSYS_UNCONNECTED_727, SYNOPSYS_UNCONNECTED_728,
+         SYNOPSYS_UNCONNECTED_729, SYNOPSYS_UNCONNECTED_730,
+         SYNOPSYS_UNCONNECTED_731, SYNOPSYS_UNCONNECTED_732,
+         SYNOPSYS_UNCONNECTED_733, SYNOPSYS_UNCONNECTED_734,
+         SYNOPSYS_UNCONNECTED_735, SYNOPSYS_UNCONNECTED_736,
+         SYNOPSYS_UNCONNECTED_737, SYNOPSYS_UNCONNECTED_738,
+         SYNOPSYS_UNCONNECTED_739, SYNOPSYS_UNCONNECTED_740,
+         SYNOPSYS_UNCONNECTED_741, SYNOPSYS_UNCONNECTED_742,
+         SYNOPSYS_UNCONNECTED_743, SYNOPSYS_UNCONNECTED_744,
+         SYNOPSYS_UNCONNECTED_745, SYNOPSYS_UNCONNECTED_746,
+         SYNOPSYS_UNCONNECTED_747, SYNOPSYS_UNCONNECTED_748,
+         SYNOPSYS_UNCONNECTED_749, SYNOPSYS_UNCONNECTED_750,
+         SYNOPSYS_UNCONNECTED_751, SYNOPSYS_UNCONNECTED_752,
+         SYNOPSYS_UNCONNECTED_753, SYNOPSYS_UNCONNECTED_754,
+         SYNOPSYS_UNCONNECTED_755, SYNOPSYS_UNCONNECTED_756,
+         SYNOPSYS_UNCONNECTED_757, SYNOPSYS_UNCONNECTED_758,
+         SYNOPSYS_UNCONNECTED_759, SYNOPSYS_UNCONNECTED_760,
+         SYNOPSYS_UNCONNECTED_761, SYNOPSYS_UNCONNECTED_762,
+         SYNOPSYS_UNCONNECTED_763, SYNOPSYS_UNCONNECTED_764,
+         SYNOPSYS_UNCONNECTED_765, SYNOPSYS_UNCONNECTED_766,
+         SYNOPSYS_UNCONNECTED_767, SYNOPSYS_UNCONNECTED_768,
+         SYNOPSYS_UNCONNECTED_769, SYNOPSYS_UNCONNECTED_770,
+         SYNOPSYS_UNCONNECTED_771, SYNOPSYS_UNCONNECTED_772,
+         SYNOPSYS_UNCONNECTED_773, SYNOPSYS_UNCONNECTED_774,
+         SYNOPSYS_UNCONNECTED_775, SYNOPSYS_UNCONNECTED_776,
+         SYNOPSYS_UNCONNECTED_777, SYNOPSYS_UNCONNECTED_778,
+         SYNOPSYS_UNCONNECTED_779, SYNOPSYS_UNCONNECTED_780,
+         SYNOPSYS_UNCONNECTED_781;
+  wire   [3:0] dev_sel_s1n_10;
+
+  opentitan_soc_top_tlul_socket_1n_11_0_0_0000000000000_0000000000000_0 u_s1n_10 ( 
+        .clk_i(n397), .rst_ni(rst_ni), .tl_h_i({tl_lsu_i[85:84], n487, 
+        tl_lsu_i[82], n487, n487, n487, n396, n487, n487, n487, n487, n487, 
+        n487, n487, n487, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        tl_lsu_i[50], 1'b0, tl_lsu_i[48:39], n487, n487, tl_lsu_i[36:1], n396}), .tl_h_o({tl_lsu_o[51], SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2, 
+        SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, 
+        SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, 
+        SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10, 
+        SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12, 
+        SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14, 
+        SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16, 
+        SYNOPSYS_UNCONNECTED_17, tl_lsu_o[33:0]}), .tl_d_o({tl_dccm_o[85:84], 
+        SYNOPSYS_UNCONNECTED_18, tl_dccm_o[82], SYNOPSYS_UNCONNECTED_19, 
+        SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21, 
+        SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23, 
+        SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25, 
+        SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27, 
+        SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29, 
+        SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31, 
+        SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33, 
+        SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35, 
+        SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37, 
+        SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39, 
+        SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41, 
+        SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43, 
+        SYNOPSYS_UNCONNECTED_44, SYNOPSYS_UNCONNECTED_45, 
+        SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_47, 
+        SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49, tl_dccm_o[50], 
+        SYNOPSYS_UNCONNECTED_50, tl_dccm_o[48:39], SYNOPSYS_UNCONNECTED_51, 
+        SYNOPSYS_UNCONNECTED_52, tl_dccm_o[36:1], SYNOPSYS_UNCONNECTED_53, 
+        tl_gpio_o[85:84], SYNOPSYS_UNCONNECTED_54, tl_gpio_o[82], 
+        SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56, 
+        SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58, 
+        SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60, 
+        SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62, 
+        SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64, 
+        SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66, 
+        SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68, 
+        SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70, 
+        SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72, 
+        SYNOPSYS_UNCONNECTED_73, SYNOPSYS_UNCONNECTED_74, 
+        SYNOPSYS_UNCONNECTED_75, SYNOPSYS_UNCONNECTED_76, 
+        SYNOPSYS_UNCONNECTED_77, SYNOPSYS_UNCONNECTED_78, 
+        SYNOPSYS_UNCONNECTED_79, SYNOPSYS_UNCONNECTED_80, 
+        SYNOPSYS_UNCONNECTED_81, SYNOPSYS_UNCONNECTED_82, 
+        SYNOPSYS_UNCONNECTED_83, SYNOPSYS_UNCONNECTED_84, 
+        SYNOPSYS_UNCONNECTED_85, SYNOPSYS_UNCONNECTED_86, 
+        SYNOPSYS_UNCONNECTED_87, SYNOPSYS_UNCONNECTED_88, 
+        SYNOPSYS_UNCONNECTED_89, SYNOPSYS_UNCONNECTED_90, 
+        SYNOPSYS_UNCONNECTED_91, SYNOPSYS_UNCONNECTED_92, 
+        SYNOPSYS_UNCONNECTED_93, tl_gpio_o[42:39], SYNOPSYS_UNCONNECTED_94, 
+        SYNOPSYS_UNCONNECTED_95, tl_gpio_o[36:1], SYNOPSYS_UNCONNECTED_96, 
+        SYNOPSYS_UNCONNECTED_97, SYNOPSYS_UNCONNECTED_98, 
+        SYNOPSYS_UNCONNECTED_99, SYNOPSYS_UNCONNECTED_100, 
+        SYNOPSYS_UNCONNECTED_101, SYNOPSYS_UNCONNECTED_102, 
+        SYNOPSYS_UNCONNECTED_103, SYNOPSYS_UNCONNECTED_104, 
+        SYNOPSYS_UNCONNECTED_105, SYNOPSYS_UNCONNECTED_106, 
+        SYNOPSYS_UNCONNECTED_107, SYNOPSYS_UNCONNECTED_108, 
+        SYNOPSYS_UNCONNECTED_109, SYNOPSYS_UNCONNECTED_110, 
+        SYNOPSYS_UNCONNECTED_111, SYNOPSYS_UNCONNECTED_112, 
+        SYNOPSYS_UNCONNECTED_113, SYNOPSYS_UNCONNECTED_114, 
+        SYNOPSYS_UNCONNECTED_115, SYNOPSYS_UNCONNECTED_116, 
+        SYNOPSYS_UNCONNECTED_117, SYNOPSYS_UNCONNECTED_118, 
+        SYNOPSYS_UNCONNECTED_119, SYNOPSYS_UNCONNECTED_120, 
+        SYNOPSYS_UNCONNECTED_121, SYNOPSYS_UNCONNECTED_122, 
+        SYNOPSYS_UNCONNECTED_123, SYNOPSYS_UNCONNECTED_124, 
+        SYNOPSYS_UNCONNECTED_125, SYNOPSYS_UNCONNECTED_126, 
+        SYNOPSYS_UNCONNECTED_127, SYNOPSYS_UNCONNECTED_128, 
+        SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_130, 
+        SYNOPSYS_UNCONNECTED_131, SYNOPSYS_UNCONNECTED_132, 
+        SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134, 
+        SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136, 
+        SYNOPSYS_UNCONNECTED_137, SYNOPSYS_UNCONNECTED_138, 
+        SYNOPSYS_UNCONNECTED_139, SYNOPSYS_UNCONNECTED_140, 
+        SYNOPSYS_UNCONNECTED_141, SYNOPSYS_UNCONNECTED_142, 
+        SYNOPSYS_UNCONNECTED_143, SYNOPSYS_UNCONNECTED_144, 
+        SYNOPSYS_UNCONNECTED_145, SYNOPSYS_UNCONNECTED_146, 
+        SYNOPSYS_UNCONNECTED_147, SYNOPSYS_UNCONNECTED_148, 
+        SYNOPSYS_UNCONNECTED_149, SYNOPSYS_UNCONNECTED_150, 
+        SYNOPSYS_UNCONNECTED_151, SYNOPSYS_UNCONNECTED_152, 
+        SYNOPSYS_UNCONNECTED_153, SYNOPSYS_UNCONNECTED_154, 
+        SYNOPSYS_UNCONNECTED_155, SYNOPSYS_UNCONNECTED_156, 
+        SYNOPSYS_UNCONNECTED_157, SYNOPSYS_UNCONNECTED_158, 
+        SYNOPSYS_UNCONNECTED_159, SYNOPSYS_UNCONNECTED_160, 
+        SYNOPSYS_UNCONNECTED_161, SYNOPSYS_UNCONNECTED_162, 
+        SYNOPSYS_UNCONNECTED_163, SYNOPSYS_UNCONNECTED_164, 
+        SYNOPSYS_UNCONNECTED_165, SYNOPSYS_UNCONNECTED_166, 
+        SYNOPSYS_UNCONNECTED_167, SYNOPSYS_UNCONNECTED_168, 
+        SYNOPSYS_UNCONNECTED_169, SYNOPSYS_UNCONNECTED_170, 
+        SYNOPSYS_UNCONNECTED_171, SYNOPSYS_UNCONNECTED_172, 
+        SYNOPSYS_UNCONNECTED_173, SYNOPSYS_UNCONNECTED_174, 
+        SYNOPSYS_UNCONNECTED_175, SYNOPSYS_UNCONNECTED_176, 
+        SYNOPSYS_UNCONNECTED_177, SYNOPSYS_UNCONNECTED_178, 
+        SYNOPSYS_UNCONNECTED_179, SYNOPSYS_UNCONNECTED_180, 
+        SYNOPSYS_UNCONNECTED_181, SYNOPSYS_UNCONNECTED_182, 
+        SYNOPSYS_UNCONNECTED_183, SYNOPSYS_UNCONNECTED_184, 
+        SYNOPSYS_UNCONNECTED_185, SYNOPSYS_UNCONNECTED_186, 
+        SYNOPSYS_UNCONNECTED_187, SYNOPSYS_UNCONNECTED_188, 
+        SYNOPSYS_UNCONNECTED_189, SYNOPSYS_UNCONNECTED_190, 
+        SYNOPSYS_UNCONNECTED_191, SYNOPSYS_UNCONNECTED_192, 
+        SYNOPSYS_UNCONNECTED_193, SYNOPSYS_UNCONNECTED_194, 
+        SYNOPSYS_UNCONNECTED_195, SYNOPSYS_UNCONNECTED_196, 
+        SYNOPSYS_UNCONNECTED_197, SYNOPSYS_UNCONNECTED_198, 
+        SYNOPSYS_UNCONNECTED_199, SYNOPSYS_UNCONNECTED_200, 
+        SYNOPSYS_UNCONNECTED_201, SYNOPSYS_UNCONNECTED_202, 
+        SYNOPSYS_UNCONNECTED_203, SYNOPSYS_UNCONNECTED_204, 
+        SYNOPSYS_UNCONNECTED_205, SYNOPSYS_UNCONNECTED_206, 
+        SYNOPSYS_UNCONNECTED_207, SYNOPSYS_UNCONNECTED_208, 
+        SYNOPSYS_UNCONNECTED_209, SYNOPSYS_UNCONNECTED_210, 
+        SYNOPSYS_UNCONNECTED_211, SYNOPSYS_UNCONNECTED_212, 
+        SYNOPSYS_UNCONNECTED_213, SYNOPSYS_UNCONNECTED_214, 
+        SYNOPSYS_UNCONNECTED_215, SYNOPSYS_UNCONNECTED_216, 
+        SYNOPSYS_UNCONNECTED_217, SYNOPSYS_UNCONNECTED_218, 
+        SYNOPSYS_UNCONNECTED_219, SYNOPSYS_UNCONNECTED_220, 
+        SYNOPSYS_UNCONNECTED_221, SYNOPSYS_UNCONNECTED_222, 
+        SYNOPSYS_UNCONNECTED_223, SYNOPSYS_UNCONNECTED_224, 
+        SYNOPSYS_UNCONNECTED_225, SYNOPSYS_UNCONNECTED_226, 
+        SYNOPSYS_UNCONNECTED_227, SYNOPSYS_UNCONNECTED_228, 
+        SYNOPSYS_UNCONNECTED_229, SYNOPSYS_UNCONNECTED_230, 
+        SYNOPSYS_UNCONNECTED_231, SYNOPSYS_UNCONNECTED_232, 
+        SYNOPSYS_UNCONNECTED_233, SYNOPSYS_UNCONNECTED_234, 
+        SYNOPSYS_UNCONNECTED_235, SYNOPSYS_UNCONNECTED_236, 
+        SYNOPSYS_UNCONNECTED_237, SYNOPSYS_UNCONNECTED_238, 
+        SYNOPSYS_UNCONNECTED_239, SYNOPSYS_UNCONNECTED_240, 
+        SYNOPSYS_UNCONNECTED_241, SYNOPSYS_UNCONNECTED_242, 
+        SYNOPSYS_UNCONNECTED_243, SYNOPSYS_UNCONNECTED_244, 
+        SYNOPSYS_UNCONNECTED_245, SYNOPSYS_UNCONNECTED_246, 
+        SYNOPSYS_UNCONNECTED_247, SYNOPSYS_UNCONNECTED_248, 
+        SYNOPSYS_UNCONNECTED_249, SYNOPSYS_UNCONNECTED_250, 
+        SYNOPSYS_UNCONNECTED_251, SYNOPSYS_UNCONNECTED_252, 
+        SYNOPSYS_UNCONNECTED_253, SYNOPSYS_UNCONNECTED_254, 
+        SYNOPSYS_UNCONNECTED_255, SYNOPSYS_UNCONNECTED_256, 
+        SYNOPSYS_UNCONNECTED_257, SYNOPSYS_UNCONNECTED_258, 
+        SYNOPSYS_UNCONNECTED_259, SYNOPSYS_UNCONNECTED_260, 
+        SYNOPSYS_UNCONNECTED_261, SYNOPSYS_UNCONNECTED_262, 
+        SYNOPSYS_UNCONNECTED_263, SYNOPSYS_UNCONNECTED_264, 
+        SYNOPSYS_UNCONNECTED_265, SYNOPSYS_UNCONNECTED_266, 
+        SYNOPSYS_UNCONNECTED_267, SYNOPSYS_UNCONNECTED_268, 
+        SYNOPSYS_UNCONNECTED_269, SYNOPSYS_UNCONNECTED_270, 
+        SYNOPSYS_UNCONNECTED_271, SYNOPSYS_UNCONNECTED_272, 
+        SYNOPSYS_UNCONNECTED_273, SYNOPSYS_UNCONNECTED_274, 
+        SYNOPSYS_UNCONNECTED_275, SYNOPSYS_UNCONNECTED_276, 
+        SYNOPSYS_UNCONNECTED_277, SYNOPSYS_UNCONNECTED_278, 
+        SYNOPSYS_UNCONNECTED_279, SYNOPSYS_UNCONNECTED_280, 
+        SYNOPSYS_UNCONNECTED_281, SYNOPSYS_UNCONNECTED_282, 
+        SYNOPSYS_UNCONNECTED_283, SYNOPSYS_UNCONNECTED_284, 
+        SYNOPSYS_UNCONNECTED_285, SYNOPSYS_UNCONNECTED_286, 
+        SYNOPSYS_UNCONNECTED_287, SYNOPSYS_UNCONNECTED_288, 
+        SYNOPSYS_UNCONNECTED_289, SYNOPSYS_UNCONNECTED_290, 
+        SYNOPSYS_UNCONNECTED_291, SYNOPSYS_UNCONNECTED_292, 
+        SYNOPSYS_UNCONNECTED_293, SYNOPSYS_UNCONNECTED_294, 
+        SYNOPSYS_UNCONNECTED_295, SYNOPSYS_UNCONNECTED_296, 
+        SYNOPSYS_UNCONNECTED_297, SYNOPSYS_UNCONNECTED_298, 
+        SYNOPSYS_UNCONNECTED_299, SYNOPSYS_UNCONNECTED_300, 
+        SYNOPSYS_UNCONNECTED_301, SYNOPSYS_UNCONNECTED_302, 
+        SYNOPSYS_UNCONNECTED_303, SYNOPSYS_UNCONNECTED_304, 
+        SYNOPSYS_UNCONNECTED_305, SYNOPSYS_UNCONNECTED_306, 
+        SYNOPSYS_UNCONNECTED_307, SYNOPSYS_UNCONNECTED_308, 
+        SYNOPSYS_UNCONNECTED_309, SYNOPSYS_UNCONNECTED_310, 
+        SYNOPSYS_UNCONNECTED_311, SYNOPSYS_UNCONNECTED_312, 
+        SYNOPSYS_UNCONNECTED_313, SYNOPSYS_UNCONNECTED_314, 
+        SYNOPSYS_UNCONNECTED_315, SYNOPSYS_UNCONNECTED_316, 
+        SYNOPSYS_UNCONNECTED_317, SYNOPSYS_UNCONNECTED_318, 
+        SYNOPSYS_UNCONNECTED_319, SYNOPSYS_UNCONNECTED_320, 
+        SYNOPSYS_UNCONNECTED_321, SYNOPSYS_UNCONNECTED_322, 
+        SYNOPSYS_UNCONNECTED_323, SYNOPSYS_UNCONNECTED_324, 
+        SYNOPSYS_UNCONNECTED_325, SYNOPSYS_UNCONNECTED_326, 
+        SYNOPSYS_UNCONNECTED_327, SYNOPSYS_UNCONNECTED_328, 
+        SYNOPSYS_UNCONNECTED_329, SYNOPSYS_UNCONNECTED_330, 
+        SYNOPSYS_UNCONNECTED_331, SYNOPSYS_UNCONNECTED_332, 
+        SYNOPSYS_UNCONNECTED_333, SYNOPSYS_UNCONNECTED_334, 
+        SYNOPSYS_UNCONNECTED_335, SYNOPSYS_UNCONNECTED_336, 
+        SYNOPSYS_UNCONNECTED_337, SYNOPSYS_UNCONNECTED_338, 
+        SYNOPSYS_UNCONNECTED_339, SYNOPSYS_UNCONNECTED_340, 
+        SYNOPSYS_UNCONNECTED_341, SYNOPSYS_UNCONNECTED_342, 
+        SYNOPSYS_UNCONNECTED_343, SYNOPSYS_UNCONNECTED_344, 
+        SYNOPSYS_UNCONNECTED_345, SYNOPSYS_UNCONNECTED_346, 
+        SYNOPSYS_UNCONNECTED_347, SYNOPSYS_UNCONNECTED_348, 
+        SYNOPSYS_UNCONNECTED_349, SYNOPSYS_UNCONNECTED_350, 
+        SYNOPSYS_UNCONNECTED_351, SYNOPSYS_UNCONNECTED_352, 
+        SYNOPSYS_UNCONNECTED_353, SYNOPSYS_UNCONNECTED_354, 
+        SYNOPSYS_UNCONNECTED_355, SYNOPSYS_UNCONNECTED_356, 
+        SYNOPSYS_UNCONNECTED_357, SYNOPSYS_UNCONNECTED_358, 
+        SYNOPSYS_UNCONNECTED_359, SYNOPSYS_UNCONNECTED_360, 
+        SYNOPSYS_UNCONNECTED_361, SYNOPSYS_UNCONNECTED_362, 
+        SYNOPSYS_UNCONNECTED_363, SYNOPSYS_UNCONNECTED_364, 
+        SYNOPSYS_UNCONNECTED_365, SYNOPSYS_UNCONNECTED_366, 
+        SYNOPSYS_UNCONNECTED_367, SYNOPSYS_UNCONNECTED_368, 
+        SYNOPSYS_UNCONNECTED_369, SYNOPSYS_UNCONNECTED_370, 
+        SYNOPSYS_UNCONNECTED_371, SYNOPSYS_UNCONNECTED_372, 
+        SYNOPSYS_UNCONNECTED_373, SYNOPSYS_UNCONNECTED_374, 
+        SYNOPSYS_UNCONNECTED_375, SYNOPSYS_UNCONNECTED_376, 
+        SYNOPSYS_UNCONNECTED_377, SYNOPSYS_UNCONNECTED_378, 
+        SYNOPSYS_UNCONNECTED_379, SYNOPSYS_UNCONNECTED_380, 
+        SYNOPSYS_UNCONNECTED_381, SYNOPSYS_UNCONNECTED_382, 
+        SYNOPSYS_UNCONNECTED_383, SYNOPSYS_UNCONNECTED_384, 
+        SYNOPSYS_UNCONNECTED_385, SYNOPSYS_UNCONNECTED_386, 
+        SYNOPSYS_UNCONNECTED_387, SYNOPSYS_UNCONNECTED_388, 
+        SYNOPSYS_UNCONNECTED_389, SYNOPSYS_UNCONNECTED_390, 
+        SYNOPSYS_UNCONNECTED_391, SYNOPSYS_UNCONNECTED_392, 
+        SYNOPSYS_UNCONNECTED_393, SYNOPSYS_UNCONNECTED_394, 
+        SYNOPSYS_UNCONNECTED_395, SYNOPSYS_UNCONNECTED_396, 
+        SYNOPSYS_UNCONNECTED_397, SYNOPSYS_UNCONNECTED_398, 
+        SYNOPSYS_UNCONNECTED_399, SYNOPSYS_UNCONNECTED_400, 
+        SYNOPSYS_UNCONNECTED_401, SYNOPSYS_UNCONNECTED_402, 
+        SYNOPSYS_UNCONNECTED_403, SYNOPSYS_UNCONNECTED_404, 
+        SYNOPSYS_UNCONNECTED_405, SYNOPSYS_UNCONNECTED_406, 
+        SYNOPSYS_UNCONNECTED_407, SYNOPSYS_UNCONNECTED_408, 
+        SYNOPSYS_UNCONNECTED_409, SYNOPSYS_UNCONNECTED_410, 
+        SYNOPSYS_UNCONNECTED_411, SYNOPSYS_UNCONNECTED_412, 
+        SYNOPSYS_UNCONNECTED_413, SYNOPSYS_UNCONNECTED_414, 
+        SYNOPSYS_UNCONNECTED_415, SYNOPSYS_UNCONNECTED_416, 
+        SYNOPSYS_UNCONNECTED_417, SYNOPSYS_UNCONNECTED_418, 
+        SYNOPSYS_UNCONNECTED_419, SYNOPSYS_UNCONNECTED_420, 
+        SYNOPSYS_UNCONNECTED_421, SYNOPSYS_UNCONNECTED_422, 
+        SYNOPSYS_UNCONNECTED_423, SYNOPSYS_UNCONNECTED_424, 
+        SYNOPSYS_UNCONNECTED_425, SYNOPSYS_UNCONNECTED_426, 
+        SYNOPSYS_UNCONNECTED_427, SYNOPSYS_UNCONNECTED_428, 
+        SYNOPSYS_UNCONNECTED_429, SYNOPSYS_UNCONNECTED_430, 
+        SYNOPSYS_UNCONNECTED_431, SYNOPSYS_UNCONNECTED_432, 
+        SYNOPSYS_UNCONNECTED_433, SYNOPSYS_UNCONNECTED_434, 
+        SYNOPSYS_UNCONNECTED_435, SYNOPSYS_UNCONNECTED_436, 
+        SYNOPSYS_UNCONNECTED_437, SYNOPSYS_UNCONNECTED_438, 
+        SYNOPSYS_UNCONNECTED_439, SYNOPSYS_UNCONNECTED_440, 
+        SYNOPSYS_UNCONNECTED_441, SYNOPSYS_UNCONNECTED_442, 
+        SYNOPSYS_UNCONNECTED_443, SYNOPSYS_UNCONNECTED_444, 
+        SYNOPSYS_UNCONNECTED_445, SYNOPSYS_UNCONNECTED_446, 
+        SYNOPSYS_UNCONNECTED_447, SYNOPSYS_UNCONNECTED_448, 
+        SYNOPSYS_UNCONNECTED_449, SYNOPSYS_UNCONNECTED_450, 
+        SYNOPSYS_UNCONNECTED_451, SYNOPSYS_UNCONNECTED_452, 
+        SYNOPSYS_UNCONNECTED_453, SYNOPSYS_UNCONNECTED_454, 
+        SYNOPSYS_UNCONNECTED_455, SYNOPSYS_UNCONNECTED_456, 
+        SYNOPSYS_UNCONNECTED_457, SYNOPSYS_UNCONNECTED_458, 
+        SYNOPSYS_UNCONNECTED_459, SYNOPSYS_UNCONNECTED_460, 
+        SYNOPSYS_UNCONNECTED_461, SYNOPSYS_UNCONNECTED_462, 
+        SYNOPSYS_UNCONNECTED_463, SYNOPSYS_UNCONNECTED_464, 
+        SYNOPSYS_UNCONNECTED_465, SYNOPSYS_UNCONNECTED_466, 
+        SYNOPSYS_UNCONNECTED_467, SYNOPSYS_UNCONNECTED_468, 
+        SYNOPSYS_UNCONNECTED_469, SYNOPSYS_UNCONNECTED_470, 
+        SYNOPSYS_UNCONNECTED_471, SYNOPSYS_UNCONNECTED_472, 
+        SYNOPSYS_UNCONNECTED_473, SYNOPSYS_UNCONNECTED_474, 
+        SYNOPSYS_UNCONNECTED_475, SYNOPSYS_UNCONNECTED_476, 
+        SYNOPSYS_UNCONNECTED_477, SYNOPSYS_UNCONNECTED_478, 
+        SYNOPSYS_UNCONNECTED_479, SYNOPSYS_UNCONNECTED_480, 
+        SYNOPSYS_UNCONNECTED_481, SYNOPSYS_UNCONNECTED_482, 
+        SYNOPSYS_UNCONNECTED_483, SYNOPSYS_UNCONNECTED_484, 
+        SYNOPSYS_UNCONNECTED_485, SYNOPSYS_UNCONNECTED_486, 
+        SYNOPSYS_UNCONNECTED_487, SYNOPSYS_UNCONNECTED_488, 
+        SYNOPSYS_UNCONNECTED_489, SYNOPSYS_UNCONNECTED_490, 
+        SYNOPSYS_UNCONNECTED_491, SYNOPSYS_UNCONNECTED_492, 
+        SYNOPSYS_UNCONNECTED_493, SYNOPSYS_UNCONNECTED_494, 
+        SYNOPSYS_UNCONNECTED_495, SYNOPSYS_UNCONNECTED_496, 
+        SYNOPSYS_UNCONNECTED_497, SYNOPSYS_UNCONNECTED_498, 
+        SYNOPSYS_UNCONNECTED_499, SYNOPSYS_UNCONNECTED_500, 
+        SYNOPSYS_UNCONNECTED_501, SYNOPSYS_UNCONNECTED_502, 
+        SYNOPSYS_UNCONNECTED_503, SYNOPSYS_UNCONNECTED_504, 
+        SYNOPSYS_UNCONNECTED_505, SYNOPSYS_UNCONNECTED_506, 
+        SYNOPSYS_UNCONNECTED_507, SYNOPSYS_UNCONNECTED_508, 
+        SYNOPSYS_UNCONNECTED_509, SYNOPSYS_UNCONNECTED_510, 
+        SYNOPSYS_UNCONNECTED_511, SYNOPSYS_UNCONNECTED_512, 
+        SYNOPSYS_UNCONNECTED_513, SYNOPSYS_UNCONNECTED_514, 
+        SYNOPSYS_UNCONNECTED_515, SYNOPSYS_UNCONNECTED_516, 
+        SYNOPSYS_UNCONNECTED_517, SYNOPSYS_UNCONNECTED_518, 
+        SYNOPSYS_UNCONNECTED_519, SYNOPSYS_UNCONNECTED_520, 
+        SYNOPSYS_UNCONNECTED_521, SYNOPSYS_UNCONNECTED_522, 
+        SYNOPSYS_UNCONNECTED_523, SYNOPSYS_UNCONNECTED_524, 
+        SYNOPSYS_UNCONNECTED_525, SYNOPSYS_UNCONNECTED_526, 
+        SYNOPSYS_UNCONNECTED_527, SYNOPSYS_UNCONNECTED_528, 
+        SYNOPSYS_UNCONNECTED_529, SYNOPSYS_UNCONNECTED_530, 
+        SYNOPSYS_UNCONNECTED_531, SYNOPSYS_UNCONNECTED_532, 
+        SYNOPSYS_UNCONNECTED_533, SYNOPSYS_UNCONNECTED_534, 
+        SYNOPSYS_UNCONNECTED_535, SYNOPSYS_UNCONNECTED_536, 
+        SYNOPSYS_UNCONNECTED_537, SYNOPSYS_UNCONNECTED_538, 
+        SYNOPSYS_UNCONNECTED_539, SYNOPSYS_UNCONNECTED_540, 
+        SYNOPSYS_UNCONNECTED_541, SYNOPSYS_UNCONNECTED_542, 
+        SYNOPSYS_UNCONNECTED_543, SYNOPSYS_UNCONNECTED_544, 
+        SYNOPSYS_UNCONNECTED_545, SYNOPSYS_UNCONNECTED_546, 
+        SYNOPSYS_UNCONNECTED_547, SYNOPSYS_UNCONNECTED_548, 
+        SYNOPSYS_UNCONNECTED_549, SYNOPSYS_UNCONNECTED_550, 
+        SYNOPSYS_UNCONNECTED_551, SYNOPSYS_UNCONNECTED_552, 
+        SYNOPSYS_UNCONNECTED_553, SYNOPSYS_UNCONNECTED_554, 
+        SYNOPSYS_UNCONNECTED_555, SYNOPSYS_UNCONNECTED_556, 
+        SYNOPSYS_UNCONNECTED_557, SYNOPSYS_UNCONNECTED_558, 
+        SYNOPSYS_UNCONNECTED_559, SYNOPSYS_UNCONNECTED_560, 
+        SYNOPSYS_UNCONNECTED_561, SYNOPSYS_UNCONNECTED_562, 
+        SYNOPSYS_UNCONNECTED_563, SYNOPSYS_UNCONNECTED_564, 
+        SYNOPSYS_UNCONNECTED_565, SYNOPSYS_UNCONNECTED_566, 
+        SYNOPSYS_UNCONNECTED_567, SYNOPSYS_UNCONNECTED_568, 
+        SYNOPSYS_UNCONNECTED_569, SYNOPSYS_UNCONNECTED_570, 
+        SYNOPSYS_UNCONNECTED_571, SYNOPSYS_UNCONNECTED_572, 
+        SYNOPSYS_UNCONNECTED_573, SYNOPSYS_UNCONNECTED_574, 
+        SYNOPSYS_UNCONNECTED_575, SYNOPSYS_UNCONNECTED_576, 
+        SYNOPSYS_UNCONNECTED_577, SYNOPSYS_UNCONNECTED_578, 
+        SYNOPSYS_UNCONNECTED_579, SYNOPSYS_UNCONNECTED_580, 
+        SYNOPSYS_UNCONNECTED_581, SYNOPSYS_UNCONNECTED_582, 
+        SYNOPSYS_UNCONNECTED_583, SYNOPSYS_UNCONNECTED_584, 
+        SYNOPSYS_UNCONNECTED_585, SYNOPSYS_UNCONNECTED_586, 
+        SYNOPSYS_UNCONNECTED_587, SYNOPSYS_UNCONNECTED_588, 
+        SYNOPSYS_UNCONNECTED_589, SYNOPSYS_UNCONNECTED_590, 
+        SYNOPSYS_UNCONNECTED_591, SYNOPSYS_UNCONNECTED_592, 
+        SYNOPSYS_UNCONNECTED_593, SYNOPSYS_UNCONNECTED_594, 
+        SYNOPSYS_UNCONNECTED_595, SYNOPSYS_UNCONNECTED_596, 
+        SYNOPSYS_UNCONNECTED_597, SYNOPSYS_UNCONNECTED_598, 
+        SYNOPSYS_UNCONNECTED_599, SYNOPSYS_UNCONNECTED_600, 
+        SYNOPSYS_UNCONNECTED_601, SYNOPSYS_UNCONNECTED_602, 
+        SYNOPSYS_UNCONNECTED_603, SYNOPSYS_UNCONNECTED_604, 
+        SYNOPSYS_UNCONNECTED_605, SYNOPSYS_UNCONNECTED_606, 
+        SYNOPSYS_UNCONNECTED_607, SYNOPSYS_UNCONNECTED_608, 
+        SYNOPSYS_UNCONNECTED_609, SYNOPSYS_UNCONNECTED_610, 
+        SYNOPSYS_UNCONNECTED_611, SYNOPSYS_UNCONNECTED_612, 
+        SYNOPSYS_UNCONNECTED_613, SYNOPSYS_UNCONNECTED_614, 
+        SYNOPSYS_UNCONNECTED_615, SYNOPSYS_UNCONNECTED_616, 
+        SYNOPSYS_UNCONNECTED_617, SYNOPSYS_UNCONNECTED_618, 
+        SYNOPSYS_UNCONNECTED_619, SYNOPSYS_UNCONNECTED_620, 
+        SYNOPSYS_UNCONNECTED_621, SYNOPSYS_UNCONNECTED_622, 
+        SYNOPSYS_UNCONNECTED_623, SYNOPSYS_UNCONNECTED_624, 
+        SYNOPSYS_UNCONNECTED_625, SYNOPSYS_UNCONNECTED_626, 
+        SYNOPSYS_UNCONNECTED_627, SYNOPSYS_UNCONNECTED_628, 
+        SYNOPSYS_UNCONNECTED_629, SYNOPSYS_UNCONNECTED_630, 
+        SYNOPSYS_UNCONNECTED_631, SYNOPSYS_UNCONNECTED_632, 
+        SYNOPSYS_UNCONNECTED_633, SYNOPSYS_UNCONNECTED_634, 
+        SYNOPSYS_UNCONNECTED_635, SYNOPSYS_UNCONNECTED_636, 
+        SYNOPSYS_UNCONNECTED_637, SYNOPSYS_UNCONNECTED_638, 
+        SYNOPSYS_UNCONNECTED_639, SYNOPSYS_UNCONNECTED_640, 
+        SYNOPSYS_UNCONNECTED_641, SYNOPSYS_UNCONNECTED_642, 
+        SYNOPSYS_UNCONNECTED_643, SYNOPSYS_UNCONNECTED_644, 
+        SYNOPSYS_UNCONNECTED_645, SYNOPSYS_UNCONNECTED_646, 
+        SYNOPSYS_UNCONNECTED_647, SYNOPSYS_UNCONNECTED_648, 
+        SYNOPSYS_UNCONNECTED_649, SYNOPSYS_UNCONNECTED_650, 
+        SYNOPSYS_UNCONNECTED_651, SYNOPSYS_UNCONNECTED_652, 
+        SYNOPSYS_UNCONNECTED_653, SYNOPSYS_UNCONNECTED_654, 
+        SYNOPSYS_UNCONNECTED_655, SYNOPSYS_UNCONNECTED_656, 
+        SYNOPSYS_UNCONNECTED_657, SYNOPSYS_UNCONNECTED_658, 
+        SYNOPSYS_UNCONNECTED_659, SYNOPSYS_UNCONNECTED_660, 
+        SYNOPSYS_UNCONNECTED_661, SYNOPSYS_UNCONNECTED_662, 
+        SYNOPSYS_UNCONNECTED_663, SYNOPSYS_UNCONNECTED_664, 
+        SYNOPSYS_UNCONNECTED_665, SYNOPSYS_UNCONNECTED_666, 
+        SYNOPSYS_UNCONNECTED_667, SYNOPSYS_UNCONNECTED_668, 
+        SYNOPSYS_UNCONNECTED_669, SYNOPSYS_UNCONNECTED_670, 
+        SYNOPSYS_UNCONNECTED_671, SYNOPSYS_UNCONNECTED_672, 
+        SYNOPSYS_UNCONNECTED_673, SYNOPSYS_UNCONNECTED_674, 
+        SYNOPSYS_UNCONNECTED_675, SYNOPSYS_UNCONNECTED_676, 
+        SYNOPSYS_UNCONNECTED_677, SYNOPSYS_UNCONNECTED_678, 
+        SYNOPSYS_UNCONNECTED_679, SYNOPSYS_UNCONNECTED_680, 
+        SYNOPSYS_UNCONNECTED_681, SYNOPSYS_UNCONNECTED_682, 
+        SYNOPSYS_UNCONNECTED_683, SYNOPSYS_UNCONNECTED_684, 
+        SYNOPSYS_UNCONNECTED_685, SYNOPSYS_UNCONNECTED_686, 
+        SYNOPSYS_UNCONNECTED_687, SYNOPSYS_UNCONNECTED_688, 
+        SYNOPSYS_UNCONNECTED_689, SYNOPSYS_UNCONNECTED_690, 
+        SYNOPSYS_UNCONNECTED_691, SYNOPSYS_UNCONNECTED_692, 
+        SYNOPSYS_UNCONNECTED_693, SYNOPSYS_UNCONNECTED_694, 
+        SYNOPSYS_UNCONNECTED_695, SYNOPSYS_UNCONNECTED_696, 
+        SYNOPSYS_UNCONNECTED_697, SYNOPSYS_UNCONNECTED_698, tl_plic_o[85:84], 
+        SYNOPSYS_UNCONNECTED_699, tl_plic_o[82], SYNOPSYS_UNCONNECTED_700, 
+        SYNOPSYS_UNCONNECTED_701, SYNOPSYS_UNCONNECTED_702, 
+        SYNOPSYS_UNCONNECTED_703, SYNOPSYS_UNCONNECTED_704, 
+        SYNOPSYS_UNCONNECTED_705, SYNOPSYS_UNCONNECTED_706, 
+        SYNOPSYS_UNCONNECTED_707, SYNOPSYS_UNCONNECTED_708, 
+        SYNOPSYS_UNCONNECTED_709, SYNOPSYS_UNCONNECTED_710, 
+        SYNOPSYS_UNCONNECTED_711, SYNOPSYS_UNCONNECTED_712, 
+        SYNOPSYS_UNCONNECTED_713, SYNOPSYS_UNCONNECTED_714, 
+        SYNOPSYS_UNCONNECTED_715, SYNOPSYS_UNCONNECTED_716, 
+        SYNOPSYS_UNCONNECTED_717, SYNOPSYS_UNCONNECTED_718, 
+        SYNOPSYS_UNCONNECTED_719, SYNOPSYS_UNCONNECTED_720, 
+        SYNOPSYS_UNCONNECTED_721, SYNOPSYS_UNCONNECTED_722, 
+        SYNOPSYS_UNCONNECTED_723, SYNOPSYS_UNCONNECTED_724, 
+        SYNOPSYS_UNCONNECTED_725, SYNOPSYS_UNCONNECTED_726, 
+        SYNOPSYS_UNCONNECTED_727, SYNOPSYS_UNCONNECTED_728, 
+        SYNOPSYS_UNCONNECTED_729, SYNOPSYS_UNCONNECTED_730, 
+        SYNOPSYS_UNCONNECTED_731, SYNOPSYS_UNCONNECTED_732, 
+        SYNOPSYS_UNCONNECTED_733, SYNOPSYS_UNCONNECTED_734, 
+        SYNOPSYS_UNCONNECTED_735, tl_plic_o[45:39], SYNOPSYS_UNCONNECTED_736, 
+        SYNOPSYS_UNCONNECTED_737, tl_plic_o[36:1], SYNOPSYS_UNCONNECTED_738, 
+        tl_uart_o[85:84], SYNOPSYS_UNCONNECTED_739, tl_uart_o[82], 
+        SYNOPSYS_UNCONNECTED_740, SYNOPSYS_UNCONNECTED_741, 
+        SYNOPSYS_UNCONNECTED_742, SYNOPSYS_UNCONNECTED_743, 
+        SYNOPSYS_UNCONNECTED_744, SYNOPSYS_UNCONNECTED_745, 
+        SYNOPSYS_UNCONNECTED_746, SYNOPSYS_UNCONNECTED_747, 
+        SYNOPSYS_UNCONNECTED_748, SYNOPSYS_UNCONNECTED_749, 
+        SYNOPSYS_UNCONNECTED_750, SYNOPSYS_UNCONNECTED_751, 
+        SYNOPSYS_UNCONNECTED_752, SYNOPSYS_UNCONNECTED_753, 
+        SYNOPSYS_UNCONNECTED_754, SYNOPSYS_UNCONNECTED_755, 
+        SYNOPSYS_UNCONNECTED_756, SYNOPSYS_UNCONNECTED_757, 
+        SYNOPSYS_UNCONNECTED_758, SYNOPSYS_UNCONNECTED_759, 
+        SYNOPSYS_UNCONNECTED_760, SYNOPSYS_UNCONNECTED_761, 
+        SYNOPSYS_UNCONNECTED_762, SYNOPSYS_UNCONNECTED_763, 
+        SYNOPSYS_UNCONNECTED_764, SYNOPSYS_UNCONNECTED_765, 
+        SYNOPSYS_UNCONNECTED_766, SYNOPSYS_UNCONNECTED_767, 
+        SYNOPSYS_UNCONNECTED_768, SYNOPSYS_UNCONNECTED_769, 
+        SYNOPSYS_UNCONNECTED_770, SYNOPSYS_UNCONNECTED_771, 
+        SYNOPSYS_UNCONNECTED_772, SYNOPSYS_UNCONNECTED_773, 
+        SYNOPSYS_UNCONNECTED_774, SYNOPSYS_UNCONNECTED_775, 
+        SYNOPSYS_UNCONNECTED_776, SYNOPSYS_UNCONNECTED_777, 
+        SYNOPSYS_UNCONNECTED_778, tl_uart_o[42:39], SYNOPSYS_UNCONNECTED_779, 
+        SYNOPSYS_UNCONNECTED_780, tl_uart_o[36:1], SYNOPSYS_UNCONNECTED_781}), 
+        .tl_d_i({tl_dccm_i[51], n487, n487, tl_dccm_i[48], n487, n487, n487, 
+        1'b0, n487, n487, n487, n487, n487, n487, n487, n487, 1'b0, n487, 
+        tl_dccm_i[33:0], tl_gpio_i[51], n487, n487, tl_gpio_i[48], n487, n487, 
+        n487, 1'b0, n487, n487, n487, n487, n487, n487, n487, n487, 1'b0, n487, 
+        tl_gpio_i[33:0], n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, n487, 
+        n487, n487, n487, n487, n487, n487, n487, tl_plic_i[51], n487, n487, 
+        tl_plic_i[48], n487, n487, n487, 1'b0, n487, n487, n487, n487, n487, 
+        n487, n487, n487, 1'b0, n487, tl_plic_i[33:0], tl_uart_i[51], n487, 
+        n487, tl_uart_i[48], n487, n487, n487, 1'b0, n487, n487, n487, n487, 
+        n487, n487, n487, n487, 1'b0, n487, tl_uart_i[33:0]}), .dev_select_i(
+        dev_sel_s1n_10) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n487), .HI(n396) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(clk_i), .X(n397) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(n406), .B(n407), .X(dev_sel_s1n_10[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(tl_if_i[85]), .X(tl_iccm_o[85]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(tl_if_i[48]), .X(tl_iccm_o[48]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(tl_iccm_i[9]), .X(tl_if_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(tl_iccm_i[23]), .X(tl_if_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(tl_if_i[39]), .X(tl_iccm_o[39]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(tl_if_i[40]), .X(tl_iccm_o[40]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(tl_if_i[41]), .X(tl_iccm_o[41]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(tl_if_i[42]), .X(tl_iccm_o[42]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(tl_if_i[43]), .X(tl_iccm_o[43]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(tl_if_i[44]), .X(tl_iccm_o[44]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(tl_if_i[45]), .X(tl_iccm_o[45]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(tl_if_i[46]), .X(tl_iccm_o[46]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(tl_if_i[47]), .X(tl_iccm_o[47]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(tl_if_i[50]), .X(tl_iccm_o[50]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(tl_iccm_i[0]), .X(tl_if_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(tl_iccm_i[1]), .X(tl_if_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(tl_iccm_i[2]), .X(tl_if_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(tl_iccm_i[3]), .X(tl_if_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(tl_iccm_i[4]), .X(tl_if_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U45 ( .A(tl_iccm_i[5]), .X(tl_if_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(tl_iccm_i[6]), .X(tl_if_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U47 ( .A(tl_iccm_i[7]), .X(tl_if_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U48 ( .A(tl_iccm_i[8]), .X(tl_if_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U49 ( .A(tl_iccm_i[10]), .X(tl_if_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U50 ( .A(tl_iccm_i[11]), .X(tl_if_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U51 ( .A(tl_iccm_i[12]), .X(tl_if_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U52 ( .A(tl_iccm_i[13]), .X(tl_if_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U53 ( .A(tl_iccm_i[14]), .X(tl_if_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U54 ( .A(tl_iccm_i[15]), .X(tl_if_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U55 ( .A(tl_iccm_i[16]), .X(tl_if_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U56 ( .A(tl_iccm_i[17]), .X(tl_if_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U57 ( .A(tl_iccm_i[18]), .X(tl_if_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U58 ( .A(tl_iccm_i[19]), .X(tl_if_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U59 ( .A(tl_iccm_i[20]), .X(tl_if_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U60 ( .A(tl_iccm_i[21]), .X(tl_if_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U61 ( .A(tl_iccm_i[22]), .X(tl_if_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U62 ( .A(tl_iccm_i[24]), .X(tl_if_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U63 ( .A(tl_iccm_i[25]), .X(tl_if_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U64 ( .A(tl_iccm_i[26]), .X(tl_if_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U65 ( .A(tl_iccm_i[27]), .X(tl_if_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U66 ( .A(tl_iccm_i[28]), .X(tl_if_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U67 ( .A(tl_iccm_i[29]), .X(tl_if_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U68 ( .A(tl_iccm_i[30]), .X(tl_if_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U69 ( .A(tl_iccm_i[31]), .X(tl_if_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U70 ( .A(tl_iccm_i[32]), .X(tl_if_o[32]) );
+  sky130_fd_sc_hd__clkbuf_1 U71 ( .A(tl_iccm_i[33]), .X(tl_if_o[33]) );
+  sky130_fd_sc_hd__clkbuf_1 U75 ( .A(tl_iccm_i[51]), .X(tl_if_o[51]) );
+  sky130_fd_sc_hd__or4_1 U77 ( .A(tl_lsu_i[60]), .B(tl_lsu_i[61]), .C(
+        tl_lsu_i[59]), .D(tl_lsu_i[58]), .X(n399) );
+  sky130_fd_sc_hd__or4_1 U78 ( .A(tl_lsu_i[68]), .B(tl_lsu_i[64]), .C(
+        tl_lsu_i[63]), .D(tl_lsu_i[66]), .X(n398) );
+  sky130_fd_sc_hd__nor4_1 U79 ( .A(tl_lsu_i[57]), .B(tl_lsu_i[62]), .C(n399), 
+        .D(n398), .Y(n404) );
+  sky130_fd_sc_hd__nand2_1 U82 ( .A(tl_lsu_i[56]), .B(n401), .Y(n415) );
+  sky130_fd_sc_hd__clkinv_1 U83 ( .A(tl_lsu_i[54]), .Y(n410) );
+  sky130_fd_sc_hd__nand2_1 U84 ( .A(n401), .B(tl_lsu_i[55]), .Y(n411) );
+  sky130_fd_sc_hd__nor3_1 U85 ( .A(tl_lsu_i[53]), .B(n410), .C(n411), .Y(n405)
+         );
+  sky130_fd_sc_hd__nor4_1 U86 ( .A(tl_lsu_i[56]), .B(tl_lsu_i[53]), .C(
+        tl_lsu_i[54]), .D(tl_lsu_i[55]), .Y(n403) );
+  sky130_fd_sc_hd__nand4_1 U87 ( .A(tl_lsu_i[65]), .B(n404), .C(n403), .D(n402), .Y(n406) );
+  sky130_fd_sc_hd__nand2_1 U88 ( .A(n415), .B(n406), .Y(n413) );
+  sky130_fd_sc_hd__o22ai_1 U89 ( .A1(tl_lsu_i[53]), .A2(n415), .B1(n405), .B2(
+        n413), .Y(dev_sel_s1n_10[0]) );
+  sky130_fd_sc_hd__clkinv_1 U90 ( .A(tl_lsu_i[55]), .Y(n409) );
+  sky130_fd_sc_hd__nand2_1 U91 ( .A(tl_lsu_i[53]), .B(tl_lsu_i[54]), .Y(n408)
+         );
+  sky130_fd_sc_hd__o21bai_1 U92 ( .A1(n409), .A2(n408), .B1_N(n415), .Y(n407)
+         );
+  sky130_fd_sc_hd__a21oi_1 U93 ( .A1(n409), .A2(n408), .B1(n407), .Y(
+        dev_sel_s1n_10[2]) );
+  sky130_fd_sc_hd__clkinv_1 U94 ( .A(tl_lsu_i[53]), .Y(n412) );
+  sky130_fd_sc_hd__o22ai_1 U95 ( .A1(tl_lsu_i[53]), .A2(tl_lsu_i[54]), .B1(
+        n412), .B2(n410), .Y(n416) );
+  sky130_fd_sc_hd__nor3_1 U96 ( .A(tl_lsu_i[54]), .B(n412), .C(n411), .Y(n414)
+         );
+  sky130_fd_sc_hd__o22ai_1 U97 ( .A1(n416), .A2(n415), .B1(n414), .B2(n413), 
+        .Y(dev_sel_s1n_10[1]) );
+  sky130_fd_sc_hd__nor3b_1 U76 ( .C_N(n404), .A(tl_lsu_i[65]), .B(n402), .Y(
+        n401) );
+  sky130_fd_sc_hd__clkinv_1 U80 ( .A(tl_lsu_i[67]), .Y(n402) );
+endmodule
+
+
+module opentitan_soc_top_prim_intr_hw_Width32_0 ( clk_i, rst_ni, event_intr_i, 
+        reg2hw_intr_enable_q_i, reg2hw_intr_test_q_i, reg2hw_intr_test_qe_i, 
+        reg2hw_intr_state_q_i, hw2reg_intr_state_de_o, hw2reg_intr_state_d_o, 
+        intr_o );
+  input [31:0] event_intr_i;
+  input [31:0] reg2hw_intr_enable_q_i;
+  input [31:0] reg2hw_intr_test_q_i;
+  input [31:0] reg2hw_intr_state_q_i;
+  output [31:0] hw2reg_intr_state_d_o;
+  output [31:0] intr_o;
+  input clk_i, rst_ni, reg2hw_intr_test_qe_i;
+  output hw2reg_intr_state_de_o;
+  wire   N10, N11, N12, N13, N14, N15, N16, N17, N18, N19, N20, N21, N22, N23,
+         N24, N25, N26, N27, N28, N29, N30, N31, N32, n1, n2, n3, n4, n5, n6,
+         n7, n8, n9, n100, n110, n120, n130, n140, n150, n160, n170, n180,
+         n190, n200, n210, n220, n230;
+
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_22_ ( .D(N10), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_21_ ( .D(N11), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_20_ ( .D(N12), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_19_ ( .D(N13), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_18_ ( .D(N14), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_17_ ( .D(N15), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_16_ ( .D(N16), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_15_ ( .D(N17), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_14_ ( .D(N18), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_13_ ( .D(N19), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_12_ ( .D(N20), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_11_ ( .D(N21), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_10_ ( .D(N22), .CLK(clk_i), .RESET_B(
+        n230), .Q(intr_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_9_ ( .D(N23), .CLK(clk_i), .RESET_B(n230), .Q(intr_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_8_ ( .D(N24), .CLK(clk_i), .RESET_B(n230), .Q(intr_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_7_ ( .D(N25), .CLK(clk_i), .RESET_B(n230), .Q(intr_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_6_ ( .D(N26), .CLK(clk_i), .RESET_B(n230), .Q(intr_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_5_ ( .D(N27), .CLK(clk_i), .RESET_B(n230), .Q(intr_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_4_ ( .D(N28), .CLK(clk_i), .RESET_B(n230), .Q(intr_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_3_ ( .D(N29), .CLK(clk_i), .RESET_B(n230), .Q(intr_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_2_ ( .D(N30), .CLK(clk_i), .RESET_B(n230), .Q(intr_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_1_ ( .D(N31), .CLK(clk_i), .RESET_B(n230), .Q(intr_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_0_ ( .D(N32), .CLK(clk_i), .RESET_B(n230), .Q(intr_o[0]) );
+  sky130_fd_sc_hd__nor4_1 U3 ( .A(reg2hw_intr_test_q_i[4]), .B(
+        reg2hw_intr_test_q_i[5]), .C(reg2hw_intr_test_q_i[6]), .D(
+        reg2hw_intr_test_q_i[7]), .Y(n4) );
+  sky130_fd_sc_hd__nor4_1 U4 ( .A(reg2hw_intr_test_q_i[0]), .B(
+        reg2hw_intr_test_q_i[1]), .C(reg2hw_intr_test_q_i[2]), .D(
+        reg2hw_intr_test_q_i[3]), .Y(n3) );
+  sky130_fd_sc_hd__nor4_1 U5 ( .A(reg2hw_intr_test_q_i[12]), .B(
+        reg2hw_intr_test_q_i[13]), .C(reg2hw_intr_test_q_i[14]), .D(
+        reg2hw_intr_test_q_i[15]), .Y(n2) );
+  sky130_fd_sc_hd__nor4_1 U6 ( .A(reg2hw_intr_test_q_i[8]), .B(
+        reg2hw_intr_test_q_i[9]), .C(reg2hw_intr_test_q_i[10]), .D(
+        reg2hw_intr_test_q_i[11]), .Y(n1) );
+  sky130_fd_sc_hd__nand4_1 U7 ( .A(n4), .B(n3), .C(n2), .D(n1), .Y(n100) );
+  sky130_fd_sc_hd__nor4_1 U8 ( .A(reg2hw_intr_test_q_i[20]), .B(
+        reg2hw_intr_test_q_i[21]), .C(reg2hw_intr_test_q_i[22]), .D(
+        reg2hw_intr_test_q_i[23]), .Y(n8) );
+  sky130_fd_sc_hd__nor4_1 U9 ( .A(reg2hw_intr_test_q_i[16]), .B(
+        reg2hw_intr_test_q_i[17]), .C(reg2hw_intr_test_q_i[18]), .D(
+        reg2hw_intr_test_q_i[19]), .Y(n7) );
+  sky130_fd_sc_hd__nor4_1 U10 ( .A(reg2hw_intr_test_q_i[28]), .B(
+        reg2hw_intr_test_q_i[29]), .C(reg2hw_intr_test_q_i[30]), .D(
+        reg2hw_intr_test_q_i[31]), .Y(n6) );
+  sky130_fd_sc_hd__nor4_1 U11 ( .A(reg2hw_intr_test_q_i[24]), .B(
+        reg2hw_intr_test_q_i[25]), .C(reg2hw_intr_test_q_i[26]), .D(
+        reg2hw_intr_test_q_i[27]), .Y(n5) );
+  sky130_fd_sc_hd__nand4_1 U12 ( .A(n8), .B(n7), .C(n6), .D(n5), .Y(n9) );
+  sky130_fd_sc_hd__o21ai_1 U13 ( .A1(n100), .A2(n9), .B1(reg2hw_intr_test_qe_i), .Y(n220) );
+  sky130_fd_sc_hd__nor4_1 U14 ( .A(event_intr_i[0]), .B(event_intr_i[1]), .C(
+        event_intr_i[2]), .D(event_intr_i[3]), .Y(n140) );
+  sky130_fd_sc_hd__nor4_1 U15 ( .A(event_intr_i[4]), .B(event_intr_i[5]), .C(
+        event_intr_i[6]), .D(event_intr_i[7]), .Y(n130) );
+  sky130_fd_sc_hd__nor4_1 U16 ( .A(event_intr_i[8]), .B(event_intr_i[9]), .C(
+        event_intr_i[10]), .D(event_intr_i[11]), .Y(n120) );
+  sky130_fd_sc_hd__nor4_1 U17 ( .A(event_intr_i[12]), .B(event_intr_i[13]), 
+        .C(event_intr_i[14]), .D(event_intr_i[15]), .Y(n110) );
+  sky130_fd_sc_hd__nand4_1 U18 ( .A(n140), .B(n130), .C(n120), .D(n110), .Y(
+        n200) );
+  sky130_fd_sc_hd__nor4_1 U19 ( .A(event_intr_i[20]), .B(event_intr_i[21]), 
+        .C(event_intr_i[22]), .D(event_intr_i[23]), .Y(n180) );
+  sky130_fd_sc_hd__nor4_1 U20 ( .A(event_intr_i[16]), .B(event_intr_i[17]), 
+        .C(event_intr_i[18]), .D(event_intr_i[19]), .Y(n170) );
+  sky130_fd_sc_hd__nor4_1 U21 ( .A(event_intr_i[28]), .B(event_intr_i[29]), 
+        .C(event_intr_i[30]), .D(event_intr_i[31]), .Y(n160) );
+  sky130_fd_sc_hd__nor4_1 U22 ( .A(event_intr_i[24]), .B(event_intr_i[25]), 
+        .C(event_intr_i[26]), .D(event_intr_i[27]), .Y(n150) );
+  sky130_fd_sc_hd__nand4_1 U23 ( .A(n180), .B(n170), .C(n160), .D(n150), .Y(
+        n190) );
+  sky130_fd_sc_hd__nor2_1 U24 ( .A(n200), .B(n190), .Y(n210) );
+  sky130_fd_sc_hd__nand2_1 U25 ( .A(n220), .B(n210), .Y(hw2reg_intr_state_de_o) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(rst_ni), .X(n230) );
+  sky130_fd_sc_hd__and2_0 U27 ( .A(reg2hw_intr_state_q_i[16]), .B(
+        reg2hw_intr_enable_q_i[16]), .X(N16) );
+  sky130_fd_sc_hd__and2_0 U31 ( .A(reg2hw_intr_state_q_i[21]), .B(
+        reg2hw_intr_enable_q_i[21]), .X(N11) );
+  sky130_fd_sc_hd__and2_0 U32 ( .A(reg2hw_intr_state_q_i[9]), .B(
+        reg2hw_intr_enable_q_i[9]), .X(N23) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(reg2hw_intr_state_q_i[14]), .B(
+        reg2hw_intr_enable_q_i[14]), .X(N18) );
+  sky130_fd_sc_hd__and2_0 U34 ( .A(reg2hw_intr_state_q_i[15]), .B(
+        reg2hw_intr_enable_q_i[15]), .X(N17) );
+  sky130_fd_sc_hd__and2_0 U38 ( .A(reg2hw_intr_state_q_i[11]), .B(
+        reg2hw_intr_enable_q_i[11]), .X(N21) );
+  sky130_fd_sc_hd__and2_0 U39 ( .A(reg2hw_intr_state_q_i[8]), .B(
+        reg2hw_intr_enable_q_i[8]), .X(N24) );
+  sky130_fd_sc_hd__and2_0 U40 ( .A(reg2hw_intr_state_q_i[17]), .B(
+        reg2hw_intr_enable_q_i[17]), .X(N15) );
+  sky130_fd_sc_hd__and2_0 U41 ( .A(reg2hw_intr_state_q_i[18]), .B(
+        reg2hw_intr_enable_q_i[18]), .X(N14) );
+  sky130_fd_sc_hd__and2_0 U42 ( .A(reg2hw_intr_state_q_i[22]), .B(
+        reg2hw_intr_enable_q_i[22]), .X(N10) );
+  sky130_fd_sc_hd__and2_0 U44 ( .A(reg2hw_intr_state_q_i[20]), .B(
+        reg2hw_intr_enable_q_i[20]), .X(N12) );
+  sky130_fd_sc_hd__and2_0 U46 ( .A(reg2hw_intr_state_q_i[12]), .B(
+        reg2hw_intr_enable_q_i[12]), .X(N20) );
+  sky130_fd_sc_hd__and2_0 U47 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        reg2hw_intr_enable_q_i[0]), .X(N32) );
+  sky130_fd_sc_hd__and2_0 U48 ( .A(reg2hw_intr_state_q_i[10]), .B(
+        reg2hw_intr_enable_q_i[10]), .X(N22) );
+  sky130_fd_sc_hd__and2_0 U50 ( .A(reg2hw_intr_state_q_i[13]), .B(
+        reg2hw_intr_enable_q_i[13]), .X(N19) );
+  sky130_fd_sc_hd__and2_0 U51 ( .A(reg2hw_intr_state_q_i[19]), .B(
+        reg2hw_intr_enable_q_i[19]), .X(N13) );
+  sky130_fd_sc_hd__and2_0 U52 ( .A(reg2hw_intr_state_q_i[7]), .B(
+        reg2hw_intr_enable_q_i[7]), .X(N25) );
+  sky130_fd_sc_hd__and2_0 U53 ( .A(reg2hw_intr_state_q_i[6]), .B(
+        reg2hw_intr_enable_q_i[6]), .X(N26) );
+  sky130_fd_sc_hd__and2_0 U54 ( .A(reg2hw_intr_state_q_i[2]), .B(
+        reg2hw_intr_enable_q_i[2]), .X(N30) );
+  sky130_fd_sc_hd__and2_0 U55 ( .A(reg2hw_intr_state_q_i[1]), .B(
+        reg2hw_intr_enable_q_i[1]), .X(N31) );
+  sky130_fd_sc_hd__and2_0 U56 ( .A(reg2hw_intr_state_q_i[3]), .B(
+        reg2hw_intr_enable_q_i[3]), .X(N29) );
+  sky130_fd_sc_hd__and2_0 U57 ( .A(reg2hw_intr_state_q_i[4]), .B(
+        reg2hw_intr_enable_q_i[4]), .X(N28) );
+  sky130_fd_sc_hd__and2_0 U58 ( .A(reg2hw_intr_state_q_i[5]), .B(
+        reg2hw_intr_enable_q_i[5]), .X(N27) );
+  sky130_fd_sc_hd__a211o_1 U59 ( .A1(reg2hw_intr_test_q_i[31]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[31]), .C1(
+        reg2hw_intr_state_q_i[31]), .X(hw2reg_intr_state_d_o[31]) );
+  sky130_fd_sc_hd__a211o_1 U60 ( .A1(reg2hw_intr_test_q_i[30]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[30]), .C1(
+        reg2hw_intr_state_q_i[30]), .X(hw2reg_intr_state_d_o[30]) );
+  sky130_fd_sc_hd__a211o_1 U61 ( .A1(reg2hw_intr_test_q_i[29]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[29]), .C1(
+        reg2hw_intr_state_q_i[29]), .X(hw2reg_intr_state_d_o[29]) );
+  sky130_fd_sc_hd__a211o_1 U62 ( .A1(reg2hw_intr_test_q_i[28]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[28]), .C1(
+        reg2hw_intr_state_q_i[28]), .X(hw2reg_intr_state_d_o[28]) );
+  sky130_fd_sc_hd__a211o_1 U63 ( .A1(reg2hw_intr_test_q_i[27]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[27]), .C1(
+        reg2hw_intr_state_q_i[27]), .X(hw2reg_intr_state_d_o[27]) );
+  sky130_fd_sc_hd__a211o_1 U64 ( .A1(reg2hw_intr_test_q_i[26]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[26]), .C1(
+        reg2hw_intr_state_q_i[26]), .X(hw2reg_intr_state_d_o[26]) );
+  sky130_fd_sc_hd__a211o_1 U65 ( .A1(reg2hw_intr_test_q_i[25]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[25]), .C1(
+        reg2hw_intr_state_q_i[25]), .X(hw2reg_intr_state_d_o[25]) );
+  sky130_fd_sc_hd__a211o_1 U66 ( .A1(reg2hw_intr_test_q_i[24]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[24]), .C1(
+        reg2hw_intr_state_q_i[24]), .X(hw2reg_intr_state_d_o[24]) );
+  sky130_fd_sc_hd__a211o_1 U67 ( .A1(reg2hw_intr_test_q_i[23]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[23]), .C1(
+        reg2hw_intr_state_q_i[23]), .X(hw2reg_intr_state_d_o[23]) );
+  sky130_fd_sc_hd__a211o_1 U68 ( .A1(reg2hw_intr_test_q_i[22]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[22]), .C1(
+        reg2hw_intr_state_q_i[22]), .X(hw2reg_intr_state_d_o[22]) );
+  sky130_fd_sc_hd__a211o_1 U69 ( .A1(reg2hw_intr_test_q_i[21]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[21]), .C1(
+        reg2hw_intr_state_q_i[21]), .X(hw2reg_intr_state_d_o[21]) );
+  sky130_fd_sc_hd__a211o_1 U70 ( .A1(reg2hw_intr_test_q_i[20]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[20]), .C1(
+        reg2hw_intr_state_q_i[20]), .X(hw2reg_intr_state_d_o[20]) );
+  sky130_fd_sc_hd__a211o_1 U71 ( .A1(reg2hw_intr_test_q_i[19]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[19]), .C1(
+        reg2hw_intr_state_q_i[19]), .X(hw2reg_intr_state_d_o[19]) );
+  sky130_fd_sc_hd__a211o_1 U72 ( .A1(reg2hw_intr_test_q_i[18]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[18]), .C1(
+        reg2hw_intr_state_q_i[18]), .X(hw2reg_intr_state_d_o[18]) );
+  sky130_fd_sc_hd__a211o_1 U73 ( .A1(reg2hw_intr_test_q_i[17]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[17]), .C1(
+        reg2hw_intr_state_q_i[17]), .X(hw2reg_intr_state_d_o[17]) );
+  sky130_fd_sc_hd__a211o_1 U74 ( .A1(reg2hw_intr_test_q_i[16]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[16]), .C1(
+        reg2hw_intr_state_q_i[16]), .X(hw2reg_intr_state_d_o[16]) );
+  sky130_fd_sc_hd__a211o_1 U75 ( .A1(reg2hw_intr_test_q_i[15]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[15]), .C1(
+        reg2hw_intr_state_q_i[15]), .X(hw2reg_intr_state_d_o[15]) );
+  sky130_fd_sc_hd__a211o_1 U76 ( .A1(reg2hw_intr_test_q_i[14]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[14]), .C1(
+        reg2hw_intr_state_q_i[14]), .X(hw2reg_intr_state_d_o[14]) );
+  sky130_fd_sc_hd__a211o_1 U77 ( .A1(reg2hw_intr_test_q_i[13]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[13]), .C1(
+        reg2hw_intr_state_q_i[13]), .X(hw2reg_intr_state_d_o[13]) );
+  sky130_fd_sc_hd__a211o_1 U78 ( .A1(reg2hw_intr_test_q_i[12]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[12]), .C1(
+        reg2hw_intr_state_q_i[12]), .X(hw2reg_intr_state_d_o[12]) );
+  sky130_fd_sc_hd__a211o_1 U79 ( .A1(reg2hw_intr_test_q_i[11]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[11]), .C1(
+        reg2hw_intr_state_q_i[11]), .X(hw2reg_intr_state_d_o[11]) );
+  sky130_fd_sc_hd__a211o_1 U80 ( .A1(reg2hw_intr_test_q_i[10]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[10]), .C1(
+        reg2hw_intr_state_q_i[10]), .X(hw2reg_intr_state_d_o[10]) );
+  sky130_fd_sc_hd__a211o_1 U81 ( .A1(reg2hw_intr_test_q_i[9]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[9]), .C1(
+        reg2hw_intr_state_q_i[9]), .X(hw2reg_intr_state_d_o[9]) );
+  sky130_fd_sc_hd__a211o_1 U82 ( .A1(reg2hw_intr_test_q_i[8]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[8]), .C1(
+        reg2hw_intr_state_q_i[8]), .X(hw2reg_intr_state_d_o[8]) );
+  sky130_fd_sc_hd__a211o_1 U83 ( .A1(reg2hw_intr_test_q_i[7]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[7]), .C1(
+        reg2hw_intr_state_q_i[7]), .X(hw2reg_intr_state_d_o[7]) );
+  sky130_fd_sc_hd__a211o_1 U84 ( .A1(reg2hw_intr_test_q_i[6]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[6]), .C1(
+        reg2hw_intr_state_q_i[6]), .X(hw2reg_intr_state_d_o[6]) );
+  sky130_fd_sc_hd__a211o_1 U85 ( .A1(reg2hw_intr_test_q_i[5]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[5]), .C1(
+        reg2hw_intr_state_q_i[5]), .X(hw2reg_intr_state_d_o[5]) );
+  sky130_fd_sc_hd__a211o_1 U86 ( .A1(reg2hw_intr_test_q_i[4]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[4]), .C1(
+        reg2hw_intr_state_q_i[4]), .X(hw2reg_intr_state_d_o[4]) );
+  sky130_fd_sc_hd__a211o_1 U87 ( .A1(reg2hw_intr_test_q_i[3]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[3]), .C1(
+        reg2hw_intr_state_q_i[3]), .X(hw2reg_intr_state_d_o[3]) );
+  sky130_fd_sc_hd__a211o_1 U88 ( .A1(reg2hw_intr_test_q_i[2]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[2]), .C1(
+        reg2hw_intr_state_q_i[2]), .X(hw2reg_intr_state_d_o[2]) );
+  sky130_fd_sc_hd__a211o_1 U89 ( .A1(reg2hw_intr_test_q_i[1]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[1]), .C1(
+        reg2hw_intr_state_q_i[1]), .X(hw2reg_intr_state_d_o[1]) );
+  sky130_fd_sc_hd__a211o_1 U90 ( .A1(reg2hw_intr_test_q_i[0]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[0]), .C1(
+        reg2hw_intr_state_q_i[0]), .X(hw2reg_intr_state_d_o[0]) );
+endmodule
+
+
+module opentitan_soc_top_tlul_err_4 ( clk_i, rst_ni, tl_i, err_o );
+  input [85:0] tl_i;
+  input clk_i, rst_ni;
+  output err_o;
+  wire   n6, n7;
+
+  sky130_fd_sc_hd__o311ai_0 U3 ( .A1(n7), .A2(tl_i[82]), .A3(tl_i[84]), .B1(
+        tl_i[85]), .C1(n6), .Y(err_o) );
+  sky130_fd_sc_hd__and4_1 U4 ( .A(tl_i[36]), .B(tl_i[35]), .C(tl_i[34]), .D(
+        tl_i[33]), .X(n7) );
+  sky130_fd_sc_hd__nand2_1 U5 ( .A(tl_i[84]), .B(tl_i[82]), .Y(n6) );
+endmodule
+
+
+module opentitan_soc_top_tlul_adapter_reg_RegAw6_RegDw32_0 ( clk_i, rst_ni, 
+        tl_i, tl_o, re_o, we_o, addr_o, wdata_o, be_o, rdata_i, error_i );
+  input [85:0] tl_i;
+  output [51:0] tl_o;
+  output [5:0] addr_o;
+  output [31:0] wdata_o;
+  output [3:0] be_o;
+  input [31:0] rdata_i;
+  input clk_i, rst_ni, error_i;
+  output re_o, we_o;
+  wire   a_ack, tl_err, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81, n82,
+         n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96,
+         n97, n98, n99, n100, n101, n102, n103, n104, n107, n108, n14, n15,
+         n16, n17, n18, n59, n60;
+
+  opentitan_soc_top_tlul_err_4 u_err ( .clk_i(1'b0), .rst_ni(1'b0), .tl_i({
+        tl_i[85:84], n60, tl_i[82], n60, n60, n60, n107, n60, n60, n60, n60, 
+        n60, n60, n60, n60, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, n60, 
+        n60, tl_i[36:33], 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, n107}), .err_o(tl_err) );
+  sky130_fd_sc_hd__dfrtp_1 outstanding_reg ( .D(a_ack), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[51]) );
+  sky130_fd_sc_hd__dfrtp_1 rspop_reg_0_ ( .D(n108), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[48]) );
+  sky130_fd_sc_hd__dfrtp_1 error_reg ( .D(n104), .CLK(n14), .RESET_B(rst_ni), 
+        .Q(tl_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_31_ ( .D(n103), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[33]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_30_ ( .D(n102), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[32]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_29_ ( .D(n101), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_28_ ( .D(n100), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_27_ ( .D(n99), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_26_ ( .D(n98), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_25_ ( .D(n97), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_24_ ( .D(n96), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_23_ ( .D(n95), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_22_ ( .D(n94), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_21_ ( .D(n93), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_20_ ( .D(n92), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_19_ ( .D(n91), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_18_ ( .D(n90), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_17_ ( .D(n89), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_16_ ( .D(n88), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_15_ ( .D(n87), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_14_ ( .D(n86), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_13_ ( .D(n85), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_12_ ( .D(n84), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_11_ ( .D(n83), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_10_ ( .D(n82), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_9_ ( .D(n81), .CLK(n14), .RESET_B(rst_ni), 
+        .Q(tl_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_8_ ( .D(n80), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_7_ ( .D(n79), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_6_ ( .D(n78), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_5_ ( .D(n77), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_4_ ( .D(n76), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_3_ ( .D(n75), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_2_ ( .D(n74), .CLK(n14), .RESET_B(rst_ni), 
+        .Q(tl_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_1_ ( .D(n73), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_0_ ( .D(n72), .CLK(n14), .RESET_B(n59), 
+        .Q(tl_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(clk_i), .X(n14) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(n17), .Y(a_ack) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(tl_i[2]), .X(wdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(tl_i[41]), .X(addr_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(tl_i[8]), .X(wdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(tl_i[20]), .X(wdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(tl_i[26]), .X(wdata_o[25]) );
+  sky130_fd_sc_hd__nand2b_1 U10 ( .A_N(tl_err), .B(a_ack), .Y(n18) );
+  sky130_fd_sc_hd__conb_1 U11 ( .LO(n60), .HI(n107) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(tl_i[5]), .X(wdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(tl_i[23]), .X(wdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(tl_i[1]), .X(wdata_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(tl_i[3]), .X(wdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(tl_i[4]), .X(wdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(tl_i[6]), .X(wdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(tl_i[7]), .X(wdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(tl_i[9]), .X(wdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(tl_i[10]), .X(wdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(tl_i[11]), .X(wdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(tl_i[12]), .X(wdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(tl_i[13]), .X(wdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(tl_i[14]), .X(wdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(tl_i[15]), .X(wdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(tl_i[16]), .X(wdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(tl_i[17]), .X(wdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(tl_i[18]), .X(wdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(tl_i[19]), .X(wdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(tl_i[21]), .X(wdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(tl_i[22]), .X(wdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(tl_i[24]), .X(wdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(tl_i[25]), .X(wdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(tl_i[27]), .X(wdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(tl_i[28]), .X(wdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(tl_i[29]), .X(wdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(tl_i[30]), .X(wdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(tl_i[31]), .X(wdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(tl_i[32]), .X(wdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(tl_i[33]), .X(be_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(tl_i[34]), .X(be_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(tl_i[35]), .X(be_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(tl_i[36]), .X(be_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(tl_i[39]), .X(addr_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U45 ( .A(tl_i[40]), .X(addr_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(tl_i[42]), .X(addr_o[5]) );
+  sky130_fd_sc_hd__clkinv_1 U47 ( .A(tl_o[51]), .Y(tl_o[0]) );
+  sky130_fd_sc_hd__nand2_1 U48 ( .A(tl_o[0]), .B(tl_i[85]), .Y(n17) );
+  sky130_fd_sc_hd__nor2_1 U49 ( .A(tl_i[84]), .B(n18), .Y(we_o) );
+  sky130_fd_sc_hd__clkbuf_1 U50 ( .A(rst_ni), .X(n59) );
+  sky130_fd_sc_hd__nand3b_1 U51 ( .A_N(tl_i[82]), .B(tl_i[84]), .C(a_ack), .Y(
+        n15) );
+  sky130_fd_sc_hd__nor2_1 U52 ( .A(tl_err), .B(n15), .Y(re_o) );
+  sky130_fd_sc_hd__clkinv_1 U53 ( .A(tl_o[48]), .Y(n16) );
+  sky130_fd_sc_hd__o21ai_1 U54 ( .A1(a_ack), .A2(n16), .B1(n15), .Y(n108) );
+  sky130_fd_sc_hd__o22a_1 U57 ( .A1(a_ack), .A2(tl_o[1]), .B1(error_i), .B2(
+        n18), .X(n104) );
+  sky130_fd_sc_hd__o22a_1 U58 ( .A1(a_ack), .A2(tl_o[33]), .B1(rdata_i[31]), 
+        .B2(n18), .X(n103) );
+  sky130_fd_sc_hd__o22a_1 U59 ( .A1(a_ack), .A2(tl_o[32]), .B1(rdata_i[30]), 
+        .B2(n18), .X(n102) );
+  sky130_fd_sc_hd__o22a_1 U60 ( .A1(a_ack), .A2(tl_o[31]), .B1(rdata_i[29]), 
+        .B2(n18), .X(n101) );
+  sky130_fd_sc_hd__o22a_1 U61 ( .A1(a_ack), .A2(tl_o[30]), .B1(rdata_i[28]), 
+        .B2(n18), .X(n100) );
+  sky130_fd_sc_hd__o22a_1 U62 ( .A1(a_ack), .A2(tl_o[29]), .B1(rdata_i[27]), 
+        .B2(n18), .X(n99) );
+  sky130_fd_sc_hd__o22a_1 U63 ( .A1(a_ack), .A2(tl_o[28]), .B1(rdata_i[26]), 
+        .B2(n18), .X(n98) );
+  sky130_fd_sc_hd__o22a_1 U64 ( .A1(a_ack), .A2(tl_o[27]), .B1(rdata_i[25]), 
+        .B2(n18), .X(n97) );
+  sky130_fd_sc_hd__o22a_1 U65 ( .A1(a_ack), .A2(tl_o[26]), .B1(rdata_i[24]), 
+        .B2(n18), .X(n96) );
+  sky130_fd_sc_hd__o22a_1 U66 ( .A1(a_ack), .A2(tl_o[25]), .B1(rdata_i[23]), 
+        .B2(n18), .X(n95) );
+  sky130_fd_sc_hd__o22a_1 U67 ( .A1(a_ack), .A2(tl_o[24]), .B1(rdata_i[22]), 
+        .B2(n18), .X(n94) );
+  sky130_fd_sc_hd__o22a_1 U68 ( .A1(a_ack), .A2(tl_o[23]), .B1(rdata_i[21]), 
+        .B2(n18), .X(n93) );
+  sky130_fd_sc_hd__o22a_1 U69 ( .A1(a_ack), .A2(tl_o[22]), .B1(rdata_i[20]), 
+        .B2(n18), .X(n92) );
+  sky130_fd_sc_hd__o22a_1 U70 ( .A1(a_ack), .A2(tl_o[21]), .B1(rdata_i[19]), 
+        .B2(n18), .X(n91) );
+  sky130_fd_sc_hd__o22a_1 U71 ( .A1(a_ack), .A2(tl_o[20]), .B1(rdata_i[18]), 
+        .B2(n18), .X(n90) );
+  sky130_fd_sc_hd__o22a_1 U72 ( .A1(a_ack), .A2(tl_o[19]), .B1(rdata_i[17]), 
+        .B2(n18), .X(n89) );
+  sky130_fd_sc_hd__o22a_1 U73 ( .A1(a_ack), .A2(tl_o[18]), .B1(rdata_i[16]), 
+        .B2(n18), .X(n88) );
+  sky130_fd_sc_hd__o22a_1 U74 ( .A1(a_ack), .A2(tl_o[17]), .B1(rdata_i[15]), 
+        .B2(n18), .X(n87) );
+  sky130_fd_sc_hd__o22a_1 U75 ( .A1(a_ack), .A2(tl_o[16]), .B1(rdata_i[14]), 
+        .B2(n18), .X(n86) );
+  sky130_fd_sc_hd__o22a_1 U76 ( .A1(a_ack), .A2(tl_o[15]), .B1(rdata_i[13]), 
+        .B2(n18), .X(n85) );
+  sky130_fd_sc_hd__o22a_1 U77 ( .A1(a_ack), .A2(tl_o[14]), .B1(rdata_i[12]), 
+        .B2(n18), .X(n84) );
+  sky130_fd_sc_hd__o22a_1 U78 ( .A1(a_ack), .A2(tl_o[13]), .B1(rdata_i[11]), 
+        .B2(n18), .X(n83) );
+  sky130_fd_sc_hd__o22a_1 U79 ( .A1(a_ack), .A2(tl_o[12]), .B1(rdata_i[10]), 
+        .B2(n18), .X(n82) );
+  sky130_fd_sc_hd__o22a_1 U80 ( .A1(a_ack), .A2(tl_o[11]), .B1(rdata_i[9]), 
+        .B2(n18), .X(n81) );
+  sky130_fd_sc_hd__o22a_1 U81 ( .A1(a_ack), .A2(tl_o[10]), .B1(rdata_i[8]), 
+        .B2(n18), .X(n80) );
+  sky130_fd_sc_hd__o22a_1 U82 ( .A1(a_ack), .A2(tl_o[9]), .B1(rdata_i[7]), 
+        .B2(n18), .X(n79) );
+  sky130_fd_sc_hd__o22a_1 U83 ( .A1(a_ack), .A2(tl_o[8]), .B1(rdata_i[6]), 
+        .B2(n18), .X(n78) );
+  sky130_fd_sc_hd__o22a_1 U84 ( .A1(a_ack), .A2(tl_o[7]), .B1(rdata_i[5]), 
+        .B2(n18), .X(n77) );
+  sky130_fd_sc_hd__o22a_1 U85 ( .A1(a_ack), .A2(tl_o[6]), .B1(rdata_i[4]), 
+        .B2(n18), .X(n76) );
+  sky130_fd_sc_hd__o22a_1 U86 ( .A1(a_ack), .A2(tl_o[5]), .B1(rdata_i[3]), 
+        .B2(n18), .X(n75) );
+  sky130_fd_sc_hd__o22a_1 U87 ( .A1(a_ack), .A2(tl_o[4]), .B1(rdata_i[2]), 
+        .B2(n18), .X(n74) );
+  sky130_fd_sc_hd__o22a_1 U88 ( .A1(a_ack), .A2(tl_o[3]), .B1(rdata_i[1]), 
+        .B2(n18), .X(n73) );
+  sky130_fd_sc_hd__o22a_1 U89 ( .A1(a_ack), .A2(tl_o[2]), .B1(rdata_i[0]), 
+        .B2(n18), .X(n72) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSW1C_0 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [31:0] wd;
+  input [31:0] d;
+  input [31:0] q;
+  output [31:0] wr_data;
+  input we, de;
+  output wr_en;
+  wire   n1, n2, n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16,
+         n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30,
+         n31, n32, n33;
+
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(de), .Y(n6) );
+  sky130_fd_sc_hd__nand2b_1 U3 ( .A_N(we), .B(n6), .Y(wr_en) );
+  sky130_fd_sc_hd__o22ai_1 U4 ( .A1(de), .A2(q[31]), .B1(n6), .B2(d[31]), .Y(
+        n1) );
+  sky130_fd_sc_hd__a21oi_1 U5 ( .A1(we), .A2(wd[31]), .B1(n1), .Y(wr_data[31])
+         );
+  sky130_fd_sc_hd__o22ai_1 U6 ( .A1(de), .A2(q[30]), .B1(n6), .B2(d[30]), .Y(
+        n2) );
+  sky130_fd_sc_hd__a21oi_1 U7 ( .A1(we), .A2(wd[30]), .B1(n2), .Y(wr_data[30])
+         );
+  sky130_fd_sc_hd__o22ai_1 U8 ( .A1(de), .A2(q[29]), .B1(n6), .B2(d[29]), .Y(
+        n3) );
+  sky130_fd_sc_hd__a21oi_1 U9 ( .A1(we), .A2(wd[29]), .B1(n3), .Y(wr_data[29])
+         );
+  sky130_fd_sc_hd__o22ai_1 U10 ( .A1(de), .A2(q[28]), .B1(n6), .B2(d[28]), .Y(
+        n4) );
+  sky130_fd_sc_hd__a21oi_1 U11 ( .A1(we), .A2(wd[28]), .B1(n4), .Y(wr_data[28]) );
+  sky130_fd_sc_hd__o22ai_1 U12 ( .A1(de), .A2(q[27]), .B1(n6), .B2(d[27]), .Y(
+        n5) );
+  sky130_fd_sc_hd__a21oi_1 U13 ( .A1(we), .A2(wd[27]), .B1(n5), .Y(wr_data[27]) );
+  sky130_fd_sc_hd__o22ai_1 U14 ( .A1(de), .A2(q[26]), .B1(n6), .B2(d[26]), .Y(
+        n7) );
+  sky130_fd_sc_hd__a21oi_1 U15 ( .A1(we), .A2(wd[26]), .B1(n7), .Y(wr_data[26]) );
+  sky130_fd_sc_hd__o22ai_1 U16 ( .A1(de), .A2(q[25]), .B1(n6), .B2(d[25]), .Y(
+        n8) );
+  sky130_fd_sc_hd__a21oi_1 U17 ( .A1(we), .A2(wd[25]), .B1(n8), .Y(wr_data[25]) );
+  sky130_fd_sc_hd__o22ai_1 U18 ( .A1(de), .A2(q[24]), .B1(n6), .B2(d[24]), .Y(
+        n9) );
+  sky130_fd_sc_hd__a21oi_1 U19 ( .A1(we), .A2(wd[24]), .B1(n9), .Y(wr_data[24]) );
+  sky130_fd_sc_hd__o22ai_1 U20 ( .A1(de), .A2(q[23]), .B1(n6), .B2(d[23]), .Y(
+        n10) );
+  sky130_fd_sc_hd__a21oi_1 U21 ( .A1(we), .A2(wd[23]), .B1(n10), .Y(
+        wr_data[23]) );
+  sky130_fd_sc_hd__o22ai_1 U22 ( .A1(de), .A2(q[22]), .B1(n6), .B2(d[22]), .Y(
+        n11) );
+  sky130_fd_sc_hd__a21oi_1 U23 ( .A1(we), .A2(wd[22]), .B1(n11), .Y(
+        wr_data[22]) );
+  sky130_fd_sc_hd__o22ai_1 U24 ( .A1(de), .A2(q[21]), .B1(n6), .B2(d[21]), .Y(
+        n12) );
+  sky130_fd_sc_hd__a21oi_1 U25 ( .A1(we), .A2(wd[21]), .B1(n12), .Y(
+        wr_data[21]) );
+  sky130_fd_sc_hd__o22ai_1 U26 ( .A1(de), .A2(q[20]), .B1(n6), .B2(d[20]), .Y(
+        n13) );
+  sky130_fd_sc_hd__a21oi_1 U27 ( .A1(we), .A2(wd[20]), .B1(n13), .Y(
+        wr_data[20]) );
+  sky130_fd_sc_hd__o22ai_1 U28 ( .A1(de), .A2(q[19]), .B1(n6), .B2(d[19]), .Y(
+        n14) );
+  sky130_fd_sc_hd__a21oi_1 U29 ( .A1(we), .A2(wd[19]), .B1(n14), .Y(
+        wr_data[19]) );
+  sky130_fd_sc_hd__o22ai_1 U30 ( .A1(de), .A2(q[18]), .B1(n6), .B2(d[18]), .Y(
+        n15) );
+  sky130_fd_sc_hd__a21oi_1 U31 ( .A1(we), .A2(wd[18]), .B1(n15), .Y(
+        wr_data[18]) );
+  sky130_fd_sc_hd__o22ai_1 U32 ( .A1(de), .A2(q[17]), .B1(n6), .B2(d[17]), .Y(
+        n16) );
+  sky130_fd_sc_hd__a21oi_1 U33 ( .A1(we), .A2(wd[17]), .B1(n16), .Y(
+        wr_data[17]) );
+  sky130_fd_sc_hd__o22ai_1 U34 ( .A1(de), .A2(q[16]), .B1(n6), .B2(d[16]), .Y(
+        n17) );
+  sky130_fd_sc_hd__a21oi_1 U35 ( .A1(we), .A2(wd[16]), .B1(n17), .Y(
+        wr_data[16]) );
+  sky130_fd_sc_hd__o22ai_1 U36 ( .A1(de), .A2(q[15]), .B1(n6), .B2(d[15]), .Y(
+        n18) );
+  sky130_fd_sc_hd__a21oi_1 U37 ( .A1(we), .A2(wd[15]), .B1(n18), .Y(
+        wr_data[15]) );
+  sky130_fd_sc_hd__o22ai_1 U38 ( .A1(de), .A2(q[14]), .B1(n6), .B2(d[14]), .Y(
+        n19) );
+  sky130_fd_sc_hd__a21oi_1 U39 ( .A1(we), .A2(wd[14]), .B1(n19), .Y(
+        wr_data[14]) );
+  sky130_fd_sc_hd__o22ai_1 U40 ( .A1(de), .A2(q[13]), .B1(n6), .B2(d[13]), .Y(
+        n20) );
+  sky130_fd_sc_hd__a21oi_1 U41 ( .A1(we), .A2(wd[13]), .B1(n20), .Y(
+        wr_data[13]) );
+  sky130_fd_sc_hd__o22ai_1 U42 ( .A1(de), .A2(q[12]), .B1(n6), .B2(d[12]), .Y(
+        n21) );
+  sky130_fd_sc_hd__a21oi_1 U43 ( .A1(we), .A2(wd[12]), .B1(n21), .Y(
+        wr_data[12]) );
+  sky130_fd_sc_hd__o22ai_1 U44 ( .A1(de), .A2(q[11]), .B1(n6), .B2(d[11]), .Y(
+        n22) );
+  sky130_fd_sc_hd__a21oi_1 U45 ( .A1(we), .A2(wd[11]), .B1(n22), .Y(
+        wr_data[11]) );
+  sky130_fd_sc_hd__o22ai_1 U46 ( .A1(de), .A2(q[10]), .B1(n6), .B2(d[10]), .Y(
+        n23) );
+  sky130_fd_sc_hd__a21oi_1 U47 ( .A1(we), .A2(wd[10]), .B1(n23), .Y(
+        wr_data[10]) );
+  sky130_fd_sc_hd__o22ai_1 U48 ( .A1(de), .A2(q[9]), .B1(n6), .B2(d[9]), .Y(
+        n24) );
+  sky130_fd_sc_hd__a21oi_1 U49 ( .A1(we), .A2(wd[9]), .B1(n24), .Y(wr_data[9])
+         );
+  sky130_fd_sc_hd__o22ai_1 U50 ( .A1(de), .A2(q[8]), .B1(n6), .B2(d[8]), .Y(
+        n25) );
+  sky130_fd_sc_hd__a21oi_1 U51 ( .A1(we), .A2(wd[8]), .B1(n25), .Y(wr_data[8])
+         );
+  sky130_fd_sc_hd__o22ai_1 U52 ( .A1(de), .A2(q[7]), .B1(n6), .B2(d[7]), .Y(
+        n26) );
+  sky130_fd_sc_hd__a21oi_1 U53 ( .A1(we), .A2(wd[7]), .B1(n26), .Y(wr_data[7])
+         );
+  sky130_fd_sc_hd__o22ai_1 U54 ( .A1(de), .A2(q[6]), .B1(n6), .B2(d[6]), .Y(
+        n27) );
+  sky130_fd_sc_hd__a21oi_1 U55 ( .A1(we), .A2(wd[6]), .B1(n27), .Y(wr_data[6])
+         );
+  sky130_fd_sc_hd__o22ai_1 U56 ( .A1(de), .A2(q[5]), .B1(n6), .B2(d[5]), .Y(
+        n28) );
+  sky130_fd_sc_hd__a21oi_1 U57 ( .A1(we), .A2(wd[5]), .B1(n28), .Y(wr_data[5])
+         );
+  sky130_fd_sc_hd__o22ai_1 U58 ( .A1(de), .A2(q[4]), .B1(n6), .B2(d[4]), .Y(
+        n29) );
+  sky130_fd_sc_hd__a21oi_1 U59 ( .A1(we), .A2(wd[4]), .B1(n29), .Y(wr_data[4])
+         );
+  sky130_fd_sc_hd__o22ai_1 U60 ( .A1(de), .A2(q[3]), .B1(n6), .B2(d[3]), .Y(
+        n30) );
+  sky130_fd_sc_hd__a21oi_1 U61 ( .A1(we), .A2(wd[3]), .B1(n30), .Y(wr_data[3])
+         );
+  sky130_fd_sc_hd__o22ai_1 U62 ( .A1(de), .A2(q[2]), .B1(n6), .B2(d[2]), .Y(
+        n31) );
+  sky130_fd_sc_hd__a21oi_1 U63 ( .A1(we), .A2(wd[2]), .B1(n31), .Y(wr_data[2])
+         );
+  sky130_fd_sc_hd__o22ai_1 U64 ( .A1(de), .A2(q[1]), .B1(n6), .B2(d[1]), .Y(
+        n32) );
+  sky130_fd_sc_hd__a21oi_1 U65 ( .A1(we), .A2(wd[1]), .B1(n32), .Y(wr_data[1])
+         );
+  sky130_fd_sc_hd__o22ai_1 U66 ( .A1(de), .A2(q[0]), .B1(n6), .B2(d[0]), .Y(
+        n33) );
+  sky130_fd_sc_hd__a21oi_1 U67 ( .A1(we), .A2(wd[0]), .B1(n33), .Y(wr_data[0])
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_32_W1C_00000000_0 ( clk_i, rst_ni, we, wd, 
+        de, d, qe, q, qs );
+  input [31:0] wd;
+  input [31:0] d;
+  output [31:0] q;
+  output [31:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n2, n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15,
+         n16, n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29,
+         n30, n31, n32, n33, n1, n66;
+  wire   [31:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSW1C_0 wr_en_data_arb ( .we(we), .wd(wd), .de(de), .d(d), .q(q), .wr_en(wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n33), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_31_ ( .D(n32), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[31]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_30_ ( .D(n31), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[30]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_29_ ( .D(n30), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[29]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_28_ ( .D(n29), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[28]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_27_ ( .D(n28), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[27]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_26_ ( .D(n27), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[26]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_25_ ( .D(n26), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[25]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_24_ ( .D(n25), .CLK(clk_i), .RESET_B(n66), 
+        .Q(q[24]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_23_ ( .D(n24), .CLK(clk_i), .RESET_B(n66), 
+        .Q(q[23]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_22_ ( .D(n23), .CLK(clk_i), .RESET_B(n66), 
+        .Q(q[22]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_21_ ( .D(n22), .CLK(clk_i), .RESET_B(n66), 
+        .Q(q[21]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_20_ ( .D(n21), .CLK(clk_i), .RESET_B(n66), 
+        .Q(q[20]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_19_ ( .D(n20), .CLK(clk_i), .RESET_B(n66), 
+        .Q(q[19]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_18_ ( .D(n19), .CLK(clk_i), .RESET_B(n66), 
+        .Q(q[18]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_17_ ( .D(n18), .CLK(clk_i), .RESET_B(n66), 
+        .Q(q[17]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_16_ ( .D(n17), .CLK(clk_i), .RESET_B(n66), 
+        .Q(q[16]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_15_ ( .D(n16), .CLK(clk_i), .RESET_B(n66), 
+        .Q(q[15]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_14_ ( .D(n15), .CLK(clk_i), .RESET_B(n66), 
+        .Q(q[14]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_13_ ( .D(n14), .CLK(clk_i), .RESET_B(n66), 
+        .Q(q[13]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_12_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[12]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_11_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[11]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_10_ ( .D(n11), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[10]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_9_ ( .D(n10), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[9]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_8_ ( .D(n9), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[8]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_7_ ( .D(n8), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[7]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_6_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[6]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_5_ ( .D(n6), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_4_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_3_ ( .D(n4), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n3), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n2), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(wr_en), .Y(n1) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[17]), .X(qs[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(q[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(q[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(q[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(q[7]), .X(qs[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(q[8]), .X(qs[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(q[9]), .X(qs[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(q[10]), .X(qs[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(q[11]), .X(qs[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(q[12]), .X(qs[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(q[13]), .X(qs[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(q[14]), .X(qs[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(q[15]), .X(qs[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(q[16]), .X(qs[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(q[18]), .X(qs[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(q[19]), .X(qs[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(q[20]), .X(qs[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(q[21]), .X(qs[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(q[22]), .X(qs[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(q[23]), .X(qs[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(q[24]), .X(qs[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(q[25]), .X(qs[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(q[26]), .X(qs[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(q[27]), .X(qs[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(q[28]), .X(qs[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(q[29]), .X(qs[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(q[30]), .X(qs[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(q[31]), .X(qs[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(rst_ni), .X(n66) );
+  sky130_fd_sc_hd__a22o_1 U37 ( .A1(wr_en), .A2(wr_data[0]), .B1(n1), .B2(q[0]), .X(n33) );
+  sky130_fd_sc_hd__a22o_1 U38 ( .A1(wr_en), .A2(wr_data[31]), .B1(n1), .B2(
+        q[31]), .X(n32) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(wr_en), .A2(wr_data[30]), .B1(n1), .B2(
+        q[30]), .X(n31) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(wr_en), .A2(wr_data[29]), .B1(n1), .B2(
+        q[29]), .X(n30) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(wr_en), .A2(wr_data[28]), .B1(n1), .B2(
+        q[28]), .X(n29) );
+  sky130_fd_sc_hd__a22o_1 U42 ( .A1(wr_en), .A2(wr_data[27]), .B1(n1), .B2(
+        q[27]), .X(n28) );
+  sky130_fd_sc_hd__a22o_1 U43 ( .A1(wr_en), .A2(wr_data[26]), .B1(n1), .B2(
+        q[26]), .X(n27) );
+  sky130_fd_sc_hd__a22o_1 U44 ( .A1(wr_en), .A2(wr_data[25]), .B1(n1), .B2(
+        q[25]), .X(n26) );
+  sky130_fd_sc_hd__a22o_1 U45 ( .A1(wr_en), .A2(wr_data[24]), .B1(n1), .B2(
+        q[24]), .X(n25) );
+  sky130_fd_sc_hd__a22o_1 U46 ( .A1(wr_en), .A2(wr_data[23]), .B1(n1), .B2(
+        q[23]), .X(n24) );
+  sky130_fd_sc_hd__a22o_1 U47 ( .A1(wr_en), .A2(wr_data[22]), .B1(n1), .B2(
+        q[22]), .X(n23) );
+  sky130_fd_sc_hd__a22o_1 U48 ( .A1(wr_en), .A2(wr_data[21]), .B1(n1), .B2(
+        q[21]), .X(n22) );
+  sky130_fd_sc_hd__a22o_1 U49 ( .A1(wr_en), .A2(wr_data[20]), .B1(n1), .B2(
+        q[20]), .X(n21) );
+  sky130_fd_sc_hd__a22o_1 U50 ( .A1(wr_en), .A2(wr_data[19]), .B1(n1), .B2(
+        q[19]), .X(n20) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(wr_en), .A2(wr_data[18]), .B1(n1), .B2(
+        q[18]), .X(n19) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(wr_en), .A2(wr_data[17]), .B1(n1), .B2(
+        q[17]), .X(n18) );
+  sky130_fd_sc_hd__a22o_1 U53 ( .A1(wr_en), .A2(wr_data[16]), .B1(n1), .B2(
+        q[16]), .X(n17) );
+  sky130_fd_sc_hd__a22o_1 U54 ( .A1(wr_en), .A2(wr_data[15]), .B1(n1), .B2(
+        q[15]), .X(n16) );
+  sky130_fd_sc_hd__a22o_1 U55 ( .A1(wr_en), .A2(wr_data[14]), .B1(n1), .B2(
+        q[14]), .X(n15) );
+  sky130_fd_sc_hd__a22o_1 U56 ( .A1(wr_en), .A2(wr_data[13]), .B1(n1), .B2(
+        q[13]), .X(n14) );
+  sky130_fd_sc_hd__a22o_1 U57 ( .A1(wr_en), .A2(wr_data[12]), .B1(n1), .B2(
+        q[12]), .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U58 ( .A1(wr_en), .A2(wr_data[11]), .B1(n1), .B2(
+        q[11]), .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U59 ( .A1(wr_en), .A2(wr_data[10]), .B1(n1), .B2(
+        q[10]), .X(n11) );
+  sky130_fd_sc_hd__a22o_1 U60 ( .A1(wr_en), .A2(wr_data[9]), .B1(n1), .B2(q[9]), .X(n10) );
+  sky130_fd_sc_hd__a22o_1 U61 ( .A1(wr_en), .A2(wr_data[8]), .B1(n1), .B2(q[8]), .X(n9) );
+  sky130_fd_sc_hd__a22o_1 U62 ( .A1(wr_en), .A2(wr_data[7]), .B1(n1), .B2(q[7]), .X(n8) );
+  sky130_fd_sc_hd__a22o_1 U63 ( .A1(wr_en), .A2(wr_data[6]), .B1(n1), .B2(q[6]), .X(n7) );
+  sky130_fd_sc_hd__a22o_1 U64 ( .A1(wr_en), .A2(wr_data[5]), .B1(n1), .B2(q[5]), .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U65 ( .A1(wr_en), .A2(wr_data[4]), .B1(n1), .B2(q[4]), .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U66 ( .A1(wr_en), .A2(wr_data[3]), .B1(n1), .B2(q[3]), .X(n4) );
+  sky130_fd_sc_hd__a22o_1 U67 ( .A1(wr_en), .A2(wr_data[2]), .B1(n1), .B2(q[2]), .X(n3) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(wr_en), .A2(wr_data[1]), .B1(n1), .B2(q[1]), .X(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSRW_0 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [31:0] wd;
+  input [31:0] d;
+  input [31:0] q;
+  output [31:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__buf_2 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wr_en), .B(wd[5]), .X(wr_data[5]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(wr_en), .B(wd[4]), .X(wr_data[4]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(wr_en), .B(wd[6]), .X(wr_data[6]) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(wr_en), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(wr_en), .B(wd[3]), .X(wr_data[3]) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(wr_en), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(wr_en), .B(wd[7]), .X(wr_data[7]) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(wr_en), .B(wd[15]), .X(wr_data[15]) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(wr_en), .B(wd[12]), .X(wr_data[12]) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(wr_en), .B(wd[10]), .X(wr_data[10]) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(wr_en), .B(wd[8]), .X(wr_data[8]) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(wr_en), .B(wd[9]), .X(wr_data[9]) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(wr_en), .B(wd[11]), .X(wr_data[11]) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(wr_en), .B(wd[26]), .X(wr_data[26]) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(wr_en), .B(wd[13]), .X(wr_data[13]) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(wr_en), .B(wd[28]), .X(wr_data[28]) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(wr_en), .B(wd[29]), .X(wr_data[29]) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(wr_en), .B(wd[30]), .X(wr_data[30]) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(wr_en), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(wr_en), .B(wd[14]), .X(wr_data[14]) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(wr_en), .B(wd[22]), .X(wr_data[22]) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(wr_en), .B(wd[23]), .X(wr_data[23]) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(wr_en), .B(wd[24]), .X(wr_data[24]) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(wr_en), .B(wd[25]), .X(wr_data[25]) );
+  sky130_fd_sc_hd__and2_0 U26 ( .A(wr_en), .B(wd[16]), .X(wr_data[16]) );
+  sky130_fd_sc_hd__and2_0 U27 ( .A(wr_en), .B(wd[27]), .X(wr_data[27]) );
+  sky130_fd_sc_hd__and2_0 U28 ( .A(wr_en), .B(wd[17]), .X(wr_data[17]) );
+  sky130_fd_sc_hd__and2_0 U29 ( .A(wr_en), .B(wd[31]), .X(wr_data[31]) );
+  sky130_fd_sc_hd__and2_0 U30 ( .A(wr_en), .B(wd[18]), .X(wr_data[18]) );
+  sky130_fd_sc_hd__and2_0 U31 ( .A(wr_en), .B(wd[19]), .X(wr_data[19]) );
+  sky130_fd_sc_hd__and2_0 U32 ( .A(wr_en), .B(wd[20]), .X(wr_data[20]) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(wr_en), .B(wd[21]), .X(wr_data[21]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_32_RW_00000000_0 ( clk_i, rst_ni, we, wd, 
+        de, d, qe, q, qs );
+  input [31:0] wd;
+  input [31:0] d;
+  output [31:0] q;
+  output [31:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   q_31_, q_30_, q_29_, q_28_, q_27_, q_26_, q_25_, q_24_, q_23_, n4, n5,
+         n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16, n17, n18, n19, n20,
+         n21, n22, n23, n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34,
+         n35, n36, n37, n38, n39;
+  wire   [31:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSRW_0 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n37), .d({n37, n37, n37, n37, n37, n37, n37, n37, n37, 
+        n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, 
+        n37, n37, n37, n37, n37, n37, n37, n37, n37}), .q({1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0}), .wr_en(n36), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n35), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_31_ ( .D(n34), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q_31_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_30_ ( .D(n33), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q_30_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_29_ ( .D(n32), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q_29_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_28_ ( .D(n31), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q_28_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_27_ ( .D(n30), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q_27_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_26_ ( .D(n29), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q_26_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_25_ ( .D(n28), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q_25_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_24_ ( .D(n27), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q_24_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_23_ ( .D(n26), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q_23_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_22_ ( .D(n25), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[22]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_21_ ( .D(n24), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[21]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_20_ ( .D(n23), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[20]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_19_ ( .D(n22), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[19]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_18_ ( .D(n21), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[18]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_17_ ( .D(n20), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[17]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_16_ ( .D(n19), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[16]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_15_ ( .D(n18), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[15]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_14_ ( .D(n17), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[14]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_13_ ( .D(n16), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[13]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_12_ ( .D(n15), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[12]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_11_ ( .D(n14), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[11]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_10_ ( .D(n13), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[10]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_9_ ( .D(n12), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[9]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_8_ ( .D(n11), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[8]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_7_ ( .D(n10), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(q[7]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_6_ ( .D(n9), .CLK(n38), .RESET_B(rst_ni), .Q(
+        q[6]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_5_ ( .D(n8), .CLK(n38), .RESET_B(rst_ni), .Q(
+        q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_4_ ( .D(n7), .CLK(n38), .RESET_B(rst_ni), .Q(
+        q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_3_ ( .D(n6), .CLK(n38), .RESET_B(rst_ni), .Q(
+        q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n5), .CLK(n38), .RESET_B(rst_ni), .Q(
+        q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n4), .CLK(n38), .RESET_B(rst_ni), .Q(
+        q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n37) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(clk_i), .X(n38) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(n36), .Y(n39) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(q[14]), .X(qs[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(q[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(q[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(q[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(q[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(q[7]), .X(qs[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(q[8]), .X(qs[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(q[9]), .X(qs[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(q[10]), .X(qs[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(q[11]), .X(qs[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(q[12]), .X(qs[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(q[13]), .X(qs[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(q[15]), .X(qs[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(q[16]), .X(qs[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(q[17]), .X(qs[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(q[18]), .X(qs[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(q[19]), .X(qs[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(q[20]), .X(qs[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(q[21]), .X(qs[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(q[22]), .X(qs[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(q_23_), .X(qs[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(q_24_), .X(qs[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(q_25_), .X(qs[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(q_26_), .X(qs[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(q_27_), .X(qs[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(q_28_), .X(qs[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(q_29_), .X(qs[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(q_30_), .X(qs[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(q_31_), .X(qs[31]) );
+  sky130_fd_sc_hd__a22o_1 U38 ( .A1(n36), .A2(wr_data[0]), .B1(n39), .B2(q[0]), 
+        .X(n35) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(n36), .A2(wr_data[31]), .B1(n39), .B2(
+        q_31_), .X(n34) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(n36), .A2(wr_data[30]), .B1(n39), .B2(
+        q_30_), .X(n33) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(n36), .A2(wr_data[29]), .B1(n39), .B2(
+        q_29_), .X(n32) );
+  sky130_fd_sc_hd__a22o_1 U42 ( .A1(n36), .A2(wr_data[28]), .B1(n39), .B2(
+        q_28_), .X(n31) );
+  sky130_fd_sc_hd__a22o_1 U43 ( .A1(n36), .A2(wr_data[27]), .B1(n39), .B2(
+        q_27_), .X(n30) );
+  sky130_fd_sc_hd__a22o_1 U44 ( .A1(n36), .A2(wr_data[26]), .B1(n39), .B2(
+        q_26_), .X(n29) );
+  sky130_fd_sc_hd__a22o_1 U45 ( .A1(n36), .A2(wr_data[25]), .B1(n39), .B2(
+        q_25_), .X(n28) );
+  sky130_fd_sc_hd__a22o_1 U46 ( .A1(n36), .A2(wr_data[24]), .B1(n39), .B2(
+        q_24_), .X(n27) );
+  sky130_fd_sc_hd__a22o_1 U47 ( .A1(n36), .A2(wr_data[23]), .B1(n39), .B2(
+        q_23_), .X(n26) );
+  sky130_fd_sc_hd__a22o_1 U48 ( .A1(n36), .A2(wr_data[22]), .B1(n39), .B2(
+        q[22]), .X(n25) );
+  sky130_fd_sc_hd__a22o_1 U49 ( .A1(n36), .A2(wr_data[21]), .B1(n39), .B2(
+        q[21]), .X(n24) );
+  sky130_fd_sc_hd__a22o_1 U50 ( .A1(n36), .A2(wr_data[20]), .B1(n39), .B2(
+        q[20]), .X(n23) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(n36), .A2(wr_data[19]), .B1(n39), .B2(
+        q[19]), .X(n22) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(n36), .A2(wr_data[18]), .B1(n39), .B2(
+        q[18]), .X(n21) );
+  sky130_fd_sc_hd__a22o_1 U53 ( .A1(n36), .A2(wr_data[17]), .B1(n39), .B2(
+        q[17]), .X(n20) );
+  sky130_fd_sc_hd__a22o_1 U54 ( .A1(n36), .A2(wr_data[16]), .B1(n39), .B2(
+        q[16]), .X(n19) );
+  sky130_fd_sc_hd__a22o_1 U55 ( .A1(n36), .A2(wr_data[15]), .B1(n39), .B2(
+        q[15]), .X(n18) );
+  sky130_fd_sc_hd__a22o_1 U56 ( .A1(n36), .A2(wr_data[14]), .B1(n39), .B2(
+        q[14]), .X(n17) );
+  sky130_fd_sc_hd__a22o_1 U57 ( .A1(n36), .A2(wr_data[13]), .B1(n39), .B2(
+        q[13]), .X(n16) );
+  sky130_fd_sc_hd__a22o_1 U58 ( .A1(n36), .A2(wr_data[12]), .B1(n39), .B2(
+        q[12]), .X(n15) );
+  sky130_fd_sc_hd__a22o_1 U59 ( .A1(n36), .A2(wr_data[11]), .B1(n39), .B2(
+        q[11]), .X(n14) );
+  sky130_fd_sc_hd__a22o_1 U60 ( .A1(n36), .A2(wr_data[10]), .B1(n39), .B2(
+        q[10]), .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U61 ( .A1(n36), .A2(wr_data[9]), .B1(n39), .B2(q[9]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U62 ( .A1(n36), .A2(wr_data[8]), .B1(n39), .B2(q[8]), 
+        .X(n11) );
+  sky130_fd_sc_hd__a22o_1 U63 ( .A1(n36), .A2(wr_data[7]), .B1(n39), .B2(q[7]), 
+        .X(n10) );
+  sky130_fd_sc_hd__a22o_1 U64 ( .A1(n36), .A2(wr_data[6]), .B1(n39), .B2(q[6]), 
+        .X(n9) );
+  sky130_fd_sc_hd__a22o_1 U65 ( .A1(n36), .A2(wr_data[5]), .B1(n39), .B2(q[5]), 
+        .X(n8) );
+  sky130_fd_sc_hd__a22o_1 U66 ( .A1(n36), .A2(wr_data[4]), .B1(n39), .B2(q[4]), 
+        .X(n7) );
+  sky130_fd_sc_hd__a22o_1 U67 ( .A1(n36), .A2(wr_data[3]), .B1(n39), .B2(q[3]), 
+        .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(n36), .A2(wr_data[2]), .B1(n39), .B2(q[2]), 
+        .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U69 ( .A1(n36), .A2(wr_data[1]), .B1(n39), .B2(q[1]), 
+        .X(n4) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW32_0 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [31:0] wd;
+  input [31:0] d;
+  output [31:0] q;
+  output [31:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wd[1]), .X(q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wd[14]), .X(q[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wd[27]), .X(q[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wd[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wd[18]), .X(q[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wd[4]), .X(q[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wd[22]), .X(q[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wd[3]), .X(q[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wd[5]), .X(q[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wd[6]), .X(q[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wd[7]), .X(q[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wd[8]), .X(q[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wd[9]), .X(q[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wd[10]), .X(q[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wd[11]), .X(q[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wd[12]), .X(q[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(wd[13]), .X(q[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(wd[15]), .X(q[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(wd[16]), .X(q[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(wd[17]), .X(q[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wd[19]), .X(q[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wd[20]), .X(q[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wd[21]), .X(q[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wd[23]), .X(q[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wd[24]), .X(q[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wd[25]), .X(q[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wd[26]), .X(q[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wd[28]), .X(q[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wd[29]), .X(q[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wd[30]), .X(q[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wd[31]), .X(q[31]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW16_1 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [15:0] wd;
+  input [15:0] d;
+  output [15:0] q;
+  output [15:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(d[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wd[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wd[1]), .X(q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(d[8]), .X(qs[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(d[9]), .X(qs[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wd[4]), .X(q[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wd[3]), .X(q[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wd[5]), .X(q[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wd[6]), .X(q[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wd[7]), .X(q[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wd[8]), .X(q[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wd[9]), .X(q[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(d[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(d[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(d[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(d[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(d[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(d[7]), .X(qs[7]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW16_0 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [15:0] wd;
+  input [15:0] d;
+  output [15:0] q;
+  output [15:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(wd[6]), .X(q[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wd[8]), .X(q[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wd[1]), .X(q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wd[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wd[3]), .X(q[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wd[4]), .X(q[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wd[5]), .X(q[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wd[7]), .X(q[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wd[9]), .X(q[9]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW16_2 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [15:0] wd;
+  input [15:0] d;
+  output [15:0] q;
+  output [15:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wd[12]), .X(q[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wd[1]), .X(q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wd[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(d[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(d[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(d[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(d[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(d[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(d[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(d[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(d[7]), .X(qs[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(d[8]), .X(qs[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(d[9]), .X(qs[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(d[10]), .X(qs[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(d[11]), .X(qs[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(d[12]), .X(qs[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(d[13]), .X(qs[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(d[14]), .X(qs[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(d[15]), .X(qs[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wd[4]), .X(q[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wd[3]), .X(q[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wd[5]), .X(q[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wd[6]), .X(q[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wd[7]), .X(q[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wd[8]), .X(q[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wd[9]), .X(q[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wd[10]), .X(q[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wd[11]), .X(q[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wd[13]), .X(q[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wd[14]), .X(q[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wd[15]), .X(q[15]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW16_3 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [15:0] wd;
+  input [15:0] d;
+  output [15:0] q;
+  output [15:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wd[6]), .X(q[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wd[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wd[1]), .X(q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wd[3]), .X(q[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wd[4]), .X(q[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wd[5]), .X(q[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wd[7]), .X(q[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wd[8]), .X(q[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wd[9]), .X(q[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wd[10]), .X(q[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wd[11]), .X(q[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wd[12]), .X(q[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wd[13]), .X(q[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wd[14]), .X(q[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wd[15]), .X(q[15]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW16_5 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [15:0] wd;
+  input [15:0] d;
+  output [15:0] q;
+  output [15:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[12]), .X(qs[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(d[15]), .X(qs[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wd[15]), .X(q[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wd[1]), .X(q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wd[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(d[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(d[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(d[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(d[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(d[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(d[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(d[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(d[7]), .X(qs[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(d[8]), .X(qs[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(d[9]), .X(qs[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(d[10]), .X(qs[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(d[11]), .X(qs[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(d[13]), .X(qs[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(d[14]), .X(qs[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wd[4]), .X(q[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wd[3]), .X(q[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wd[5]), .X(q[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wd[6]), .X(q[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wd[7]), .X(q[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wd[8]), .X(q[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wd[9]), .X(q[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wd[10]), .X(q[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wd[11]), .X(q[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wd[12]), .X(q[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wd[13]), .X(q[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wd[14]), .X(q[14]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW16_6 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [15:0] wd;
+  input [15:0] d;
+  output [15:0] q;
+  output [15:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(d[7]), .X(qs[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(d[9]), .X(qs[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wd[13]), .X(q[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wd[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wd[1]), .X(q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(d[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(d[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(d[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(d[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(d[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(d[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(d[8]), .X(qs[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(d[10]), .X(qs[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(d[11]), .X(qs[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(d[12]), .X(qs[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(d[13]), .X(qs[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(d[14]), .X(qs[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(d[15]), .X(qs[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(wd[4]), .X(q[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(wd[3]), .X(q[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(wd[5]), .X(q[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(wd[6]), .X(q[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(wd[7]), .X(q[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(wd[8]), .X(q[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(wd[9]), .X(q[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(wd[10]), .X(q[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(wd[11]), .X(q[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(wd[12]), .X(q[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(wd[14]), .X(q[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wd[15]), .X(q[15]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW16_7 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [15:0] wd;
+  input [15:0] d;
+  output [15:0] q;
+  output [15:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(wd[1]), .X(q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wd[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wd[6]), .X(q[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wd[3]), .X(q[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wd[4]), .X(q[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wd[5]), .X(q[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wd[7]), .X(q[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wd[8]), .X(q[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wd[9]), .X(q[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wd[10]), .X(q[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wd[11]), .X(q[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wd[12]), .X(q[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wd[13]), .X(q[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wd[14]), .X(q[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wd[15]), .X(q[15]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW16_8 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [15:0] wd;
+  input [15:0] d;
+  output [15:0] q;
+  output [15:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(wd[4]), .X(q[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wd[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wd[6]), .X(q[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wd[1]), .X(q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wd[3]), .X(q[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wd[5]), .X(q[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wd[7]), .X(q[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wd[8]), .X(q[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wd[9]), .X(q[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wd[10]), .X(q[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wd[11]), .X(q[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wd[12]), .X(q[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(wd[13]), .X(q[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(wd[14]), .X(q[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(wd[15]), .X(q[15]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSRW_1 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [31:0] wd;
+  input [31:0] d;
+  input [31:0] q;
+  output [31:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__buf_2 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wr_en), .B(wd[5]), .X(wr_data[5]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(wr_en), .B(wd[6]), .X(wr_data[6]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(wr_en), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(wr_en), .B(wd[3]), .X(wr_data[3]) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(wr_en), .B(wd[4]), .X(wr_data[4]) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(wr_en), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(wr_en), .B(wd[7]), .X(wr_data[7]) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(wr_en), .B(wd[27]), .X(wr_data[27]) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(wr_en), .B(wd[15]), .X(wr_data[15]) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(wr_en), .B(wd[8]), .X(wr_data[8]) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(wr_en), .B(wd[19]), .X(wr_data[19]) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(wr_en), .B(wd[20]), .X(wr_data[20]) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(wr_en), .B(wd[17]), .X(wr_data[17]) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(wr_en), .B(wd[12]), .X(wr_data[12]) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(wr_en), .B(wd[29]), .X(wr_data[29]) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(wr_en), .B(wd[22]), .X(wr_data[22]) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(wr_en), .B(wd[10]), .X(wr_data[10]) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(wr_en), .B(wd[16]), .X(wr_data[16]) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(wr_en), .B(wd[23]), .X(wr_data[23]) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(wr_en), .B(wd[24]), .X(wr_data[24]) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(wr_en), .B(wd[28]), .X(wr_data[28]) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(wr_en), .B(wd[21]), .X(wr_data[21]) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(wr_en), .B(wd[26]), .X(wr_data[26]) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(wr_en), .B(wd[18]), .X(wr_data[18]) );
+  sky130_fd_sc_hd__and2_0 U26 ( .A(wr_en), .B(wd[30]), .X(wr_data[30]) );
+  sky130_fd_sc_hd__and2_0 U27 ( .A(wr_en), .B(wd[31]), .X(wr_data[31]) );
+  sky130_fd_sc_hd__and2_0 U28 ( .A(wr_en), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U29 ( .A(wr_en), .B(wd[9]), .X(wr_data[9]) );
+  sky130_fd_sc_hd__and2_0 U30 ( .A(wr_en), .B(wd[11]), .X(wr_data[11]) );
+  sky130_fd_sc_hd__and2_0 U31 ( .A(wr_en), .B(wd[25]), .X(wr_data[25]) );
+  sky130_fd_sc_hd__and2_0 U32 ( .A(wr_en), .B(wd[14]), .X(wr_data[14]) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(wr_en), .B(wd[13]), .X(wr_data[13]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_32_RW_00000000_1 ( clk_i, rst_ni, we, wd, 
+        de, d, qe, q, qs );
+  input [31:0] wd;
+  input [31:0] d;
+  output [31:0] q;
+  output [31:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n36, n37, n38, n39, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81,
+         n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95,
+         n96, n97, n98, n99, n100, n101, n102, n103, n104;
+  wire   [31:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSRW_1 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n37), .d({n37, n37, n37, n37, n37, n37, n37, n37, n37, 
+        n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, 
+        n37, n37, n37, n37, n37, n37, n37, n37, n37}), .q({1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0}), .wr_en(n36), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n73), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_31_ ( .D(n74), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(qs[31]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_30_ ( .D(n75), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(qs[30]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_29_ ( .D(n76), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(qs[29]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_28_ ( .D(n77), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(qs[28]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_27_ ( .D(n78), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(qs[27]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_26_ ( .D(n79), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(qs[26]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_25_ ( .D(n80), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(qs[25]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_24_ ( .D(n81), .CLK(n38), .RESET_B(n72), .Q(
+        qs[24]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_23_ ( .D(n82), .CLK(n38), .RESET_B(n72), .Q(
+        qs[23]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_22_ ( .D(n83), .CLK(n38), .RESET_B(n72), .Q(
+        qs[22]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_21_ ( .D(n84), .CLK(n38), .RESET_B(n72), .Q(
+        qs[21]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_20_ ( .D(n85), .CLK(n38), .RESET_B(n72), .Q(
+        qs[20]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_19_ ( .D(n86), .CLK(n38), .RESET_B(n72), .Q(
+        qs[19]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_18_ ( .D(n87), .CLK(n38), .RESET_B(n72), .Q(
+        qs[18]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_17_ ( .D(n88), .CLK(n38), .RESET_B(n72), .Q(
+        qs[17]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_16_ ( .D(n89), .CLK(n38), .RESET_B(n72), .Q(
+        qs[16]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_15_ ( .D(n90), .CLK(n38), .RESET_B(n72), .Q(
+        qs[15]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_14_ ( .D(n91), .CLK(n38), .RESET_B(n72), .Q(
+        qs[14]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_13_ ( .D(n92), .CLK(n38), .RESET_B(n72), .Q(
+        qs[13]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_12_ ( .D(n93), .CLK(n38), .RESET_B(n72), .Q(
+        qs[12]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_11_ ( .D(n94), .CLK(n38), .RESET_B(n72), .Q(
+        qs[11]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_10_ ( .D(n95), .CLK(n38), .RESET_B(n72), .Q(
+        qs[10]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_9_ ( .D(n96), .CLK(n38), .RESET_B(n72), .Q(
+        qs[9]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_8_ ( .D(n97), .CLK(n38), .RESET_B(n72), .Q(
+        qs[8]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_7_ ( .D(n98), .CLK(n38), .RESET_B(n72), .Q(
+        qs[7]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_6_ ( .D(n99), .CLK(n38), .RESET_B(n72), .Q(
+        qs[6]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_5_ ( .D(n100), .CLK(n38), .RESET_B(n72), .Q(
+        qs[5]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_4_ ( .D(n101), .CLK(n38), .RESET_B(n72), .Q(
+        qs[4]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_3_ ( .D(n102), .CLK(n38), .RESET_B(n72), .Q(
+        qs[3]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n103), .CLK(n38), .RESET_B(n72), .Q(
+        qs[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n104), .CLK(n38), .RESET_B(n72), .Q(
+        qs[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n37) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(clk_i), .X(n38) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(n36), .Y(n39) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(rst_ni), .X(n72) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(n36), .A2(wr_data[0]), .B1(n39), .B2(qs[0]), .X(n73) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(n36), .A2(wr_data[31]), .B1(n39), .B2(
+        qs[31]), .X(n74) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(n36), .A2(wr_data[30]), .B1(n39), .B2(
+        qs[30]), .X(n75) );
+  sky130_fd_sc_hd__a22o_1 U42 ( .A1(n36), .A2(wr_data[29]), .B1(n39), .B2(
+        qs[29]), .X(n76) );
+  sky130_fd_sc_hd__a22o_1 U43 ( .A1(n36), .A2(wr_data[28]), .B1(n39), .B2(
+        qs[28]), .X(n77) );
+  sky130_fd_sc_hd__a22o_1 U44 ( .A1(n36), .A2(wr_data[27]), .B1(n39), .B2(
+        qs[27]), .X(n78) );
+  sky130_fd_sc_hd__a22o_1 U45 ( .A1(n36), .A2(wr_data[26]), .B1(n39), .B2(
+        qs[26]), .X(n79) );
+  sky130_fd_sc_hd__a22o_1 U46 ( .A1(n36), .A2(wr_data[25]), .B1(n39), .B2(
+        qs[25]), .X(n80) );
+  sky130_fd_sc_hd__a22o_1 U47 ( .A1(n36), .A2(wr_data[24]), .B1(n39), .B2(
+        qs[24]), .X(n81) );
+  sky130_fd_sc_hd__a22o_1 U48 ( .A1(n36), .A2(wr_data[23]), .B1(n39), .B2(
+        qs[23]), .X(n82) );
+  sky130_fd_sc_hd__a22o_1 U49 ( .A1(n36), .A2(wr_data[22]), .B1(n39), .B2(
+        qs[22]), .X(n83) );
+  sky130_fd_sc_hd__a22o_1 U50 ( .A1(n36), .A2(wr_data[21]), .B1(n39), .B2(
+        qs[21]), .X(n84) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(n36), .A2(wr_data[20]), .B1(n39), .B2(
+        qs[20]), .X(n85) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(n36), .A2(wr_data[19]), .B1(n39), .B2(
+        qs[19]), .X(n86) );
+  sky130_fd_sc_hd__a22o_1 U53 ( .A1(n36), .A2(wr_data[18]), .B1(n39), .B2(
+        qs[18]), .X(n87) );
+  sky130_fd_sc_hd__a22o_1 U54 ( .A1(n36), .A2(wr_data[17]), .B1(n39), .B2(
+        qs[17]), .X(n88) );
+  sky130_fd_sc_hd__a22o_1 U55 ( .A1(n36), .A2(wr_data[16]), .B1(n39), .B2(
+        qs[16]), .X(n89) );
+  sky130_fd_sc_hd__a22o_1 U56 ( .A1(n36), .A2(wr_data[15]), .B1(n39), .B2(
+        qs[15]), .X(n90) );
+  sky130_fd_sc_hd__a22o_1 U57 ( .A1(n36), .A2(wr_data[14]), .B1(n39), .B2(
+        qs[14]), .X(n91) );
+  sky130_fd_sc_hd__a22o_1 U58 ( .A1(n36), .A2(wr_data[13]), .B1(n39), .B2(
+        qs[13]), .X(n92) );
+  sky130_fd_sc_hd__a22o_1 U59 ( .A1(n36), .A2(wr_data[12]), .B1(n39), .B2(
+        qs[12]), .X(n93) );
+  sky130_fd_sc_hd__a22o_1 U60 ( .A1(n36), .A2(wr_data[11]), .B1(n39), .B2(
+        qs[11]), .X(n94) );
+  sky130_fd_sc_hd__a22o_1 U61 ( .A1(n36), .A2(wr_data[10]), .B1(n39), .B2(
+        qs[10]), .X(n95) );
+  sky130_fd_sc_hd__a22o_1 U62 ( .A1(n36), .A2(wr_data[9]), .B1(n39), .B2(qs[9]), .X(n96) );
+  sky130_fd_sc_hd__a22o_1 U63 ( .A1(n36), .A2(wr_data[8]), .B1(n39), .B2(qs[8]), .X(n97) );
+  sky130_fd_sc_hd__a22o_1 U64 ( .A1(n36), .A2(wr_data[7]), .B1(n39), .B2(qs[7]), .X(n98) );
+  sky130_fd_sc_hd__a22o_1 U65 ( .A1(n36), .A2(wr_data[6]), .B1(n39), .B2(qs[6]), .X(n99) );
+  sky130_fd_sc_hd__a22o_1 U66 ( .A1(n36), .A2(wr_data[5]), .B1(n39), .B2(qs[5]), .X(n100) );
+  sky130_fd_sc_hd__a22o_1 U67 ( .A1(n36), .A2(wr_data[4]), .B1(n39), .B2(qs[4]), .X(n101) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(n36), .A2(wr_data[3]), .B1(n39), .B2(qs[3]), .X(n102) );
+  sky130_fd_sc_hd__a22o_1 U69 ( .A1(n36), .A2(wr_data[2]), .B1(n39), .B2(qs[2]), .X(n103) );
+  sky130_fd_sc_hd__a22o_1 U70 ( .A1(n36), .A2(wr_data[1]), .B1(n39), .B2(qs[1]), .X(n104) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSRW_2 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [31:0] wd;
+  input [31:0] d;
+  input [31:0] q;
+  output [31:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__buf_2 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wr_en), .B(wd[5]), .X(wr_data[5]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(wr_en), .B(wd[4]), .X(wr_data[4]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(wr_en), .B(wd[3]), .X(wr_data[3]) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(wr_en), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(wr_en), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(wr_en), .B(wd[6]), .X(wr_data[6]) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(wr_en), .B(wd[7]), .X(wr_data[7]) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(wr_en), .B(wd[28]), .X(wr_data[28]) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(wr_en), .B(wd[22]), .X(wr_data[22]) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(wr_en), .B(wd[26]), .X(wr_data[26]) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(wr_en), .B(wd[18]), .X(wr_data[18]) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(wr_en), .B(wd[31]), .X(wr_data[31]) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(wr_en), .B(wd[8]), .X(wr_data[8]) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(wr_en), .B(wd[9]), .X(wr_data[9]) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(wr_en), .B(wd[12]), .X(wr_data[12]) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(wr_en), .B(wd[29]), .X(wr_data[29]) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(wr_en), .B(wd[15]), .X(wr_data[15]) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(wr_en), .B(wd[16]), .X(wr_data[16]) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(wr_en), .B(wd[17]), .X(wr_data[17]) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(wr_en), .B(wd[11]), .X(wr_data[11]) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(wr_en), .B(wd[20]), .X(wr_data[20]) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(wr_en), .B(wd[21]), .X(wr_data[21]) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(wr_en), .B(wd[25]), .X(wr_data[25]) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(wr_en), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U26 ( .A(wr_en), .B(wd[13]), .X(wr_data[13]) );
+  sky130_fd_sc_hd__and2_0 U27 ( .A(wr_en), .B(wd[14]), .X(wr_data[14]) );
+  sky130_fd_sc_hd__and2_0 U28 ( .A(wr_en), .B(wd[27]), .X(wr_data[27]) );
+  sky130_fd_sc_hd__and2_0 U29 ( .A(wr_en), .B(wd[24]), .X(wr_data[24]) );
+  sky130_fd_sc_hd__and2_0 U30 ( .A(wr_en), .B(wd[23]), .X(wr_data[23]) );
+  sky130_fd_sc_hd__and2_0 U31 ( .A(wr_en), .B(wd[30]), .X(wr_data[30]) );
+  sky130_fd_sc_hd__and2_0 U32 ( .A(wr_en), .B(wd[10]), .X(wr_data[10]) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(wr_en), .B(wd[19]), .X(wr_data[19]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_32_RW_00000000_2 ( clk_i, rst_ni, we, wd, 
+        de, d, qe, q, qs );
+  input [31:0] wd;
+  input [31:0] d;
+  output [31:0] q;
+  output [31:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n36, n37, n38, n39, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81,
+         n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95,
+         n96, n97, n98, n99, n100, n101, n102, n103, n104, n105;
+  wire   [31:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSRW_2 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n37), .d({n37, n37, n37, n37, n37, n37, n37, n37, n37, 
+        n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, 
+        n37, n37, n37, n37, n37, n37, n37, n37, n37}), .q({1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0}), .wr_en(n36), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n74), .CLK(n38), .RESET_B(n73), .Q(
+        q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_31_ ( .D(n75), .CLK(n38), .RESET_B(n73), .Q(
+        q[31]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_30_ ( .D(n76), .CLK(n38), .RESET_B(n73), .Q(
+        q[30]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_29_ ( .D(n77), .CLK(n38), .RESET_B(n73), .Q(
+        q[29]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_28_ ( .D(n78), .CLK(n38), .RESET_B(n73), .Q(
+        q[28]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_27_ ( .D(n79), .CLK(n38), .RESET_B(n73), .Q(
+        q[27]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_26_ ( .D(n80), .CLK(n38), .RESET_B(n73), .Q(
+        q[26]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_25_ ( .D(n81), .CLK(n38), .RESET_B(n73), .Q(
+        q[25]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_24_ ( .D(n82), .CLK(n38), .RESET_B(n73), .Q(
+        q[24]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_23_ ( .D(n83), .CLK(n38), .RESET_B(n73), .Q(
+        q[23]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_22_ ( .D(n84), .CLK(n38), .RESET_B(n73), .Q(
+        q[22]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_21_ ( .D(n85), .CLK(n38), .RESET_B(n73), .Q(
+        q[21]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_20_ ( .D(n86), .CLK(n38), .RESET_B(n73), .Q(
+        q[20]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_19_ ( .D(n87), .CLK(n38), .RESET_B(n73), .Q(
+        q[19]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_18_ ( .D(n88), .CLK(n38), .RESET_B(n73), .Q(
+        q[18]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_17_ ( .D(n89), .CLK(n38), .RESET_B(n73), .Q(
+        q[17]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_16_ ( .D(n90), .CLK(n38), .RESET_B(n73), .Q(
+        q[16]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_15_ ( .D(n91), .CLK(n38), .RESET_B(n73), .Q(
+        q[15]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_14_ ( .D(n92), .CLK(n38), .RESET_B(n73), .Q(
+        q[14]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_13_ ( .D(n93), .CLK(n38), .RESET_B(n73), .Q(
+        q[13]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_12_ ( .D(n94), .CLK(n38), .RESET_B(n72), .Q(
+        q[12]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_11_ ( .D(n95), .CLK(n38), .RESET_B(n72), .Q(
+        q[11]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_10_ ( .D(n96), .CLK(n38), .RESET_B(n72), .Q(
+        q[10]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_9_ ( .D(n97), .CLK(n38), .RESET_B(n72), .Q(
+        q[9]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_8_ ( .D(n98), .CLK(n38), .RESET_B(n72), .Q(
+        q[8]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_7_ ( .D(n99), .CLK(n38), .RESET_B(n72), .Q(
+        q[7]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_6_ ( .D(n100), .CLK(n38), .RESET_B(n72), .Q(
+        q[6]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_5_ ( .D(n101), .CLK(n38), .RESET_B(n72), .Q(
+        q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_4_ ( .D(n102), .CLK(n38), .RESET_B(n72), .Q(
+        q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_3_ ( .D(n103), .CLK(n38), .RESET_B(n72), .Q(
+        q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n104), .CLK(n38), .RESET_B(n72), .Q(
+        q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n105), .CLK(n38), .RESET_B(n72), .Q(
+        q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n37) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(clk_i), .X(n38) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(n36), .Y(n39) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[16]), .X(qs[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(q[20]), .X(qs[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(q[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(q[8]), .X(qs[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(q[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(q[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(q[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(q[7]), .X(qs[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(q[9]), .X(qs[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(q[10]), .X(qs[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(q[11]), .X(qs[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(q[12]), .X(qs[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(q[13]), .X(qs[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(q[14]), .X(qs[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(q[15]), .X(qs[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(q[17]), .X(qs[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(q[18]), .X(qs[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(q[19]), .X(qs[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(q[21]), .X(qs[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(q[22]), .X(qs[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(q[23]), .X(qs[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(q[24]), .X(qs[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(q[25]), .X(qs[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(q[26]), .X(qs[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(q[27]), .X(qs[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(q[28]), .X(qs[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(q[29]), .X(qs[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(q[30]), .X(qs[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(q[31]), .X(qs[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(rst_ni), .X(n72) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(rst_ni), .X(n73) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(n36), .A2(wr_data[0]), .B1(n39), .B2(q[0]), 
+        .X(n74) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(n36), .A2(wr_data[31]), .B1(n39), .B2(
+        q[31]), .X(n75) );
+  sky130_fd_sc_hd__a22o_1 U42 ( .A1(n36), .A2(wr_data[30]), .B1(n39), .B2(
+        q[30]), .X(n76) );
+  sky130_fd_sc_hd__a22o_1 U43 ( .A1(n36), .A2(wr_data[29]), .B1(n39), .B2(
+        q[29]), .X(n77) );
+  sky130_fd_sc_hd__a22o_1 U44 ( .A1(n36), .A2(wr_data[28]), .B1(n39), .B2(
+        q[28]), .X(n78) );
+  sky130_fd_sc_hd__a22o_1 U45 ( .A1(n36), .A2(wr_data[27]), .B1(n39), .B2(
+        q[27]), .X(n79) );
+  sky130_fd_sc_hd__a22o_1 U46 ( .A1(n36), .A2(wr_data[26]), .B1(n39), .B2(
+        q[26]), .X(n80) );
+  sky130_fd_sc_hd__a22o_1 U47 ( .A1(n36), .A2(wr_data[25]), .B1(n39), .B2(
+        q[25]), .X(n81) );
+  sky130_fd_sc_hd__a22o_1 U48 ( .A1(n36), .A2(wr_data[24]), .B1(n39), .B2(
+        q[24]), .X(n82) );
+  sky130_fd_sc_hd__a22o_1 U49 ( .A1(n36), .A2(wr_data[23]), .B1(n39), .B2(
+        q[23]), .X(n83) );
+  sky130_fd_sc_hd__a22o_1 U50 ( .A1(n36), .A2(wr_data[22]), .B1(n39), .B2(
+        q[22]), .X(n84) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(n36), .A2(wr_data[21]), .B1(n39), .B2(
+        q[21]), .X(n85) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(n36), .A2(wr_data[20]), .B1(n39), .B2(
+        q[20]), .X(n86) );
+  sky130_fd_sc_hd__a22o_1 U53 ( .A1(n36), .A2(wr_data[19]), .B1(n39), .B2(
+        q[19]), .X(n87) );
+  sky130_fd_sc_hd__a22o_1 U54 ( .A1(n36), .A2(wr_data[18]), .B1(n39), .B2(
+        q[18]), .X(n88) );
+  sky130_fd_sc_hd__a22o_1 U55 ( .A1(n36), .A2(wr_data[17]), .B1(n39), .B2(
+        q[17]), .X(n89) );
+  sky130_fd_sc_hd__a22o_1 U56 ( .A1(n36), .A2(wr_data[16]), .B1(n39), .B2(
+        q[16]), .X(n90) );
+  sky130_fd_sc_hd__a22o_1 U57 ( .A1(n36), .A2(wr_data[15]), .B1(n39), .B2(
+        q[15]), .X(n91) );
+  sky130_fd_sc_hd__a22o_1 U58 ( .A1(n36), .A2(wr_data[14]), .B1(n39), .B2(
+        q[14]), .X(n92) );
+  sky130_fd_sc_hd__a22o_1 U59 ( .A1(n36), .A2(wr_data[13]), .B1(n39), .B2(
+        q[13]), .X(n93) );
+  sky130_fd_sc_hd__a22o_1 U60 ( .A1(n36), .A2(wr_data[12]), .B1(n39), .B2(
+        q[12]), .X(n94) );
+  sky130_fd_sc_hd__a22o_1 U61 ( .A1(n36), .A2(wr_data[11]), .B1(n39), .B2(
+        q[11]), .X(n95) );
+  sky130_fd_sc_hd__a22o_1 U62 ( .A1(n36), .A2(wr_data[10]), .B1(n39), .B2(
+        q[10]), .X(n96) );
+  sky130_fd_sc_hd__a22o_1 U63 ( .A1(n36), .A2(wr_data[9]), .B1(n39), .B2(q[9]), 
+        .X(n97) );
+  sky130_fd_sc_hd__a22o_1 U64 ( .A1(n36), .A2(wr_data[8]), .B1(n39), .B2(q[8]), 
+        .X(n98) );
+  sky130_fd_sc_hd__a22o_1 U65 ( .A1(n36), .A2(wr_data[7]), .B1(n39), .B2(q[7]), 
+        .X(n99) );
+  sky130_fd_sc_hd__a22o_1 U66 ( .A1(n36), .A2(wr_data[6]), .B1(n39), .B2(q[6]), 
+        .X(n100) );
+  sky130_fd_sc_hd__a22o_1 U67 ( .A1(n36), .A2(wr_data[5]), .B1(n39), .B2(q[5]), 
+        .X(n101) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(n36), .A2(wr_data[4]), .B1(n39), .B2(q[4]), 
+        .X(n102) );
+  sky130_fd_sc_hd__a22o_1 U69 ( .A1(n36), .A2(wr_data[3]), .B1(n39), .B2(q[3]), 
+        .X(n103) );
+  sky130_fd_sc_hd__a22o_1 U70 ( .A1(n36), .A2(wr_data[2]), .B1(n39), .B2(q[2]), 
+        .X(n104) );
+  sky130_fd_sc_hd__a22o_1 U71 ( .A1(n36), .A2(wr_data[1]), .B1(n39), .B2(q[1]), 
+        .X(n105) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSRW_3 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [31:0] wd;
+  input [31:0] d;
+  input [31:0] q;
+  output [31:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__buf_2 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wr_en), .B(wd[4]), .X(wr_data[4]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(wr_en), .B(wd[5]), .X(wr_data[5]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(wr_en), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(wr_en), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(wr_en), .B(wd[3]), .X(wr_data[3]) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(wr_en), .B(wd[6]), .X(wr_data[6]) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(wr_en), .B(wd[7]), .X(wr_data[7]) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(wr_en), .B(wd[19]), .X(wr_data[19]) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(wr_en), .B(wd[23]), .X(wr_data[23]) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(wr_en), .B(wd[24]), .X(wr_data[24]) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(wr_en), .B(wd[18]), .X(wr_data[18]) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(wr_en), .B(wd[22]), .X(wr_data[22]) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(wr_en), .B(wd[20]), .X(wr_data[20]) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(wr_en), .B(wd[8]), .X(wr_data[8]) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(wr_en), .B(wd[21]), .X(wr_data[21]) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(wr_en), .B(wd[15]), .X(wr_data[15]) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(wr_en), .B(wd[17]), .X(wr_data[17]) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(wr_en), .B(wd[14]), .X(wr_data[14]) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(wr_en), .B(wd[16]), .X(wr_data[16]) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(wr_en), .B(wd[10]), .X(wr_data[10]) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(wr_en), .B(wd[9]), .X(wr_data[9]) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(wr_en), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(wr_en), .B(wd[11]), .X(wr_data[11]) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(wr_en), .B(wd[28]), .X(wr_data[28]) );
+  sky130_fd_sc_hd__and2_0 U26 ( .A(wr_en), .B(wd[31]), .X(wr_data[31]) );
+  sky130_fd_sc_hd__and2_0 U27 ( .A(wr_en), .B(wd[13]), .X(wr_data[13]) );
+  sky130_fd_sc_hd__and2_0 U28 ( .A(wr_en), .B(wd[30]), .X(wr_data[30]) );
+  sky130_fd_sc_hd__and2_0 U29 ( .A(wr_en), .B(wd[25]), .X(wr_data[25]) );
+  sky130_fd_sc_hd__and2_0 U30 ( .A(wr_en), .B(wd[29]), .X(wr_data[29]) );
+  sky130_fd_sc_hd__and2_0 U31 ( .A(wr_en), .B(wd[27]), .X(wr_data[27]) );
+  sky130_fd_sc_hd__and2_0 U32 ( .A(wr_en), .B(wd[12]), .X(wr_data[12]) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(wr_en), .B(wd[26]), .X(wr_data[26]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_32_RW_00000000_3 ( clk_i, rst_ni, we, wd, 
+        de, d, qe, q, qs );
+  input [31:0] wd;
+  input [31:0] d;
+  output [31:0] q;
+  output [31:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n36, n37, n38, n39, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81,
+         n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95,
+         n96, n97, n98, n99, n100, n101, n102, n103, n104;
+  wire   [31:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSRW_3 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n37), .d({n37, n37, n37, n37, n37, n37, n37, n37, n37, 
+        n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, 
+        n37, n37, n37, n37, n37, n37, n37, n37, n37}), .q({1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0}), .wr_en(n36), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n73), .CLK(n38), .RESET_B(n72), .Q(
+        qs[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_31_ ( .D(n74), .CLK(n38), .RESET_B(n72), .Q(
+        qs[31]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_30_ ( .D(n75), .CLK(n38), .RESET_B(n72), .Q(
+        qs[30]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_29_ ( .D(n76), .CLK(n38), .RESET_B(n72), .Q(
+        qs[29]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_28_ ( .D(n77), .CLK(n38), .RESET_B(n72), .Q(
+        qs[28]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_27_ ( .D(n78), .CLK(n38), .RESET_B(n72), .Q(
+        qs[27]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_26_ ( .D(n79), .CLK(n38), .RESET_B(n72), .Q(
+        qs[26]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_25_ ( .D(n80), .CLK(n38), .RESET_B(n72), .Q(
+        qs[25]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_24_ ( .D(n81), .CLK(n38), .RESET_B(n72), .Q(
+        qs[24]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_23_ ( .D(n82), .CLK(n38), .RESET_B(n72), .Q(
+        qs[23]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_22_ ( .D(n83), .CLK(n38), .RESET_B(n72), .Q(
+        qs[22]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_21_ ( .D(n84), .CLK(n38), .RESET_B(n72), .Q(
+        qs[21]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_20_ ( .D(n85), .CLK(n38), .RESET_B(n72), .Q(
+        qs[20]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_19_ ( .D(n86), .CLK(n38), .RESET_B(n72), .Q(
+        qs[19]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_18_ ( .D(n87), .CLK(n38), .RESET_B(n72), .Q(
+        qs[18]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_17_ ( .D(n88), .CLK(n38), .RESET_B(n72), .Q(
+        qs[17]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_16_ ( .D(n89), .CLK(n38), .RESET_B(n72), .Q(
+        qs[16]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_15_ ( .D(n90), .CLK(n38), .RESET_B(n72), .Q(
+        qs[15]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_14_ ( .D(n91), .CLK(n38), .RESET_B(n72), .Q(
+        qs[14]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_13_ ( .D(n92), .CLK(n38), .RESET_B(n72), .Q(
+        qs[13]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_12_ ( .D(n93), .CLK(n38), .RESET_B(n72), .Q(
+        qs[12]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_11_ ( .D(n94), .CLK(n38), .RESET_B(n72), .Q(
+        qs[11]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_10_ ( .D(n95), .CLK(n38), .RESET_B(n72), .Q(
+        qs[10]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_9_ ( .D(n96), .CLK(n38), .RESET_B(n72), .Q(
+        qs[9]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_8_ ( .D(n97), .CLK(n38), .RESET_B(n72), .Q(
+        qs[8]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_7_ ( .D(n98), .CLK(n38), .RESET_B(rst_ni), 
+        .Q(qs[7]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_6_ ( .D(n99), .CLK(n38), .RESET_B(n72), .Q(
+        qs[6]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_5_ ( .D(n100), .CLK(n38), .RESET_B(n72), .Q(
+        qs[5]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_4_ ( .D(n101), .CLK(n38), .RESET_B(n72), .Q(
+        qs[4]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_3_ ( .D(n102), .CLK(n38), .RESET_B(n72), .Q(
+        qs[3]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n103), .CLK(n38), .RESET_B(n72), .Q(
+        qs[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n104), .CLK(n38), .RESET_B(n72), .Q(
+        qs[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n37) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(clk_i), .X(n38) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(n36), .Y(n39) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(rst_ni), .X(n72) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(n36), .A2(wr_data[0]), .B1(n39), .B2(qs[0]), .X(n73) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(n36), .A2(wr_data[31]), .B1(n39), .B2(
+        qs[31]), .X(n74) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(n36), .A2(wr_data[30]), .B1(n39), .B2(
+        qs[30]), .X(n75) );
+  sky130_fd_sc_hd__a22o_1 U42 ( .A1(n36), .A2(wr_data[29]), .B1(n39), .B2(
+        qs[29]), .X(n76) );
+  sky130_fd_sc_hd__a22o_1 U43 ( .A1(n36), .A2(wr_data[28]), .B1(n39), .B2(
+        qs[28]), .X(n77) );
+  sky130_fd_sc_hd__a22o_1 U44 ( .A1(n36), .A2(wr_data[27]), .B1(n39), .B2(
+        qs[27]), .X(n78) );
+  sky130_fd_sc_hd__a22o_1 U45 ( .A1(n36), .A2(wr_data[26]), .B1(n39), .B2(
+        qs[26]), .X(n79) );
+  sky130_fd_sc_hd__a22o_1 U46 ( .A1(n36), .A2(wr_data[25]), .B1(n39), .B2(
+        qs[25]), .X(n80) );
+  sky130_fd_sc_hd__a22o_1 U47 ( .A1(n36), .A2(wr_data[24]), .B1(n39), .B2(
+        qs[24]), .X(n81) );
+  sky130_fd_sc_hd__a22o_1 U48 ( .A1(n36), .A2(wr_data[23]), .B1(n39), .B2(
+        qs[23]), .X(n82) );
+  sky130_fd_sc_hd__a22o_1 U49 ( .A1(n36), .A2(wr_data[22]), .B1(n39), .B2(
+        qs[22]), .X(n83) );
+  sky130_fd_sc_hd__a22o_1 U50 ( .A1(n36), .A2(wr_data[21]), .B1(n39), .B2(
+        qs[21]), .X(n84) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(n36), .A2(wr_data[20]), .B1(n39), .B2(
+        qs[20]), .X(n85) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(n36), .A2(wr_data[19]), .B1(n39), .B2(
+        qs[19]), .X(n86) );
+  sky130_fd_sc_hd__a22o_1 U53 ( .A1(n36), .A2(wr_data[18]), .B1(n39), .B2(
+        qs[18]), .X(n87) );
+  sky130_fd_sc_hd__a22o_1 U54 ( .A1(n36), .A2(wr_data[17]), .B1(n39), .B2(
+        qs[17]), .X(n88) );
+  sky130_fd_sc_hd__a22o_1 U55 ( .A1(n36), .A2(wr_data[16]), .B1(n39), .B2(
+        qs[16]), .X(n89) );
+  sky130_fd_sc_hd__a22o_1 U56 ( .A1(n36), .A2(wr_data[15]), .B1(n39), .B2(
+        qs[15]), .X(n90) );
+  sky130_fd_sc_hd__a22o_1 U57 ( .A1(n36), .A2(wr_data[14]), .B1(n39), .B2(
+        qs[14]), .X(n91) );
+  sky130_fd_sc_hd__a22o_1 U58 ( .A1(n36), .A2(wr_data[13]), .B1(n39), .B2(
+        qs[13]), .X(n92) );
+  sky130_fd_sc_hd__a22o_1 U59 ( .A1(n36), .A2(wr_data[12]), .B1(n39), .B2(
+        qs[12]), .X(n93) );
+  sky130_fd_sc_hd__a22o_1 U60 ( .A1(n36), .A2(wr_data[11]), .B1(n39), .B2(
+        qs[11]), .X(n94) );
+  sky130_fd_sc_hd__a22o_1 U61 ( .A1(n36), .A2(wr_data[10]), .B1(n39), .B2(
+        qs[10]), .X(n95) );
+  sky130_fd_sc_hd__a22o_1 U62 ( .A1(n36), .A2(wr_data[9]), .B1(n39), .B2(qs[9]), .X(n96) );
+  sky130_fd_sc_hd__a22o_1 U63 ( .A1(n36), .A2(wr_data[8]), .B1(n39), .B2(qs[8]), .X(n97) );
+  sky130_fd_sc_hd__a22o_1 U64 ( .A1(n36), .A2(wr_data[7]), .B1(n39), .B2(qs[7]), .X(n98) );
+  sky130_fd_sc_hd__a22o_1 U65 ( .A1(n36), .A2(wr_data[6]), .B1(n39), .B2(qs[6]), .X(n99) );
+  sky130_fd_sc_hd__a22o_1 U66 ( .A1(n36), .A2(wr_data[5]), .B1(n39), .B2(qs[5]), .X(n100) );
+  sky130_fd_sc_hd__a22o_1 U67 ( .A1(n36), .A2(wr_data[4]), .B1(n39), .B2(qs[4]), .X(n101) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(n36), .A2(wr_data[3]), .B1(n39), .B2(qs[3]), .X(n102) );
+  sky130_fd_sc_hd__a22o_1 U69 ( .A1(n36), .A2(wr_data[2]), .B1(n39), .B2(qs[2]), .X(n103) );
+  sky130_fd_sc_hd__a22o_1 U70 ( .A1(n36), .A2(wr_data[1]), .B1(n39), .B2(qs[1]), .X(n104) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSRW_4 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [31:0] wd;
+  input [31:0] d;
+  input [31:0] q;
+  output [31:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__buf_2 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wr_en), .B(wd[6]), .X(wr_data[6]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(wr_en), .B(wd[5]), .X(wr_data[5]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(wr_en), .B(wd[3]), .X(wr_data[3]) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(wr_en), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(wr_en), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(wr_en), .B(wd[4]), .X(wr_data[4]) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(wr_en), .B(wd[7]), .X(wr_data[7]) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(wr_en), .B(wd[18]), .X(wr_data[18]) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(wr_en), .B(wd[16]), .X(wr_data[16]) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(wr_en), .B(wd[12]), .X(wr_data[12]) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(wr_en), .B(wd[13]), .X(wr_data[13]) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(wr_en), .B(wd[9]), .X(wr_data[9]) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(wr_en), .B(wd[21]), .X(wr_data[21]) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(wr_en), .B(wd[19]), .X(wr_data[19]) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(wr_en), .B(wd[15]), .X(wr_data[15]) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(wr_en), .B(wd[20]), .X(wr_data[20]) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(wr_en), .B(wd[14]), .X(wr_data[14]) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(wr_en), .B(wd[17]), .X(wr_data[17]) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(wr_en), .B(wd[22]), .X(wr_data[22]) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(wr_en), .B(wd[11]), .X(wr_data[11]) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(wr_en), .B(wd[10]), .X(wr_data[10]) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(wr_en), .B(wd[23]), .X(wr_data[23]) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(wr_en), .B(wd[8]), .X(wr_data[8]) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(wr_en), .B(wd[24]), .X(wr_data[24]) );
+  sky130_fd_sc_hd__and2_0 U26 ( .A(wr_en), .B(wd[25]), .X(wr_data[25]) );
+  sky130_fd_sc_hd__and2_0 U27 ( .A(wr_en), .B(wd[28]), .X(wr_data[28]) );
+  sky130_fd_sc_hd__and2_0 U28 ( .A(wr_en), .B(wd[29]), .X(wr_data[29]) );
+  sky130_fd_sc_hd__and2_0 U29 ( .A(wr_en), .B(wd[26]), .X(wr_data[26]) );
+  sky130_fd_sc_hd__and2_0 U30 ( .A(wr_en), .B(wd[31]), .X(wr_data[31]) );
+  sky130_fd_sc_hd__and2_0 U31 ( .A(wr_en), .B(wd[27]), .X(wr_data[27]) );
+  sky130_fd_sc_hd__and2_0 U32 ( .A(wr_en), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(wr_en), .B(wd[30]), .X(wr_data[30]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_32_RW_00000000_4 ( clk_i, rst_ni, we, wd, 
+        de, d, qe, q, qs );
+  input [31:0] wd;
+  input [31:0] d;
+  output [31:0] q;
+  output [31:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n36, n37, n38, n71, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81,
+         n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95,
+         n96, n97, n98, n99, n100, n101, n102, n103;
+  wire   [31:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSRW_4 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n37), .d({n37, n37, n37, n37, n37, n37, n37, n37, n37, 
+        n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, 
+        n37, n37, n37, n37, n37, n37, n37, n37, n37}), .q({1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0}), .wr_en(n36), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n72), .CLK(clk_i), .RESET_B(n71), .Q(
+        qs[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_31_ ( .D(n73), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[31]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_30_ ( .D(n74), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[30]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_29_ ( .D(n75), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[29]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_28_ ( .D(n76), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[28]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_27_ ( .D(n77), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[27]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_26_ ( .D(n78), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[26]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_25_ ( .D(n79), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[25]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_24_ ( .D(n80), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[24]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_23_ ( .D(n81), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[23]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_22_ ( .D(n82), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[22]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_21_ ( .D(n83), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[21]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_20_ ( .D(n84), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[20]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_19_ ( .D(n85), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[19]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_18_ ( .D(n86), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[18]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_17_ ( .D(n87), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[17]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_16_ ( .D(n88), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[16]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_15_ ( .D(n89), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[15]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_14_ ( .D(n90), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[14]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_13_ ( .D(n91), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[13]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_12_ ( .D(n92), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[12]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_11_ ( .D(n93), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[11]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_10_ ( .D(n94), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[10]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_9_ ( .D(n95), .CLK(clk_i), .RESET_B(n71), .Q(
+        qs[9]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_8_ ( .D(n96), .CLK(clk_i), .RESET_B(n71), .Q(
+        qs[8]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_7_ ( .D(n97), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[7]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_6_ ( .D(n98), .CLK(clk_i), .RESET_B(n71), .Q(
+        qs[6]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_5_ ( .D(n99), .CLK(clk_i), .RESET_B(n71), .Q(
+        qs[5]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_4_ ( .D(n100), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[4]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_3_ ( .D(n101), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[3]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n102), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n103), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n37) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(n36), .Y(n38) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(rst_ni), .X(n71) );
+  sky130_fd_sc_hd__a22o_1 U38 ( .A1(n36), .A2(wr_data[0]), .B1(n38), .B2(qs[0]), .X(n72) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(n36), .A2(wr_data[31]), .B1(n38), .B2(
+        qs[31]), .X(n73) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(n36), .A2(wr_data[30]), .B1(n38), .B2(
+        qs[30]), .X(n74) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(n36), .A2(wr_data[29]), .B1(n38), .B2(
+        qs[29]), .X(n75) );
+  sky130_fd_sc_hd__a22o_1 U42 ( .A1(n36), .A2(wr_data[28]), .B1(n38), .B2(
+        qs[28]), .X(n76) );
+  sky130_fd_sc_hd__a22o_1 U43 ( .A1(n36), .A2(wr_data[27]), .B1(n38), .B2(
+        qs[27]), .X(n77) );
+  sky130_fd_sc_hd__a22o_1 U44 ( .A1(n36), .A2(wr_data[26]), .B1(n38), .B2(
+        qs[26]), .X(n78) );
+  sky130_fd_sc_hd__a22o_1 U45 ( .A1(n36), .A2(wr_data[25]), .B1(n38), .B2(
+        qs[25]), .X(n79) );
+  sky130_fd_sc_hd__a22o_1 U46 ( .A1(n36), .A2(wr_data[24]), .B1(n38), .B2(
+        qs[24]), .X(n80) );
+  sky130_fd_sc_hd__a22o_1 U47 ( .A1(n36), .A2(wr_data[23]), .B1(n38), .B2(
+        qs[23]), .X(n81) );
+  sky130_fd_sc_hd__a22o_1 U48 ( .A1(n36), .A2(wr_data[22]), .B1(n38), .B2(
+        qs[22]), .X(n82) );
+  sky130_fd_sc_hd__a22o_1 U49 ( .A1(n36), .A2(wr_data[21]), .B1(n38), .B2(
+        qs[21]), .X(n83) );
+  sky130_fd_sc_hd__a22o_1 U50 ( .A1(n36), .A2(wr_data[20]), .B1(n38), .B2(
+        qs[20]), .X(n84) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(n36), .A2(wr_data[19]), .B1(n38), .B2(
+        qs[19]), .X(n85) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(n36), .A2(wr_data[18]), .B1(n38), .B2(
+        qs[18]), .X(n86) );
+  sky130_fd_sc_hd__a22o_1 U53 ( .A1(n36), .A2(wr_data[17]), .B1(n38), .B2(
+        qs[17]), .X(n87) );
+  sky130_fd_sc_hd__a22o_1 U54 ( .A1(n36), .A2(wr_data[16]), .B1(n38), .B2(
+        qs[16]), .X(n88) );
+  sky130_fd_sc_hd__a22o_1 U55 ( .A1(n36), .A2(wr_data[15]), .B1(n38), .B2(
+        qs[15]), .X(n89) );
+  sky130_fd_sc_hd__a22o_1 U56 ( .A1(n36), .A2(wr_data[14]), .B1(n38), .B2(
+        qs[14]), .X(n90) );
+  sky130_fd_sc_hd__a22o_1 U57 ( .A1(n36), .A2(wr_data[13]), .B1(n38), .B2(
+        qs[13]), .X(n91) );
+  sky130_fd_sc_hd__a22o_1 U58 ( .A1(n36), .A2(wr_data[12]), .B1(n38), .B2(
+        qs[12]), .X(n92) );
+  sky130_fd_sc_hd__a22o_1 U59 ( .A1(n36), .A2(wr_data[11]), .B1(n38), .B2(
+        qs[11]), .X(n93) );
+  sky130_fd_sc_hd__a22o_1 U60 ( .A1(n36), .A2(wr_data[10]), .B1(n38), .B2(
+        qs[10]), .X(n94) );
+  sky130_fd_sc_hd__a22o_1 U61 ( .A1(n36), .A2(wr_data[9]), .B1(n38), .B2(qs[9]), .X(n95) );
+  sky130_fd_sc_hd__a22o_1 U62 ( .A1(n36), .A2(wr_data[8]), .B1(n38), .B2(qs[8]), .X(n96) );
+  sky130_fd_sc_hd__a22o_1 U63 ( .A1(n36), .A2(wr_data[7]), .B1(n38), .B2(qs[7]), .X(n97) );
+  sky130_fd_sc_hd__a22o_1 U64 ( .A1(n36), .A2(wr_data[6]), .B1(n38), .B2(qs[6]), .X(n98) );
+  sky130_fd_sc_hd__a22o_1 U65 ( .A1(n36), .A2(wr_data[5]), .B1(n38), .B2(qs[5]), .X(n99) );
+  sky130_fd_sc_hd__a22o_1 U66 ( .A1(n36), .A2(wr_data[4]), .B1(n38), .B2(qs[4]), .X(n100) );
+  sky130_fd_sc_hd__a22o_1 U67 ( .A1(n36), .A2(wr_data[3]), .B1(n38), .B2(qs[3]), .X(n101) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(n36), .A2(wr_data[2]), .B1(n38), .B2(qs[2]), .X(n102) );
+  sky130_fd_sc_hd__a22o_1 U69 ( .A1(n36), .A2(wr_data[1]), .B1(n38), .B2(qs[1]), .X(n103) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSRW_5 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [31:0] wd;
+  input [31:0] d;
+  input [31:0] q;
+  output [31:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__buf_2 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wr_en), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(wr_en), .B(wd[3]), .X(wr_data[3]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(wr_en), .B(wd[4]), .X(wr_data[4]) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(wr_en), .B(wd[5]), .X(wr_data[5]) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(wr_en), .B(wd[6]), .X(wr_data[6]) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(wr_en), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(wr_en), .B(wd[7]), .X(wr_data[7]) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(wr_en), .B(wd[31]), .X(wr_data[31]) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(wr_en), .B(wd[26]), .X(wr_data[26]) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(wr_en), .B(wd[8]), .X(wr_data[8]) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(wr_en), .B(wd[9]), .X(wr_data[9]) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(wr_en), .B(wd[28]), .X(wr_data[28]) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(wr_en), .B(wd[10]), .X(wr_data[10]) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(wr_en), .B(wd[11]), .X(wr_data[11]) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(wr_en), .B(wd[12]), .X(wr_data[12]) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(wr_en), .B(wd[13]), .X(wr_data[13]) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(wr_en), .B(wd[14]), .X(wr_data[14]) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(wr_en), .B(wd[15]), .X(wr_data[15]) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(wr_en), .B(wd[16]), .X(wr_data[16]) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(wr_en), .B(wd[17]), .X(wr_data[17]) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(wr_en), .B(wd[18]), .X(wr_data[18]) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(wr_en), .B(wd[19]), .X(wr_data[19]) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(wr_en), .B(wd[20]), .X(wr_data[20]) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(wr_en), .B(wd[21]), .X(wr_data[21]) );
+  sky130_fd_sc_hd__and2_0 U26 ( .A(wr_en), .B(wd[22]), .X(wr_data[22]) );
+  sky130_fd_sc_hd__and2_0 U27 ( .A(wr_en), .B(wd[23]), .X(wr_data[23]) );
+  sky130_fd_sc_hd__and2_0 U28 ( .A(wr_en), .B(wd[24]), .X(wr_data[24]) );
+  sky130_fd_sc_hd__and2_0 U29 ( .A(wr_en), .B(wd[25]), .X(wr_data[25]) );
+  sky130_fd_sc_hd__and2_0 U30 ( .A(wr_en), .B(wd[29]), .X(wr_data[29]) );
+  sky130_fd_sc_hd__and2_0 U31 ( .A(wr_en), .B(wd[27]), .X(wr_data[27]) );
+  sky130_fd_sc_hd__and2_0 U32 ( .A(wr_en), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(wr_en), .B(wd[30]), .X(wr_data[30]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_32_RW_00000000_5 ( clk_i, rst_ni, we, wd, 
+        de, d, qe, q, qs );
+  input [31:0] wd;
+  input [31:0] d;
+  output [31:0] q;
+  output [31:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n36, n37, n38, n71, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81,
+         n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95,
+         n96, n97, n98, n99, n100, n101, n102, n103;
+  wire   [31:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW32_SWACCESSRW_5 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n37), .d({n37, n37, n37, n37, n37, n37, n37, n37, n37, 
+        n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, n37, 
+        n37, n37, n37, n37, n37, n37, n37, n37, n37}), .q({1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0}), .wr_en(n36), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n72), .CLK(clk_i), .RESET_B(n71), .Q(
+        qs[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_31_ ( .D(n73), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[31]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_30_ ( .D(n74), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[30]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_29_ ( .D(n75), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[29]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_28_ ( .D(n76), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[28]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_27_ ( .D(n77), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[27]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_26_ ( .D(n78), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[26]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_25_ ( .D(n79), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[25]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_24_ ( .D(n80), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[24]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_23_ ( .D(n81), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[23]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_22_ ( .D(n82), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[22]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_21_ ( .D(n83), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[21]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_20_ ( .D(n84), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[20]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_19_ ( .D(n85), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[19]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_18_ ( .D(n86), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[18]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_17_ ( .D(n87), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[17]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_16_ ( .D(n88), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[16]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_15_ ( .D(n89), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[15]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_14_ ( .D(n90), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[14]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_13_ ( .D(n91), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[13]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_12_ ( .D(n92), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[12]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_11_ ( .D(n93), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[11]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_10_ ( .D(n94), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[10]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_9_ ( .D(n95), .CLK(clk_i), .RESET_B(n71), .Q(
+        qs[9]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_8_ ( .D(n96), .CLK(clk_i), .RESET_B(n71), .Q(
+        qs[8]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_7_ ( .D(n97), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[7]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_6_ ( .D(n98), .CLK(clk_i), .RESET_B(n71), .Q(
+        qs[6]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_5_ ( .D(n99), .CLK(clk_i), .RESET_B(n71), .Q(
+        qs[5]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_4_ ( .D(n100), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[4]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_3_ ( .D(n101), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[3]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n102), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n103), .CLK(clk_i), .RESET_B(n71), 
+        .Q(qs[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n37) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(n36), .Y(n38) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(rst_ni), .X(n71) );
+  sky130_fd_sc_hd__a22o_1 U38 ( .A1(n36), .A2(wr_data[0]), .B1(n38), .B2(qs[0]), .X(n72) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(n36), .A2(wr_data[31]), .B1(n38), .B2(
+        qs[31]), .X(n73) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(n36), .A2(wr_data[30]), .B1(n38), .B2(
+        qs[30]), .X(n74) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(n36), .A2(wr_data[29]), .B1(n38), .B2(
+        qs[29]), .X(n75) );
+  sky130_fd_sc_hd__a22o_1 U42 ( .A1(n36), .A2(wr_data[28]), .B1(n38), .B2(
+        qs[28]), .X(n76) );
+  sky130_fd_sc_hd__a22o_1 U43 ( .A1(n36), .A2(wr_data[27]), .B1(n38), .B2(
+        qs[27]), .X(n77) );
+  sky130_fd_sc_hd__a22o_1 U44 ( .A1(n36), .A2(wr_data[26]), .B1(n38), .B2(
+        qs[26]), .X(n78) );
+  sky130_fd_sc_hd__a22o_1 U45 ( .A1(n36), .A2(wr_data[25]), .B1(n38), .B2(
+        qs[25]), .X(n79) );
+  sky130_fd_sc_hd__a22o_1 U46 ( .A1(n36), .A2(wr_data[24]), .B1(n38), .B2(
+        qs[24]), .X(n80) );
+  sky130_fd_sc_hd__a22o_1 U47 ( .A1(n36), .A2(wr_data[23]), .B1(n38), .B2(
+        qs[23]), .X(n81) );
+  sky130_fd_sc_hd__a22o_1 U48 ( .A1(n36), .A2(wr_data[22]), .B1(n38), .B2(
+        qs[22]), .X(n82) );
+  sky130_fd_sc_hd__a22o_1 U49 ( .A1(n36), .A2(wr_data[21]), .B1(n38), .B2(
+        qs[21]), .X(n83) );
+  sky130_fd_sc_hd__a22o_1 U50 ( .A1(n36), .A2(wr_data[20]), .B1(n38), .B2(
+        qs[20]), .X(n84) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(n36), .A2(wr_data[19]), .B1(n38), .B2(
+        qs[19]), .X(n85) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(n36), .A2(wr_data[18]), .B1(n38), .B2(
+        qs[18]), .X(n86) );
+  sky130_fd_sc_hd__a22o_1 U53 ( .A1(n36), .A2(wr_data[17]), .B1(n38), .B2(
+        qs[17]), .X(n87) );
+  sky130_fd_sc_hd__a22o_1 U54 ( .A1(n36), .A2(wr_data[16]), .B1(n38), .B2(
+        qs[16]), .X(n88) );
+  sky130_fd_sc_hd__a22o_1 U55 ( .A1(n36), .A2(wr_data[15]), .B1(n38), .B2(
+        qs[15]), .X(n89) );
+  sky130_fd_sc_hd__a22o_1 U56 ( .A1(n36), .A2(wr_data[14]), .B1(n38), .B2(
+        qs[14]), .X(n90) );
+  sky130_fd_sc_hd__a22o_1 U57 ( .A1(n36), .A2(wr_data[13]), .B1(n38), .B2(
+        qs[13]), .X(n91) );
+  sky130_fd_sc_hd__a22o_1 U58 ( .A1(n36), .A2(wr_data[12]), .B1(n38), .B2(
+        qs[12]), .X(n92) );
+  sky130_fd_sc_hd__a22o_1 U59 ( .A1(n36), .A2(wr_data[11]), .B1(n38), .B2(
+        qs[11]), .X(n93) );
+  sky130_fd_sc_hd__a22o_1 U60 ( .A1(n36), .A2(wr_data[10]), .B1(n38), .B2(
+        qs[10]), .X(n94) );
+  sky130_fd_sc_hd__a22o_1 U61 ( .A1(n36), .A2(wr_data[9]), .B1(n38), .B2(qs[9]), .X(n95) );
+  sky130_fd_sc_hd__a22o_1 U62 ( .A1(n36), .A2(wr_data[8]), .B1(n38), .B2(qs[8]), .X(n96) );
+  sky130_fd_sc_hd__a22o_1 U63 ( .A1(n36), .A2(wr_data[7]), .B1(n38), .B2(qs[7]), .X(n97) );
+  sky130_fd_sc_hd__a22o_1 U64 ( .A1(n36), .A2(wr_data[6]), .B1(n38), .B2(qs[6]), .X(n98) );
+  sky130_fd_sc_hd__a22o_1 U65 ( .A1(n36), .A2(wr_data[5]), .B1(n38), .B2(qs[5]), .X(n99) );
+  sky130_fd_sc_hd__a22o_1 U66 ( .A1(n36), .A2(wr_data[4]), .B1(n38), .B2(qs[4]), .X(n100) );
+  sky130_fd_sc_hd__a22o_1 U67 ( .A1(n36), .A2(wr_data[3]), .B1(n38), .B2(qs[3]), .X(n101) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(n36), .A2(wr_data[2]), .B1(n38), .B2(qs[2]), .X(n102) );
+  sky130_fd_sc_hd__a22o_1 U69 ( .A1(n36), .A2(wr_data[1]), .B1(n38), .B2(qs[1]), .X(n103) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW32_1 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [31:0] wd;
+  input [31:0] d;
+  output [31:0] q;
+  output [31:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[10]), .X(qs[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(d[20]), .X(qs[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(d[23]), .X(qs[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(d[26]), .X(qs[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(d[29]), .X(qs[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(wd[27]), .X(q[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wd[23]), .X(q[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wd[16]), .X(q[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wd[9]), .X(q[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wd[1]), .X(q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(wd[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(wd[18]), .X(q[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(d[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(d[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(d[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(d[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(d[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(d[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(d[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(d[7]), .X(qs[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(d[8]), .X(qs[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(d[9]), .X(qs[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(d[11]), .X(qs[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(d[12]), .X(qs[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(d[13]), .X(qs[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(d[14]), .X(qs[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(d[15]), .X(qs[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(d[16]), .X(qs[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(d[17]), .X(qs[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(d[18]), .X(qs[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(d[19]), .X(qs[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(d[21]), .X(qs[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(d[22]), .X(qs[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(d[24]), .X(qs[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(d[25]), .X(qs[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(d[27]), .X(qs[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(d[28]), .X(qs[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(d[30]), .X(qs[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(d[31]), .X(qs[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(wd[4]), .X(q[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(wd[22]), .X(q[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U45 ( .A(wd[3]), .X(q[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(wd[5]), .X(q[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U47 ( .A(wd[6]), .X(q[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U48 ( .A(wd[7]), .X(q[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U49 ( .A(wd[8]), .X(q[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U50 ( .A(wd[10]), .X(q[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U51 ( .A(wd[11]), .X(q[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U52 ( .A(wd[12]), .X(q[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U53 ( .A(wd[13]), .X(q[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U54 ( .A(wd[14]), .X(q[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U55 ( .A(wd[15]), .X(q[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U56 ( .A(wd[17]), .X(q[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U57 ( .A(wd[19]), .X(q[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U58 ( .A(wd[20]), .X(q[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U59 ( .A(wd[21]), .X(q[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U60 ( .A(wd[24]), .X(q[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U61 ( .A(wd[25]), .X(q[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U62 ( .A(wd[26]), .X(q[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U63 ( .A(wd[28]), .X(q[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U64 ( .A(wd[29]), .X(q[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U65 ( .A(wd[30]), .X(q[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U66 ( .A(wd[31]), .X(q[31]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW32_2 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [31:0] wd;
+  input [31:0] d;
+  output [31:0] q;
+  output [31:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(d[10]), .X(qs[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wd[24]), .X(q[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(wd[20]), .X(q[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(wd[9]), .X(q[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(wd[1]), .X(q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(wd[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(wd[18]), .X(q[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(d[8]), .X(qs[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(d[9]), .X(qs[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(d[11]), .X(qs[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(d[12]), .X(qs[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(d[13]), .X(qs[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(d[14]), .X(qs[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(d[15]), .X(qs[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(d[16]), .X(qs[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(d[17]), .X(qs[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(d[18]), .X(qs[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(d[19]), .X(qs[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(d[20]), .X(qs[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(d[21]), .X(qs[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(d[22]), .X(qs[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(d[23]), .X(qs[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(d[24]), .X(qs[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(d[25]), .X(qs[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(d[26]), .X(qs[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(d[27]), .X(qs[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(d[28]), .X(qs[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(d[29]), .X(qs[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(d[30]), .X(qs[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(d[31]), .X(qs[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(wd[4]), .X(q[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(wd[22]), .X(q[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(wd[3]), .X(q[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(wd[5]), .X(q[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(wd[6]), .X(q[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(wd[7]), .X(q[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(wd[8]), .X(q[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(wd[10]), .X(q[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(wd[11]), .X(q[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(wd[12]), .X(q[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(wd[13]), .X(q[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U45 ( .A(wd[14]), .X(q[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(wd[15]), .X(q[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U47 ( .A(wd[16]), .X(q[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U48 ( .A(wd[17]), .X(q[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U49 ( .A(wd[19]), .X(q[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U50 ( .A(wd[21]), .X(q[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U51 ( .A(wd[23]), .X(q[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U52 ( .A(wd[25]), .X(q[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U53 ( .A(wd[26]), .X(q[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U54 ( .A(wd[27]), .X(q[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U55 ( .A(wd[28]), .X(q[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U56 ( .A(wd[29]), .X(q[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U57 ( .A(wd[30]), .X(q[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U58 ( .A(wd[31]), .X(q[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U59 ( .A(d[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U60 ( .A(d[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U61 ( .A(d[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U62 ( .A(d[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U63 ( .A(d[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U64 ( .A(d[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U65 ( .A(d[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U66 ( .A(d[7]), .X(qs[7]) );
+endmodule
+
+
+module opentitan_soc_top_gpio_reg_top_0 ( clk_i, rst_ni, tl_i, tl_o, reg2hw, 
+        hw2reg, devmode_i );
+  input [85:0] tl_i;
+  output [51:0] tl_o;
+  output [458:0] reg2hw;
+  input [245:0] hw2reg;
+  input clk_i, rst_ni, devmode_i;
+  wire   n_Logic1_, reg_we, reg_re, reg_error, intr_state_we, intr_enable_we,
+         intr_test_we, direct_out_we, masked_out_lower_mask_we,
+         masked_out_upper_mask_we, direct_oe_we, masked_oe_lower_mask_we,
+         masked_oe_upper_mask_we, intr_ctrl_en_rising_we,
+         intr_ctrl_en_falling_we, intr_ctrl_en_lvlhigh_we,
+         intr_ctrl_en_lvllow_we, ctrl_en_input_filter_we, n48, n49, n50, n51,
+         n52, n53, n54, n55, n56, n57, n58, n59, n60, n61, n62, n63, n64, n65,
+         n66, n67, n68, n69, n71, n72, n73, n74, n75, n76, n77, n78, n79, n80,
+         n81, n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94,
+         n95, n96, n97, n98, n99, n100, n101, n102, n103, n104, n105, n106,
+         n107, n108, n109, n110, n111, n112, n113, n114, n115, n116, n117,
+         n118, n119, n120, n121, n122, n123, n124, n125, n126, n127, n128,
+         n129, n130, n131, n132, n133, n134, n135, n136, n137, n138, n139,
+         n140, n141, n142, n143, n144, n145, n146, n147, n148, n149, n150,
+         n151, n152, n153, n154, n155, n156, n157, n158, n159, n160, n161,
+         n162, n163, n164, n165, n166, n167, n168, n169, n170, n171, n172,
+         n173, n174, n175, n176, n177, n178, n179, n180, n181, n182, n183,
+         n184, n185, n186, n187, n188, n189, n190, n191, n192, n193, n194,
+         n195, n196, n197, n198, n199, n200, n201, n202, n203, n204, n205,
+         n206, n207, n208, n209, n210, n211, n212, n213, n214, n215, n216,
+         n217, n218, n219, n220, n221, n222, n223, n224, n225, n226, n227,
+         n228, n229, n230, n231, n232, n233, n234, n235, n236, n237, n238,
+         n239, n240, n241, n242, n243, n244, n245, n246, n247, n248, n249,
+         n250, n251, n252, n253, n254, n255, n256, n257, n258, n259, n260,
+         n261, n262, n263, n264, n265, n266, n267, n268, n269, n270, n271,
+         n272, n273, n274, n275, n276, n277, n278, n279, n280, n281, n282,
+         n283, n284, n285, n286, n287, n288, n289, n290, n291, n292, n293,
+         n294, n295, n296, n297, n298, n299, n300, n301, n302, n303, n304,
+         n305, n306, n311, SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56,
+         SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58,
+         SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60,
+         SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62,
+         SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64,
+         SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66,
+         SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68,
+         SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70,
+         SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72,
+         SYNOPSYS_UNCONNECTED_73, SYNOPSYS_UNCONNECTED_74,
+         SYNOPSYS_UNCONNECTED_75, SYNOPSYS_UNCONNECTED_76,
+         SYNOPSYS_UNCONNECTED_77, SYNOPSYS_UNCONNECTED_78,
+         SYNOPSYS_UNCONNECTED_79, SYNOPSYS_UNCONNECTED_80,
+         SYNOPSYS_UNCONNECTED_81, SYNOPSYS_UNCONNECTED_82,
+         SYNOPSYS_UNCONNECTED_83, SYNOPSYS_UNCONNECTED_84,
+         SYNOPSYS_UNCONNECTED_85, SYNOPSYS_UNCONNECTED_86,
+         SYNOPSYS_UNCONNECTED_87, SYNOPSYS_UNCONNECTED_88,
+         SYNOPSYS_UNCONNECTED_89, SYNOPSYS_UNCONNECTED_90,
+         SYNOPSYS_UNCONNECTED_91, SYNOPSYS_UNCONNECTED_92,
+         SYNOPSYS_UNCONNECTED_93, SYNOPSYS_UNCONNECTED_94,
+         SYNOPSYS_UNCONNECTED_95, SYNOPSYS_UNCONNECTED_96,
+         SYNOPSYS_UNCONNECTED_97, SYNOPSYS_UNCONNECTED_98,
+         SYNOPSYS_UNCONNECTED_99, SYNOPSYS_UNCONNECTED_100,
+         SYNOPSYS_UNCONNECTED_101, SYNOPSYS_UNCONNECTED_102,
+         SYNOPSYS_UNCONNECTED_103, SYNOPSYS_UNCONNECTED_104,
+         SYNOPSYS_UNCONNECTED_105, SYNOPSYS_UNCONNECTED_106,
+         SYNOPSYS_UNCONNECTED_107, SYNOPSYS_UNCONNECTED_108,
+         SYNOPSYS_UNCONNECTED_109, SYNOPSYS_UNCONNECTED_110,
+         SYNOPSYS_UNCONNECTED_111, SYNOPSYS_UNCONNECTED_112,
+         SYNOPSYS_UNCONNECTED_113, SYNOPSYS_UNCONNECTED_114,
+         SYNOPSYS_UNCONNECTED_115, SYNOPSYS_UNCONNECTED_116,
+         SYNOPSYS_UNCONNECTED_117, SYNOPSYS_UNCONNECTED_118,
+         SYNOPSYS_UNCONNECTED_119, SYNOPSYS_UNCONNECTED_120,
+         SYNOPSYS_UNCONNECTED_121, SYNOPSYS_UNCONNECTED_122,
+         SYNOPSYS_UNCONNECTED_123, SYNOPSYS_UNCONNECTED_124,
+         SYNOPSYS_UNCONNECTED_125, SYNOPSYS_UNCONNECTED_126,
+         SYNOPSYS_UNCONNECTED_127, SYNOPSYS_UNCONNECTED_128,
+         SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_130,
+         SYNOPSYS_UNCONNECTED_131, SYNOPSYS_UNCONNECTED_132,
+         SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134,
+         SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136,
+         SYNOPSYS_UNCONNECTED_137, SYNOPSYS_UNCONNECTED_138,
+         SYNOPSYS_UNCONNECTED_139, SYNOPSYS_UNCONNECTED_140,
+         SYNOPSYS_UNCONNECTED_141, SYNOPSYS_UNCONNECTED_142,
+         SYNOPSYS_UNCONNECTED_143, SYNOPSYS_UNCONNECTED_144,
+         SYNOPSYS_UNCONNECTED_145, SYNOPSYS_UNCONNECTED_146,
+         SYNOPSYS_UNCONNECTED_147, SYNOPSYS_UNCONNECTED_148,
+         SYNOPSYS_UNCONNECTED_149, SYNOPSYS_UNCONNECTED_150,
+         SYNOPSYS_UNCONNECTED_151, SYNOPSYS_UNCONNECTED_152,
+         SYNOPSYS_UNCONNECTED_153, SYNOPSYS_UNCONNECTED_154,
+         SYNOPSYS_UNCONNECTED_155, SYNOPSYS_UNCONNECTED_156,
+         SYNOPSYS_UNCONNECTED_157, SYNOPSYS_UNCONNECTED_158,
+         SYNOPSYS_UNCONNECTED_159, SYNOPSYS_UNCONNECTED_160,
+         SYNOPSYS_UNCONNECTED_161, SYNOPSYS_UNCONNECTED_162,
+         SYNOPSYS_UNCONNECTED_163, SYNOPSYS_UNCONNECTED_164,
+         SYNOPSYS_UNCONNECTED_165, SYNOPSYS_UNCONNECTED_166,
+         SYNOPSYS_UNCONNECTED_167, SYNOPSYS_UNCONNECTED_168,
+         SYNOPSYS_UNCONNECTED_169, SYNOPSYS_UNCONNECTED_170,
+         SYNOPSYS_UNCONNECTED_171, SYNOPSYS_UNCONNECTED_172,
+         SYNOPSYS_UNCONNECTED_173, SYNOPSYS_UNCONNECTED_174,
+         SYNOPSYS_UNCONNECTED_175, SYNOPSYS_UNCONNECTED_176,
+         SYNOPSYS_UNCONNECTED_177, SYNOPSYS_UNCONNECTED_178,
+         SYNOPSYS_UNCONNECTED_179, SYNOPSYS_UNCONNECTED_180,
+         SYNOPSYS_UNCONNECTED_181, SYNOPSYS_UNCONNECTED_182,
+         SYNOPSYS_UNCONNECTED_183, SYNOPSYS_UNCONNECTED_184,
+         SYNOPSYS_UNCONNECTED_185, SYNOPSYS_UNCONNECTED_186,
+         SYNOPSYS_UNCONNECTED_187, SYNOPSYS_UNCONNECTED_188,
+         SYNOPSYS_UNCONNECTED_189, SYNOPSYS_UNCONNECTED_190,
+         SYNOPSYS_UNCONNECTED_191, SYNOPSYS_UNCONNECTED_192,
+         SYNOPSYS_UNCONNECTED_193, SYNOPSYS_UNCONNECTED_194,
+         SYNOPSYS_UNCONNECTED_195, SYNOPSYS_UNCONNECTED_196,
+         SYNOPSYS_UNCONNECTED_197, SYNOPSYS_UNCONNECTED_198,
+         SYNOPSYS_UNCONNECTED_199, SYNOPSYS_UNCONNECTED_200,
+         SYNOPSYS_UNCONNECTED_201, SYNOPSYS_UNCONNECTED_202,
+         SYNOPSYS_UNCONNECTED_203, SYNOPSYS_UNCONNECTED_204,
+         SYNOPSYS_UNCONNECTED_205, SYNOPSYS_UNCONNECTED_206,
+         SYNOPSYS_UNCONNECTED_207, SYNOPSYS_UNCONNECTED_208,
+         SYNOPSYS_UNCONNECTED_209, SYNOPSYS_UNCONNECTED_210,
+         SYNOPSYS_UNCONNECTED_211, SYNOPSYS_UNCONNECTED_212,
+         SYNOPSYS_UNCONNECTED_213, SYNOPSYS_UNCONNECTED_214,
+         SYNOPSYS_UNCONNECTED_215, SYNOPSYS_UNCONNECTED_216,
+         SYNOPSYS_UNCONNECTED_217, SYNOPSYS_UNCONNECTED_218,
+         SYNOPSYS_UNCONNECTED_219, SYNOPSYS_UNCONNECTED_220,
+         SYNOPSYS_UNCONNECTED_221, SYNOPSYS_UNCONNECTED_222,
+         SYNOPSYS_UNCONNECTED_223, SYNOPSYS_UNCONNECTED_224,
+         SYNOPSYS_UNCONNECTED_225, SYNOPSYS_UNCONNECTED_226,
+         SYNOPSYS_UNCONNECTED_227, SYNOPSYS_UNCONNECTED_228,
+         SYNOPSYS_UNCONNECTED_229, SYNOPSYS_UNCONNECTED_230,
+         SYNOPSYS_UNCONNECTED_231, SYNOPSYS_UNCONNECTED_232,
+         SYNOPSYS_UNCONNECTED_233, SYNOPSYS_UNCONNECTED_234,
+         SYNOPSYS_UNCONNECTED_235, SYNOPSYS_UNCONNECTED_236,
+         SYNOPSYS_UNCONNECTED_237, SYNOPSYS_UNCONNECTED_238,
+         SYNOPSYS_UNCONNECTED_239, SYNOPSYS_UNCONNECTED_240,
+         SYNOPSYS_UNCONNECTED_241, SYNOPSYS_UNCONNECTED_242,
+         SYNOPSYS_UNCONNECTED_243, SYNOPSYS_UNCONNECTED_244,
+         SYNOPSYS_UNCONNECTED_245, SYNOPSYS_UNCONNECTED_246,
+         SYNOPSYS_UNCONNECTED_247, SYNOPSYS_UNCONNECTED_248,
+         SYNOPSYS_UNCONNECTED_249, SYNOPSYS_UNCONNECTED_250,
+         SYNOPSYS_UNCONNECTED_251, SYNOPSYS_UNCONNECTED_252,
+         SYNOPSYS_UNCONNECTED_253, SYNOPSYS_UNCONNECTED_254,
+         SYNOPSYS_UNCONNECTED_255, SYNOPSYS_UNCONNECTED_256,
+         SYNOPSYS_UNCONNECTED_257, SYNOPSYS_UNCONNECTED_258,
+         SYNOPSYS_UNCONNECTED_259, SYNOPSYS_UNCONNECTED_260,
+         SYNOPSYS_UNCONNECTED_261, SYNOPSYS_UNCONNECTED_262,
+         SYNOPSYS_UNCONNECTED_263, SYNOPSYS_UNCONNECTED_264,
+         SYNOPSYS_UNCONNECTED_265, SYNOPSYS_UNCONNECTED_266,
+         SYNOPSYS_UNCONNECTED_267, SYNOPSYS_UNCONNECTED_268,
+         SYNOPSYS_UNCONNECTED_269;
+  wire   [5:2] reg_addr;
+  wire   [31:0] reg_wdata;
+  wire   [3:0] reg_be;
+  wire   [31:0] reg_rdata;
+  wire   [31:0] intr_state_qs;
+  wire   [31:0] intr_enable_qs;
+  wire   [31:0] direct_out_qs;
+  wire   [9:0] masked_out_lower_data_qs;
+  wire   [15:0] masked_out_upper_data_qs;
+  wire   [31:0] direct_oe_qs;
+  wire   [15:0] masked_oe_lower_data_qs;
+  wire   [15:0] masked_oe_upper_data_qs;
+  wire   [31:0] intr_ctrl_en_rising_qs;
+  wire   [31:0] intr_ctrl_en_falling_qs;
+  wire   [31:0] intr_ctrl_en_lvlhigh_qs;
+  wire   [31:0] intr_ctrl_en_lvllow_qs;
+  wire   [31:0] ctrl_en_input_filter_qs;
+
+  opentitan_soc_top_tlul_adapter_reg_RegAw6_RegDw32_0 u_reg_if ( .clk_i(n48), 
+        .rst_ni(n306), .tl_i({tl_i[85:84], n311, tl_i[82], n311, n311, n311, 
+        n_Logic1_, n311, n311, n311, n311, n311, n311, n311, n311, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, tl_i[42:39], n311, n311, tl_i[36:1], n_Logic1_}), .tl_o({
+        tl_o[51], SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2, tl_o[48], 
+        SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, 
+        SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, 
+        SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10, 
+        SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12, 
+        SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14, 
+        SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16, tl_o[33:0]}), .re_o(
+        reg_re), .we_o(reg_we), .addr_o({reg_addr, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18}), .wdata_o(reg_wdata), .be_o(reg_be), 
+        .rdata_i(reg_rdata), .error_i(reg_error) );
+  opentitan_soc_top_prim_subreg_32_W1C_00000000_0 u_intr_state ( .clk_i(n48), 
+        .rst_ni(n306), .we(intr_state_we), .wd(reg_wdata), .de(hw2reg[213]), 
+        .d(hw2reg[245:214]), .q(reg2hw[458:427]), .qs(intr_state_qs) );
+  opentitan_soc_top_prim_subreg_32_RW_00000000_0 u_intr_enable ( .clk_i(n48), 
+        .rst_ni(n306), .we(intr_enable_we), .wd(reg_wdata), .de(n311), .d({
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311}), .q({
+        SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20, 
+        SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22, 
+        SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24, 
+        SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_27, reg2hw[417:395]}), .qs(intr_enable_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW32_0 u_intr_test ( .re(n311), .we(
+        intr_test_we), .wd(reg_wdata), .d({n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311}), .qe(reg2hw[362]), .q(reg2hw[394:363]), .qs({
+        SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29, 
+        SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31, 
+        SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33, 
+        SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35, 
+        SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37, 
+        SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39, 
+        SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41, 
+        SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43, 
+        SYNOPSYS_UNCONNECTED_44, SYNOPSYS_UNCONNECTED_45, 
+        SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_47, 
+        SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49, 
+        SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51, 
+        SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53, 
+        SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55, 
+        SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57, 
+        SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59}) );
+  opentitan_soc_top_prim_subreg_ext_DW32_2 u_direct_out ( .re(1'b0), .we(
+        direct_out_we), .wd(reg_wdata), .d(hw2reg[179:148]), .qe(reg2hw[329]), 
+        .q(reg2hw[361:330]), .qs(direct_out_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW16_1 u_masked_out_lower_data ( .re(1'b0), 
+        .we(masked_out_lower_mask_we), .wd({n311, n311, n311, n311, n311, n311, 
+        reg_wdata[9:0]}), .d({n311, n311, n311, n311, n311, n311, 
+        hw2reg[147:138]}), .qe(reg2hw[312]), .q({SYNOPSYS_UNCONNECTED_60, 
+        SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62, 
+        SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64, 
+        SYNOPSYS_UNCONNECTED_65, reg2hw[322:313]}), .qs({
+        SYNOPSYS_UNCONNECTED_66, SYNOPSYS_UNCONNECTED_67, 
+        SYNOPSYS_UNCONNECTED_68, SYNOPSYS_UNCONNECTED_69, 
+        SYNOPSYS_UNCONNECTED_70, SYNOPSYS_UNCONNECTED_71, 
+        masked_out_lower_data_qs}) );
+  opentitan_soc_top_prim_subreg_ext_DW16_0 u_masked_out_lower_mask ( .re(n311), 
+        .we(1'b0), .wd({n_Logic1_, n_Logic1_, n_Logic1_, n_Logic1_, n_Logic1_, 
+        n_Logic1_, reg_wdata[19:10]}), .d({n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311}), .q({
+        SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_73, 
+        SYNOPSYS_UNCONNECTED_74, SYNOPSYS_UNCONNECTED_75, 
+        SYNOPSYS_UNCONNECTED_76, SYNOPSYS_UNCONNECTED_77, reg2hw[305:296]}), 
+        .qs({SYNOPSYS_UNCONNECTED_78, SYNOPSYS_UNCONNECTED_79, 
+        SYNOPSYS_UNCONNECTED_80, SYNOPSYS_UNCONNECTED_81, 
+        SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_83, 
+        SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_85, 
+        SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_87, 
+        SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_89, 
+        SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_91, 
+        SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_93}) );
+  opentitan_soc_top_prim_subreg_ext_DW16_2 u_masked_out_upper_data ( .re(1'b0), 
+        .we(masked_out_upper_mask_we), .wd(reg_wdata[15:0]), .d(
+        hw2reg[127:112]), .qe(reg2hw[278]), .q(reg2hw[294:279]), .qs(
+        masked_out_upper_data_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW16_7 u_masked_out_upper_mask ( .re(n311), 
+        .we(1'b0), .wd(reg_wdata[31:16]), .d({n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311}), 
+        .q(reg2hw[277:262]), .qs({SYNOPSYS_UNCONNECTED_94, 
+        SYNOPSYS_UNCONNECTED_95, SYNOPSYS_UNCONNECTED_96, 
+        SYNOPSYS_UNCONNECTED_97, SYNOPSYS_UNCONNECTED_98, 
+        SYNOPSYS_UNCONNECTED_99, SYNOPSYS_UNCONNECTED_100, 
+        SYNOPSYS_UNCONNECTED_101, SYNOPSYS_UNCONNECTED_102, 
+        SYNOPSYS_UNCONNECTED_103, SYNOPSYS_UNCONNECTED_104, 
+        SYNOPSYS_UNCONNECTED_105, SYNOPSYS_UNCONNECTED_106, 
+        SYNOPSYS_UNCONNECTED_107, SYNOPSYS_UNCONNECTED_108, 
+        SYNOPSYS_UNCONNECTED_109}) );
+  opentitan_soc_top_prim_subreg_ext_DW32_1 u_direct_oe ( .re(1'b0), .we(
+        direct_oe_we), .wd(reg_wdata), .d(hw2reg[95:64]), .qe(reg2hw[228]), 
+        .q(reg2hw[260:229]), .qs(direct_oe_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW16_6 u_masked_oe_lower_data ( .re(1'b0), 
+        .we(masked_oe_lower_mask_we), .wd(reg_wdata[15:0]), .d(hw2reg[63:48]), 
+        .qe(reg2hw[211]), .q(reg2hw[227:212]), .qs(masked_oe_lower_data_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW16_3 u_masked_oe_lower_mask ( .re(1'b0), 
+        .we(1'b0), .wd(reg_wdata[31:16]), .d({n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311}), 
+        .q(reg2hw[210:195]), .qs({SYNOPSYS_UNCONNECTED_110, 
+        SYNOPSYS_UNCONNECTED_111, SYNOPSYS_UNCONNECTED_112, 
+        SYNOPSYS_UNCONNECTED_113, SYNOPSYS_UNCONNECTED_114, 
+        SYNOPSYS_UNCONNECTED_115, SYNOPSYS_UNCONNECTED_116, 
+        SYNOPSYS_UNCONNECTED_117, SYNOPSYS_UNCONNECTED_118, 
+        SYNOPSYS_UNCONNECTED_119, SYNOPSYS_UNCONNECTED_120, 
+        SYNOPSYS_UNCONNECTED_121, SYNOPSYS_UNCONNECTED_122, 
+        SYNOPSYS_UNCONNECTED_123, SYNOPSYS_UNCONNECTED_124, 
+        SYNOPSYS_UNCONNECTED_125}) );
+  opentitan_soc_top_prim_subreg_ext_DW16_5 u_masked_oe_upper_data ( .re(1'b0), 
+        .we(masked_oe_upper_mask_we), .wd(reg_wdata[15:0]), .d(hw2reg[31:16]), 
+        .qe(reg2hw[177]), .q(reg2hw[193:178]), .qs(masked_oe_upper_data_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW16_8 u_masked_oe_upper_mask ( .re(1'b0), 
+        .we(1'b0), .wd(reg_wdata[31:16]), .d({n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311}), 
+        .q(reg2hw[176:161]), .qs({SYNOPSYS_UNCONNECTED_126, 
+        SYNOPSYS_UNCONNECTED_127, SYNOPSYS_UNCONNECTED_128, 
+        SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_130, 
+        SYNOPSYS_UNCONNECTED_131, SYNOPSYS_UNCONNECTED_132, 
+        SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134, 
+        SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136, 
+        SYNOPSYS_UNCONNECTED_137, SYNOPSYS_UNCONNECTED_138, 
+        SYNOPSYS_UNCONNECTED_139, SYNOPSYS_UNCONNECTED_140, 
+        SYNOPSYS_UNCONNECTED_141}) );
+  opentitan_soc_top_prim_subreg_32_RW_00000000_5 u_intr_ctrl_en_rising ( 
+        .clk_i(n48), .rst_ni(n306), .we(intr_ctrl_en_rising_we), .wd(reg_wdata), .de(n311), .d({n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311}), .q({
+        SYNOPSYS_UNCONNECTED_142, SYNOPSYS_UNCONNECTED_143, 
+        SYNOPSYS_UNCONNECTED_144, SYNOPSYS_UNCONNECTED_145, 
+        SYNOPSYS_UNCONNECTED_146, SYNOPSYS_UNCONNECTED_147, 
+        SYNOPSYS_UNCONNECTED_148, SYNOPSYS_UNCONNECTED_149, 
+        SYNOPSYS_UNCONNECTED_150, SYNOPSYS_UNCONNECTED_151, 
+        SYNOPSYS_UNCONNECTED_152, SYNOPSYS_UNCONNECTED_153, 
+        SYNOPSYS_UNCONNECTED_154, SYNOPSYS_UNCONNECTED_155, 
+        SYNOPSYS_UNCONNECTED_156, SYNOPSYS_UNCONNECTED_157, 
+        SYNOPSYS_UNCONNECTED_158, SYNOPSYS_UNCONNECTED_159, 
+        SYNOPSYS_UNCONNECTED_160, SYNOPSYS_UNCONNECTED_161, 
+        SYNOPSYS_UNCONNECTED_162, SYNOPSYS_UNCONNECTED_163, 
+        SYNOPSYS_UNCONNECTED_164, SYNOPSYS_UNCONNECTED_165, 
+        SYNOPSYS_UNCONNECTED_166, SYNOPSYS_UNCONNECTED_167, 
+        SYNOPSYS_UNCONNECTED_168, SYNOPSYS_UNCONNECTED_169, 
+        SYNOPSYS_UNCONNECTED_170, SYNOPSYS_UNCONNECTED_171, 
+        SYNOPSYS_UNCONNECTED_172, SYNOPSYS_UNCONNECTED_173}), .qs(
+        intr_ctrl_en_rising_qs) );
+  opentitan_soc_top_prim_subreg_32_RW_00000000_4 u_intr_ctrl_en_falling ( 
+        .clk_i(n48), .rst_ni(n306), .we(intr_ctrl_en_falling_we), .wd(
+        reg_wdata), .de(n311), .d({n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311}), .q({SYNOPSYS_UNCONNECTED_174, SYNOPSYS_UNCONNECTED_175, 
+        SYNOPSYS_UNCONNECTED_176, SYNOPSYS_UNCONNECTED_177, 
+        SYNOPSYS_UNCONNECTED_178, SYNOPSYS_UNCONNECTED_179, 
+        SYNOPSYS_UNCONNECTED_180, SYNOPSYS_UNCONNECTED_181, 
+        SYNOPSYS_UNCONNECTED_182, SYNOPSYS_UNCONNECTED_183, 
+        SYNOPSYS_UNCONNECTED_184, SYNOPSYS_UNCONNECTED_185, 
+        SYNOPSYS_UNCONNECTED_186, SYNOPSYS_UNCONNECTED_187, 
+        SYNOPSYS_UNCONNECTED_188, SYNOPSYS_UNCONNECTED_189, 
+        SYNOPSYS_UNCONNECTED_190, SYNOPSYS_UNCONNECTED_191, 
+        SYNOPSYS_UNCONNECTED_192, SYNOPSYS_UNCONNECTED_193, 
+        SYNOPSYS_UNCONNECTED_194, SYNOPSYS_UNCONNECTED_195, 
+        SYNOPSYS_UNCONNECTED_196, SYNOPSYS_UNCONNECTED_197, 
+        SYNOPSYS_UNCONNECTED_198, SYNOPSYS_UNCONNECTED_199, 
+        SYNOPSYS_UNCONNECTED_200, SYNOPSYS_UNCONNECTED_201, 
+        SYNOPSYS_UNCONNECTED_202, SYNOPSYS_UNCONNECTED_203, 
+        SYNOPSYS_UNCONNECTED_204, SYNOPSYS_UNCONNECTED_205}), .qs(
+        intr_ctrl_en_falling_qs) );
+  opentitan_soc_top_prim_subreg_32_RW_00000000_3 u_intr_ctrl_en_lvlhigh ( 
+        .clk_i(n48), .rst_ni(n306), .we(intr_ctrl_en_lvlhigh_we), .wd(
+        reg_wdata), .de(n311), .d({n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311}), .q({SYNOPSYS_UNCONNECTED_206, SYNOPSYS_UNCONNECTED_207, 
+        SYNOPSYS_UNCONNECTED_208, SYNOPSYS_UNCONNECTED_209, 
+        SYNOPSYS_UNCONNECTED_210, SYNOPSYS_UNCONNECTED_211, 
+        SYNOPSYS_UNCONNECTED_212, SYNOPSYS_UNCONNECTED_213, 
+        SYNOPSYS_UNCONNECTED_214, SYNOPSYS_UNCONNECTED_215, 
+        SYNOPSYS_UNCONNECTED_216, SYNOPSYS_UNCONNECTED_217, 
+        SYNOPSYS_UNCONNECTED_218, SYNOPSYS_UNCONNECTED_219, 
+        SYNOPSYS_UNCONNECTED_220, SYNOPSYS_UNCONNECTED_221, 
+        SYNOPSYS_UNCONNECTED_222, SYNOPSYS_UNCONNECTED_223, 
+        SYNOPSYS_UNCONNECTED_224, SYNOPSYS_UNCONNECTED_225, 
+        SYNOPSYS_UNCONNECTED_226, SYNOPSYS_UNCONNECTED_227, 
+        SYNOPSYS_UNCONNECTED_228, SYNOPSYS_UNCONNECTED_229, 
+        SYNOPSYS_UNCONNECTED_230, SYNOPSYS_UNCONNECTED_231, 
+        SYNOPSYS_UNCONNECTED_232, SYNOPSYS_UNCONNECTED_233, 
+        SYNOPSYS_UNCONNECTED_234, SYNOPSYS_UNCONNECTED_235, 
+        SYNOPSYS_UNCONNECTED_236, SYNOPSYS_UNCONNECTED_237}), .qs(
+        intr_ctrl_en_lvlhigh_qs) );
+  opentitan_soc_top_prim_subreg_32_RW_00000000_2 u_intr_ctrl_en_lvllow ( 
+        .clk_i(n48), .rst_ni(n306), .we(intr_ctrl_en_lvllow_we), .wd(reg_wdata), .de(n311), .d({n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311}), .q(
+        reg2hw[63:32]), .qs(intr_ctrl_en_lvllow_qs) );
+  opentitan_soc_top_prim_subreg_32_RW_00000000_1 u_ctrl_en_input_filter ( 
+        .clk_i(n48), .rst_ni(n306), .we(ctrl_en_input_filter_we), .wd(
+        reg_wdata), .de(n311), .d({n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, n311, 
+        n311}), .q({SYNOPSYS_UNCONNECTED_238, SYNOPSYS_UNCONNECTED_239, 
+        SYNOPSYS_UNCONNECTED_240, SYNOPSYS_UNCONNECTED_241, 
+        SYNOPSYS_UNCONNECTED_242, SYNOPSYS_UNCONNECTED_243, 
+        SYNOPSYS_UNCONNECTED_244, SYNOPSYS_UNCONNECTED_245, 
+        SYNOPSYS_UNCONNECTED_246, SYNOPSYS_UNCONNECTED_247, 
+        SYNOPSYS_UNCONNECTED_248, SYNOPSYS_UNCONNECTED_249, 
+        SYNOPSYS_UNCONNECTED_250, SYNOPSYS_UNCONNECTED_251, 
+        SYNOPSYS_UNCONNECTED_252, SYNOPSYS_UNCONNECTED_253, 
+        SYNOPSYS_UNCONNECTED_254, SYNOPSYS_UNCONNECTED_255, 
+        SYNOPSYS_UNCONNECTED_256, SYNOPSYS_UNCONNECTED_257, 
+        SYNOPSYS_UNCONNECTED_258, SYNOPSYS_UNCONNECTED_259, 
+        SYNOPSYS_UNCONNECTED_260, SYNOPSYS_UNCONNECTED_261, 
+        SYNOPSYS_UNCONNECTED_262, SYNOPSYS_UNCONNECTED_263, 
+        SYNOPSYS_UNCONNECTED_264, SYNOPSYS_UNCONNECTED_265, 
+        SYNOPSYS_UNCONNECTED_266, SYNOPSYS_UNCONNECTED_267, 
+        SYNOPSYS_UNCONNECTED_268, SYNOPSYS_UNCONNECTED_269}), .qs(
+        ctrl_en_input_filter_qs) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(n49), .Y(n48) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(clk_i), .Y(n49) );
+  sky130_fd_sc_hd__inv_2 U5 ( .A(n55), .Y(n306) );
+  sky130_fd_sc_hd__conb_1 U6 ( .LO(n311), .HI(n_Logic1_) );
+  sky130_fd_sc_hd__nor2_1 U7 ( .A(reg_addr[3]), .B(reg_addr[2]), .Y(n60) );
+  sky130_fd_sc_hd__nor2_1 U8 ( .A(reg_addr[5]), .B(reg_addr[4]), .Y(n69) );
+  sky130_fd_sc_hd__nand2_1 U9 ( .A(n60), .B(n69), .Y(n71) );
+  sky130_fd_sc_hd__nand4_1 U10 ( .A(reg_be[0]), .B(reg_be[1]), .C(reg_be[2]), 
+        .D(reg_be[3]), .Y(n54) );
+  sky130_fd_sc_hd__nor4_1 U11 ( .A(reg_wdata[24]), .B(reg_wdata[20]), .C(
+        reg_wdata[22]), .D(reg_wdata[21]), .Y(n52) );
+  sky130_fd_sc_hd__nor4_1 U12 ( .A(reg_wdata[30]), .B(reg_wdata[23]), .C(
+        reg_wdata[25]), .D(reg_wdata[27]), .Y(n51) );
+  sky130_fd_sc_hd__nor4_1 U13 ( .A(reg_wdata[29]), .B(reg_wdata[31]), .C(
+        reg_wdata[26]), .D(reg_wdata[28]), .Y(n50) );
+  sky130_fd_sc_hd__nand3_1 U14 ( .A(n52), .B(n51), .C(n50), .Y(n53) );
+  sky130_fd_sc_hd__a21oi_1 U15 ( .A1(reg_we), .A2(n54), .B1(n53), .Y(n65) );
+  sky130_fd_sc_hd__nand2_1 U16 ( .A(reg_we), .B(n65), .Y(n68) );
+  sky130_fd_sc_hd__nor2_1 U17 ( .A(n71), .B(n68), .Y(intr_state_we) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(reg_addr[2]), .Y(n56) );
+  sky130_fd_sc_hd__nand2_1 U19 ( .A(reg_addr[3]), .B(n56), .Y(n67) );
+  sky130_fd_sc_hd__nand2_1 U20 ( .A(reg_addr[5]), .B(reg_addr[4]), .Y(n63) );
+  sky130_fd_sc_hd__nor2_1 U21 ( .A(n67), .B(n63), .Y(n295) );
+  sky130_fd_sc_hd__nor2b_1 U22 ( .B_N(n295), .A(n68), .Y(
+        ctrl_en_input_filter_we) );
+  sky130_fd_sc_hd__clkinv_1 U27 ( .A(rst_ni), .Y(n55) );
+  sky130_fd_sc_hd__clkinv_1 U28 ( .A(n67), .Y(n58) );
+  sky130_fd_sc_hd__nor2b_1 U29 ( .B_N(reg_addr[4]), .A(reg_addr[5]), .Y(n57)
+         );
+  sky130_fd_sc_hd__nand2_1 U30 ( .A(n58), .B(n57), .Y(n80) );
+  sky130_fd_sc_hd__nor2_1 U31 ( .A(n68), .B(n80), .Y(masked_out_upper_mask_we)
+         );
+  sky130_fd_sc_hd__nand2_1 U32 ( .A(n60), .B(n57), .Y(n79) );
+  sky130_fd_sc_hd__nor2_1 U33 ( .A(n68), .B(n79), .Y(direct_out_we) );
+  sky130_fd_sc_hd__nor2_1 U34 ( .A(reg_addr[3]), .B(n56), .Y(n62) );
+  sky130_fd_sc_hd__nand2_1 U35 ( .A(n62), .B(n57), .Y(n234) );
+  sky130_fd_sc_hd__nor2_1 U36 ( .A(n68), .B(n234), .Y(masked_out_lower_mask_we) );
+  sky130_fd_sc_hd__nor2b_1 U37 ( .B_N(reg_addr[5]), .A(reg_addr[4]), .Y(n59)
+         );
+  sky130_fd_sc_hd__nand2_1 U38 ( .A(n62), .B(n59), .Y(n77) );
+  sky130_fd_sc_hd__nor2_1 U39 ( .A(n68), .B(n77), .Y(masked_oe_upper_mask_we)
+         );
+  sky130_fd_sc_hd__nand3_1 U40 ( .A(reg_addr[3]), .B(reg_addr[2]), .C(n57), 
+        .Y(n81) );
+  sky130_fd_sc_hd__nor2_1 U41 ( .A(n68), .B(n81), .Y(direct_oe_we) );
+  sky130_fd_sc_hd__nand2_1 U42 ( .A(n60), .B(n59), .Y(n75) );
+  sky130_fd_sc_hd__nor2_1 U43 ( .A(n68), .B(n75), .Y(masked_oe_lower_mask_we)
+         );
+  sky130_fd_sc_hd__nand2_1 U44 ( .A(n69), .B(n62), .Y(n72) );
+  sky130_fd_sc_hd__nor2_1 U45 ( .A(n68), .B(n72), .Y(intr_enable_we) );
+  sky130_fd_sc_hd__nand2_1 U46 ( .A(n58), .B(n59), .Y(n76) );
+  sky130_fd_sc_hd__nor2_1 U47 ( .A(n68), .B(n76), .Y(intr_ctrl_en_rising_we)
+         );
+  sky130_fd_sc_hd__nand3_1 U48 ( .A(reg_addr[3]), .B(reg_addr[2]), .C(n59), 
+        .Y(n78) );
+  sky130_fd_sc_hd__nor2_1 U49 ( .A(n68), .B(n78), .Y(intr_ctrl_en_falling_we)
+         );
+  sky130_fd_sc_hd__clkinv_1 U50 ( .A(n63), .Y(n61) );
+  sky130_fd_sc_hd__nand2_1 U51 ( .A(n60), .B(n61), .Y(n73) );
+  sky130_fd_sc_hd__nor2_1 U52 ( .A(n68), .B(n73), .Y(intr_ctrl_en_lvlhigh_we)
+         );
+  sky130_fd_sc_hd__nand2_1 U53 ( .A(n62), .B(n61), .Y(n74) );
+  sky130_fd_sc_hd__nor2_1 U54 ( .A(n68), .B(n74), .Y(intr_ctrl_en_lvllow_we)
+         );
+  sky130_fd_sc_hd__nand2_1 U55 ( .A(reg_addr[3]), .B(reg_addr[2]), .Y(n64) );
+  sky130_fd_sc_hd__nor2_1 U56 ( .A(n64), .B(n63), .Y(n235) );
+  sky130_fd_sc_hd__o21ai_1 U57 ( .A1(reg_we), .A2(reg_re), .B1(n235), .Y(n66)
+         );
+  sky130_fd_sc_hd__nand2_1 U58 ( .A(n66), .B(n65), .Y(reg_error) );
+  sky130_fd_sc_hd__nor3b_1 U59 ( .C_N(n69), .A(n68), .B(n67), .Y(intr_test_we)
+         );
+  sky130_fd_sc_hd__clkinv_1 U67 ( .A(n71), .Y(n301) );
+  sky130_fd_sc_hd__clkinv_1 U68 ( .A(n72), .Y(n292) );
+  sky130_fd_sc_hd__a22oi_1 U69 ( .A1(n301), .A2(intr_state_qs[0]), .B1(n292), 
+        .B2(intr_enable_qs[0]), .Y(n89) );
+  sky130_fd_sc_hd__clkinv_1 U70 ( .A(n73), .Y(n296) );
+  sky130_fd_sc_hd__clkinv_1 U71 ( .A(n74), .Y(n293) );
+  sky130_fd_sc_hd__a22oi_1 U72 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[0]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[0]), .Y(n88) );
+  sky130_fd_sc_hd__a21oi_1 U73 ( .A1(n295), .A2(ctrl_en_input_filter_qs[0]), 
+        .B1(n235), .Y(n87) );
+  sky130_fd_sc_hd__clkinv_1 U74 ( .A(n75), .Y(n204) );
+  sky130_fd_sc_hd__clkinv_1 U75 ( .A(n76), .Y(n291) );
+  sky130_fd_sc_hd__a22oi_1 U76 ( .A1(n204), .A2(masked_oe_lower_data_qs[0]), 
+        .B1(n291), .B2(intr_ctrl_en_rising_qs[0]), .Y(n85) );
+  sky130_fd_sc_hd__clkinv_1 U77 ( .A(n77), .Y(n203) );
+  sky130_fd_sc_hd__clkinv_1 U78 ( .A(n78), .Y(n294) );
+  sky130_fd_sc_hd__a22oi_1 U79 ( .A1(n203), .A2(masked_oe_upper_data_qs[0]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[0]), .Y(n84) );
+  sky130_fd_sc_hd__clkinv_1 U80 ( .A(n79), .Y(n298) );
+  sky130_fd_sc_hd__clkinv_1 U81 ( .A(n234), .Y(n154) );
+  sky130_fd_sc_hd__a22oi_1 U82 ( .A1(n298), .A2(direct_out_qs[0]), .B1(n154), 
+        .B2(masked_out_lower_data_qs[0]), .Y(n83) );
+  sky130_fd_sc_hd__clkinv_1 U83 ( .A(n80), .Y(n205) );
+  sky130_fd_sc_hd__clkinv_1 U84 ( .A(n81), .Y(n297) );
+  sky130_fd_sc_hd__a22oi_1 U85 ( .A1(n205), .A2(masked_out_upper_data_qs[0]), 
+        .B1(n297), .B2(direct_oe_qs[0]), .Y(n82) );
+  sky130_fd_sc_hd__and4_1 U86 ( .A(n85), .B(n84), .C(n83), .D(n82), .X(n86) );
+  sky130_fd_sc_hd__nand4_1 U87 ( .A(n89), .B(n88), .C(n87), .D(n86), .Y(
+        reg_rdata[0]) );
+  sky130_fd_sc_hd__a22oi_1 U88 ( .A1(n301), .A2(intr_state_qs[1]), .B1(n292), 
+        .B2(intr_enable_qs[1]), .Y(n97) );
+  sky130_fd_sc_hd__a22oi_1 U89 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[1]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[1]), .Y(n96) );
+  sky130_fd_sc_hd__a21oi_1 U90 ( .A1(n295), .A2(ctrl_en_input_filter_qs[1]), 
+        .B1(n235), .Y(n95) );
+  sky130_fd_sc_hd__a22oi_1 U91 ( .A1(n204), .A2(masked_oe_lower_data_qs[1]), 
+        .B1(n291), .B2(intr_ctrl_en_rising_qs[1]), .Y(n93) );
+  sky130_fd_sc_hd__a22oi_1 U92 ( .A1(n203), .A2(masked_oe_upper_data_qs[1]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[1]), .Y(n92) );
+  sky130_fd_sc_hd__a22oi_1 U93 ( .A1(n298), .A2(direct_out_qs[1]), .B1(n154), 
+        .B2(masked_out_lower_data_qs[1]), .Y(n91) );
+  sky130_fd_sc_hd__a22oi_1 U94 ( .A1(n205), .A2(masked_out_upper_data_qs[1]), 
+        .B1(n297), .B2(direct_oe_qs[1]), .Y(n90) );
+  sky130_fd_sc_hd__and4_1 U95 ( .A(n93), .B(n92), .C(n91), .D(n90), .X(n94) );
+  sky130_fd_sc_hd__nand4_1 U96 ( .A(n97), .B(n96), .C(n95), .D(n94), .Y(
+        reg_rdata[1]) );
+  sky130_fd_sc_hd__a22oi_1 U97 ( .A1(n301), .A2(intr_state_qs[2]), .B1(n292), 
+        .B2(intr_enable_qs[2]), .Y(n105) );
+  sky130_fd_sc_hd__a22oi_1 U98 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[2]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[2]), .Y(n104) );
+  sky130_fd_sc_hd__a21oi_1 U99 ( .A1(n295), .A2(ctrl_en_input_filter_qs[2]), 
+        .B1(n235), .Y(n103) );
+  sky130_fd_sc_hd__a22oi_1 U100 ( .A1(n204), .A2(masked_oe_lower_data_qs[2]), 
+        .B1(n291), .B2(intr_ctrl_en_rising_qs[2]), .Y(n101) );
+  sky130_fd_sc_hd__a22oi_1 U101 ( .A1(n203), .A2(masked_oe_upper_data_qs[2]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[2]), .Y(n100) );
+  sky130_fd_sc_hd__a22oi_1 U102 ( .A1(n298), .A2(direct_out_qs[2]), .B1(n154), 
+        .B2(masked_out_lower_data_qs[2]), .Y(n99) );
+  sky130_fd_sc_hd__a22oi_1 U103 ( .A1(n205), .A2(masked_out_upper_data_qs[2]), 
+        .B1(n297), .B2(direct_oe_qs[2]), .Y(n98) );
+  sky130_fd_sc_hd__and4_1 U104 ( .A(n101), .B(n100), .C(n99), .D(n98), .X(n102) );
+  sky130_fd_sc_hd__nand4_1 U105 ( .A(n105), .B(n104), .C(n103), .D(n102), .Y(
+        reg_rdata[2]) );
+  sky130_fd_sc_hd__a22oi_1 U106 ( .A1(n301), .A2(intr_state_qs[3]), .B1(n292), 
+        .B2(intr_enable_qs[3]), .Y(n113) );
+  sky130_fd_sc_hd__a22oi_1 U107 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[3]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[3]), .Y(n112) );
+  sky130_fd_sc_hd__a21oi_1 U108 ( .A1(n295), .A2(ctrl_en_input_filter_qs[3]), 
+        .B1(n235), .Y(n111) );
+  sky130_fd_sc_hd__a22oi_1 U109 ( .A1(n204), .A2(masked_oe_lower_data_qs[3]), 
+        .B1(n291), .B2(intr_ctrl_en_rising_qs[3]), .Y(n109) );
+  sky130_fd_sc_hd__a22oi_1 U110 ( .A1(n203), .A2(masked_oe_upper_data_qs[3]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[3]), .Y(n108) );
+  sky130_fd_sc_hd__a22oi_1 U111 ( .A1(n298), .A2(direct_out_qs[3]), .B1(n154), 
+        .B2(masked_out_lower_data_qs[3]), .Y(n107) );
+  sky130_fd_sc_hd__a22oi_1 U112 ( .A1(n205), .A2(masked_out_upper_data_qs[3]), 
+        .B1(n297), .B2(direct_oe_qs[3]), .Y(n106) );
+  sky130_fd_sc_hd__and4_1 U113 ( .A(n109), .B(n108), .C(n107), .D(n106), .X(
+        n110) );
+  sky130_fd_sc_hd__nand4_1 U114 ( .A(n113), .B(n112), .C(n111), .D(n110), .Y(
+        reg_rdata[3]) );
+  sky130_fd_sc_hd__a22oi_1 U115 ( .A1(n301), .A2(intr_state_qs[4]), .B1(n292), 
+        .B2(intr_enable_qs[4]), .Y(n121) );
+  sky130_fd_sc_hd__a22oi_1 U116 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[4]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[4]), .Y(n120) );
+  sky130_fd_sc_hd__a21oi_1 U117 ( .A1(n295), .A2(ctrl_en_input_filter_qs[4]), 
+        .B1(n235), .Y(n119) );
+  sky130_fd_sc_hd__a22oi_1 U118 ( .A1(n204), .A2(masked_oe_lower_data_qs[4]), 
+        .B1(n291), .B2(intr_ctrl_en_rising_qs[4]), .Y(n117) );
+  sky130_fd_sc_hd__a22oi_1 U119 ( .A1(n203), .A2(masked_oe_upper_data_qs[4]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[4]), .Y(n116) );
+  sky130_fd_sc_hd__a22oi_1 U120 ( .A1(n298), .A2(direct_out_qs[4]), .B1(n154), 
+        .B2(masked_out_lower_data_qs[4]), .Y(n115) );
+  sky130_fd_sc_hd__a22oi_1 U121 ( .A1(n205), .A2(masked_out_upper_data_qs[4]), 
+        .B1(n297), .B2(direct_oe_qs[4]), .Y(n114) );
+  sky130_fd_sc_hd__and4_1 U122 ( .A(n117), .B(n116), .C(n115), .D(n114), .X(
+        n118) );
+  sky130_fd_sc_hd__nand4_1 U123 ( .A(n121), .B(n120), .C(n119), .D(n118), .Y(
+        reg_rdata[4]) );
+  sky130_fd_sc_hd__a22oi_1 U124 ( .A1(n301), .A2(intr_state_qs[5]), .B1(n292), 
+        .B2(intr_enable_qs[5]), .Y(n129) );
+  sky130_fd_sc_hd__a22oi_1 U125 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[5]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[5]), .Y(n128) );
+  sky130_fd_sc_hd__a21oi_1 U126 ( .A1(n295), .A2(ctrl_en_input_filter_qs[5]), 
+        .B1(n235), .Y(n127) );
+  sky130_fd_sc_hd__a22oi_1 U127 ( .A1(n204), .A2(masked_oe_lower_data_qs[5]), 
+        .B1(n291), .B2(intr_ctrl_en_rising_qs[5]), .Y(n125) );
+  sky130_fd_sc_hd__a22oi_1 U128 ( .A1(n203), .A2(masked_oe_upper_data_qs[5]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[5]), .Y(n124) );
+  sky130_fd_sc_hd__a22oi_1 U129 ( .A1(n298), .A2(direct_out_qs[5]), .B1(n154), 
+        .B2(masked_out_lower_data_qs[5]), .Y(n123) );
+  sky130_fd_sc_hd__a22oi_1 U130 ( .A1(n205), .A2(masked_out_upper_data_qs[5]), 
+        .B1(n297), .B2(direct_oe_qs[5]), .Y(n122) );
+  sky130_fd_sc_hd__and4_1 U131 ( .A(n125), .B(n124), .C(n123), .D(n122), .X(
+        n126) );
+  sky130_fd_sc_hd__nand4_1 U132 ( .A(n129), .B(n128), .C(n127), .D(n126), .Y(
+        reg_rdata[5]) );
+  sky130_fd_sc_hd__a22oi_1 U133 ( .A1(n301), .A2(intr_state_qs[6]), .B1(n292), 
+        .B2(intr_enable_qs[6]), .Y(n137) );
+  sky130_fd_sc_hd__a22oi_1 U134 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[6]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[6]), .Y(n136) );
+  sky130_fd_sc_hd__a21oi_1 U135 ( .A1(n295), .A2(ctrl_en_input_filter_qs[6]), 
+        .B1(n235), .Y(n135) );
+  sky130_fd_sc_hd__a22oi_1 U136 ( .A1(n204), .A2(masked_oe_lower_data_qs[6]), 
+        .B1(n291), .B2(intr_ctrl_en_rising_qs[6]), .Y(n133) );
+  sky130_fd_sc_hd__a22oi_1 U137 ( .A1(n203), .A2(masked_oe_upper_data_qs[6]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[6]), .Y(n132) );
+  sky130_fd_sc_hd__a22oi_1 U138 ( .A1(n298), .A2(direct_out_qs[6]), .B1(n154), 
+        .B2(masked_out_lower_data_qs[6]), .Y(n131) );
+  sky130_fd_sc_hd__a22oi_1 U139 ( .A1(n205), .A2(masked_out_upper_data_qs[6]), 
+        .B1(n297), .B2(direct_oe_qs[6]), .Y(n130) );
+  sky130_fd_sc_hd__and4_1 U140 ( .A(n133), .B(n132), .C(n131), .D(n130), .X(
+        n134) );
+  sky130_fd_sc_hd__nand4_1 U141 ( .A(n137), .B(n136), .C(n135), .D(n134), .Y(
+        reg_rdata[6]) );
+  sky130_fd_sc_hd__a22oi_1 U142 ( .A1(n301), .A2(intr_state_qs[7]), .B1(n292), 
+        .B2(intr_enable_qs[7]), .Y(n145) );
+  sky130_fd_sc_hd__a22oi_1 U143 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[7]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[7]), .Y(n144) );
+  sky130_fd_sc_hd__a21oi_1 U144 ( .A1(n295), .A2(ctrl_en_input_filter_qs[7]), 
+        .B1(n235), .Y(n143) );
+  sky130_fd_sc_hd__a22oi_1 U145 ( .A1(n204), .A2(masked_oe_lower_data_qs[7]), 
+        .B1(n291), .B2(intr_ctrl_en_rising_qs[7]), .Y(n141) );
+  sky130_fd_sc_hd__a22oi_1 U146 ( .A1(n203), .A2(masked_oe_upper_data_qs[7]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[7]), .Y(n140) );
+  sky130_fd_sc_hd__a22oi_1 U147 ( .A1(n298), .A2(direct_out_qs[7]), .B1(n154), 
+        .B2(masked_out_lower_data_qs[7]), .Y(n139) );
+  sky130_fd_sc_hd__a22oi_1 U148 ( .A1(n205), .A2(masked_out_upper_data_qs[7]), 
+        .B1(n297), .B2(direct_oe_qs[7]), .Y(n138) );
+  sky130_fd_sc_hd__and4_1 U149 ( .A(n141), .B(n140), .C(n139), .D(n138), .X(
+        n142) );
+  sky130_fd_sc_hd__nand4_1 U150 ( .A(n145), .B(n144), .C(n143), .D(n142), .Y(
+        reg_rdata[7]) );
+  sky130_fd_sc_hd__a22oi_1 U151 ( .A1(n301), .A2(intr_state_qs[8]), .B1(n292), 
+        .B2(intr_enable_qs[8]), .Y(n153) );
+  sky130_fd_sc_hd__a22oi_1 U152 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[8]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[8]), .Y(n152) );
+  sky130_fd_sc_hd__a21oi_1 U153 ( .A1(n295), .A2(ctrl_en_input_filter_qs[8]), 
+        .B1(n235), .Y(n151) );
+  sky130_fd_sc_hd__a22oi_1 U154 ( .A1(n204), .A2(masked_oe_lower_data_qs[8]), 
+        .B1(n291), .B2(intr_ctrl_en_rising_qs[8]), .Y(n149) );
+  sky130_fd_sc_hd__a22oi_1 U155 ( .A1(n203), .A2(masked_oe_upper_data_qs[8]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[8]), .Y(n148) );
+  sky130_fd_sc_hd__a22oi_1 U156 ( .A1(n298), .A2(direct_out_qs[8]), .B1(n154), 
+        .B2(masked_out_lower_data_qs[8]), .Y(n147) );
+  sky130_fd_sc_hd__a22oi_1 U157 ( .A1(n205), .A2(masked_out_upper_data_qs[8]), 
+        .B1(n297), .B2(direct_oe_qs[8]), .Y(n146) );
+  sky130_fd_sc_hd__and4_1 U158 ( .A(n149), .B(n148), .C(n147), .D(n146), .X(
+        n150) );
+  sky130_fd_sc_hd__nand4_1 U159 ( .A(n153), .B(n152), .C(n151), .D(n150), .Y(
+        reg_rdata[8]) );
+  sky130_fd_sc_hd__a22oi_1 U160 ( .A1(n301), .A2(intr_state_qs[9]), .B1(n292), 
+        .B2(intr_enable_qs[9]), .Y(n162) );
+  sky130_fd_sc_hd__a22oi_1 U161 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[9]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[9]), .Y(n161) );
+  sky130_fd_sc_hd__a21oi_1 U162 ( .A1(n295), .A2(ctrl_en_input_filter_qs[9]), 
+        .B1(n235), .Y(n160) );
+  sky130_fd_sc_hd__a22oi_1 U163 ( .A1(n204), .A2(masked_oe_lower_data_qs[9]), 
+        .B1(n291), .B2(intr_ctrl_en_rising_qs[9]), .Y(n158) );
+  sky130_fd_sc_hd__a22oi_1 U164 ( .A1(n203), .A2(masked_oe_upper_data_qs[9]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[9]), .Y(n157) );
+  sky130_fd_sc_hd__a22oi_1 U165 ( .A1(n298), .A2(direct_out_qs[9]), .B1(n154), 
+        .B2(masked_out_lower_data_qs[9]), .Y(n156) );
+  sky130_fd_sc_hd__a22oi_1 U166 ( .A1(n205), .A2(masked_out_upper_data_qs[9]), 
+        .B1(n297), .B2(direct_oe_qs[9]), .Y(n155) );
+  sky130_fd_sc_hd__and4_1 U167 ( .A(n158), .B(n157), .C(n156), .D(n155), .X(
+        n159) );
+  sky130_fd_sc_hd__nand4_1 U168 ( .A(n162), .B(n161), .C(n160), .D(n159), .Y(
+        reg_rdata[9]) );
+  sky130_fd_sc_hd__a22oi_1 U169 ( .A1(n301), .A2(intr_state_qs[10]), .B1(n298), 
+        .B2(direct_out_qs[10]), .Y(n170) );
+  sky130_fd_sc_hd__a22oi_1 U170 ( .A1(n292), .A2(intr_enable_qs[10]), .B1(n295), .B2(ctrl_en_input_filter_qs[10]), .Y(n169) );
+  sky130_fd_sc_hd__a22oi_1 U171 ( .A1(n203), .A2(masked_oe_upper_data_qs[10]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[10]), .Y(n166) );
+  sky130_fd_sc_hd__a22oi_1 U172 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[10]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[10]), .Y(n165) );
+  sky130_fd_sc_hd__a22oi_1 U173 ( .A1(n205), .A2(masked_out_upper_data_qs[10]), 
+        .B1(n204), .B2(masked_oe_lower_data_qs[10]), .Y(n164) );
+  sky130_fd_sc_hd__a22oi_1 U174 ( .A1(n297), .A2(direct_oe_qs[10]), .B1(n291), 
+        .B2(intr_ctrl_en_rising_qs[10]), .Y(n163) );
+  sky130_fd_sc_hd__nand4_1 U175 ( .A(n166), .B(n165), .C(n164), .D(n163), .Y(
+        n167) );
+  sky130_fd_sc_hd__nor2_1 U176 ( .A(n167), .B(n235), .Y(n168) );
+  sky130_fd_sc_hd__nand3_1 U177 ( .A(n170), .B(n169), .C(n168), .Y(
+        reg_rdata[10]) );
+  sky130_fd_sc_hd__a22oi_1 U178 ( .A1(n301), .A2(intr_state_qs[11]), .B1(n298), 
+        .B2(direct_out_qs[11]), .Y(n178) );
+  sky130_fd_sc_hd__a22oi_1 U179 ( .A1(n292), .A2(intr_enable_qs[11]), .B1(n295), .B2(ctrl_en_input_filter_qs[11]), .Y(n177) );
+  sky130_fd_sc_hd__a22oi_1 U180 ( .A1(n203), .A2(masked_oe_upper_data_qs[11]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[11]), .Y(n174) );
+  sky130_fd_sc_hd__a22oi_1 U181 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[11]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[11]), .Y(n173) );
+  sky130_fd_sc_hd__a22oi_1 U182 ( .A1(n205), .A2(masked_out_upper_data_qs[11]), 
+        .B1(n204), .B2(masked_oe_lower_data_qs[11]), .Y(n172) );
+  sky130_fd_sc_hd__a22oi_1 U183 ( .A1(n297), .A2(direct_oe_qs[11]), .B1(n291), 
+        .B2(intr_ctrl_en_rising_qs[11]), .Y(n171) );
+  sky130_fd_sc_hd__nand4_1 U184 ( .A(n174), .B(n173), .C(n172), .D(n171), .Y(
+        n175) );
+  sky130_fd_sc_hd__nor2_1 U185 ( .A(n175), .B(n235), .Y(n176) );
+  sky130_fd_sc_hd__nand3_1 U186 ( .A(n178), .B(n177), .C(n176), .Y(
+        reg_rdata[11]) );
+  sky130_fd_sc_hd__a22oi_1 U187 ( .A1(n301), .A2(intr_state_qs[12]), .B1(n298), 
+        .B2(direct_out_qs[12]), .Y(n186) );
+  sky130_fd_sc_hd__a22oi_1 U188 ( .A1(n292), .A2(intr_enable_qs[12]), .B1(n295), .B2(ctrl_en_input_filter_qs[12]), .Y(n185) );
+  sky130_fd_sc_hd__a22oi_1 U189 ( .A1(n203), .A2(masked_oe_upper_data_qs[12]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[12]), .Y(n182) );
+  sky130_fd_sc_hd__a22oi_1 U190 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[12]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[12]), .Y(n181) );
+  sky130_fd_sc_hd__a22oi_1 U191 ( .A1(n205), .A2(masked_out_upper_data_qs[12]), 
+        .B1(n204), .B2(masked_oe_lower_data_qs[12]), .Y(n180) );
+  sky130_fd_sc_hd__a22oi_1 U192 ( .A1(n297), .A2(direct_oe_qs[12]), .B1(n291), 
+        .B2(intr_ctrl_en_rising_qs[12]), .Y(n179) );
+  sky130_fd_sc_hd__nand4_1 U193 ( .A(n182), .B(n181), .C(n180), .D(n179), .Y(
+        n183) );
+  sky130_fd_sc_hd__nor2_1 U194 ( .A(n183), .B(n235), .Y(n184) );
+  sky130_fd_sc_hd__nand3_1 U195 ( .A(n186), .B(n185), .C(n184), .Y(
+        reg_rdata[12]) );
+  sky130_fd_sc_hd__a22oi_1 U196 ( .A1(n301), .A2(intr_state_qs[13]), .B1(n298), 
+        .B2(direct_out_qs[13]), .Y(n194) );
+  sky130_fd_sc_hd__a22oi_1 U197 ( .A1(n292), .A2(intr_enable_qs[13]), .B1(n295), .B2(ctrl_en_input_filter_qs[13]), .Y(n193) );
+  sky130_fd_sc_hd__a22oi_1 U198 ( .A1(n203), .A2(masked_oe_upper_data_qs[13]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[13]), .Y(n190) );
+  sky130_fd_sc_hd__a22oi_1 U199 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[13]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[13]), .Y(n189) );
+  sky130_fd_sc_hd__a22oi_1 U200 ( .A1(n205), .A2(masked_out_upper_data_qs[13]), 
+        .B1(n204), .B2(masked_oe_lower_data_qs[13]), .Y(n188) );
+  sky130_fd_sc_hd__a22oi_1 U201 ( .A1(n297), .A2(direct_oe_qs[13]), .B1(n291), 
+        .B2(intr_ctrl_en_rising_qs[13]), .Y(n187) );
+  sky130_fd_sc_hd__nand4_1 U202 ( .A(n190), .B(n189), .C(n188), .D(n187), .Y(
+        n191) );
+  sky130_fd_sc_hd__nor2_1 U203 ( .A(n191), .B(n235), .Y(n192) );
+  sky130_fd_sc_hd__nand3_1 U204 ( .A(n194), .B(n193), .C(n192), .Y(
+        reg_rdata[13]) );
+  sky130_fd_sc_hd__a22oi_1 U205 ( .A1(n301), .A2(intr_state_qs[14]), .B1(n298), 
+        .B2(direct_out_qs[14]), .Y(n202) );
+  sky130_fd_sc_hd__a22oi_1 U206 ( .A1(n292), .A2(intr_enable_qs[14]), .B1(n295), .B2(ctrl_en_input_filter_qs[14]), .Y(n201) );
+  sky130_fd_sc_hd__a22oi_1 U207 ( .A1(n203), .A2(masked_oe_upper_data_qs[14]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[14]), .Y(n198) );
+  sky130_fd_sc_hd__a22oi_1 U208 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[14]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[14]), .Y(n197) );
+  sky130_fd_sc_hd__a22oi_1 U209 ( .A1(n205), .A2(masked_out_upper_data_qs[14]), 
+        .B1(n204), .B2(masked_oe_lower_data_qs[14]), .Y(n196) );
+  sky130_fd_sc_hd__a22oi_1 U210 ( .A1(n297), .A2(direct_oe_qs[14]), .B1(n291), 
+        .B2(intr_ctrl_en_rising_qs[14]), .Y(n195) );
+  sky130_fd_sc_hd__nand4_1 U211 ( .A(n198), .B(n197), .C(n196), .D(n195), .Y(
+        n199) );
+  sky130_fd_sc_hd__nor2_1 U212 ( .A(n199), .B(n235), .Y(n200) );
+  sky130_fd_sc_hd__nand3_1 U213 ( .A(n202), .B(n201), .C(n200), .Y(
+        reg_rdata[14]) );
+  sky130_fd_sc_hd__a22oi_1 U214 ( .A1(n301), .A2(intr_state_qs[15]), .B1(n298), 
+        .B2(direct_out_qs[15]), .Y(n213) );
+  sky130_fd_sc_hd__a22oi_1 U215 ( .A1(n292), .A2(intr_enable_qs[15]), .B1(n295), .B2(ctrl_en_input_filter_qs[15]), .Y(n212) );
+  sky130_fd_sc_hd__a22oi_1 U216 ( .A1(n203), .A2(masked_oe_upper_data_qs[15]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[15]), .Y(n209) );
+  sky130_fd_sc_hd__a22oi_1 U217 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[15]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[15]), .Y(n208) );
+  sky130_fd_sc_hd__a22oi_1 U218 ( .A1(n205), .A2(masked_out_upper_data_qs[15]), 
+        .B1(n204), .B2(masked_oe_lower_data_qs[15]), .Y(n207) );
+  sky130_fd_sc_hd__a22oi_1 U219 ( .A1(n297), .A2(direct_oe_qs[15]), .B1(n291), 
+        .B2(intr_ctrl_en_rising_qs[15]), .Y(n206) );
+  sky130_fd_sc_hd__nand4_1 U220 ( .A(n209), .B(n208), .C(n207), .D(n206), .Y(
+        n210) );
+  sky130_fd_sc_hd__nor2_1 U221 ( .A(n210), .B(n235), .Y(n211) );
+  sky130_fd_sc_hd__nand3_1 U222 ( .A(n213), .B(n212), .C(n211), .Y(
+        reg_rdata[15]) );
+  sky130_fd_sc_hd__a22oi_1 U223 ( .A1(n298), .A2(direct_out_qs[16]), .B1(n294), 
+        .B2(intr_ctrl_en_falling_qs[16]), .Y(n218) );
+  sky130_fd_sc_hd__a22oi_1 U224 ( .A1(n301), .A2(intr_state_qs[16]), .B1(n292), 
+        .B2(intr_enable_qs[16]), .Y(n217) );
+  sky130_fd_sc_hd__a22oi_1 U225 ( .A1(n297), .A2(direct_oe_qs[16]), .B1(n291), 
+        .B2(intr_ctrl_en_rising_qs[16]), .Y(n216) );
+  sky130_fd_sc_hd__a22o_1 U226 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[16]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[16]), .X(n214) );
+  sky130_fd_sc_hd__a211oi_1 U227 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[16]), 
+        .B1(n235), .C1(n214), .Y(n215) );
+  sky130_fd_sc_hd__nand4_1 U228 ( .A(n218), .B(n217), .C(n216), .D(n215), .Y(
+        reg_rdata[16]) );
+  sky130_fd_sc_hd__a22oi_1 U229 ( .A1(n298), .A2(direct_out_qs[17]), .B1(n294), 
+        .B2(intr_ctrl_en_falling_qs[17]), .Y(n223) );
+  sky130_fd_sc_hd__a22oi_1 U230 ( .A1(n301), .A2(intr_state_qs[17]), .B1(n292), 
+        .B2(intr_enable_qs[17]), .Y(n222) );
+  sky130_fd_sc_hd__a22oi_1 U231 ( .A1(n297), .A2(direct_oe_qs[17]), .B1(n291), 
+        .B2(intr_ctrl_en_rising_qs[17]), .Y(n221) );
+  sky130_fd_sc_hd__a22o_1 U232 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[17]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[17]), .X(n219) );
+  sky130_fd_sc_hd__a211oi_1 U233 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[17]), 
+        .B1(n235), .C1(n219), .Y(n220) );
+  sky130_fd_sc_hd__nand4_1 U234 ( .A(n223), .B(n222), .C(n221), .D(n220), .Y(
+        reg_rdata[17]) );
+  sky130_fd_sc_hd__a22oi_1 U235 ( .A1(n298), .A2(direct_out_qs[18]), .B1(n294), 
+        .B2(intr_ctrl_en_falling_qs[18]), .Y(n228) );
+  sky130_fd_sc_hd__a22oi_1 U236 ( .A1(n301), .A2(intr_state_qs[18]), .B1(n292), 
+        .B2(intr_enable_qs[18]), .Y(n227) );
+  sky130_fd_sc_hd__a22oi_1 U237 ( .A1(n297), .A2(direct_oe_qs[18]), .B1(n291), 
+        .B2(intr_ctrl_en_rising_qs[18]), .Y(n226) );
+  sky130_fd_sc_hd__a22o_1 U238 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[18]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[18]), .X(n224) );
+  sky130_fd_sc_hd__a211oi_1 U239 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[18]), 
+        .B1(n235), .C1(n224), .Y(n225) );
+  sky130_fd_sc_hd__nand4_1 U240 ( .A(n228), .B(n227), .C(n226), .D(n225), .Y(
+        reg_rdata[18]) );
+  sky130_fd_sc_hd__a22oi_1 U241 ( .A1(n298), .A2(direct_out_qs[19]), .B1(n294), 
+        .B2(intr_ctrl_en_falling_qs[19]), .Y(n233) );
+  sky130_fd_sc_hd__a22oi_1 U242 ( .A1(n301), .A2(intr_state_qs[19]), .B1(n292), 
+        .B2(intr_enable_qs[19]), .Y(n232) );
+  sky130_fd_sc_hd__a22oi_1 U243 ( .A1(n297), .A2(direct_oe_qs[19]), .B1(n291), 
+        .B2(intr_ctrl_en_rising_qs[19]), .Y(n231) );
+  sky130_fd_sc_hd__a22o_1 U244 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[19]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[19]), .X(n229) );
+  sky130_fd_sc_hd__a211oi_1 U245 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[19]), 
+        .B1(n235), .C1(n229), .Y(n230) );
+  sky130_fd_sc_hd__nand4_1 U246 ( .A(n233), .B(n232), .C(n231), .D(n230), .Y(
+        reg_rdata[19]) );
+  sky130_fd_sc_hd__a22oi_1 U247 ( .A1(n291), .A2(intr_ctrl_en_rising_qs[20]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[20]), .Y(n240) );
+  sky130_fd_sc_hd__a22oi_1 U248 ( .A1(n292), .A2(intr_enable_qs[20]), .B1(n297), .B2(direct_oe_qs[20]), .Y(n239) );
+  sky130_fd_sc_hd__a22oi_1 U249 ( .A1(n301), .A2(intr_state_qs[20]), .B1(n298), 
+        .B2(direct_out_qs[20]), .Y(n238) );
+  sky130_fd_sc_hd__nand2b_1 U250 ( .A_N(n235), .B(n234), .Y(n300) );
+  sky130_fd_sc_hd__a22o_1 U251 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[20]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[20]), .X(n236) );
+  sky130_fd_sc_hd__a211oi_1 U252 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[20]), 
+        .B1(n300), .C1(n236), .Y(n237) );
+  sky130_fd_sc_hd__nand4_1 U253 ( .A(n240), .B(n239), .C(n238), .D(n237), .Y(
+        reg_rdata[20]) );
+  sky130_fd_sc_hd__a22oi_1 U254 ( .A1(n291), .A2(intr_ctrl_en_rising_qs[21]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[21]), .Y(n245) );
+  sky130_fd_sc_hd__a22oi_1 U255 ( .A1(n292), .A2(intr_enable_qs[21]), .B1(n297), .B2(direct_oe_qs[21]), .Y(n244) );
+  sky130_fd_sc_hd__a22oi_1 U256 ( .A1(n301), .A2(intr_state_qs[21]), .B1(n298), 
+        .B2(direct_out_qs[21]), .Y(n243) );
+  sky130_fd_sc_hd__a22o_1 U257 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[21]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[21]), .X(n241) );
+  sky130_fd_sc_hd__a211oi_1 U258 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[21]), 
+        .B1(n300), .C1(n241), .Y(n242) );
+  sky130_fd_sc_hd__nand4_1 U259 ( .A(n245), .B(n244), .C(n243), .D(n242), .Y(
+        reg_rdata[21]) );
+  sky130_fd_sc_hd__a22oi_1 U260 ( .A1(n291), .A2(intr_ctrl_en_rising_qs[22]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[22]), .Y(n250) );
+  sky130_fd_sc_hd__a22oi_1 U261 ( .A1(n292), .A2(intr_enable_qs[22]), .B1(n297), .B2(direct_oe_qs[22]), .Y(n249) );
+  sky130_fd_sc_hd__a22oi_1 U262 ( .A1(n301), .A2(intr_state_qs[22]), .B1(n298), 
+        .B2(direct_out_qs[22]), .Y(n248) );
+  sky130_fd_sc_hd__a22o_1 U263 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[22]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[22]), .X(n246) );
+  sky130_fd_sc_hd__a211oi_1 U264 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[22]), 
+        .B1(n300), .C1(n246), .Y(n247) );
+  sky130_fd_sc_hd__nand4_1 U265 ( .A(n250), .B(n249), .C(n248), .D(n247), .Y(
+        reg_rdata[22]) );
+  sky130_fd_sc_hd__a22oi_1 U266 ( .A1(n291), .A2(intr_ctrl_en_rising_qs[23]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[23]), .Y(n255) );
+  sky130_fd_sc_hd__a22oi_1 U267 ( .A1(n292), .A2(intr_enable_qs[23]), .B1(n297), .B2(direct_oe_qs[23]), .Y(n254) );
+  sky130_fd_sc_hd__a22oi_1 U268 ( .A1(n301), .A2(intr_state_qs[23]), .B1(n298), 
+        .B2(direct_out_qs[23]), .Y(n253) );
+  sky130_fd_sc_hd__a22o_1 U269 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[23]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[23]), .X(n251) );
+  sky130_fd_sc_hd__a211oi_1 U270 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[23]), 
+        .B1(n300), .C1(n251), .Y(n252) );
+  sky130_fd_sc_hd__nand4_1 U271 ( .A(n255), .B(n254), .C(n253), .D(n252), .Y(
+        reg_rdata[23]) );
+  sky130_fd_sc_hd__a22oi_1 U272 ( .A1(n291), .A2(intr_ctrl_en_rising_qs[24]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[24]), .Y(n260) );
+  sky130_fd_sc_hd__a22oi_1 U273 ( .A1(n292), .A2(intr_enable_qs[24]), .B1(n297), .B2(direct_oe_qs[24]), .Y(n259) );
+  sky130_fd_sc_hd__a22oi_1 U274 ( .A1(n301), .A2(intr_state_qs[24]), .B1(n298), 
+        .B2(direct_out_qs[24]), .Y(n258) );
+  sky130_fd_sc_hd__a22o_1 U275 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[24]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[24]), .X(n256) );
+  sky130_fd_sc_hd__a211oi_1 U276 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[24]), 
+        .B1(n300), .C1(n256), .Y(n257) );
+  sky130_fd_sc_hd__nand4_1 U277 ( .A(n260), .B(n259), .C(n258), .D(n257), .Y(
+        reg_rdata[24]) );
+  sky130_fd_sc_hd__a22oi_1 U278 ( .A1(n291), .A2(intr_ctrl_en_rising_qs[25]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[25]), .Y(n265) );
+  sky130_fd_sc_hd__a22oi_1 U279 ( .A1(n292), .A2(intr_enable_qs[25]), .B1(n297), .B2(direct_oe_qs[25]), .Y(n264) );
+  sky130_fd_sc_hd__a22oi_1 U280 ( .A1(n301), .A2(intr_state_qs[25]), .B1(n298), 
+        .B2(direct_out_qs[25]), .Y(n263) );
+  sky130_fd_sc_hd__a22o_1 U281 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[25]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[25]), .X(n261) );
+  sky130_fd_sc_hd__a211oi_1 U282 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[25]), 
+        .B1(n300), .C1(n261), .Y(n262) );
+  sky130_fd_sc_hd__nand4_1 U283 ( .A(n265), .B(n264), .C(n263), .D(n262), .Y(
+        reg_rdata[25]) );
+  sky130_fd_sc_hd__a22oi_1 U284 ( .A1(n291), .A2(intr_ctrl_en_rising_qs[26]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[26]), .Y(n270) );
+  sky130_fd_sc_hd__a22oi_1 U285 ( .A1(n292), .A2(intr_enable_qs[26]), .B1(n297), .B2(direct_oe_qs[26]), .Y(n269) );
+  sky130_fd_sc_hd__a22oi_1 U286 ( .A1(n301), .A2(intr_state_qs[26]), .B1(n298), 
+        .B2(direct_out_qs[26]), .Y(n268) );
+  sky130_fd_sc_hd__a22o_1 U287 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[26]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[26]), .X(n266) );
+  sky130_fd_sc_hd__a211oi_1 U288 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[26]), 
+        .B1(n300), .C1(n266), .Y(n267) );
+  sky130_fd_sc_hd__nand4_1 U289 ( .A(n270), .B(n269), .C(n268), .D(n267), .Y(
+        reg_rdata[26]) );
+  sky130_fd_sc_hd__a22oi_1 U290 ( .A1(n291), .A2(intr_ctrl_en_rising_qs[27]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[27]), .Y(n275) );
+  sky130_fd_sc_hd__a22oi_1 U291 ( .A1(n292), .A2(intr_enable_qs[27]), .B1(n297), .B2(direct_oe_qs[27]), .Y(n274) );
+  sky130_fd_sc_hd__a22oi_1 U292 ( .A1(n301), .A2(intr_state_qs[27]), .B1(n298), 
+        .B2(direct_out_qs[27]), .Y(n273) );
+  sky130_fd_sc_hd__a22o_1 U293 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[27]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[27]), .X(n271) );
+  sky130_fd_sc_hd__a211oi_1 U294 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[27]), 
+        .B1(n300), .C1(n271), .Y(n272) );
+  sky130_fd_sc_hd__nand4_1 U295 ( .A(n275), .B(n274), .C(n273), .D(n272), .Y(
+        reg_rdata[27]) );
+  sky130_fd_sc_hd__a22oi_1 U296 ( .A1(n291), .A2(intr_ctrl_en_rising_qs[28]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[28]), .Y(n280) );
+  sky130_fd_sc_hd__a22oi_1 U297 ( .A1(n292), .A2(intr_enable_qs[28]), .B1(n297), .B2(direct_oe_qs[28]), .Y(n279) );
+  sky130_fd_sc_hd__a22oi_1 U298 ( .A1(n301), .A2(intr_state_qs[28]), .B1(n298), 
+        .B2(direct_out_qs[28]), .Y(n278) );
+  sky130_fd_sc_hd__a22o_1 U299 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[28]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[28]), .X(n276) );
+  sky130_fd_sc_hd__a211oi_1 U300 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[28]), 
+        .B1(n300), .C1(n276), .Y(n277) );
+  sky130_fd_sc_hd__nand4_1 U301 ( .A(n280), .B(n279), .C(n278), .D(n277), .Y(
+        reg_rdata[28]) );
+  sky130_fd_sc_hd__a22oi_1 U302 ( .A1(n291), .A2(intr_ctrl_en_rising_qs[29]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[29]), .Y(n285) );
+  sky130_fd_sc_hd__a22oi_1 U303 ( .A1(n292), .A2(intr_enable_qs[29]), .B1(n297), .B2(direct_oe_qs[29]), .Y(n284) );
+  sky130_fd_sc_hd__a22oi_1 U304 ( .A1(n301), .A2(intr_state_qs[29]), .B1(n298), 
+        .B2(direct_out_qs[29]), .Y(n283) );
+  sky130_fd_sc_hd__a22o_1 U305 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[29]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[29]), .X(n281) );
+  sky130_fd_sc_hd__a211oi_1 U306 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[29]), 
+        .B1(n300), .C1(n281), .Y(n282) );
+  sky130_fd_sc_hd__nand4_1 U307 ( .A(n285), .B(n284), .C(n283), .D(n282), .Y(
+        reg_rdata[29]) );
+  sky130_fd_sc_hd__a22oi_1 U308 ( .A1(n291), .A2(intr_ctrl_en_rising_qs[30]), 
+        .B1(n294), .B2(intr_ctrl_en_falling_qs[30]), .Y(n290) );
+  sky130_fd_sc_hd__a22oi_1 U309 ( .A1(n292), .A2(intr_enable_qs[30]), .B1(n297), .B2(direct_oe_qs[30]), .Y(n289) );
+  sky130_fd_sc_hd__a22oi_1 U310 ( .A1(n301), .A2(intr_state_qs[30]), .B1(n298), 
+        .B2(direct_out_qs[30]), .Y(n288) );
+  sky130_fd_sc_hd__a22o_1 U311 ( .A1(n293), .A2(intr_ctrl_en_lvllow_qs[30]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[30]), .X(n286) );
+  sky130_fd_sc_hd__a211oi_1 U312 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[30]), 
+        .B1(n300), .C1(n286), .Y(n287) );
+  sky130_fd_sc_hd__nand4_1 U313 ( .A(n290), .B(n289), .C(n288), .D(n287), .Y(
+        reg_rdata[30]) );
+  sky130_fd_sc_hd__a22oi_1 U314 ( .A1(n292), .A2(intr_enable_qs[31]), .B1(n291), .B2(intr_ctrl_en_rising_qs[31]), .Y(n305) );
+  sky130_fd_sc_hd__a22oi_1 U315 ( .A1(n294), .A2(intr_ctrl_en_falling_qs[31]), 
+        .B1(n293), .B2(intr_ctrl_en_lvllow_qs[31]), .Y(n304) );
+  sky130_fd_sc_hd__a22oi_1 U316 ( .A1(n296), .A2(intr_ctrl_en_lvlhigh_qs[31]), 
+        .B1(n295), .B2(ctrl_en_input_filter_qs[31]), .Y(n303) );
+  sky130_fd_sc_hd__a22o_1 U317 ( .A1(n298), .A2(direct_out_qs[31]), .B1(n297), 
+        .B2(direct_oe_qs[31]), .X(n299) );
+  sky130_fd_sc_hd__a211oi_1 U318 ( .A1(n301), .A2(intr_state_qs[31]), .B1(n300), .C1(n299), .Y(n302) );
+  sky130_fd_sc_hd__nand4_1 U319 ( .A(n305), .B(n304), .C(n303), .D(n302), .Y(
+        reg_rdata[31]) );
+endmodule
+
+
+module opentitan_soc_top_gpio_0 ( clk_i, rst_ni, tl_i, tl_o, cio_gpio_o, 
+        cio_gpio_en_o, intr_gpio_o );
+  input [85:0] tl_i;
+  output [51:0] tl_o;
+  output [31:0] cio_gpio_o;
+  output [31:0] cio_gpio_en_o;
+  output [31:0] intr_gpio_o;
+  input clk_i, rst_ni;
+  wire   cio_gpio_o_31_, cio_gpio_o_30_, cio_gpio_o_29_, cio_gpio_o_28_,
+         cio_gpio_o_27_, cio_gpio_o_26_, cio_gpio_o_25_, cio_gpio_o_24_,
+         cio_gpio_o_23_, cio_gpio_o_22_, cio_gpio_o_21_, cio_gpio_o_20_,
+         cio_gpio_o_19_, cio_gpio_o_18_, cio_gpio_o_17_, cio_gpio_o_16_,
+         cio_gpio_o_15_, cio_gpio_o_14_, cio_gpio_o_13_, cio_gpio_o_12_,
+         cio_gpio_o_11_, cio_gpio_o_10_, cio_gpio_o_9_, cio_gpio_o_8_,
+         hw2reg_intr_state__d__31_, hw2reg_intr_state__d__30_,
+         hw2reg_intr_state__d__29_, hw2reg_intr_state__d__28_,
+         hw2reg_intr_state__d__27_, hw2reg_intr_state__d__26_,
+         hw2reg_intr_state__d__25_, hw2reg_intr_state__d__24_,
+         hw2reg_intr_state__d__23_, hw2reg_intr_state__d__22_,
+         hw2reg_intr_state__d__21_, hw2reg_intr_state__d__20_,
+         hw2reg_intr_state__d__19_, hw2reg_intr_state__d__18_,
+         hw2reg_intr_state__d__17_, hw2reg_intr_state__d__16_,
+         hw2reg_intr_state__d__15_, hw2reg_intr_state__d__14_,
+         hw2reg_intr_state__d__13_, hw2reg_intr_state__d__12_,
+         hw2reg_intr_state__d__11_, hw2reg_intr_state__d__10_,
+         hw2reg_intr_state__d__9_, hw2reg_intr_state__d__8_,
+         hw2reg_intr_state__d__7_, hw2reg_intr_state__d__6_,
+         hw2reg_intr_state__d__5_, hw2reg_intr_state__d__4_,
+         hw2reg_intr_state__d__3_, hw2reg_intr_state__d__2_,
+         hw2reg_intr_state__d__1_, hw2reg_intr_state__d__0_,
+         hw2reg_intr_state__de_, n348, n349, n350, n351, n352, n353, n354,
+         n355, n356, n357, n358, n359, n360, n361, n362, n363, n364, n365,
+         n366, n367, n368, n369, n370, n371, n372, n373, n374, n375, n376,
+         n377, n378, n379, n380, n381, n382, n383, n384, n385, n386, n387,
+         n388, n389, n390, n391, n392, n393, n394, n395, n396, n397, n398,
+         n399, n400, n401, n402, n403, n404, n405, n406, n407, n408, n409,
+         n410, n411, n412, n14, n15, n16, n17, n18, n19, n20, n21, n22, n23,
+         n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37,
+         n38, n39, n40, n41, n42, n43, n44, n45, n46, n47, n48, n49, n50, n51,
+         n52, n53, n54, n55, n56, n57, n58, n59, n60, n61, n62, n63, n64, n65,
+         n66, n67, n68, n69, n70, n71, n72, n73, n74, n75, n76, n77, n78, n79,
+         n80, n81, n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93,
+         n94, n95, n96, n97, n98, n99, n100, n101, n102, n103, n104, n105,
+         n106, n107, n108, n109, n110, n111, n112, n113, n115, n116, n117,
+         n118, n119, n120, n121, n122, n123, n124, n125, n126, n127, n128,
+         n129, n130, n131, n132, n133, n134, n135, n136, n137, n138, n139,
+         n140, n141, n142, n143, n144, n145, n146, n147, n148, n149, n150,
+         n151, n152, n153, n154, n155, n156, n157, n158, n159, n160, n161,
+         n162, n163, n164, n165, n166, n167, n168, n169, n170, n171, n172,
+         n173, n174, n175, n176, n177, n178, n179, n180, n181, n182, n183,
+         n184, n185, n186, n187, n188, n189, n190, n191, n192, n193, n194,
+         n195, n196, n197, n198, n200, n201, n202, n203, n204,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56,
+         SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58,
+         SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60,
+         SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62,
+         SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64,
+         SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66,
+         SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68,
+         SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70,
+         SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72,
+         SYNOPSYS_UNCONNECTED_73, SYNOPSYS_UNCONNECTED_74,
+         SYNOPSYS_UNCONNECTED_75, SYNOPSYS_UNCONNECTED_76,
+         SYNOPSYS_UNCONNECTED_77, SYNOPSYS_UNCONNECTED_78,
+         SYNOPSYS_UNCONNECTED_79, SYNOPSYS_UNCONNECTED_80,
+         SYNOPSYS_UNCONNECTED_81, SYNOPSYS_UNCONNECTED_82,
+         SYNOPSYS_UNCONNECTED_83, SYNOPSYS_UNCONNECTED_84,
+         SYNOPSYS_UNCONNECTED_85, SYNOPSYS_UNCONNECTED_86,
+         SYNOPSYS_UNCONNECTED_87, SYNOPSYS_UNCONNECTED_88,
+         SYNOPSYS_UNCONNECTED_89, SYNOPSYS_UNCONNECTED_90,
+         SYNOPSYS_UNCONNECTED_91, SYNOPSYS_UNCONNECTED_92,
+         SYNOPSYS_UNCONNECTED_93, SYNOPSYS_UNCONNECTED_94,
+         SYNOPSYS_UNCONNECTED_95, SYNOPSYS_UNCONNECTED_96,
+         SYNOPSYS_UNCONNECTED_97, SYNOPSYS_UNCONNECTED_98,
+         SYNOPSYS_UNCONNECTED_99, SYNOPSYS_UNCONNECTED_100,
+         SYNOPSYS_UNCONNECTED_101, SYNOPSYS_UNCONNECTED_102,
+         SYNOPSYS_UNCONNECTED_103, SYNOPSYS_UNCONNECTED_104,
+         SYNOPSYS_UNCONNECTED_105, SYNOPSYS_UNCONNECTED_106,
+         SYNOPSYS_UNCONNECTED_107, SYNOPSYS_UNCONNECTED_108,
+         SYNOPSYS_UNCONNECTED_109, SYNOPSYS_UNCONNECTED_110,
+         SYNOPSYS_UNCONNECTED_111, SYNOPSYS_UNCONNECTED_112,
+         SYNOPSYS_UNCONNECTED_113, SYNOPSYS_UNCONNECTED_114,
+         SYNOPSYS_UNCONNECTED_115, SYNOPSYS_UNCONNECTED_116,
+         SYNOPSYS_UNCONNECTED_117, SYNOPSYS_UNCONNECTED_118,
+         SYNOPSYS_UNCONNECTED_119, SYNOPSYS_UNCONNECTED_120,
+         SYNOPSYS_UNCONNECTED_121, SYNOPSYS_UNCONNECTED_122,
+         SYNOPSYS_UNCONNECTED_123, SYNOPSYS_UNCONNECTED_124,
+         SYNOPSYS_UNCONNECTED_125, SYNOPSYS_UNCONNECTED_126,
+         SYNOPSYS_UNCONNECTED_127, SYNOPSYS_UNCONNECTED_128,
+         SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_130,
+         SYNOPSYS_UNCONNECTED_131, SYNOPSYS_UNCONNECTED_132,
+         SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134,
+         SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136,
+         SYNOPSYS_UNCONNECTED_137, SYNOPSYS_UNCONNECTED_138,
+         SYNOPSYS_UNCONNECTED_139, SYNOPSYS_UNCONNECTED_140,
+         SYNOPSYS_UNCONNECTED_141, SYNOPSYS_UNCONNECTED_142,
+         SYNOPSYS_UNCONNECTED_143, SYNOPSYS_UNCONNECTED_144,
+         SYNOPSYS_UNCONNECTED_145, SYNOPSYS_UNCONNECTED_146,
+         SYNOPSYS_UNCONNECTED_147, SYNOPSYS_UNCONNECTED_148,
+         SYNOPSYS_UNCONNECTED_149, SYNOPSYS_UNCONNECTED_150,
+         SYNOPSYS_UNCONNECTED_151, SYNOPSYS_UNCONNECTED_152,
+         SYNOPSYS_UNCONNECTED_153, SYNOPSYS_UNCONNECTED_154,
+         SYNOPSYS_UNCONNECTED_155, SYNOPSYS_UNCONNECTED_156,
+         SYNOPSYS_UNCONNECTED_157, SYNOPSYS_UNCONNECTED_158,
+         SYNOPSYS_UNCONNECTED_159, SYNOPSYS_UNCONNECTED_160,
+         SYNOPSYS_UNCONNECTED_161, SYNOPSYS_UNCONNECTED_162,
+         SYNOPSYS_UNCONNECTED_163, SYNOPSYS_UNCONNECTED_164,
+         SYNOPSYS_UNCONNECTED_165, SYNOPSYS_UNCONNECTED_166,
+         SYNOPSYS_UNCONNECTED_167, SYNOPSYS_UNCONNECTED_168,
+         SYNOPSYS_UNCONNECTED_169, SYNOPSYS_UNCONNECTED_170,
+         SYNOPSYS_UNCONNECTED_171, SYNOPSYS_UNCONNECTED_172,
+         SYNOPSYS_UNCONNECTED_173, SYNOPSYS_UNCONNECTED_174,
+         SYNOPSYS_UNCONNECTED_175, SYNOPSYS_UNCONNECTED_176,
+         SYNOPSYS_UNCONNECTED_177, SYNOPSYS_UNCONNECTED_178;
+  wire   [31:0] cio_gpio_en_o0;
+  wire   [305:0] reg2hw;
+
+  opentitan_soc_top_prim_intr_hw_Width32_0 intr_hw ( .clk_i(n14), .rst_ni(
+        rst_ni), .event_intr_i(reg2hw[31:0]), .reg2hw_intr_enable_q_i({1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, reg2hw[273:251]}), 
+        .reg2hw_intr_test_q_i(reg2hw[250:219]), .reg2hw_intr_test_qe_i(
+        reg2hw[218]), .reg2hw_intr_state_q_i(reg2hw[305:274]), 
+        .hw2reg_intr_state_de_o(hw2reg_intr_state__de_), 
+        .hw2reg_intr_state_d_o({hw2reg_intr_state__d__31_, 
+        hw2reg_intr_state__d__30_, hw2reg_intr_state__d__29_, 
+        hw2reg_intr_state__d__28_, hw2reg_intr_state__d__27_, 
+        hw2reg_intr_state__d__26_, hw2reg_intr_state__d__25_, 
+        hw2reg_intr_state__d__24_, hw2reg_intr_state__d__23_, 
+        hw2reg_intr_state__d__22_, hw2reg_intr_state__d__21_, 
+        hw2reg_intr_state__d__20_, hw2reg_intr_state__d__19_, 
+        hw2reg_intr_state__d__18_, hw2reg_intr_state__d__17_, 
+        hw2reg_intr_state__d__16_, hw2reg_intr_state__d__15_, 
+        hw2reg_intr_state__d__14_, hw2reg_intr_state__d__13_, 
+        hw2reg_intr_state__d__12_, hw2reg_intr_state__d__11_, 
+        hw2reg_intr_state__d__10_, hw2reg_intr_state__d__9_, 
+        hw2reg_intr_state__d__8_, hw2reg_intr_state__d__7_, 
+        hw2reg_intr_state__d__6_, hw2reg_intr_state__d__5_, 
+        hw2reg_intr_state__d__4_, hw2reg_intr_state__d__3_, 
+        hw2reg_intr_state__d__2_, hw2reg_intr_state__d__1_, 
+        hw2reg_intr_state__d__0_}), .intr_o({SYNOPSYS_UNCONNECTED_1, 
+        SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, 
+        SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, 
+        SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, intr_gpio_o[22:0]}) );
+  opentitan_soc_top_gpio_reg_top_0 u_reg ( .clk_i(n14), .rst_ni(rst_ni), 
+        .tl_i({tl_i[85:84], n204, tl_i[82], n204, n204, n204, n349, n204, n204, 
+        n204, n204, n204, n204, n204, n204, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, tl_i[42:39], 
+        n204, n204, tl_i[36:1], n349}), .tl_o({tl_o[51], 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, tl_o[48], 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19, 
+        SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21, 
+        SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23, 
+        SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25, tl_o[33:0]}), 
+        .reg2hw({reg2hw[305:274], SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32, 
+        SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34, reg2hw[273:185], 
+        SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36, 
+        SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38, 
+        SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40, reg2hw[184:174], 
+        SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42, 
+        SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44, 
+        SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46, reg2hw[173:164], 
+        SYNOPSYS_UNCONNECTED_47, reg2hw[163:131], SYNOPSYS_UNCONNECTED_48, 
+        reg2hw[130:65], SYNOPSYS_UNCONNECTED_49, reg2hw[64:32], 
+        SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51, 
+        SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53, 
+        SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55, 
+        SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57, 
+        SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59, 
+        SYNOPSYS_UNCONNECTED_60, SYNOPSYS_UNCONNECTED_61, 
+        SYNOPSYS_UNCONNECTED_62, SYNOPSYS_UNCONNECTED_63, 
+        SYNOPSYS_UNCONNECTED_64, SYNOPSYS_UNCONNECTED_65, 
+        SYNOPSYS_UNCONNECTED_66, SYNOPSYS_UNCONNECTED_67, 
+        SYNOPSYS_UNCONNECTED_68, SYNOPSYS_UNCONNECTED_69, 
+        SYNOPSYS_UNCONNECTED_70, SYNOPSYS_UNCONNECTED_71, 
+        SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_73, 
+        SYNOPSYS_UNCONNECTED_74, SYNOPSYS_UNCONNECTED_75, 
+        SYNOPSYS_UNCONNECTED_76, SYNOPSYS_UNCONNECTED_77, 
+        SYNOPSYS_UNCONNECTED_78, SYNOPSYS_UNCONNECTED_79, 
+        SYNOPSYS_UNCONNECTED_80, SYNOPSYS_UNCONNECTED_81, 
+        SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_83, 
+        SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_85, 
+        SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_87, 
+        SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_89, 
+        SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_91, 
+        SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_93, 
+        SYNOPSYS_UNCONNECTED_94, SYNOPSYS_UNCONNECTED_95, 
+        SYNOPSYS_UNCONNECTED_96, SYNOPSYS_UNCONNECTED_97, 
+        SYNOPSYS_UNCONNECTED_98, SYNOPSYS_UNCONNECTED_99, 
+        SYNOPSYS_UNCONNECTED_100, SYNOPSYS_UNCONNECTED_101, 
+        SYNOPSYS_UNCONNECTED_102, SYNOPSYS_UNCONNECTED_103, 
+        SYNOPSYS_UNCONNECTED_104, SYNOPSYS_UNCONNECTED_105, 
+        SYNOPSYS_UNCONNECTED_106, SYNOPSYS_UNCONNECTED_107, 
+        SYNOPSYS_UNCONNECTED_108, SYNOPSYS_UNCONNECTED_109, 
+        SYNOPSYS_UNCONNECTED_110, SYNOPSYS_UNCONNECTED_111, 
+        SYNOPSYS_UNCONNECTED_112, SYNOPSYS_UNCONNECTED_113, 
+        SYNOPSYS_UNCONNECTED_114, SYNOPSYS_UNCONNECTED_115, 
+        SYNOPSYS_UNCONNECTED_116, SYNOPSYS_UNCONNECTED_117, 
+        SYNOPSYS_UNCONNECTED_118, SYNOPSYS_UNCONNECTED_119, 
+        SYNOPSYS_UNCONNECTED_120, SYNOPSYS_UNCONNECTED_121, 
+        SYNOPSYS_UNCONNECTED_122, SYNOPSYS_UNCONNECTED_123, 
+        SYNOPSYS_UNCONNECTED_124, SYNOPSYS_UNCONNECTED_125, 
+        SYNOPSYS_UNCONNECTED_126, SYNOPSYS_UNCONNECTED_127, 
+        SYNOPSYS_UNCONNECTED_128, SYNOPSYS_UNCONNECTED_129, 
+        SYNOPSYS_UNCONNECTED_130, SYNOPSYS_UNCONNECTED_131, 
+        SYNOPSYS_UNCONNECTED_132, SYNOPSYS_UNCONNECTED_133, 
+        SYNOPSYS_UNCONNECTED_134, SYNOPSYS_UNCONNECTED_135, 
+        SYNOPSYS_UNCONNECTED_136, SYNOPSYS_UNCONNECTED_137, 
+        SYNOPSYS_UNCONNECTED_138, SYNOPSYS_UNCONNECTED_139, 
+        SYNOPSYS_UNCONNECTED_140, SYNOPSYS_UNCONNECTED_141, 
+        SYNOPSYS_UNCONNECTED_142, SYNOPSYS_UNCONNECTED_143, 
+        SYNOPSYS_UNCONNECTED_144, SYNOPSYS_UNCONNECTED_145, 
+        SYNOPSYS_UNCONNECTED_146, reg2hw[31:0], SYNOPSYS_UNCONNECTED_147, 
+        SYNOPSYS_UNCONNECTED_148, SYNOPSYS_UNCONNECTED_149, 
+        SYNOPSYS_UNCONNECTED_150, SYNOPSYS_UNCONNECTED_151, 
+        SYNOPSYS_UNCONNECTED_152, SYNOPSYS_UNCONNECTED_153, 
+        SYNOPSYS_UNCONNECTED_154, SYNOPSYS_UNCONNECTED_155, 
+        SYNOPSYS_UNCONNECTED_156, SYNOPSYS_UNCONNECTED_157, 
+        SYNOPSYS_UNCONNECTED_158, SYNOPSYS_UNCONNECTED_159, 
+        SYNOPSYS_UNCONNECTED_160, SYNOPSYS_UNCONNECTED_161, 
+        SYNOPSYS_UNCONNECTED_162, SYNOPSYS_UNCONNECTED_163, 
+        SYNOPSYS_UNCONNECTED_164, SYNOPSYS_UNCONNECTED_165, 
+        SYNOPSYS_UNCONNECTED_166, SYNOPSYS_UNCONNECTED_167, 
+        SYNOPSYS_UNCONNECTED_168, SYNOPSYS_UNCONNECTED_169, 
+        SYNOPSYS_UNCONNECTED_170, SYNOPSYS_UNCONNECTED_171, 
+        SYNOPSYS_UNCONNECTED_172, SYNOPSYS_UNCONNECTED_173, 
+        SYNOPSYS_UNCONNECTED_174, SYNOPSYS_UNCONNECTED_175, 
+        SYNOPSYS_UNCONNECTED_176, SYNOPSYS_UNCONNECTED_177, 
+        SYNOPSYS_UNCONNECTED_178}), .hw2reg({hw2reg_intr_state__d__31_, 
+        hw2reg_intr_state__d__30_, hw2reg_intr_state__d__29_, 
+        hw2reg_intr_state__d__28_, hw2reg_intr_state__d__27_, 
+        hw2reg_intr_state__d__26_, hw2reg_intr_state__d__25_, 
+        hw2reg_intr_state__d__24_, hw2reg_intr_state__d__23_, 
+        hw2reg_intr_state__d__22_, hw2reg_intr_state__d__21_, 
+        hw2reg_intr_state__d__20_, hw2reg_intr_state__d__19_, 
+        hw2reg_intr_state__d__18_, hw2reg_intr_state__d__17_, 
+        hw2reg_intr_state__d__16_, hw2reg_intr_state__d__15_, 
+        hw2reg_intr_state__d__14_, hw2reg_intr_state__d__13_, 
+        hw2reg_intr_state__d__12_, hw2reg_intr_state__d__11_, 
+        hw2reg_intr_state__d__10_, hw2reg_intr_state__d__9_, 
+        hw2reg_intr_state__d__8_, hw2reg_intr_state__d__7_, 
+        hw2reg_intr_state__d__6_, hw2reg_intr_state__d__5_, 
+        hw2reg_intr_state__d__4_, hw2reg_intr_state__d__3_, 
+        hw2reg_intr_state__d__2_, hw2reg_intr_state__d__1_, 
+        hw2reg_intr_state__d__0_, hw2reg_intr_state__de_, n204, n204, n204, 
+        n204, n204, n204, n204, n204, n204, n204, n204, n204, n204, n204, n204, 
+        n204, n204, n204, n204, n204, n204, n204, n204, n204, n204, n204, n204, 
+        n204, n204, n204, n204, n204, n349, cio_gpio_o_31_, cio_gpio_o_30_, 
+        cio_gpio_o_29_, cio_gpio_o_28_, cio_gpio_o_27_, cio_gpio_o_26_, 
+        cio_gpio_o_25_, cio_gpio_o_24_, cio_gpio_o_23_, cio_gpio_o_22_, 
+        cio_gpio_o_21_, cio_gpio_o_20_, cio_gpio_o_19_, cio_gpio_o_18_, 
+        cio_gpio_o_17_, cio_gpio_o_16_, cio_gpio_o_15_, cio_gpio_o_14_, 
+        cio_gpio_o_13_, cio_gpio_o_12_, cio_gpio_o_11_, cio_gpio_o_10_, 
+        cio_gpio_o_9_, cio_gpio_o_8_, cio_gpio_o[7:0], cio_gpio_o_9_, 
+        cio_gpio_o_8_, cio_gpio_o[7:0], n204, n204, n204, n204, n204, n204, 
+        n204, n204, n204, n204, cio_gpio_o_31_, cio_gpio_o_30_, cio_gpio_o_29_, 
+        cio_gpio_o_28_, cio_gpio_o_27_, cio_gpio_o_26_, cio_gpio_o_25_, 
+        cio_gpio_o_24_, cio_gpio_o_23_, cio_gpio_o_22_, cio_gpio_o_21_, 
+        cio_gpio_o_20_, cio_gpio_o_19_, cio_gpio_o_18_, cio_gpio_o_17_, 
+        cio_gpio_o_16_, n204, n204, n204, n204, n204, n204, n204, n204, n204, 
+        n204, n204, n204, n204, n204, n204, n204, cio_gpio_en_o0, 
+        cio_gpio_en_o0[15:0], n204, n204, n204, n204, n204, n204, n204, n204, 
+        n204, n204, n204, n204, n204, n204, n204, n204, cio_gpio_en_o0[31:16], 
+        n204, n204, n204, n204, n204, n204, n204, n204, n204, n204, n204, n204, 
+        n204, n204, n204, n204}), .devmode_i(n349) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_0_ ( .D(n412), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[0]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_1_ ( .D(n411), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[1]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_2_ ( .D(n410), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[2]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_3_ ( .D(n409), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[3]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_4_ ( .D(n408), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[4]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_5_ ( .D(n407), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[5]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_6_ ( .D(n406), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[6]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_7_ ( .D(n405), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[7]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_8_ ( .D(n404), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[8]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_9_ ( .D(n403), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[9]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_10_ ( .D(n402), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[10]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_11_ ( .D(n401), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[11]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_12_ ( .D(n400), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[12]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_13_ ( .D(n399), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[13]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_14_ ( .D(n398), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[14]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_15_ ( .D(n397), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[15]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_16_ ( .D(n396), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[16]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_17_ ( .D(n395), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[17]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_18_ ( .D(n394), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[18]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_19_ ( .D(n393), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[19]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_20_ ( .D(n392), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[20]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_21_ ( .D(n391), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[21]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_22_ ( .D(n390), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[22]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_23_ ( .D(n389), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[23]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_24_ ( .D(n388), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[24]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_25_ ( .D(n387), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[25]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_26_ ( .D(n386), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[26]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_27_ ( .D(n385), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[27]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_28_ ( .D(n384), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[28]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_29_ ( .D(n383), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[29]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_30_ ( .D(n382), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[30]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_en_q_reg_31_ ( .D(n381), .CLK(n14), 
+        .RESET_B(rst_ni), .Q(cio_gpio_en_o0[31]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_0_ ( .D(n380), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o[0]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_1_ ( .D(n379), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_2_ ( .D(n378), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_3_ ( .D(n377), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_4_ ( .D(n376), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_5_ ( .D(n375), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_6_ ( .D(n374), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_7_ ( .D(n373), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_8_ ( .D(n372), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_8_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_9_ ( .D(n371), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_9_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_10_ ( .D(n370), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_10_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_11_ ( .D(n369), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_11_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_12_ ( .D(n368), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_12_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_13_ ( .D(n367), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_13_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_14_ ( .D(n366), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_14_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_15_ ( .D(n365), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_15_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_16_ ( .D(n364), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_16_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_17_ ( .D(n363), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_17_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_18_ ( .D(n362), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_18_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_19_ ( .D(n361), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_19_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_20_ ( .D(n360), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_20_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_21_ ( .D(n359), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_21_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_22_ ( .D(n358), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_22_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_23_ ( .D(n357), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_23_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_24_ ( .D(n356), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_24_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_25_ ( .D(n355), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_25_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_26_ ( .D(n354), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_26_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_27_ ( .D(n353), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_27_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_28_ ( .D(n352), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_28_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_29_ ( .D(n351), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_29_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_30_ ( .D(n350), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_30_) );
+  sky130_fd_sc_hd__dfrtp_1 cio_gpio_q_reg_31_ ( .D(n348), .CLK(n14), .RESET_B(
+        rst_ni), .Q(cio_gpio_o_31_) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(n15), .Y(n14) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(clk_i), .Y(n15) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(reg2hw[98]), .Y(n68) );
+  sky130_fd_sc_hd__conb_1 U6 ( .LO(n204), .HI(n349) );
+  sky130_fd_sc_hd__nor2_1 U8 ( .A(reg2hw[98]), .B(reg2hw[48]), .Y(n16) );
+  sky130_fd_sc_hd__nand2_1 U9 ( .A(reg2hw[81]), .B(n16), .Y(n67) );
+  sky130_fd_sc_hd__nand2_1 U10 ( .A(reg2hw[65]), .B(reg2hw[82]), .Y(n20) );
+  sky130_fd_sc_hd__clkinv_1 U11 ( .A(reg2hw[81]), .Y(n17) );
+  sky130_fd_sc_hd__o21ai_1 U12 ( .A1(reg2hw[48]), .A2(n17), .B1(n68), .Y(n63)
+         );
+  sky130_fd_sc_hd__o21ai_1 U13 ( .A1(reg2hw[65]), .A2(n67), .B1(n63), .Y(n18)
+         );
+  sky130_fd_sc_hd__a22oi_1 U14 ( .A1(cio_gpio_en_o0[0]), .A2(n18), .B1(
+        reg2hw[98]), .B2(reg2hw[99]), .Y(n19) );
+  sky130_fd_sc_hd__o21ai_1 U15 ( .A1(n67), .A2(n20), .B1(n19), .Y(n412) );
+  sky130_fd_sc_hd__nand2_1 U16 ( .A(reg2hw[66]), .B(reg2hw[83]), .Y(n23) );
+  sky130_fd_sc_hd__o21ai_1 U17 ( .A1(reg2hw[66]), .A2(n67), .B1(n63), .Y(n21)
+         );
+  sky130_fd_sc_hd__a22oi_1 U18 ( .A1(reg2hw[98]), .A2(reg2hw[100]), .B1(
+        cio_gpio_en_o0[1]), .B2(n21), .Y(n22) );
+  sky130_fd_sc_hd__o21ai_1 U19 ( .A1(n67), .A2(n23), .B1(n22), .Y(n411) );
+  sky130_fd_sc_hd__nand2_1 U20 ( .A(reg2hw[67]), .B(reg2hw[84]), .Y(n26) );
+  sky130_fd_sc_hd__o21ai_1 U21 ( .A1(reg2hw[67]), .A2(n67), .B1(n63), .Y(n24)
+         );
+  sky130_fd_sc_hd__a22oi_1 U22 ( .A1(reg2hw[98]), .A2(reg2hw[101]), .B1(
+        cio_gpio_en_o0[2]), .B2(n24), .Y(n25) );
+  sky130_fd_sc_hd__o21ai_1 U23 ( .A1(n67), .A2(n26), .B1(n25), .Y(n410) );
+  sky130_fd_sc_hd__nand2_1 U24 ( .A(reg2hw[68]), .B(reg2hw[85]), .Y(n29) );
+  sky130_fd_sc_hd__o21ai_1 U25 ( .A1(reg2hw[68]), .A2(n67), .B1(n63), .Y(n27)
+         );
+  sky130_fd_sc_hd__a22oi_1 U26 ( .A1(reg2hw[98]), .A2(reg2hw[102]), .B1(
+        cio_gpio_en_o0[3]), .B2(n27), .Y(n28) );
+  sky130_fd_sc_hd__o21ai_1 U27 ( .A1(n67), .A2(n29), .B1(n28), .Y(n409) );
+  sky130_fd_sc_hd__nand2_1 U28 ( .A(reg2hw[69]), .B(reg2hw[86]), .Y(n32) );
+  sky130_fd_sc_hd__o21ai_1 U29 ( .A1(reg2hw[69]), .A2(n67), .B1(n63), .Y(n30)
+         );
+  sky130_fd_sc_hd__a22oi_1 U30 ( .A1(reg2hw[98]), .A2(reg2hw[103]), .B1(
+        cio_gpio_en_o0[4]), .B2(n30), .Y(n31) );
+  sky130_fd_sc_hd__o21ai_1 U31 ( .A1(n67), .A2(n32), .B1(n31), .Y(n408) );
+  sky130_fd_sc_hd__nand2_1 U32 ( .A(reg2hw[70]), .B(reg2hw[87]), .Y(n35) );
+  sky130_fd_sc_hd__o21ai_1 U33 ( .A1(reg2hw[70]), .A2(n67), .B1(n63), .Y(n33)
+         );
+  sky130_fd_sc_hd__a22oi_1 U34 ( .A1(reg2hw[98]), .A2(reg2hw[104]), .B1(
+        cio_gpio_en_o0[5]), .B2(n33), .Y(n34) );
+  sky130_fd_sc_hd__o21ai_1 U35 ( .A1(n67), .A2(n35), .B1(n34), .Y(n407) );
+  sky130_fd_sc_hd__nand2_1 U36 ( .A(reg2hw[71]), .B(reg2hw[88]), .Y(n38) );
+  sky130_fd_sc_hd__o21ai_1 U37 ( .A1(reg2hw[71]), .A2(n67), .B1(n63), .Y(n36)
+         );
+  sky130_fd_sc_hd__a22oi_1 U38 ( .A1(reg2hw[98]), .A2(reg2hw[105]), .B1(
+        cio_gpio_en_o0[6]), .B2(n36), .Y(n37) );
+  sky130_fd_sc_hd__o21ai_1 U39 ( .A1(n67), .A2(n38), .B1(n37), .Y(n406) );
+  sky130_fd_sc_hd__nand2_1 U40 ( .A(reg2hw[72]), .B(reg2hw[89]), .Y(n41) );
+  sky130_fd_sc_hd__o21ai_1 U41 ( .A1(reg2hw[72]), .A2(n67), .B1(n63), .Y(n39)
+         );
+  sky130_fd_sc_hd__a22oi_1 U42 ( .A1(reg2hw[98]), .A2(reg2hw[106]), .B1(
+        cio_gpio_en_o0[7]), .B2(n39), .Y(n40) );
+  sky130_fd_sc_hd__o21ai_1 U43 ( .A1(n67), .A2(n41), .B1(n40), .Y(n405) );
+  sky130_fd_sc_hd__nand2_1 U44 ( .A(reg2hw[73]), .B(reg2hw[90]), .Y(n44) );
+  sky130_fd_sc_hd__o21ai_1 U45 ( .A1(reg2hw[73]), .A2(n67), .B1(n63), .Y(n42)
+         );
+  sky130_fd_sc_hd__a22oi_1 U46 ( .A1(reg2hw[98]), .A2(reg2hw[107]), .B1(
+        cio_gpio_en_o0[8]), .B2(n42), .Y(n43) );
+  sky130_fd_sc_hd__o21ai_1 U47 ( .A1(n67), .A2(n44), .B1(n43), .Y(n404) );
+  sky130_fd_sc_hd__nand2_1 U48 ( .A(reg2hw[74]), .B(reg2hw[91]), .Y(n47) );
+  sky130_fd_sc_hd__o21ai_1 U49 ( .A1(reg2hw[74]), .A2(n67), .B1(n63), .Y(n45)
+         );
+  sky130_fd_sc_hd__a22oi_1 U50 ( .A1(reg2hw[98]), .A2(reg2hw[108]), .B1(
+        cio_gpio_en_o0[9]), .B2(n45), .Y(n46) );
+  sky130_fd_sc_hd__o21ai_1 U51 ( .A1(n67), .A2(n47), .B1(n46), .Y(n403) );
+  sky130_fd_sc_hd__nand2_1 U52 ( .A(reg2hw[75]), .B(reg2hw[92]), .Y(n50) );
+  sky130_fd_sc_hd__o21ai_1 U53 ( .A1(reg2hw[75]), .A2(n67), .B1(n63), .Y(n48)
+         );
+  sky130_fd_sc_hd__a22oi_1 U54 ( .A1(reg2hw[98]), .A2(reg2hw[109]), .B1(
+        cio_gpio_en_o0[10]), .B2(n48), .Y(n49) );
+  sky130_fd_sc_hd__o21ai_1 U55 ( .A1(n67), .A2(n50), .B1(n49), .Y(n402) );
+  sky130_fd_sc_hd__nand2_1 U56 ( .A(reg2hw[76]), .B(reg2hw[93]), .Y(n53) );
+  sky130_fd_sc_hd__o21ai_1 U57 ( .A1(reg2hw[76]), .A2(n67), .B1(n63), .Y(n51)
+         );
+  sky130_fd_sc_hd__a22oi_1 U58 ( .A1(reg2hw[98]), .A2(reg2hw[110]), .B1(
+        cio_gpio_en_o0[11]), .B2(n51), .Y(n52) );
+  sky130_fd_sc_hd__o21ai_1 U59 ( .A1(n67), .A2(n53), .B1(n52), .Y(n401) );
+  sky130_fd_sc_hd__nand2_1 U60 ( .A(reg2hw[77]), .B(reg2hw[94]), .Y(n56) );
+  sky130_fd_sc_hd__o21ai_1 U61 ( .A1(reg2hw[77]), .A2(n67), .B1(n63), .Y(n54)
+         );
+  sky130_fd_sc_hd__a22oi_1 U62 ( .A1(reg2hw[98]), .A2(reg2hw[111]), .B1(
+        cio_gpio_en_o0[12]), .B2(n54), .Y(n55) );
+  sky130_fd_sc_hd__o21ai_1 U63 ( .A1(n67), .A2(n56), .B1(n55), .Y(n400) );
+  sky130_fd_sc_hd__nand2_1 U64 ( .A(reg2hw[78]), .B(reg2hw[95]), .Y(n59) );
+  sky130_fd_sc_hd__o21ai_1 U65 ( .A1(reg2hw[78]), .A2(n67), .B1(n63), .Y(n57)
+         );
+  sky130_fd_sc_hd__a22oi_1 U66 ( .A1(reg2hw[98]), .A2(reg2hw[112]), .B1(
+        cio_gpio_en_o0[13]), .B2(n57), .Y(n58) );
+  sky130_fd_sc_hd__o21ai_1 U67 ( .A1(n67), .A2(n59), .B1(n58), .Y(n399) );
+  sky130_fd_sc_hd__nand2_1 U68 ( .A(reg2hw[79]), .B(reg2hw[96]), .Y(n62) );
+  sky130_fd_sc_hd__o21ai_1 U69 ( .A1(reg2hw[79]), .A2(n67), .B1(n63), .Y(n60)
+         );
+  sky130_fd_sc_hd__a22oi_1 U70 ( .A1(reg2hw[98]), .A2(reg2hw[113]), .B1(
+        cio_gpio_en_o0[14]), .B2(n60), .Y(n61) );
+  sky130_fd_sc_hd__o21ai_1 U71 ( .A1(n67), .A2(n62), .B1(n61), .Y(n398) );
+  sky130_fd_sc_hd__nand2_1 U72 ( .A(reg2hw[80]), .B(reg2hw[97]), .Y(n66) );
+  sky130_fd_sc_hd__o21ai_1 U73 ( .A1(reg2hw[80]), .A2(n67), .B1(n63), .Y(n64)
+         );
+  sky130_fd_sc_hd__a22oi_1 U74 ( .A1(reg2hw[98]), .A2(reg2hw[114]), .B1(
+        cio_gpio_en_o0[15]), .B2(n64), .Y(n65) );
+  sky130_fd_sc_hd__o21ai_1 U75 ( .A1(n67), .A2(n66), .B1(n65), .Y(n397) );
+  sky130_fd_sc_hd__nand2_1 U76 ( .A(reg2hw[48]), .B(n68), .Y(n118) );
+  sky130_fd_sc_hd__nand2_1 U77 ( .A(reg2hw[32]), .B(reg2hw[49]), .Y(n71) );
+  sky130_fd_sc_hd__a21oi_1 U78 ( .A1(reg2hw[48]), .A2(reg2hw[32]), .B1(
+        reg2hw[98]), .Y(n69) );
+  sky130_fd_sc_hd__a22oi_1 U79 ( .A1(reg2hw[98]), .A2(reg2hw[115]), .B1(
+        cio_gpio_en_o0[16]), .B2(n69), .Y(n70) );
+  sky130_fd_sc_hd__o21ai_1 U80 ( .A1(n118), .A2(n71), .B1(n70), .Y(n396) );
+  sky130_fd_sc_hd__nand2_1 U81 ( .A(reg2hw[33]), .B(reg2hw[50]), .Y(n74) );
+  sky130_fd_sc_hd__a21oi_1 U82 ( .A1(reg2hw[48]), .A2(reg2hw[33]), .B1(
+        reg2hw[98]), .Y(n72) );
+  sky130_fd_sc_hd__a22oi_1 U83 ( .A1(reg2hw[98]), .A2(reg2hw[116]), .B1(
+        cio_gpio_en_o0[17]), .B2(n72), .Y(n73) );
+  sky130_fd_sc_hd__o21ai_1 U84 ( .A1(n118), .A2(n74), .B1(n73), .Y(n395) );
+  sky130_fd_sc_hd__nand2_1 U85 ( .A(reg2hw[34]), .B(reg2hw[51]), .Y(n77) );
+  sky130_fd_sc_hd__a21oi_1 U86 ( .A1(reg2hw[48]), .A2(reg2hw[34]), .B1(
+        reg2hw[98]), .Y(n75) );
+  sky130_fd_sc_hd__a22oi_1 U87 ( .A1(reg2hw[98]), .A2(reg2hw[117]), .B1(
+        cio_gpio_en_o0[18]), .B2(n75), .Y(n76) );
+  sky130_fd_sc_hd__o21ai_1 U88 ( .A1(n118), .A2(n77), .B1(n76), .Y(n394) );
+  sky130_fd_sc_hd__nand2_1 U89 ( .A(reg2hw[35]), .B(reg2hw[52]), .Y(n80) );
+  sky130_fd_sc_hd__a21oi_1 U90 ( .A1(reg2hw[48]), .A2(reg2hw[35]), .B1(
+        reg2hw[98]), .Y(n78) );
+  sky130_fd_sc_hd__a22oi_1 U91 ( .A1(reg2hw[98]), .A2(reg2hw[118]), .B1(
+        cio_gpio_en_o0[19]), .B2(n78), .Y(n79) );
+  sky130_fd_sc_hd__o21ai_1 U92 ( .A1(n118), .A2(n80), .B1(n79), .Y(n393) );
+  sky130_fd_sc_hd__nand2_1 U93 ( .A(reg2hw[36]), .B(reg2hw[53]), .Y(n83) );
+  sky130_fd_sc_hd__a21oi_1 U94 ( .A1(reg2hw[48]), .A2(reg2hw[36]), .B1(
+        reg2hw[98]), .Y(n81) );
+  sky130_fd_sc_hd__a22oi_1 U95 ( .A1(reg2hw[98]), .A2(reg2hw[119]), .B1(
+        cio_gpio_en_o0[20]), .B2(n81), .Y(n82) );
+  sky130_fd_sc_hd__o21ai_1 U96 ( .A1(n118), .A2(n83), .B1(n82), .Y(n392) );
+  sky130_fd_sc_hd__nand2_1 U97 ( .A(reg2hw[37]), .B(reg2hw[54]), .Y(n86) );
+  sky130_fd_sc_hd__a21oi_1 U98 ( .A1(reg2hw[48]), .A2(reg2hw[37]), .B1(
+        reg2hw[98]), .Y(n84) );
+  sky130_fd_sc_hd__a22oi_1 U99 ( .A1(reg2hw[98]), .A2(reg2hw[120]), .B1(
+        cio_gpio_en_o0[21]), .B2(n84), .Y(n85) );
+  sky130_fd_sc_hd__o21ai_1 U100 ( .A1(n118), .A2(n86), .B1(n85), .Y(n391) );
+  sky130_fd_sc_hd__nand2_1 U101 ( .A(reg2hw[38]), .B(reg2hw[55]), .Y(n89) );
+  sky130_fd_sc_hd__a21oi_1 U102 ( .A1(reg2hw[48]), .A2(reg2hw[38]), .B1(
+        reg2hw[98]), .Y(n87) );
+  sky130_fd_sc_hd__a22oi_1 U103 ( .A1(reg2hw[98]), .A2(reg2hw[121]), .B1(
+        cio_gpio_en_o0[22]), .B2(n87), .Y(n88) );
+  sky130_fd_sc_hd__o21ai_1 U104 ( .A1(n118), .A2(n89), .B1(n88), .Y(n390) );
+  sky130_fd_sc_hd__nand2_1 U105 ( .A(reg2hw[39]), .B(reg2hw[56]), .Y(n92) );
+  sky130_fd_sc_hd__a21oi_1 U106 ( .A1(reg2hw[48]), .A2(reg2hw[39]), .B1(
+        reg2hw[98]), .Y(n90) );
+  sky130_fd_sc_hd__a22oi_1 U107 ( .A1(reg2hw[98]), .A2(reg2hw[122]), .B1(
+        cio_gpio_en_o0[23]), .B2(n90), .Y(n91) );
+  sky130_fd_sc_hd__o21ai_1 U108 ( .A1(n118), .A2(n92), .B1(n91), .Y(n389) );
+  sky130_fd_sc_hd__nand2_1 U109 ( .A(reg2hw[40]), .B(reg2hw[57]), .Y(n95) );
+  sky130_fd_sc_hd__a21oi_1 U110 ( .A1(reg2hw[48]), .A2(reg2hw[40]), .B1(
+        reg2hw[98]), .Y(n93) );
+  sky130_fd_sc_hd__a22oi_1 U111 ( .A1(reg2hw[98]), .A2(reg2hw[123]), .B1(
+        cio_gpio_en_o0[24]), .B2(n93), .Y(n94) );
+  sky130_fd_sc_hd__o21ai_1 U112 ( .A1(n118), .A2(n95), .B1(n94), .Y(n388) );
+  sky130_fd_sc_hd__nand2_1 U113 ( .A(reg2hw[41]), .B(reg2hw[58]), .Y(n98) );
+  sky130_fd_sc_hd__a21oi_1 U114 ( .A1(reg2hw[48]), .A2(reg2hw[41]), .B1(
+        reg2hw[98]), .Y(n96) );
+  sky130_fd_sc_hd__a22oi_1 U115 ( .A1(reg2hw[98]), .A2(reg2hw[124]), .B1(
+        cio_gpio_en_o0[25]), .B2(n96), .Y(n97) );
+  sky130_fd_sc_hd__o21ai_1 U116 ( .A1(n118), .A2(n98), .B1(n97), .Y(n387) );
+  sky130_fd_sc_hd__nand2_1 U117 ( .A(reg2hw[42]), .B(reg2hw[59]), .Y(n101) );
+  sky130_fd_sc_hd__a21oi_1 U118 ( .A1(reg2hw[48]), .A2(reg2hw[42]), .B1(
+        reg2hw[98]), .Y(n99) );
+  sky130_fd_sc_hd__a22oi_1 U119 ( .A1(reg2hw[98]), .A2(reg2hw[125]), .B1(
+        cio_gpio_en_o0[26]), .B2(n99), .Y(n100) );
+  sky130_fd_sc_hd__o21ai_1 U120 ( .A1(n118), .A2(n101), .B1(n100), .Y(n386) );
+  sky130_fd_sc_hd__nand2_1 U121 ( .A(reg2hw[43]), .B(reg2hw[60]), .Y(n104) );
+  sky130_fd_sc_hd__a21oi_1 U122 ( .A1(reg2hw[48]), .A2(reg2hw[43]), .B1(
+        reg2hw[98]), .Y(n102) );
+  sky130_fd_sc_hd__a22oi_1 U123 ( .A1(reg2hw[98]), .A2(reg2hw[126]), .B1(
+        cio_gpio_en_o0[27]), .B2(n102), .Y(n103) );
+  sky130_fd_sc_hd__o21ai_1 U124 ( .A1(n118), .A2(n104), .B1(n103), .Y(n385) );
+  sky130_fd_sc_hd__nand2_1 U125 ( .A(reg2hw[44]), .B(reg2hw[61]), .Y(n107) );
+  sky130_fd_sc_hd__a21oi_1 U126 ( .A1(reg2hw[48]), .A2(reg2hw[44]), .B1(
+        reg2hw[98]), .Y(n105) );
+  sky130_fd_sc_hd__a22oi_1 U127 ( .A1(reg2hw[98]), .A2(reg2hw[127]), .B1(
+        cio_gpio_en_o0[28]), .B2(n105), .Y(n106) );
+  sky130_fd_sc_hd__o21ai_1 U128 ( .A1(n118), .A2(n107), .B1(n106), .Y(n384) );
+  sky130_fd_sc_hd__nand2_1 U129 ( .A(reg2hw[45]), .B(reg2hw[62]), .Y(n110) );
+  sky130_fd_sc_hd__a21oi_1 U130 ( .A1(reg2hw[48]), .A2(reg2hw[45]), .B1(
+        reg2hw[98]), .Y(n108) );
+  sky130_fd_sc_hd__a22oi_1 U131 ( .A1(reg2hw[98]), .A2(reg2hw[128]), .B1(
+        cio_gpio_en_o0[29]), .B2(n108), .Y(n109) );
+  sky130_fd_sc_hd__o21ai_1 U132 ( .A1(n118), .A2(n110), .B1(n109), .Y(n383) );
+  sky130_fd_sc_hd__nand2_1 U133 ( .A(reg2hw[46]), .B(reg2hw[63]), .Y(n113) );
+  sky130_fd_sc_hd__a21oi_1 U134 ( .A1(reg2hw[48]), .A2(reg2hw[46]), .B1(
+        reg2hw[98]), .Y(n111) );
+  sky130_fd_sc_hd__a22oi_1 U135 ( .A1(reg2hw[98]), .A2(reg2hw[129]), .B1(
+        cio_gpio_en_o0[30]), .B2(n111), .Y(n112) );
+  sky130_fd_sc_hd__o21ai_1 U136 ( .A1(n118), .A2(n113), .B1(n112), .Y(n382) );
+  sky130_fd_sc_hd__nand2_1 U137 ( .A(reg2hw[47]), .B(reg2hw[64]), .Y(n117) );
+  sky130_fd_sc_hd__a21oi_1 U138 ( .A1(reg2hw[48]), .A2(reg2hw[47]), .B1(
+        reg2hw[98]), .Y(n115) );
+  sky130_fd_sc_hd__a22oi_1 U139 ( .A1(reg2hw[98]), .A2(reg2hw[130]), .B1(
+        cio_gpio_en_o0[31]), .B2(n115), .Y(n116) );
+  sky130_fd_sc_hd__o21ai_1 U140 ( .A1(n118), .A2(n117), .B1(n116), .Y(n381) );
+  sky130_fd_sc_hd__nor2_1 U142 ( .A(reg2hw[185]), .B(reg2hw[147]), .Y(n119) );
+  sky130_fd_sc_hd__nand2_1 U143 ( .A(reg2hw[174]), .B(n119), .Y(n151) );
+  sky130_fd_sc_hd__nand2_1 U144 ( .A(reg2hw[164]), .B(reg2hw[175]), .Y(n122)
+         );
+  sky130_fd_sc_hd__clkinv_1 U145 ( .A(reg2hw[147]), .Y(n153) );
+  sky130_fd_sc_hd__a21oi_1 U146 ( .A1(n153), .A2(reg2hw[174]), .B1(reg2hw[185]), .Y(n152) );
+  sky130_fd_sc_hd__clkinv_1 U147 ( .A(n152), .Y(n147) );
+  sky130_fd_sc_hd__o21ai_1 U148 ( .A1(reg2hw[164]), .A2(n151), .B1(n147), .Y(
+        n120) );
+  sky130_fd_sc_hd__a22oi_1 U149 ( .A1(cio_gpio_o[0]), .A2(n120), .B1(
+        reg2hw[185]), .B2(reg2hw[186]), .Y(n121) );
+  sky130_fd_sc_hd__o21ai_1 U150 ( .A1(n151), .A2(n122), .B1(n121), .Y(n380) );
+  sky130_fd_sc_hd__nand2_1 U151 ( .A(reg2hw[165]), .B(reg2hw[176]), .Y(n125)
+         );
+  sky130_fd_sc_hd__o21ai_1 U152 ( .A1(reg2hw[165]), .A2(n151), .B1(n147), .Y(
+        n123) );
+  sky130_fd_sc_hd__a22oi_1 U153 ( .A1(reg2hw[185]), .A2(reg2hw[187]), .B1(
+        cio_gpio_o[1]), .B2(n123), .Y(n124) );
+  sky130_fd_sc_hd__o21ai_1 U154 ( .A1(n151), .A2(n125), .B1(n124), .Y(n379) );
+  sky130_fd_sc_hd__nand2_1 U155 ( .A(reg2hw[166]), .B(reg2hw[177]), .Y(n128)
+         );
+  sky130_fd_sc_hd__o21ai_1 U156 ( .A1(reg2hw[166]), .A2(n151), .B1(n147), .Y(
+        n126) );
+  sky130_fd_sc_hd__a22oi_1 U157 ( .A1(reg2hw[185]), .A2(reg2hw[188]), .B1(
+        cio_gpio_o[2]), .B2(n126), .Y(n127) );
+  sky130_fd_sc_hd__o21ai_1 U158 ( .A1(n151), .A2(n128), .B1(n127), .Y(n378) );
+  sky130_fd_sc_hd__nand2_1 U159 ( .A(reg2hw[167]), .B(reg2hw[178]), .Y(n131)
+         );
+  sky130_fd_sc_hd__o21ai_1 U160 ( .A1(reg2hw[167]), .A2(n151), .B1(n147), .Y(
+        n129) );
+  sky130_fd_sc_hd__a22oi_1 U161 ( .A1(reg2hw[185]), .A2(reg2hw[189]), .B1(
+        cio_gpio_o[3]), .B2(n129), .Y(n130) );
+  sky130_fd_sc_hd__o21ai_1 U162 ( .A1(n151), .A2(n131), .B1(n130), .Y(n377) );
+  sky130_fd_sc_hd__nand2_1 U163 ( .A(reg2hw[168]), .B(reg2hw[179]), .Y(n134)
+         );
+  sky130_fd_sc_hd__o21ai_1 U164 ( .A1(reg2hw[168]), .A2(n151), .B1(n147), .Y(
+        n132) );
+  sky130_fd_sc_hd__a22oi_1 U165 ( .A1(reg2hw[185]), .A2(reg2hw[190]), .B1(
+        cio_gpio_o[4]), .B2(n132), .Y(n133) );
+  sky130_fd_sc_hd__o21ai_1 U166 ( .A1(n151), .A2(n134), .B1(n133), .Y(n376) );
+  sky130_fd_sc_hd__nand2_1 U167 ( .A(reg2hw[169]), .B(reg2hw[180]), .Y(n137)
+         );
+  sky130_fd_sc_hd__o21ai_1 U168 ( .A1(reg2hw[169]), .A2(n151), .B1(n147), .Y(
+        n135) );
+  sky130_fd_sc_hd__a22oi_1 U169 ( .A1(reg2hw[185]), .A2(reg2hw[191]), .B1(
+        cio_gpio_o[5]), .B2(n135), .Y(n136) );
+  sky130_fd_sc_hd__o21ai_1 U170 ( .A1(n151), .A2(n137), .B1(n136), .Y(n375) );
+  sky130_fd_sc_hd__nand2_1 U171 ( .A(reg2hw[170]), .B(reg2hw[181]), .Y(n140)
+         );
+  sky130_fd_sc_hd__o21ai_1 U172 ( .A1(reg2hw[170]), .A2(n151), .B1(n147), .Y(
+        n138) );
+  sky130_fd_sc_hd__a22oi_1 U173 ( .A1(reg2hw[185]), .A2(reg2hw[192]), .B1(
+        cio_gpio_o[6]), .B2(n138), .Y(n139) );
+  sky130_fd_sc_hd__o21ai_1 U174 ( .A1(n151), .A2(n140), .B1(n139), .Y(n374) );
+  sky130_fd_sc_hd__nand2_1 U175 ( .A(reg2hw[171]), .B(reg2hw[182]), .Y(n143)
+         );
+  sky130_fd_sc_hd__o21ai_1 U176 ( .A1(reg2hw[171]), .A2(n151), .B1(n147), .Y(
+        n141) );
+  sky130_fd_sc_hd__a22oi_1 U177 ( .A1(reg2hw[185]), .A2(reg2hw[193]), .B1(
+        cio_gpio_o[7]), .B2(n141), .Y(n142) );
+  sky130_fd_sc_hd__o21ai_1 U178 ( .A1(n151), .A2(n143), .B1(n142), .Y(n373) );
+  sky130_fd_sc_hd__nand2_1 U179 ( .A(reg2hw[172]), .B(reg2hw[183]), .Y(n146)
+         );
+  sky130_fd_sc_hd__o21ai_1 U180 ( .A1(reg2hw[172]), .A2(n151), .B1(n147), .Y(
+        n144) );
+  sky130_fd_sc_hd__a22oi_1 U181 ( .A1(reg2hw[185]), .A2(reg2hw[194]), .B1(
+        cio_gpio_o_8_), .B2(n144), .Y(n145) );
+  sky130_fd_sc_hd__o21ai_1 U182 ( .A1(n151), .A2(n146), .B1(n145), .Y(n372) );
+  sky130_fd_sc_hd__nand2_1 U183 ( .A(reg2hw[173]), .B(reg2hw[184]), .Y(n150)
+         );
+  sky130_fd_sc_hd__o21ai_1 U184 ( .A1(reg2hw[173]), .A2(n151), .B1(n147), .Y(
+        n148) );
+  sky130_fd_sc_hd__a22oi_1 U185 ( .A1(reg2hw[185]), .A2(reg2hw[195]), .B1(
+        cio_gpio_o_9_), .B2(n148), .Y(n149) );
+  sky130_fd_sc_hd__o21ai_1 U186 ( .A1(n151), .A2(n150), .B1(n149), .Y(n371) );
+  sky130_fd_sc_hd__a22o_1 U187 ( .A1(reg2hw[185]), .A2(reg2hw[196]), .B1(n152), 
+        .B2(cio_gpio_o_10_), .X(n370) );
+  sky130_fd_sc_hd__a22o_1 U188 ( .A1(reg2hw[185]), .A2(reg2hw[197]), .B1(n152), 
+        .B2(cio_gpio_o_11_), .X(n369) );
+  sky130_fd_sc_hd__a22o_1 U189 ( .A1(reg2hw[185]), .A2(reg2hw[198]), .B1(n152), 
+        .B2(cio_gpio_o_12_), .X(n368) );
+  sky130_fd_sc_hd__a22o_1 U190 ( .A1(reg2hw[185]), .A2(reg2hw[199]), .B1(n152), 
+        .B2(cio_gpio_o_13_), .X(n367) );
+  sky130_fd_sc_hd__a22o_1 U191 ( .A1(reg2hw[185]), .A2(reg2hw[200]), .B1(n152), 
+        .B2(cio_gpio_o_14_), .X(n366) );
+  sky130_fd_sc_hd__a22o_1 U192 ( .A1(reg2hw[185]), .A2(reg2hw[201]), .B1(n152), 
+        .B2(cio_gpio_o_15_), .X(n365) );
+  sky130_fd_sc_hd__or2_0 U193 ( .A(reg2hw[185]), .B(n153), .X(n203) );
+  sky130_fd_sc_hd__nand2_1 U194 ( .A(reg2hw[131]), .B(reg2hw[148]), .Y(n156)
+         );
+  sky130_fd_sc_hd__a21oi_1 U195 ( .A1(reg2hw[147]), .A2(reg2hw[131]), .B1(
+        reg2hw[185]), .Y(n154) );
+  sky130_fd_sc_hd__a22oi_1 U196 ( .A1(reg2hw[185]), .A2(reg2hw[202]), .B1(
+        cio_gpio_o_16_), .B2(n154), .Y(n155) );
+  sky130_fd_sc_hd__o21ai_1 U197 ( .A1(n203), .A2(n156), .B1(n155), .Y(n364) );
+  sky130_fd_sc_hd__nand2_1 U198 ( .A(reg2hw[132]), .B(reg2hw[149]), .Y(n159)
+         );
+  sky130_fd_sc_hd__a21oi_1 U199 ( .A1(reg2hw[147]), .A2(reg2hw[132]), .B1(
+        reg2hw[185]), .Y(n157) );
+  sky130_fd_sc_hd__a22oi_1 U200 ( .A1(reg2hw[185]), .A2(reg2hw[203]), .B1(
+        cio_gpio_o_17_), .B2(n157), .Y(n158) );
+  sky130_fd_sc_hd__o21ai_1 U201 ( .A1(n203), .A2(n159), .B1(n158), .Y(n363) );
+  sky130_fd_sc_hd__nand2_1 U202 ( .A(reg2hw[133]), .B(reg2hw[150]), .Y(n162)
+         );
+  sky130_fd_sc_hd__a21oi_1 U203 ( .A1(reg2hw[147]), .A2(reg2hw[133]), .B1(
+        reg2hw[185]), .Y(n160) );
+  sky130_fd_sc_hd__a22oi_1 U204 ( .A1(reg2hw[185]), .A2(reg2hw[204]), .B1(
+        cio_gpio_o_18_), .B2(n160), .Y(n161) );
+  sky130_fd_sc_hd__o21ai_1 U205 ( .A1(n203), .A2(n162), .B1(n161), .Y(n362) );
+  sky130_fd_sc_hd__nand2_1 U206 ( .A(reg2hw[134]), .B(reg2hw[151]), .Y(n165)
+         );
+  sky130_fd_sc_hd__a21oi_1 U207 ( .A1(reg2hw[147]), .A2(reg2hw[134]), .B1(
+        reg2hw[185]), .Y(n163) );
+  sky130_fd_sc_hd__a22oi_1 U208 ( .A1(reg2hw[185]), .A2(reg2hw[205]), .B1(
+        cio_gpio_o_19_), .B2(n163), .Y(n164) );
+  sky130_fd_sc_hd__o21ai_1 U209 ( .A1(n203), .A2(n165), .B1(n164), .Y(n361) );
+  sky130_fd_sc_hd__nand2_1 U210 ( .A(reg2hw[135]), .B(reg2hw[152]), .Y(n168)
+         );
+  sky130_fd_sc_hd__a21oi_1 U211 ( .A1(reg2hw[147]), .A2(reg2hw[135]), .B1(
+        reg2hw[185]), .Y(n166) );
+  sky130_fd_sc_hd__a22oi_1 U212 ( .A1(reg2hw[185]), .A2(reg2hw[206]), .B1(
+        cio_gpio_o_20_), .B2(n166), .Y(n167) );
+  sky130_fd_sc_hd__o21ai_1 U213 ( .A1(n203), .A2(n168), .B1(n167), .Y(n360) );
+  sky130_fd_sc_hd__nand2_1 U214 ( .A(reg2hw[136]), .B(reg2hw[153]), .Y(n171)
+         );
+  sky130_fd_sc_hd__a21oi_1 U215 ( .A1(reg2hw[147]), .A2(reg2hw[136]), .B1(
+        reg2hw[185]), .Y(n169) );
+  sky130_fd_sc_hd__a22oi_1 U216 ( .A1(reg2hw[185]), .A2(reg2hw[207]), .B1(
+        cio_gpio_o_21_), .B2(n169), .Y(n170) );
+  sky130_fd_sc_hd__o21ai_1 U217 ( .A1(n203), .A2(n171), .B1(n170), .Y(n359) );
+  sky130_fd_sc_hd__nand2_1 U218 ( .A(reg2hw[137]), .B(reg2hw[154]), .Y(n174)
+         );
+  sky130_fd_sc_hd__a21oi_1 U219 ( .A1(reg2hw[147]), .A2(reg2hw[137]), .B1(
+        reg2hw[185]), .Y(n172) );
+  sky130_fd_sc_hd__a22oi_1 U220 ( .A1(reg2hw[185]), .A2(reg2hw[208]), .B1(
+        cio_gpio_o_22_), .B2(n172), .Y(n173) );
+  sky130_fd_sc_hd__o21ai_1 U221 ( .A1(n203), .A2(n174), .B1(n173), .Y(n358) );
+  sky130_fd_sc_hd__nand2_1 U222 ( .A(reg2hw[138]), .B(reg2hw[155]), .Y(n177)
+         );
+  sky130_fd_sc_hd__a21oi_1 U223 ( .A1(reg2hw[147]), .A2(reg2hw[138]), .B1(
+        reg2hw[185]), .Y(n175) );
+  sky130_fd_sc_hd__a22oi_1 U224 ( .A1(reg2hw[185]), .A2(reg2hw[209]), .B1(
+        cio_gpio_o_23_), .B2(n175), .Y(n176) );
+  sky130_fd_sc_hd__o21ai_1 U225 ( .A1(n203), .A2(n177), .B1(n176), .Y(n357) );
+  sky130_fd_sc_hd__nand2_1 U226 ( .A(reg2hw[139]), .B(reg2hw[156]), .Y(n180)
+         );
+  sky130_fd_sc_hd__a21oi_1 U227 ( .A1(reg2hw[147]), .A2(reg2hw[139]), .B1(
+        reg2hw[185]), .Y(n178) );
+  sky130_fd_sc_hd__a22oi_1 U228 ( .A1(reg2hw[185]), .A2(reg2hw[210]), .B1(
+        cio_gpio_o_24_), .B2(n178), .Y(n179) );
+  sky130_fd_sc_hd__o21ai_1 U229 ( .A1(n203), .A2(n180), .B1(n179), .Y(n356) );
+  sky130_fd_sc_hd__nand2_1 U230 ( .A(reg2hw[140]), .B(reg2hw[157]), .Y(n183)
+         );
+  sky130_fd_sc_hd__a21oi_1 U231 ( .A1(reg2hw[147]), .A2(reg2hw[140]), .B1(
+        reg2hw[185]), .Y(n181) );
+  sky130_fd_sc_hd__a22oi_1 U232 ( .A1(reg2hw[185]), .A2(reg2hw[211]), .B1(
+        cio_gpio_o_25_), .B2(n181), .Y(n182) );
+  sky130_fd_sc_hd__o21ai_1 U233 ( .A1(n203), .A2(n183), .B1(n182), .Y(n355) );
+  sky130_fd_sc_hd__nand2_1 U234 ( .A(reg2hw[141]), .B(reg2hw[158]), .Y(n186)
+         );
+  sky130_fd_sc_hd__a21oi_1 U235 ( .A1(reg2hw[147]), .A2(reg2hw[141]), .B1(
+        reg2hw[185]), .Y(n184) );
+  sky130_fd_sc_hd__a22oi_1 U236 ( .A1(reg2hw[185]), .A2(reg2hw[212]), .B1(
+        cio_gpio_o_26_), .B2(n184), .Y(n185) );
+  sky130_fd_sc_hd__o21ai_1 U237 ( .A1(n203), .A2(n186), .B1(n185), .Y(n354) );
+  sky130_fd_sc_hd__nand2_1 U238 ( .A(reg2hw[142]), .B(reg2hw[159]), .Y(n189)
+         );
+  sky130_fd_sc_hd__a21oi_1 U239 ( .A1(reg2hw[147]), .A2(reg2hw[142]), .B1(
+        reg2hw[185]), .Y(n187) );
+  sky130_fd_sc_hd__a22oi_1 U240 ( .A1(reg2hw[185]), .A2(reg2hw[213]), .B1(
+        cio_gpio_o_27_), .B2(n187), .Y(n188) );
+  sky130_fd_sc_hd__o21ai_1 U241 ( .A1(n203), .A2(n189), .B1(n188), .Y(n353) );
+  sky130_fd_sc_hd__nand2_1 U242 ( .A(reg2hw[143]), .B(reg2hw[160]), .Y(n192)
+         );
+  sky130_fd_sc_hd__a21oi_1 U243 ( .A1(reg2hw[147]), .A2(reg2hw[143]), .B1(
+        reg2hw[185]), .Y(n190) );
+  sky130_fd_sc_hd__a22oi_1 U244 ( .A1(reg2hw[185]), .A2(reg2hw[214]), .B1(
+        cio_gpio_o_28_), .B2(n190), .Y(n191) );
+  sky130_fd_sc_hd__o21ai_1 U245 ( .A1(n203), .A2(n192), .B1(n191), .Y(n352) );
+  sky130_fd_sc_hd__nand2_1 U246 ( .A(reg2hw[144]), .B(reg2hw[161]), .Y(n195)
+         );
+  sky130_fd_sc_hd__a21oi_1 U247 ( .A1(reg2hw[147]), .A2(reg2hw[144]), .B1(
+        reg2hw[185]), .Y(n193) );
+  sky130_fd_sc_hd__a22oi_1 U248 ( .A1(reg2hw[185]), .A2(reg2hw[215]), .B1(
+        cio_gpio_o_29_), .B2(n193), .Y(n194) );
+  sky130_fd_sc_hd__o21ai_1 U249 ( .A1(n203), .A2(n195), .B1(n194), .Y(n351) );
+  sky130_fd_sc_hd__nand2_1 U250 ( .A(reg2hw[145]), .B(reg2hw[162]), .Y(n198)
+         );
+  sky130_fd_sc_hd__a21oi_1 U251 ( .A1(reg2hw[147]), .A2(reg2hw[145]), .B1(
+        reg2hw[185]), .Y(n196) );
+  sky130_fd_sc_hd__a22oi_1 U252 ( .A1(reg2hw[185]), .A2(reg2hw[216]), .B1(
+        cio_gpio_o_30_), .B2(n196), .Y(n197) );
+  sky130_fd_sc_hd__o21ai_1 U253 ( .A1(n203), .A2(n198), .B1(n197), .Y(n350) );
+  sky130_fd_sc_hd__nand2_1 U254 ( .A(reg2hw[146]), .B(reg2hw[163]), .Y(n202)
+         );
+  sky130_fd_sc_hd__a21oi_1 U255 ( .A1(reg2hw[147]), .A2(reg2hw[146]), .B1(
+        reg2hw[185]), .Y(n200) );
+  sky130_fd_sc_hd__a22oi_1 U256 ( .A1(reg2hw[185]), .A2(reg2hw[217]), .B1(
+        cio_gpio_o_31_), .B2(n200), .Y(n201) );
+  sky130_fd_sc_hd__o21ai_1 U257 ( .A1(n203), .A2(n202), .B1(n201), .Y(n348) );
+endmodule
+
+
+module opentitan_soc_top_i_1to2_decoder_0 ( in, out );
+  output [1:0] out;
+  input in;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(in), .X(out[1]) );
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(out[1]), .Y(out[0]) );
+endmodule
+
+
+module opentitan_soc_top_instr_mem_top_0 ( clk_i, rst_ni, req, we, addr, wdata, 
+        rdata, rvalid, wen, wmask );
+  input [11:0] addr;
+  input [31:0] wdata;
+  output [31:0] rdata;
+  input [3:0] wmask;
+  input clk_i, rst_ni, req, we, wen;
+  output rvalid;
+  wire   n_0_net_, n_1_net_, rdata_out_1__31_, rdata_out_1__30_,
+         rdata_out_1__29_, rdata_out_1__28_, rdata_out_1__27_,
+         rdata_out_1__26_, rdata_out_1__25_, rdata_out_1__24_,
+         rdata_out_1__23_, rdata_out_1__22_, rdata_out_1__21_,
+         rdata_out_1__20_, rdata_out_1__19_, rdata_out_1__18_,
+         rdata_out_1__17_, rdata_out_1__16_, rdata_out_1__15_,
+         rdata_out_1__14_, rdata_out_1__13_, rdata_out_1__12_,
+         rdata_out_1__11_, rdata_out_1__10_, rdata_out_1__9_, rdata_out_1__8_,
+         rdata_out_1__7_, rdata_out_1__6_, rdata_out_1__5_, rdata_out_1__4_,
+         rdata_out_1__3_, rdata_out_1__2_, rdata_out_1__1_, rdata_out_1__0_,
+         rdata_out_0__31_, rdata_out_0__30_, rdata_out_0__29_,
+         rdata_out_0__28_, rdata_out_0__27_, rdata_out_0__26_,
+         rdata_out_0__25_, rdata_out_0__24_, rdata_out_0__23_,
+         rdata_out_0__22_, rdata_out_0__21_, rdata_out_0__20_,
+         rdata_out_0__19_, rdata_out_0__18_, rdata_out_0__17_,
+         rdata_out_0__16_, rdata_out_0__15_, rdata_out_0__14_,
+         rdata_out_0__13_, rdata_out_0__12_, rdata_out_0__11_,
+         rdata_out_0__10_, rdata_out_0__9_, rdata_out_0__8_, rdata_out_0__7_,
+         rdata_out_0__6_, rdata_out_0__5_, rdata_out_0__4_, rdata_out_0__3_,
+         rdata_out_0__2_, rdata_out_0__1_, rdata_out_0__0_, n_2_net_, n_3_net_,
+         n1, n6, n7, n8, SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56,
+         SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58,
+         SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60,
+         SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62,
+         SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64;
+  wire   [1:0] sel;
+
+  opentitan_soc_top_i_1to2_decoder_0 one2two_dec ( .in(addr[11]), .out(sel) );
+  sky130_sram_4kbyte_1rw1r_32x1024_8 iccm_0__sky130_sram_4kb ( .din0(wdata), 
+        .dout0({SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_12, 
+        SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32, 
+        SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, 
+        SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, 
+        SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14, 
+        SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16, 
+        SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18, 
+        SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20, 
+        SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22, 
+        SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25}), .addr0(addr[9:0]), 
+        .wmask0({n6, n6, n6, n6}), .dout1({rdata_out_0__31_, rdata_out_0__30_, 
+        rdata_out_0__29_, rdata_out_0__28_, rdata_out_0__27_, rdata_out_0__26_, 
+        rdata_out_0__25_, rdata_out_0__24_, rdata_out_0__23_, rdata_out_0__22_, 
+        rdata_out_0__21_, rdata_out_0__20_, rdata_out_0__19_, rdata_out_0__18_, 
+        rdata_out_0__17_, rdata_out_0__16_, rdata_out_0__15_, rdata_out_0__14_, 
+        rdata_out_0__13_, rdata_out_0__12_, rdata_out_0__11_, rdata_out_0__10_, 
+        rdata_out_0__9_, rdata_out_0__8_, rdata_out_0__7_, rdata_out_0__6_, 
+        rdata_out_0__5_, rdata_out_0__4_, rdata_out_0__3_, rdata_out_0__2_, 
+        rdata_out_0__1_, rdata_out_0__0_}), .addr1(addr[9:0]), .csb0(n_0_net_), 
+        .web0(wen), .clk0(clk_i), .csb1(n_1_net_), .clk1(clk_i) );
+  sky130_sram_4kbyte_1rw1r_32x1024_8 iccm_1__sky130_sram_4kb ( .din0(wdata), 
+        .dout0({SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_44, 
+        SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_58, 
+        SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60, 
+        SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62, 
+        SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64, 
+        SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35, 
+        SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37, 
+        SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39, 
+        SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41, 
+        SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43, 
+        SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46, 
+        SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48, 
+        SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50, 
+        SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52, 
+        SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54, 
+        SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57}), .addr0(addr[9:0]), 
+        .wmask0({n6, n6, n6, n6}), .dout1({rdata_out_1__31_, rdata_out_1__30_, 
+        rdata_out_1__29_, rdata_out_1__28_, rdata_out_1__27_, rdata_out_1__26_, 
+        rdata_out_1__25_, rdata_out_1__24_, rdata_out_1__23_, rdata_out_1__22_, 
+        rdata_out_1__21_, rdata_out_1__20_, rdata_out_1__19_, rdata_out_1__18_, 
+        rdata_out_1__17_, rdata_out_1__16_, rdata_out_1__15_, rdata_out_1__14_, 
+        rdata_out_1__13_, rdata_out_1__12_, rdata_out_1__11_, rdata_out_1__10_, 
+        rdata_out_1__9_, rdata_out_1__8_, rdata_out_1__7_, rdata_out_1__6_, 
+        rdata_out_1__5_, rdata_out_1__4_, rdata_out_1__3_, rdata_out_1__2_, 
+        rdata_out_1__1_, rdata_out_1__0_}), .addr1(addr[9:0]), .csb0(n_2_net_), 
+        .web0(wen), .clk0(clk_i), .csb1(n_3_net_), .clk1(clk_i) );
+  sky130_fd_sc_hd__dfxtp_1 rvalid_reg ( .D(n1), .CLK(clk_i), .Q(rvalid) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(req), .B(rst_ni), .X(n1) );
+  sky130_fd_sc_hd__conb_1 U4 ( .HI(n6) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(addr[11]), .Y(n8) );
+  sky130_fd_sc_hd__clkinv_1 U6 ( .A(rst_ni), .Y(n7) );
+  sky130_fd_sc_hd__nand2_1 U7 ( .A(sel[0]), .B(n7), .Y(n_0_net_) );
+  sky130_fd_sc_hd__clkinv_1 U8 ( .A(n_0_net_), .Y(n_1_net_) );
+  sky130_fd_sc_hd__nand2_1 U9 ( .A(sel[1]), .B(n7), .Y(n_2_net_) );
+  sky130_fd_sc_hd__clkinv_1 U10 ( .A(n_2_net_), .Y(n_3_net_) );
+  sky130_fd_sc_hd__a22o_1 U11 ( .A1(addr[11]), .A2(rdata_out_1__0_), .B1(n8), 
+        .B2(rdata_out_0__0_), .X(rdata[0]) );
+  sky130_fd_sc_hd__a22o_1 U12 ( .A1(addr[11]), .A2(rdata_out_1__1_), .B1(n8), 
+        .B2(rdata_out_0__1_), .X(rdata[1]) );
+  sky130_fd_sc_hd__a22o_1 U13 ( .A1(addr[11]), .A2(rdata_out_1__2_), .B1(n8), 
+        .B2(rdata_out_0__2_), .X(rdata[2]) );
+  sky130_fd_sc_hd__a22o_1 U14 ( .A1(addr[11]), .A2(rdata_out_1__3_), .B1(n8), 
+        .B2(rdata_out_0__3_), .X(rdata[3]) );
+  sky130_fd_sc_hd__a22o_1 U15 ( .A1(addr[11]), .A2(rdata_out_1__4_), .B1(n8), 
+        .B2(rdata_out_0__4_), .X(rdata[4]) );
+  sky130_fd_sc_hd__a22o_1 U16 ( .A1(addr[11]), .A2(rdata_out_1__5_), .B1(n8), 
+        .B2(rdata_out_0__5_), .X(rdata[5]) );
+  sky130_fd_sc_hd__a22o_1 U17 ( .A1(addr[11]), .A2(rdata_out_1__6_), .B1(n8), 
+        .B2(rdata_out_0__6_), .X(rdata[6]) );
+  sky130_fd_sc_hd__a22o_1 U18 ( .A1(addr[11]), .A2(rdata_out_1__7_), .B1(n8), 
+        .B2(rdata_out_0__7_), .X(rdata[7]) );
+  sky130_fd_sc_hd__a22o_1 U19 ( .A1(addr[11]), .A2(rdata_out_1__8_), .B1(n8), 
+        .B2(rdata_out_0__8_), .X(rdata[8]) );
+  sky130_fd_sc_hd__a22o_1 U20 ( .A1(addr[11]), .A2(rdata_out_1__9_), .B1(n8), 
+        .B2(rdata_out_0__9_), .X(rdata[9]) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(addr[11]), .A2(rdata_out_1__10_), .B1(n8), 
+        .B2(rdata_out_0__10_), .X(rdata[10]) );
+  sky130_fd_sc_hd__a22o_1 U22 ( .A1(addr[11]), .A2(rdata_out_1__11_), .B1(n8), 
+        .B2(rdata_out_0__11_), .X(rdata[11]) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(addr[11]), .A2(rdata_out_1__12_), .B1(n8), 
+        .B2(rdata_out_0__12_), .X(rdata[12]) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(addr[11]), .A2(rdata_out_1__13_), .B1(n8), 
+        .B2(rdata_out_0__13_), .X(rdata[13]) );
+  sky130_fd_sc_hd__a22o_1 U25 ( .A1(addr[11]), .A2(rdata_out_1__14_), .B1(n8), 
+        .B2(rdata_out_0__14_), .X(rdata[14]) );
+  sky130_fd_sc_hd__a22o_1 U26 ( .A1(addr[11]), .A2(rdata_out_1__15_), .B1(n8), 
+        .B2(rdata_out_0__15_), .X(rdata[15]) );
+  sky130_fd_sc_hd__a22o_1 U27 ( .A1(addr[11]), .A2(rdata_out_1__16_), .B1(n8), 
+        .B2(rdata_out_0__16_), .X(rdata[16]) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(addr[11]), .A2(rdata_out_1__17_), .B1(n8), 
+        .B2(rdata_out_0__17_), .X(rdata[17]) );
+  sky130_fd_sc_hd__a22o_1 U29 ( .A1(addr[11]), .A2(rdata_out_1__18_), .B1(n8), 
+        .B2(rdata_out_0__18_), .X(rdata[18]) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(addr[11]), .A2(rdata_out_1__19_), .B1(n8), 
+        .B2(rdata_out_0__19_), .X(rdata[19]) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(addr[11]), .A2(rdata_out_1__20_), .B1(n8), 
+        .B2(rdata_out_0__20_), .X(rdata[20]) );
+  sky130_fd_sc_hd__a22o_1 U32 ( .A1(addr[11]), .A2(rdata_out_1__21_), .B1(n8), 
+        .B2(rdata_out_0__21_), .X(rdata[21]) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(addr[11]), .A2(rdata_out_1__22_), .B1(n8), 
+        .B2(rdata_out_0__22_), .X(rdata[22]) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(addr[11]), .A2(rdata_out_1__23_), .B1(n8), 
+        .B2(rdata_out_0__23_), .X(rdata[23]) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(addr[11]), .A2(rdata_out_1__24_), .B1(n8), 
+        .B2(rdata_out_0__24_), .X(rdata[24]) );
+  sky130_fd_sc_hd__a22o_1 U36 ( .A1(addr[11]), .A2(rdata_out_1__25_), .B1(n8), 
+        .B2(rdata_out_0__25_), .X(rdata[25]) );
+  sky130_fd_sc_hd__a22o_1 U37 ( .A1(addr[11]), .A2(rdata_out_1__26_), .B1(n8), 
+        .B2(rdata_out_0__26_), .X(rdata[26]) );
+  sky130_fd_sc_hd__a22o_1 U38 ( .A1(addr[11]), .A2(rdata_out_1__27_), .B1(n8), 
+        .B2(rdata_out_0__27_), .X(rdata[27]) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(addr[11]), .A2(rdata_out_1__28_), .B1(n8), 
+        .B2(rdata_out_0__28_), .X(rdata[28]) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(addr[11]), .A2(rdata_out_1__29_), .B1(n8), 
+        .B2(rdata_out_0__29_), .X(rdata[29]) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(addr[11]), .A2(rdata_out_1__30_), .B1(n8), 
+        .B2(rdata_out_0__30_), .X(rdata[30]) );
+  sky130_fd_sc_hd__a22o_1 U42 ( .A1(addr[11]), .A2(rdata_out_1__31_), .B1(n8), 
+        .B2(rdata_out_0__31_), .X(rdata[31]) );
+endmodule
+
+
+module opentitan_soc_top_tlul_err_0 ( clk_i, rst_ni, tl_i, err_o );
+  input [85:0] tl_i;
+  input clk_i, rst_ni;
+  output err_o;
+
+
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(tl_i[85]), .Y(err_o) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_13_0_2_0 ( clk_i, rst_ni, clr_i, wvalid_i, 
+        wready_o, wdata_i, rvalid_o, rready_i, rdata_o, depth_o );
+  input [12:0] wdata_i;
+  output [12:0] rdata_o;
+  output [1:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+  wire   depth_o_0_, gen_normal_fifo_fifo_wptr_1_,
+         gen_normal_fifo_fifo_rptr_1_, gen_normal_fifo_rptr_value_0_, N46, n17,
+         n20, n21, n22, n23, n24, n13, n19, n25, n26, n27, n28, n29, n30, n31,
+         n32, n33, n34;
+  wire   [1:0] gen_normal_fifo_storage;
+
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_0_ ( .D(n24), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value_0_) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_0_ ( .D(n22), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(N46) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_1_ ( .D(n21), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_wptr_1_) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_1_ ( .D(n23), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_rptr_1_) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__10_ ( .D(n20), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[1]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__10_ ( .D(n17), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[0]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U3 ( .B1(gen_normal_fifo_fifo_wptr_1_), .B2(n31), 
+        .A1_N(gen_normal_fifo_fifo_wptr_1_), .A2_N(n31), .Y(n21) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(gen_normal_fifo_rptr_value_0_), .Y(n27) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(N46), .Y(n29) );
+  sky130_fd_sc_hd__o22ai_1 U6 ( .A1(N46), .A2(n27), .B1(n29), .B2(
+        gen_normal_fifo_rptr_value_0_), .Y(depth_o_0_) );
+  sky130_fd_sc_hd__xor2_1 U7 ( .A(gen_normal_fifo_fifo_wptr_1_), .B(
+        gen_normal_fifo_fifo_rptr_1_), .X(n13) );
+  sky130_fd_sc_hd__nor2_1 U8 ( .A(depth_o_0_), .B(n13), .Y(n25) );
+  sky130_fd_sc_hd__clkinv_1 U9 ( .A(n25), .Y(rvalid_o) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(rvalid_o), .X(rdata_o[11]) );
+  sky130_fd_sc_hd__nand2b_1 U12 ( .A_N(depth_o_0_), .B(n13), .Y(wready_o) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(wvalid_i), .B(wready_o), .X(n30) );
+  sky130_fd_sc_hd__nand2_1 U14 ( .A(N46), .B(n30), .Y(n31) );
+  sky130_fd_sc_hd__o22ai_1 U19 ( .A1(gen_normal_fifo_rptr_value_0_), .A2(
+        gen_normal_fifo_storage[0]), .B1(n27), .B2(gen_normal_fifo_storage[1]), 
+        .Y(n19) );
+  sky130_fd_sc_hd__nor2_1 U20 ( .A(n25), .B(n19), .Y(rdata_o[10]) );
+  sky130_fd_sc_hd__nand2_1 U21 ( .A(rready_i), .B(rvalid_o), .Y(n26) );
+  sky130_fd_sc_hd__and3_1 U22 ( .A(gen_normal_fifo_rptr_value_0_), .B(rready_i), .C(rvalid_o), .X(n28) );
+  sky130_fd_sc_hd__a21oi_1 U23 ( .A1(n27), .A2(n26), .B1(n28), .Y(n24) );
+  sky130_fd_sc_hd__xor2_1 U24 ( .A(gen_normal_fifo_fifo_rptr_1_), .B(n28), .X(
+        n23) );
+  sky130_fd_sc_hd__nand2_1 U25 ( .A(n29), .B(n30), .Y(n33) );
+  sky130_fd_sc_hd__o21ai_1 U26 ( .A1(n30), .A2(n29), .B1(n33), .Y(n22) );
+  sky130_fd_sc_hd__clkinv_1 U27 ( .A(n31), .Y(n32) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(n32), .A2(wdata_i[10]), .B1(n31), .B2(
+        gen_normal_fifo_storage[1]), .X(n20) );
+  sky130_fd_sc_hd__clkinv_1 U30 ( .A(n33), .Y(n34) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(n34), .A2(wdata_i[10]), .B1(n33), .B2(
+        gen_normal_fifo_storage[0]), .X(n17) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_5_0_2_0 ( clk_i, rst_ni, clr_i, wvalid_i, 
+        wready_o, wdata_i, rvalid_o, rready_i, rdata_o, depth_o );
+  input [4:0] wdata_i;
+  output [4:0] rdata_o;
+  output [1:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+  wire   depth_o_0_, gen_normal_fifo_fifo_wptr_1_,
+         gen_normal_fifo_fifo_rptr_1_, gen_normal_fifo_rptr_value_0_, N46, n9,
+         n10, n11, n12, n7, n13, n14, n15, n16, n17, n18;
+
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_0_ ( .D(n12), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value_0_) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_0_ ( .D(n10), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(N46) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_1_ ( .D(n9), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_wptr_1_) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_1_ ( .D(n11), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_rptr_1_) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(rdata_o[3]), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(rdata_o[3]), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(N46), .Y(n17) );
+  sky130_fd_sc_hd__clkinv_1 U6 ( .A(gen_normal_fifo_rptr_value_0_), .Y(n14) );
+  sky130_fd_sc_hd__o22ai_1 U7 ( .A1(gen_normal_fifo_rptr_value_0_), .A2(n17), 
+        .B1(n14), .B2(N46), .Y(depth_o_0_) );
+  sky130_fd_sc_hd__xor2_1 U8 ( .A(gen_normal_fifo_fifo_rptr_1_), .B(
+        gen_normal_fifo_fifo_wptr_1_), .X(n7) );
+  sky130_fd_sc_hd__or2_0 U9 ( .A(n7), .B(depth_o_0_), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(rdata_o[3]), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__nand2b_1 U12 ( .A_N(depth_o_0_), .B(n7), .Y(wready_o) );
+  sky130_fd_sc_hd__nand2_1 U15 ( .A(rready_i), .B(rdata_o[3]), .Y(n13) );
+  sky130_fd_sc_hd__and3_1 U16 ( .A(gen_normal_fifo_rptr_value_0_), .B(rready_i), .C(rdata_o[3]), .X(n15) );
+  sky130_fd_sc_hd__a21oi_1 U17 ( .A1(n14), .A2(n13), .B1(n15), .Y(n12) );
+  sky130_fd_sc_hd__xor2_1 U18 ( .A(gen_normal_fifo_fifo_rptr_1_), .B(n15), .X(
+        n11) );
+  sky130_fd_sc_hd__nand2_1 U19 ( .A(wvalid_i), .B(wready_o), .Y(n16) );
+  sky130_fd_sc_hd__and3_1 U20 ( .A(N46), .B(wvalid_i), .C(wready_o), .X(n18)
+         );
+  sky130_fd_sc_hd__a21oi_1 U21 ( .A1(n17), .A2(n16), .B1(n18), .Y(n10) );
+  sky130_fd_sc_hd__xor2_1 U22 ( .A(gen_normal_fifo_fifo_wptr_1_), .B(n18), .X(
+        n9) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_33_1_2_0 ( clk_i, rst_ni, clr_i, wvalid_i, 
+        wready_o, wdata_i, rvalid_o, rready_i, rdata_o, depth_o );
+  input [32:0] wdata_i;
+  output [32:0] rdata_o;
+  output [1:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+  wire   wready_o0, depth_o_0_, gen_normal_fifo_fifo_wptr_1_,
+         gen_normal_fifo_fifo_rptr_1_, gen_normal_fifo_rptr_value_0_, N47, n78,
+         n79, n80, n81, n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92,
+         n93, n94, n95, n96, n97, n98, n99, n100, n101, n102, n103, n104, n105,
+         n106, n107, n108, n109, n111, n112, n113, n114, n115, n116, n117,
+         n118, n119, n120, n121, n122, n123, n124, n125, n126, n127, n128,
+         n129, n130, n131, n132, n133, n134, n135, n136, n137, n138, n139,
+         n140, n141, n142, n143, n144, n145, n146, n3, n4, n5, n6, n7, n8, n9,
+         n10, n11, n12, n13, n14, n15, n16, n17, n18, n19, n20, n21, n22, n23,
+         n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37,
+         n38, n39, n40, n41, n42, n43, n44, n45, n46, n470, n48, n49, n50, n51,
+         n52, n53, n54, n55, n56, n57, n58, n59, n60, n61, n62, n63, n64, n65,
+         n66, n67, n68, n69, n70, n71, n72, n73, n74, n75, n76, n77, n110,
+         n147, n148, n149;
+  wire   [63:0] gen_normal_fifo_storage;
+
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_0_ ( .D(n146), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value_0_) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_0_ ( .D(n144), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(N47) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_1_ ( .D(n143), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_wptr_1_) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_1_ ( .D(n145), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_rptr_1_) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__32_ ( .D(n142), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[63]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__31_ ( .D(n141), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[62]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__30_ ( .D(n140), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[61]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__29_ ( .D(n139), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[60]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__28_ ( .D(n138), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[59]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__27_ ( .D(n137), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[58]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__26_ ( .D(n136), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[57]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__25_ ( .D(n135), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[56]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__24_ ( .D(n134), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[55]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__23_ ( .D(n133), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[54]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__22_ ( .D(n132), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[53]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__21_ ( .D(n131), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[52]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__20_ ( .D(n130), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[51]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__19_ ( .D(n129), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[50]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__18_ ( .D(n128), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[49]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__17_ ( .D(n127), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[48]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__16_ ( .D(n126), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[47]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__15_ ( .D(n125), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[46]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__14_ ( .D(n124), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[45]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__13_ ( .D(n123), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[44]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__12_ ( .D(n122), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[43]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__11_ ( .D(n121), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[42]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__10_ ( .D(n120), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[41]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__9_ ( .D(n119), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[40]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__8_ ( .D(n118), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[39]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__7_ ( .D(n117), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[38]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__6_ ( .D(n116), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[37]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__5_ ( .D(n115), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[36]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__4_ ( .D(n114), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[35]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__3_ ( .D(n113), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[34]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__2_ ( .D(n112), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[33]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__1_ ( .D(n111), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[32]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__32_ ( .D(n109), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[31]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__31_ ( .D(n108), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[30]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__30_ ( .D(n107), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[29]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__29_ ( .D(n106), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[28]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__28_ ( .D(n105), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[27]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__27_ ( .D(n104), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[26]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__26_ ( .D(n103), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[25]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__25_ ( .D(n102), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[24]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__24_ ( .D(n101), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[23]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__23_ ( .D(n100), 
+        .CLK(clk_i), .Q(gen_normal_fifo_storage[22]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__22_ ( .D(n99), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[21]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__21_ ( .D(n98), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[20]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__20_ ( .D(n97), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[19]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__19_ ( .D(n96), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[18]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__18_ ( .D(n95), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[17]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__17_ ( .D(n94), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[16]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__16_ ( .D(n93), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[15]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__15_ ( .D(n92), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[14]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__14_ ( .D(n91), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[13]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__13_ ( .D(n90), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[12]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__12_ ( .D(n89), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[11]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__11_ ( .D(n88), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[10]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__10_ ( .D(n87), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[9]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__9_ ( .D(n86), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[8]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__8_ ( .D(n85), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[7]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__7_ ( .D(n84), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[6]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__6_ ( .D(n83), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[5]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__5_ ( .D(n82), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[4]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__4_ ( .D(n81), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[3]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__3_ ( .D(n80), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[2]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__2_ ( .D(n79), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[1]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__1_ ( .D(n78), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[0]) );
+  sky130_fd_sc_hd__a21oi_2 U3 ( .A1(N47), .A2(n7), .B1(n77), .Y(n72) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(n5), .Y(n7) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(gen_normal_fifo_rptr_value_0_), .Y(n77) );
+  sky130_fd_sc_hd__nor2_1 U6 ( .A(n77), .B(N47), .Y(n6) );
+  sky130_fd_sc_hd__a21oi_1 U7 ( .A1(n77), .A2(N47), .B1(n6), .Y(n3) );
+  sky130_fd_sc_hd__xor2_1 U8 ( .A(gen_normal_fifo_fifo_rptr_1_), .B(
+        gen_normal_fifo_fifo_wptr_1_), .X(n5) );
+  sky130_fd_sc_hd__nand2_1 U9 ( .A(n3), .B(n5), .Y(wready_o0) );
+  sky130_fd_sc_hd__clkinv_1 U10 ( .A(n3), .Y(depth_o_0_) );
+  sky130_fd_sc_hd__clkinv_1 U11 ( .A(N47), .Y(n148) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(wvalid_i), .B(wready_o0), .X(n149) );
+  sky130_fd_sc_hd__nand2_1 U13 ( .A(n148), .B(n149), .Y(n147) );
+  sky130_fd_sc_hd__clkinv_1 U14 ( .A(wdata_i[13]), .Y(n34) );
+  sky130_fd_sc_hd__o2bb2ai_1 U15 ( .B1(n147), .B2(n34), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[12]), .Y(n90) );
+  sky130_fd_sc_hd__clkinv_1 U16 ( .A(wdata_i[14]), .Y(n36) );
+  sky130_fd_sc_hd__o2bb2ai_1 U17 ( .B1(n147), .B2(n36), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[13]), .Y(n91) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(wdata_i[10]), .Y(n28) );
+  sky130_fd_sc_hd__o2bb2ai_1 U19 ( .B1(n147), .B2(n28), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[9]), .Y(n87) );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(wdata_i[2]), .Y(n12) );
+  sky130_fd_sc_hd__o2bb2ai_1 U21 ( .B1(n147), .B2(n12), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[1]), .Y(n79) );
+  sky130_fd_sc_hd__clkinv_1 U22 ( .A(wdata_i[5]), .Y(n18) );
+  sky130_fd_sc_hd__o2bb2ai_1 U23 ( .B1(n147), .B2(n18), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[4]), .Y(n82) );
+  sky130_fd_sc_hd__clkinv_1 U24 ( .A(wdata_i[8]), .Y(n24) );
+  sky130_fd_sc_hd__o2bb2ai_1 U25 ( .B1(n147), .B2(n24), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[7]), .Y(n85) );
+  sky130_fd_sc_hd__clkinv_1 U26 ( .A(wdata_i[9]), .Y(n26) );
+  sky130_fd_sc_hd__o2bb2ai_1 U27 ( .B1(n147), .B2(n26), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[8]), .Y(n86) );
+  sky130_fd_sc_hd__clkinv_1 U28 ( .A(wdata_i[1]), .Y(n10) );
+  sky130_fd_sc_hd__o2bb2ai_1 U29 ( .B1(n147), .B2(n10), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[0]), .Y(n78) );
+  sky130_fd_sc_hd__clkinv_1 U30 ( .A(wdata_i[19]), .Y(n46) );
+  sky130_fd_sc_hd__o2bb2ai_1 U31 ( .B1(n147), .B2(n46), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[18]), .Y(n96) );
+  sky130_fd_sc_hd__clkinv_1 U32 ( .A(wdata_i[31]), .Y(n70) );
+  sky130_fd_sc_hd__o2bb2ai_1 U33 ( .B1(n147), .B2(n70), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[30]), .Y(n108) );
+  sky130_fd_sc_hd__clkinv_1 U34 ( .A(wdata_i[32]), .Y(n74) );
+  sky130_fd_sc_hd__o2bb2ai_1 U35 ( .B1(n147), .B2(n74), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[31]), .Y(n109) );
+  sky130_fd_sc_hd__clkinv_1 U36 ( .A(wdata_i[22]), .Y(n52) );
+  sky130_fd_sc_hd__o2bb2ai_1 U37 ( .B1(n147), .B2(n52), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[21]), .Y(n99) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(wdata_i[30]), .Y(n68) );
+  sky130_fd_sc_hd__o2bb2ai_1 U39 ( .B1(n147), .B2(n68), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[29]), .Y(n107) );
+  sky130_fd_sc_hd__clkinv_1 U40 ( .A(wdata_i[12]), .Y(n32) );
+  sky130_fd_sc_hd__o2bb2ai_1 U41 ( .B1(n147), .B2(n32), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[11]), .Y(n89) );
+  sky130_fd_sc_hd__clkinv_1 U42 ( .A(wdata_i[24]), .Y(n56) );
+  sky130_fd_sc_hd__o2bb2ai_1 U43 ( .B1(n147), .B2(n56), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[23]), .Y(n101) );
+  sky130_fd_sc_hd__clkinv_1 U44 ( .A(wdata_i[6]), .Y(n20) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45 ( .B1(n147), .B2(n20), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[5]), .Y(n83) );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(wdata_i[15]), .Y(n38) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47 ( .B1(n147), .B2(n38), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[14]), .Y(n92) );
+  sky130_fd_sc_hd__clkinv_1 U48 ( .A(wdata_i[16]), .Y(n40) );
+  sky130_fd_sc_hd__o2bb2ai_1 U49 ( .B1(n147), .B2(n40), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[15]), .Y(n93) );
+  sky130_fd_sc_hd__clkinv_1 U50 ( .A(wdata_i[3]), .Y(n14) );
+  sky130_fd_sc_hd__o2bb2ai_1 U51 ( .B1(n147), .B2(n14), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[2]), .Y(n80) );
+  sky130_fd_sc_hd__clkinv_1 U52 ( .A(wdata_i[18]), .Y(n44) );
+  sky130_fd_sc_hd__o2bb2ai_1 U53 ( .B1(n147), .B2(n44), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[17]), .Y(n95) );
+  sky130_fd_sc_hd__clkinv_1 U54 ( .A(wdata_i[28]), .Y(n64) );
+  sky130_fd_sc_hd__o2bb2ai_1 U55 ( .B1(n147), .B2(n64), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[27]), .Y(n105) );
+  sky130_fd_sc_hd__clkinv_1 U56 ( .A(wdata_i[20]), .Y(n48) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57 ( .B1(n147), .B2(n48), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[19]), .Y(n97) );
+  sky130_fd_sc_hd__clkinv_1 U58 ( .A(wdata_i[21]), .Y(n50) );
+  sky130_fd_sc_hd__o2bb2ai_1 U59 ( .B1(n147), .B2(n50), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[20]), .Y(n98) );
+  sky130_fd_sc_hd__clkinv_1 U60 ( .A(wdata_i[7]), .Y(n22) );
+  sky130_fd_sc_hd__o2bb2ai_1 U61 ( .B1(n147), .B2(n22), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[6]), .Y(n84) );
+  sky130_fd_sc_hd__clkinv_1 U62 ( .A(wdata_i[11]), .Y(n30) );
+  sky130_fd_sc_hd__o2bb2ai_1 U63 ( .B1(n147), .B2(n30), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[10]), .Y(n88) );
+  sky130_fd_sc_hd__clkinv_1 U64 ( .A(wdata_i[23]), .Y(n54) );
+  sky130_fd_sc_hd__o2bb2ai_1 U65 ( .B1(n147), .B2(n54), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[22]), .Y(n100) );
+  sky130_fd_sc_hd__clkinv_1 U66 ( .A(wdata_i[27]), .Y(n62) );
+  sky130_fd_sc_hd__o2bb2ai_1 U67 ( .B1(n147), .B2(n62), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[26]), .Y(n104) );
+  sky130_fd_sc_hd__clkinv_1 U68 ( .A(wdata_i[26]), .Y(n60) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69 ( .B1(n147), .B2(n60), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[25]), .Y(n103) );
+  sky130_fd_sc_hd__clkinv_1 U70 ( .A(wdata_i[25]), .Y(n58) );
+  sky130_fd_sc_hd__o2bb2ai_1 U71 ( .B1(n147), .B2(n58), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[24]), .Y(n102) );
+  sky130_fd_sc_hd__clkinv_1 U72 ( .A(wdata_i[4]), .Y(n16) );
+  sky130_fd_sc_hd__o2bb2ai_1 U73 ( .B1(n147), .B2(n16), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[3]), .Y(n81) );
+  sky130_fd_sc_hd__clkinv_1 U74 ( .A(wdata_i[17]), .Y(n42) );
+  sky130_fd_sc_hd__o2bb2ai_1 U75 ( .B1(n147), .B2(n42), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[16]), .Y(n94) );
+  sky130_fd_sc_hd__clkinv_1 U76 ( .A(wdata_i[29]), .Y(n66) );
+  sky130_fd_sc_hd__o2bb2ai_1 U77 ( .B1(n147), .B2(n66), .A1_N(n147), .A2_N(
+        gen_normal_fifo_storage[28]), .Y(n106) );
+  sky130_fd_sc_hd__nand2_1 U78 ( .A(N47), .B(n149), .Y(n4) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79 ( .B1(n4), .B2(n54), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[54]), .Y(n133) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80 ( .B1(n4), .B2(n52), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[53]), .Y(n132) );
+  sky130_fd_sc_hd__o2bb2ai_1 U81 ( .B1(n4), .B2(n58), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[56]), .Y(n135) );
+  sky130_fd_sc_hd__o2bb2ai_1 U82 ( .B1(n4), .B2(n60), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[57]), .Y(n136) );
+  sky130_fd_sc_hd__o2bb2ai_1 U83 ( .B1(n4), .B2(n62), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[58]), .Y(n137) );
+  sky130_fd_sc_hd__o2bb2ai_1 U84 ( .B1(n4), .B2(n50), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[52]), .Y(n131) );
+  sky130_fd_sc_hd__o2bb2ai_1 U85 ( .B1(n4), .B2(n64), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[59]), .Y(n138) );
+  sky130_fd_sc_hd__o2bb2ai_1 U86 ( .B1(n4), .B2(n56), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[55]), .Y(n134) );
+  sky130_fd_sc_hd__o2bb2ai_1 U87 ( .B1(n4), .B2(n66), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[60]), .Y(n139) );
+  sky130_fd_sc_hd__o2bb2ai_1 U88 ( .B1(n4), .B2(n48), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[51]), .Y(n130) );
+  sky130_fd_sc_hd__o2bb2ai_1 U89 ( .B1(n4), .B2(n68), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[61]), .Y(n140) );
+  sky130_fd_sc_hd__o2bb2ai_1 U90 ( .B1(n4), .B2(n46), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[50]), .Y(n129) );
+  sky130_fd_sc_hd__o2bb2ai_1 U91 ( .B1(n4), .B2(n70), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[62]), .Y(n141) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92 ( .B1(n4), .B2(n44), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[49]), .Y(n128) );
+  sky130_fd_sc_hd__o2bb2ai_1 U93 ( .B1(n4), .B2(n74), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[63]), .Y(n142) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94 ( .B1(n4), .B2(n26), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[40]), .Y(n119) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95 ( .B1(n4), .B2(n24), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[39]), .Y(n118) );
+  sky130_fd_sc_hd__o2bb2ai_1 U96 ( .B1(n4), .B2(n22), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[38]), .Y(n117) );
+  sky130_fd_sc_hd__o2bb2ai_1 U97 ( .B1(n4), .B2(n20), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[37]), .Y(n116) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98 ( .B1(n4), .B2(n18), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[36]), .Y(n115) );
+  sky130_fd_sc_hd__o2bb2ai_1 U99 ( .B1(n4), .B2(n42), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[48]), .Y(n127) );
+  sky130_fd_sc_hd__o2bb2ai_1 U100 ( .B1(n4), .B2(n40), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[47]), .Y(n126) );
+  sky130_fd_sc_hd__o2bb2ai_1 U101 ( .B1(n4), .B2(n38), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[46]), .Y(n125) );
+  sky130_fd_sc_hd__o2bb2ai_1 U102 ( .B1(n4), .B2(n36), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[45]), .Y(n124) );
+  sky130_fd_sc_hd__o2bb2ai_1 U103 ( .B1(n4), .B2(n34), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[44]), .Y(n123) );
+  sky130_fd_sc_hd__o2bb2ai_1 U104 ( .B1(n4), .B2(n32), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[43]), .Y(n122) );
+  sky130_fd_sc_hd__o2bb2ai_1 U105 ( .B1(n4), .B2(n30), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[42]), .Y(n121) );
+  sky130_fd_sc_hd__o2bb2ai_1 U106 ( .B1(n4), .B2(n12), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[33]), .Y(n112) );
+  sky130_fd_sc_hd__o2bb2ai_1 U107 ( .B1(n4), .B2(n28), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[41]), .Y(n120) );
+  sky130_fd_sc_hd__o2bb2ai_1 U108 ( .B1(n4), .B2(n10), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[32]), .Y(n111) );
+  sky130_fd_sc_hd__o2bb2ai_1 U109 ( .B1(n4), .B2(n16), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[35]), .Y(n114) );
+  sky130_fd_sc_hd__o2bb2ai_1 U110 ( .B1(n4), .B2(n14), .A1_N(n4), .A2_N(
+        gen_normal_fifo_storage[34]), .Y(n113) );
+  sky130_fd_sc_hd__o2bb2ai_1 U111 ( .B1(gen_normal_fifo_fifo_wptr_1_), .B2(n4), 
+        .A1_N(gen_normal_fifo_fifo_wptr_1_), .A2_N(n4), .Y(n143) );
+  sky130_fd_sc_hd__nor2_1 U112 ( .A(n5), .B(depth_o_0_), .Y(n8) );
+  sky130_fd_sc_hd__nand2b_1 U113 ( .A_N(wvalid_i), .B(n8), .Y(rvalid_o) );
+  sky130_fd_sc_hd__nand2_1 U115 ( .A(wvalid_i), .B(n8), .Y(n75) );
+  sky130_fd_sc_hd__nor2_1 U116 ( .A(gen_normal_fifo_rptr_value_0_), .B(n8), 
+        .Y(n71) );
+  sky130_fd_sc_hd__a22oi_1 U117 ( .A1(gen_normal_fifo_storage[32]), .A2(n72), 
+        .B1(gen_normal_fifo_storage[0]), .B2(n71), .Y(n9) );
+  sky130_fd_sc_hd__o21ai_1 U118 ( .A1(n10), .A2(n75), .B1(n9), .Y(rdata_o[1])
+         );
+  sky130_fd_sc_hd__a22oi_1 U119 ( .A1(n72), .A2(gen_normal_fifo_storage[33]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[1]), .Y(n11) );
+  sky130_fd_sc_hd__o21ai_1 U120 ( .A1(n75), .A2(n12), .B1(n11), .Y(rdata_o[2])
+         );
+  sky130_fd_sc_hd__a22oi_1 U121 ( .A1(n72), .A2(gen_normal_fifo_storage[34]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[2]), .Y(n13) );
+  sky130_fd_sc_hd__o21ai_1 U122 ( .A1(n75), .A2(n14), .B1(n13), .Y(rdata_o[3])
+         );
+  sky130_fd_sc_hd__a22oi_1 U123 ( .A1(n72), .A2(gen_normal_fifo_storage[35]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[3]), .Y(n15) );
+  sky130_fd_sc_hd__o21ai_1 U124 ( .A1(n75), .A2(n16), .B1(n15), .Y(rdata_o[4])
+         );
+  sky130_fd_sc_hd__a22oi_1 U125 ( .A1(n72), .A2(gen_normal_fifo_storage[36]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[4]), .Y(n17) );
+  sky130_fd_sc_hd__o21ai_1 U126 ( .A1(n75), .A2(n18), .B1(n17), .Y(rdata_o[5])
+         );
+  sky130_fd_sc_hd__a22oi_1 U127 ( .A1(n72), .A2(gen_normal_fifo_storage[37]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[5]), .Y(n19) );
+  sky130_fd_sc_hd__o21ai_1 U128 ( .A1(n75), .A2(n20), .B1(n19), .Y(rdata_o[6])
+         );
+  sky130_fd_sc_hd__a22oi_1 U129 ( .A1(n72), .A2(gen_normal_fifo_storage[38]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[6]), .Y(n21) );
+  sky130_fd_sc_hd__o21ai_1 U130 ( .A1(n75), .A2(n22), .B1(n21), .Y(rdata_o[7])
+         );
+  sky130_fd_sc_hd__a22oi_1 U131 ( .A1(n72), .A2(gen_normal_fifo_storage[39]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[7]), .Y(n23) );
+  sky130_fd_sc_hd__o21ai_1 U132 ( .A1(n75), .A2(n24), .B1(n23), .Y(rdata_o[8])
+         );
+  sky130_fd_sc_hd__a22oi_1 U133 ( .A1(n72), .A2(gen_normal_fifo_storage[40]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[8]), .Y(n25) );
+  sky130_fd_sc_hd__o21ai_1 U134 ( .A1(n75), .A2(n26), .B1(n25), .Y(rdata_o[9])
+         );
+  sky130_fd_sc_hd__a22oi_1 U135 ( .A1(n72), .A2(gen_normal_fifo_storage[41]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[9]), .Y(n27) );
+  sky130_fd_sc_hd__o21ai_1 U136 ( .A1(n75), .A2(n28), .B1(n27), .Y(rdata_o[10]) );
+  sky130_fd_sc_hd__a22oi_1 U137 ( .A1(n72), .A2(gen_normal_fifo_storage[42]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[10]), .Y(n29) );
+  sky130_fd_sc_hd__o21ai_1 U138 ( .A1(n75), .A2(n30), .B1(n29), .Y(rdata_o[11]) );
+  sky130_fd_sc_hd__a22oi_1 U139 ( .A1(n72), .A2(gen_normal_fifo_storage[43]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[11]), .Y(n31) );
+  sky130_fd_sc_hd__o21ai_1 U140 ( .A1(n75), .A2(n32), .B1(n31), .Y(rdata_o[12]) );
+  sky130_fd_sc_hd__a22oi_1 U141 ( .A1(n72), .A2(gen_normal_fifo_storage[44]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[12]), .Y(n33) );
+  sky130_fd_sc_hd__o21ai_1 U142 ( .A1(n75), .A2(n34), .B1(n33), .Y(rdata_o[13]) );
+  sky130_fd_sc_hd__a22oi_1 U143 ( .A1(n72), .A2(gen_normal_fifo_storage[45]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[13]), .Y(n35) );
+  sky130_fd_sc_hd__o21ai_1 U144 ( .A1(n75), .A2(n36), .B1(n35), .Y(rdata_o[14]) );
+  sky130_fd_sc_hd__a22oi_1 U145 ( .A1(n72), .A2(gen_normal_fifo_storage[46]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[14]), .Y(n37) );
+  sky130_fd_sc_hd__o21ai_1 U146 ( .A1(n75), .A2(n38), .B1(n37), .Y(rdata_o[15]) );
+  sky130_fd_sc_hd__a22oi_1 U147 ( .A1(n72), .A2(gen_normal_fifo_storage[47]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[15]), .Y(n39) );
+  sky130_fd_sc_hd__o21ai_1 U148 ( .A1(n75), .A2(n40), .B1(n39), .Y(rdata_o[16]) );
+  sky130_fd_sc_hd__a22oi_1 U149 ( .A1(n72), .A2(gen_normal_fifo_storage[48]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[16]), .Y(n41) );
+  sky130_fd_sc_hd__o21ai_1 U150 ( .A1(n75), .A2(n42), .B1(n41), .Y(rdata_o[17]) );
+  sky130_fd_sc_hd__a22oi_1 U151 ( .A1(n72), .A2(gen_normal_fifo_storage[49]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[17]), .Y(n43) );
+  sky130_fd_sc_hd__o21ai_1 U152 ( .A1(n75), .A2(n44), .B1(n43), .Y(rdata_o[18]) );
+  sky130_fd_sc_hd__a22oi_1 U153 ( .A1(n72), .A2(gen_normal_fifo_storage[50]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[18]), .Y(n45) );
+  sky130_fd_sc_hd__o21ai_1 U154 ( .A1(n75), .A2(n46), .B1(n45), .Y(rdata_o[19]) );
+  sky130_fd_sc_hd__a22oi_1 U155 ( .A1(n72), .A2(gen_normal_fifo_storage[51]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[19]), .Y(n470) );
+  sky130_fd_sc_hd__o21ai_1 U156 ( .A1(n75), .A2(n48), .B1(n470), .Y(
+        rdata_o[20]) );
+  sky130_fd_sc_hd__a22oi_1 U157 ( .A1(n72), .A2(gen_normal_fifo_storage[52]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[20]), .Y(n49) );
+  sky130_fd_sc_hd__o21ai_1 U158 ( .A1(n75), .A2(n50), .B1(n49), .Y(rdata_o[21]) );
+  sky130_fd_sc_hd__a22oi_1 U159 ( .A1(n72), .A2(gen_normal_fifo_storage[53]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[21]), .Y(n51) );
+  sky130_fd_sc_hd__o21ai_1 U160 ( .A1(n75), .A2(n52), .B1(n51), .Y(rdata_o[22]) );
+  sky130_fd_sc_hd__a22oi_1 U161 ( .A1(n72), .A2(gen_normal_fifo_storage[54]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[22]), .Y(n53) );
+  sky130_fd_sc_hd__o21ai_1 U162 ( .A1(n75), .A2(n54), .B1(n53), .Y(rdata_o[23]) );
+  sky130_fd_sc_hd__a22oi_1 U163 ( .A1(n72), .A2(gen_normal_fifo_storage[55]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[23]), .Y(n55) );
+  sky130_fd_sc_hd__o21ai_1 U164 ( .A1(n75), .A2(n56), .B1(n55), .Y(rdata_o[24]) );
+  sky130_fd_sc_hd__a22oi_1 U165 ( .A1(n72), .A2(gen_normal_fifo_storage[56]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[24]), .Y(n57) );
+  sky130_fd_sc_hd__o21ai_1 U166 ( .A1(n75), .A2(n58), .B1(n57), .Y(rdata_o[25]) );
+  sky130_fd_sc_hd__a22oi_1 U167 ( .A1(n72), .A2(gen_normal_fifo_storage[57]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[25]), .Y(n59) );
+  sky130_fd_sc_hd__o21ai_1 U168 ( .A1(n75), .A2(n60), .B1(n59), .Y(rdata_o[26]) );
+  sky130_fd_sc_hd__a22oi_1 U169 ( .A1(n72), .A2(gen_normal_fifo_storage[58]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[26]), .Y(n61) );
+  sky130_fd_sc_hd__o21ai_1 U170 ( .A1(n75), .A2(n62), .B1(n61), .Y(rdata_o[27]) );
+  sky130_fd_sc_hd__a22oi_1 U171 ( .A1(n72), .A2(gen_normal_fifo_storage[59]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[27]), .Y(n63) );
+  sky130_fd_sc_hd__o21ai_1 U172 ( .A1(n75), .A2(n64), .B1(n63), .Y(rdata_o[28]) );
+  sky130_fd_sc_hd__a22oi_1 U173 ( .A1(n72), .A2(gen_normal_fifo_storage[60]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[28]), .Y(n65) );
+  sky130_fd_sc_hd__o21ai_1 U174 ( .A1(n75), .A2(n66), .B1(n65), .Y(rdata_o[29]) );
+  sky130_fd_sc_hd__a22oi_1 U175 ( .A1(n72), .A2(gen_normal_fifo_storage[61]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[29]), .Y(n67) );
+  sky130_fd_sc_hd__o21ai_1 U176 ( .A1(n75), .A2(n68), .B1(n67), .Y(rdata_o[30]) );
+  sky130_fd_sc_hd__a22oi_1 U177 ( .A1(n72), .A2(gen_normal_fifo_storage[62]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[30]), .Y(n69) );
+  sky130_fd_sc_hd__o21ai_1 U178 ( .A1(n75), .A2(n70), .B1(n69), .Y(rdata_o[31]) );
+  sky130_fd_sc_hd__a22oi_1 U179 ( .A1(n72), .A2(gen_normal_fifo_storage[63]), 
+        .B1(n71), .B2(gen_normal_fifo_storage[31]), .Y(n73) );
+  sky130_fd_sc_hd__o21ai_1 U180 ( .A1(n75), .A2(n74), .B1(n73), .Y(rdata_o[32]) );
+  sky130_fd_sc_hd__nand2_1 U181 ( .A(rready_i), .B(rvalid_o), .Y(n76) );
+  sky130_fd_sc_hd__and3_1 U182 ( .A(gen_normal_fifo_rptr_value_0_), .B(
+        rready_i), .C(rvalid_o), .X(n110) );
+  sky130_fd_sc_hd__a21oi_1 U183 ( .A1(n77), .A2(n76), .B1(n110), .Y(n146) );
+  sky130_fd_sc_hd__xor2_1 U184 ( .A(gen_normal_fifo_fifo_rptr_1_), .B(n110), 
+        .X(n145) );
+  sky130_fd_sc_hd__o21ai_1 U185 ( .A1(n149), .A2(n148), .B1(n147), .Y(n144) );
+endmodule
+
+
+
+    module opentitan_soc_top_tlul_sram_adapter_SramAw12_SramDw32_Outstanding2_ByteAccess1_ErrOnWrite0_ErrOnRead0_0 ( 
+        clk_i, rst_ni, tl_i, tl_o, req_o, gnt_i, we_o, addr_o, wdata_o, 
+        wmask_o, rdata_i, rvalid_i, rerror_i );
+  input [85:0] tl_i;
+  output [51:0] tl_o;
+  output [11:0] addr_o;
+  output [31:0] wdata_o;
+  output [31:0] wmask_o;
+  input [31:0] rdata_i;
+  input [1:0] rerror_i;
+  input clk_i, rst_ni, gnt_i, rvalid_i;
+  output req_o, we_o;
+  wire   a_ack, reqfifo_rvalid, rspfifo_rvalid, reqfifo_wready,
+         sramreqfifo_wready, tlul_error, sramreqfifo_rready, rspfifo_rready,
+         n12, n22, n23, n24, n25, n26, n27, n28, n29, n62,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19;
+  wire   [1:0] reqfifo_rdata;
+  wire   [31:0] rspfifo_rdata;
+  wire   [3:0] sramreqfifo_rdata;
+  wire   [31:0] rdata;
+
+  opentitan_soc_top_tlul_err_0 u_err ( .clk_i(1'b0), .rst_ni(1'b0), .tl_i({
+        tl_i[85], n12, n62, n62, n62, n62, n62, n12, n62, n62, n62, n62, n62, 
+        n62, n62, n62, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, n62, n62, 
+        n12, n12, n12, n12, n62, n62, n62, n62, n62, n62, n62, n62, n62, n62, 
+        n62, n62, n62, n62, n62, n62, n62, n62, n62, n62, n62, n62, n62, n62, 
+        n62, n62, n62, n62, n62, n62, n62, n62, n12}), .err_o(tlul_error) );
+  opentitan_soc_top_fifo_sync_13_0_2_0 u_reqfifo ( .clk_i(n22), .rst_ni(rst_ni), .clr_i(n62), .wvalid_i(a_ack), .wready_o(reqfifo_wready), .wdata_i({n62, n12, 
+        tlul_error, n12, n62, n62, n62, n62, n62, n62, n62, n62, 1'b0}), 
+        .rvalid_o(reqfifo_rvalid), .rready_i(tl_o[51]), .rdata_o({
+        SYNOPSYS_UNCONNECTED_1, reqfifo_rdata, SYNOPSYS_UNCONNECTED_2, 
+        SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, 
+        SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, 
+        SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10, 
+        SYNOPSYS_UNCONNECTED_11}), .depth_o({SYNOPSYS_UNCONNECTED_12, 
+        SYNOPSYS_UNCONNECTED_13}) );
+  opentitan_soc_top_fifo_sync_5_0_2_0 u_sramreqfifo ( .clk_i(n22), .rst_ni(
+        rst_ni), .clr_i(n62), .wvalid_i(req_o), .wready_o(sramreqfifo_wready), 
+        .wdata_i({n12, n12, n12, n12, n62}), .rready_i(sramreqfifo_rready), 
+        .rdata_o({sramreqfifo_rdata, SYNOPSYS_UNCONNECTED_14}), .depth_o({
+        SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16}) );
+  opentitan_soc_top_fifo_sync_33_1_2_0 u_rspfifo ( .clk_i(n22), .rst_ni(rst_ni), .clr_i(n62), .wvalid_i(sramreqfifo_rready), .wdata_i({rdata, n62}), 
+        .rvalid_o(rspfifo_rvalid), .rready_i(rspfifo_rready), .rdata_o({
+        rspfifo_rdata, SYNOPSYS_UNCONNECTED_17}), .depth_o({
+        SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19}) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(n23), .Y(n22) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(clk_i), .Y(n23) );
+  sky130_fd_sc_hd__conb_1 U8 ( .LO(n62), .HI(n12) );
+  sky130_fd_sc_hd__nand2_1 U38 ( .A(reqfifo_wready), .B(sramreqfifo_wready), 
+        .Y(n25) );
+  sky130_fd_sc_hd__clkinv_1 U39 ( .A(n25), .Y(tl_o[0]) );
+  sky130_fd_sc_hd__clkinv_1 U40 ( .A(reqfifo_rvalid), .Y(n26) );
+  sky130_fd_sc_hd__nor2b_1 U41 ( .B_N(rvalid_i), .A(n26), .Y(
+        sramreqfifo_rready) );
+  sky130_fd_sc_hd__nand3_1 U42 ( .A(rspfifo_rvalid), .B(reqfifo_rdata[1]), .C(
+        reqfifo_rvalid), .Y(n29) );
+  sky130_fd_sc_hd__nor2b_1 U43 ( .B_N(rspfifo_rdata[10]), .A(n29), .Y(tl_o[12]) );
+  sky130_fd_sc_hd__nor2b_1 U44 ( .B_N(rspfifo_rdata[11]), .A(n29), .Y(tl_o[13]) );
+  sky130_fd_sc_hd__nor2b_1 U45 ( .B_N(rspfifo_rdata[19]), .A(n29), .Y(tl_o[21]) );
+  sky130_fd_sc_hd__nor2b_1 U46 ( .B_N(rspfifo_rdata[23]), .A(n29), .Y(tl_o[25]) );
+  sky130_fd_sc_hd__nor2b_1 U47 ( .B_N(rspfifo_rdata[6]), .A(n29), .Y(tl_o[8])
+         );
+  sky130_fd_sc_hd__nor2b_1 U48 ( .B_N(rspfifo_rdata[3]), .A(n29), .Y(tl_o[5])
+         );
+  sky130_fd_sc_hd__nor2b_1 U49 ( .B_N(rspfifo_rdata[30]), .A(n29), .Y(tl_o[32]) );
+  sky130_fd_sc_hd__nor2b_1 U50 ( .B_N(rspfifo_rdata[27]), .A(n29), .Y(tl_o[29]) );
+  sky130_fd_sc_hd__nor2b_1 U51 ( .B_N(rspfifo_rdata[14]), .A(n29), .Y(tl_o[16]) );
+  sky130_fd_sc_hd__nor2b_1 U52 ( .B_N(rspfifo_rdata[5]), .A(n29), .Y(tl_o[7])
+         );
+  sky130_fd_sc_hd__nor2b_1 U53 ( .B_N(rspfifo_rdata[26]), .A(n29), .Y(tl_o[28]) );
+  sky130_fd_sc_hd__nor2b_1 U54 ( .B_N(rspfifo_rdata[9]), .A(n29), .Y(tl_o[11])
+         );
+  sky130_fd_sc_hd__nor2b_1 U55 ( .B_N(rspfifo_rdata[15]), .A(n29), .Y(tl_o[17]) );
+  sky130_fd_sc_hd__nor2b_1 U56 ( .B_N(rspfifo_rdata[29]), .A(n29), .Y(tl_o[31]) );
+  sky130_fd_sc_hd__nor2b_1 U57 ( .B_N(rspfifo_rdata[4]), .A(n29), .Y(tl_o[6])
+         );
+  sky130_fd_sc_hd__nor2b_1 U58 ( .B_N(rspfifo_rdata[31]), .A(n29), .Y(tl_o[33]) );
+  sky130_fd_sc_hd__nor2b_1 U59 ( .B_N(rspfifo_rdata[8]), .A(n29), .Y(tl_o[10])
+         );
+  sky130_fd_sc_hd__nor2b_1 U60 ( .B_N(rspfifo_rdata[21]), .A(n29), .Y(tl_o[23]) );
+  sky130_fd_sc_hd__nor2b_1 U61 ( .B_N(rspfifo_rdata[18]), .A(n29), .Y(tl_o[20]) );
+  sky130_fd_sc_hd__nor2b_1 U62 ( .B_N(rspfifo_rdata[24]), .A(n29), .Y(tl_o[26]) );
+  sky130_fd_sc_hd__nor2b_1 U63 ( .B_N(rspfifo_rdata[7]), .A(n29), .Y(tl_o[9])
+         );
+  sky130_fd_sc_hd__nor2b_1 U64 ( .B_N(rspfifo_rdata[28]), .A(n29), .Y(tl_o[30]) );
+  sky130_fd_sc_hd__nor2b_1 U65 ( .B_N(rspfifo_rdata[22]), .A(n29), .Y(tl_o[24]) );
+  sky130_fd_sc_hd__nor2b_1 U66 ( .B_N(rspfifo_rdata[2]), .A(n29), .Y(tl_o[4])
+         );
+  sky130_fd_sc_hd__nor2b_1 U67 ( .B_N(rspfifo_rdata[20]), .A(n29), .Y(tl_o[22]) );
+  sky130_fd_sc_hd__nor2b_1 U68 ( .B_N(rspfifo_rdata[25]), .A(n29), .Y(tl_o[27]) );
+  sky130_fd_sc_hd__nor2_1 U69 ( .A(rspfifo_rvalid), .B(reqfifo_rdata[0]), .Y(
+        n24) );
+  sky130_fd_sc_hd__a21oi_1 U70 ( .A1(n24), .A2(reqfifo_rdata[1]), .B1(n26), 
+        .Y(tl_o[51]) );
+  sky130_fd_sc_hd__nor2b_1 U71 ( .B_N(rspfifo_rdata[16]), .A(n29), .Y(tl_o[18]) );
+  sky130_fd_sc_hd__nor2b_1 U72 ( .B_N(rspfifo_rdata[17]), .A(n29), .Y(tl_o[19]) );
+  sky130_fd_sc_hd__and2_0 U73 ( .A(tl_i[50]), .B(tl_i[85]), .X(addr_o[11]) );
+  sky130_fd_sc_hd__nor2b_1 U74 ( .B_N(rspfifo_rdata[0]), .A(n29), .Y(tl_o[2])
+         );
+  sky130_fd_sc_hd__nor2b_1 U75 ( .B_N(rspfifo_rdata[1]), .A(n29), .Y(tl_o[3])
+         );
+  sky130_fd_sc_hd__and2_0 U77 ( .A(tl_i[39]), .B(tl_i[85]), .X(addr_o[0]) );
+  sky130_fd_sc_hd__and2_0 U78 ( .A(tl_i[40]), .B(tl_i[85]), .X(addr_o[1]) );
+  sky130_fd_sc_hd__and2_0 U79 ( .A(tl_i[41]), .B(tl_i[85]), .X(addr_o[2]) );
+  sky130_fd_sc_hd__and2_0 U80 ( .A(tl_i[42]), .B(tl_i[85]), .X(addr_o[3]) );
+  sky130_fd_sc_hd__and2_0 U81 ( .A(tl_i[43]), .B(tl_i[85]), .X(addr_o[4]) );
+  sky130_fd_sc_hd__and2_0 U82 ( .A(tl_i[44]), .B(tl_i[85]), .X(addr_o[5]) );
+  sky130_fd_sc_hd__and2_0 U83 ( .A(tl_i[45]), .B(tl_i[85]), .X(addr_o[6]) );
+  sky130_fd_sc_hd__and2_0 U84 ( .A(tl_i[46]), .B(tl_i[85]), .X(addr_o[7]) );
+  sky130_fd_sc_hd__and2_0 U85 ( .A(tl_i[47]), .B(tl_i[85]), .X(addr_o[8]) );
+  sky130_fd_sc_hd__and2_0 U86 ( .A(tl_i[48]), .B(tl_i[85]), .X(addr_o[9]) );
+  sky130_fd_sc_hd__and2_0 U87 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[10]), .X(
+        rdata[10]) );
+  sky130_fd_sc_hd__and2_0 U88 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[11]), .X(
+        rdata[11]) );
+  sky130_fd_sc_hd__and2_0 U89 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[19]), .X(
+        rdata[19]) );
+  sky130_fd_sc_hd__and2_0 U90 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[23]), .X(
+        rdata[23]) );
+  sky130_fd_sc_hd__and2_0 U91 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[6]), .X(
+        rdata[6]) );
+  sky130_fd_sc_hd__and2_0 U92 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[13]), .X(
+        rdata[13]) );
+  sky130_fd_sc_hd__and2_0 U93 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[3]), .X(
+        rdata[3]) );
+  sky130_fd_sc_hd__and2_0 U94 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[30]), .X(
+        rdata[30]) );
+  sky130_fd_sc_hd__and2_0 U95 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[27]), .X(
+        rdata[27]) );
+  sky130_fd_sc_hd__and2_0 U96 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[14]), .X(
+        rdata[14]) );
+  sky130_fd_sc_hd__and2_0 U97 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[5]), .X(
+        rdata[5]) );
+  sky130_fd_sc_hd__and2_0 U98 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[12]), .X(
+        rdata[12]) );
+  sky130_fd_sc_hd__and2_0 U99 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[26]), .X(
+        rdata[26]) );
+  sky130_fd_sc_hd__and2_0 U100 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[9]), .X(
+        rdata[9]) );
+  sky130_fd_sc_hd__and2_0 U101 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[15]), 
+        .X(rdata[15]) );
+  sky130_fd_sc_hd__and2_0 U102 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[29]), 
+        .X(rdata[29]) );
+  sky130_fd_sc_hd__and2_0 U103 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[4]), .X(
+        rdata[4]) );
+  sky130_fd_sc_hd__and2_0 U104 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[31]), 
+        .X(rdata[31]) );
+  sky130_fd_sc_hd__and2_0 U105 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[8]), .X(
+        rdata[8]) );
+  sky130_fd_sc_hd__and2_0 U106 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[21]), 
+        .X(rdata[21]) );
+  sky130_fd_sc_hd__and2_0 U107 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[18]), 
+        .X(rdata[18]) );
+  sky130_fd_sc_hd__and2_0 U108 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[24]), 
+        .X(rdata[24]) );
+  sky130_fd_sc_hd__and2_0 U109 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[7]), .X(
+        rdata[7]) );
+  sky130_fd_sc_hd__and2_0 U110 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[28]), 
+        .X(rdata[28]) );
+  sky130_fd_sc_hd__and2_0 U111 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[22]), 
+        .X(rdata[22]) );
+  sky130_fd_sc_hd__and2_0 U112 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[2]), .X(
+        rdata[2]) );
+  sky130_fd_sc_hd__and2_0 U113 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[20]), 
+        .X(rdata[20]) );
+  sky130_fd_sc_hd__and2_0 U114 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[25]), 
+        .X(rdata[25]) );
+  sky130_fd_sc_hd__and2_0 U115 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[16]), 
+        .X(rdata[16]) );
+  sky130_fd_sc_hd__and2_0 U116 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[17]), 
+        .X(rdata[17]) );
+  sky130_fd_sc_hd__and2_0 U117 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[0]), .X(
+        rdata[0]) );
+  sky130_fd_sc_hd__and2_0 U118 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[1]), .X(
+        rdata[1]) );
+  sky130_fd_sc_hd__clkinv_1 U122 ( .A(tl_i[85]), .Y(n27) );
+  sky130_fd_sc_hd__nor2_1 U123 ( .A(n27), .B(n25), .Y(a_ack) );
+  sky130_fd_sc_hd__clkinv_1 U124 ( .A(reqfifo_rdata[0]), .Y(n28) );
+  sky130_fd_sc_hd__nor2_1 U125 ( .A(n28), .B(n26), .Y(tl_o[1]) );
+  sky130_fd_sc_hd__nor3b_1 U126 ( .C_N(reqfifo_wready), .A(tlul_error), .B(n27), .Y(req_o) );
+  sky130_fd_sc_hd__and3_1 U127 ( .A(n28), .B(reqfifo_rdata[1]), .C(tl_o[51]), 
+        .X(rspfifo_rready) );
+  sky130_fd_sc_hd__nor2b_1 U128 ( .B_N(rspfifo_rdata[12]), .A(n29), .Y(
+        tl_o[14]) );
+  sky130_fd_sc_hd__nor2b_1 U129 ( .B_N(rspfifo_rdata[13]), .A(n29), .Y(
+        tl_o[15]) );
+endmodule
+
+
+module opentitan_soc_top_d_1to2_decoder_0 ( in, out );
+  output [1:0] out;
+  input in;
+
+
+  sky130_fd_sc_hd__clkinv_1 U1 ( .A(in), .Y(out[0]) );
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(out[0]), .Y(out[1]) );
+endmodule
+
+
+module opentitan_soc_top_tlul_err_1 ( clk_i, rst_ni, tl_i, err_o );
+  input [85:0] tl_i;
+  input clk_i, rst_ni;
+  output err_o;
+  wire   n6, n7;
+
+  sky130_fd_sc_hd__and4_1 U3 ( .A(tl_i[36]), .B(tl_i[35]), .C(tl_i[34]), .D(
+        tl_i[33]), .X(n7) );
+  sky130_fd_sc_hd__nand2_1 U4 ( .A(tl_i[84]), .B(tl_i[82]), .Y(n6) );
+  sky130_fd_sc_hd__o311ai_0 U5 ( .A1(n7), .A2(tl_i[82]), .A3(tl_i[84]), .B1(
+        tl_i[85]), .C1(n6), .Y(err_o) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_13_0_4_0 ( clk_i, rst_ni, clr_i, wvalid_i, 
+        wready_o, wdata_i, rvalid_o, rready_i, rdata_o, depth_o );
+  input [12:0] wdata_i;
+  output [12:0] rdata_o;
+  output [2:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+  wire   depth_o_0_, gen_normal_fifo_fifo_wptr_2_,
+         gen_normal_fifo_fifo_rptr_2_, n39, n40, n43, n44, n47, n48, n51, n52,
+         n53, n54, n55, n56, n57, n58, n12, n13, n16, n17, n18, n19, n20, n21,
+         n22, n24, n26, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37, n42,
+         n46, n50, n59, n60, n61;
+  wire   [1:0] gen_normal_fifo_wptr_value;
+  wire   [1:0] gen_normal_fifo_rptr_value;
+  wire   [7:0] gen_normal_fifo_storage;
+
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_0_ ( .D(n55), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[0]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_1_ ( .D(n54), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[1]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_2_ ( .D(n53), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_wptr_2_) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_1_ ( .D(n56), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[1]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_2_ ( .D(n57), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_rptr_2_) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__11_ ( .D(n52), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[7]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__10_ ( .D(n51), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[6]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__11_ ( .D(n48), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[5]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__10_ ( .D(n47), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[4]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__11_ ( .D(n44), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[3]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__10_ ( .D(n43), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[2]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__11_ ( .D(n40), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[1]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__10_ ( .D(n39), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[0]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_0_ ( .D(n58), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[0]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U3 ( .B1(gen_normal_fifo_fifo_wptr_2_), .B2(n34), 
+        .A1_N(gen_normal_fifo_fifo_wptr_2_), .A2_N(n34), .Y(n53) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(gen_normal_fifo_wptr_value[0]), .Y(n31) );
+  sky130_fd_sc_hd__nand2_1 U5 ( .A(gen_normal_fifo_rptr_value[0]), .B(n31), 
+        .Y(n24) );
+  sky130_fd_sc_hd__o21ai_1 U6 ( .A1(gen_normal_fifo_rptr_value[0]), .A2(n31), 
+        .B1(n24), .Y(depth_o_0_) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(gen_normal_fifo_rptr_value[1]), .Y(n30) );
+  sky130_fd_sc_hd__clkinv_1 U8 ( .A(gen_normal_fifo_wptr_value[1]), .Y(n36) );
+  sky130_fd_sc_hd__o22ai_1 U9 ( .A1(gen_normal_fifo_wptr_value[1]), .A2(n30), 
+        .B1(n36), .B2(gen_normal_fifo_rptr_value[1]), .Y(n22) );
+  sky130_fd_sc_hd__nor2_1 U10 ( .A(depth_o_0_), .B(n22), .Y(n12) );
+  sky130_fd_sc_hd__xnor2_1 U11 ( .A(gen_normal_fifo_fifo_wptr_2_), .B(
+        gen_normal_fifo_fifo_rptr_2_), .Y(n26) );
+  sky130_fd_sc_hd__nand2_1 U12 ( .A(n12), .B(n26), .Y(rvalid_o) );
+  sky130_fd_sc_hd__nand2b_1 U14 ( .A_N(n26), .B(n12), .Y(wready_o) );
+  sky130_fd_sc_hd__nand3_1 U15 ( .A(gen_normal_fifo_wptr_value[0]), .B(
+        wvalid_i), .C(wready_o), .Y(n33) );
+  sky130_fd_sc_hd__nor2_1 U16 ( .A(n36), .B(n33), .Y(n35) );
+  sky130_fd_sc_hd__clkinv_1 U17 ( .A(n35), .Y(n34) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(gen_normal_fifo_rptr_value[0]), .Y(n18)
+         );
+  sky130_fd_sc_hd__nand2_1 U19 ( .A(rready_i), .B(rvalid_o), .Y(n13) );
+  sky130_fd_sc_hd__nand3_1 U20 ( .A(gen_normal_fifo_rptr_value[0]), .B(
+        rready_i), .C(rvalid_o), .Y(n29) );
+  sky130_fd_sc_hd__a21boi_0 U21 ( .A1(n18), .A2(n13), .B1_N(n29), .Y(n58) );
+  sky130_fd_sc_hd__clkinv_1 U24 ( .A(rvalid_o), .Y(n19) );
+  sky130_fd_sc_hd__o221ai_1 U26 ( .A1(gen_normal_fifo_rptr_value[0]), .A2(
+        gen_normal_fifo_storage[4]), .B1(n18), .B2(gen_normal_fifo_storage[6]), 
+        .C1(gen_normal_fifo_rptr_value[1]), .Y(n17) );
+  sky130_fd_sc_hd__o221ai_1 U27 ( .A1(gen_normal_fifo_rptr_value[0]), .A2(
+        gen_normal_fifo_storage[0]), .B1(n18), .B2(gen_normal_fifo_storage[2]), 
+        .C1(n30), .Y(n16) );
+  sky130_fd_sc_hd__a21oi_1 U28 ( .A1(n17), .A2(n16), .B1(n19), .Y(rdata_o[10])
+         );
+  sky130_fd_sc_hd__o221ai_1 U29 ( .A1(gen_normal_fifo_rptr_value[0]), .A2(
+        gen_normal_fifo_storage[5]), .B1(n18), .B2(gen_normal_fifo_storage[7]), 
+        .C1(gen_normal_fifo_rptr_value[1]), .Y(n21) );
+  sky130_fd_sc_hd__o221ai_1 U30 ( .A1(gen_normal_fifo_rptr_value[0]), .A2(
+        gen_normal_fifo_storage[1]), .B1(n18), .B2(gen_normal_fifo_storage[3]), 
+        .C1(n30), .Y(n20) );
+  sky130_fd_sc_hd__a21oi_1 U31 ( .A1(n21), .A2(n20), .B1(n19), .Y(rdata_o[11])
+         );
+  sky130_fd_sc_hd__nor2_1 U37 ( .A(n30), .B(n29), .Y(n28) );
+  sky130_fd_sc_hd__xor2_1 U38 ( .A(gen_normal_fifo_fifo_rptr_2_), .B(n28), .X(
+        n57) );
+  sky130_fd_sc_hd__a21oi_1 U39 ( .A1(n30), .A2(n29), .B1(n28), .Y(n56) );
+  sky130_fd_sc_hd__and2_0 U40 ( .A(wvalid_i), .B(wready_o), .X(n32) );
+  sky130_fd_sc_hd__nand3_1 U41 ( .A(wvalid_i), .B(n31), .C(wready_o), .Y(n59)
+         );
+  sky130_fd_sc_hd__o21ai_1 U42 ( .A1(n32), .A2(n31), .B1(n59), .Y(n55) );
+  sky130_fd_sc_hd__nor2_1 U43 ( .A(n33), .B(gen_normal_fifo_wptr_value[1]), 
+        .Y(n50) );
+  sky130_fd_sc_hd__clkinv_1 U44 ( .A(n50), .Y(n46) );
+  sky130_fd_sc_hd__o21ai_1 U45 ( .A1(n35), .A2(n36), .B1(n46), .Y(n54) );
+  sky130_fd_sc_hd__a22o_1 U46 ( .A1(n35), .A2(wdata_i[11]), .B1(n34), .B2(
+        gen_normal_fifo_storage[7]), .X(n52) );
+  sky130_fd_sc_hd__a22o_1 U47 ( .A1(n35), .A2(wdata_i[10]), .B1(n34), .B2(
+        gen_normal_fifo_storage[6]), .X(n51) );
+  sky130_fd_sc_hd__nor2_1 U49 ( .A(n36), .B(n59), .Y(n42) );
+  sky130_fd_sc_hd__clkinv_1 U50 ( .A(n42), .Y(n37) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(n42), .A2(wdata_i[11]), .B1(n37), .B2(
+        gen_normal_fifo_storage[5]), .X(n48) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(n42), .A2(wdata_i[10]), .B1(n37), .B2(
+        gen_normal_fifo_storage[4]), .X(n47) );
+  sky130_fd_sc_hd__a22o_1 U54 ( .A1(n50), .A2(wdata_i[11]), .B1(n46), .B2(
+        gen_normal_fifo_storage[3]), .X(n44) );
+  sky130_fd_sc_hd__a22o_1 U55 ( .A1(n50), .A2(wdata_i[10]), .B1(n46), .B2(
+        gen_normal_fifo_storage[2]), .X(n43) );
+  sky130_fd_sc_hd__nor2_1 U57 ( .A(gen_normal_fifo_wptr_value[1]), .B(n59), 
+        .Y(n61) );
+  sky130_fd_sc_hd__clkinv_1 U58 ( .A(n61), .Y(n60) );
+  sky130_fd_sc_hd__a22o_1 U59 ( .A1(n61), .A2(wdata_i[11]), .B1(n60), .B2(
+        gen_normal_fifo_storage[1]), .X(n40) );
+  sky130_fd_sc_hd__a22o_1 U60 ( .A1(n61), .A2(wdata_i[10]), .B1(n60), .B2(
+        gen_normal_fifo_storage[0]), .X(n39) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_5_0_4_0 ( clk_i, rst_ni, clr_i, wvalid_i, 
+        wready_o, wdata_i, rvalid_o, rready_i, rdata_o, depth_o );
+  input [4:0] wdata_i;
+  output [4:0] rdata_o;
+  output [2:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+  wire   rvalid_o0, depth_o_0_, gen_normal_fifo_fifo_wptr_2_,
+         gen_normal_fifo_fifo_rptr_2_, n43, n44, n45, n46, n48, n49, n50, n51,
+         n53, n54, n55, n56, n58, n59, n60, n61, n62, n63, n64, n65, n66, n67,
+         n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n17, n18, n19,
+         n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30, n31, n32, n33,
+         n34, n35, n36, n37;
+  wire   [1:0] gen_normal_fifo_wptr_value;
+  wire   [1:0] gen_normal_fifo_rptr_value;
+  wire   [15:0] gen_normal_fifo_storage;
+
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_0_ ( .D(n67), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[0]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_0_ ( .D(n64), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[0]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_1_ ( .D(n63), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[1]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_2_ ( .D(n62), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_wptr_2_) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_2_ ( .D(n66), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_rptr_2_) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__4_ ( .D(n61), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[15]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__3_ ( .D(n60), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[14]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__2_ ( .D(n59), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[13]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__1_ ( .D(n58), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[12]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__4_ ( .D(n56), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[11]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__3_ ( .D(n55), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[10]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__2_ ( .D(n54), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[9]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__1_ ( .D(n53), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[8]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__4_ ( .D(n51), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[7]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__3_ ( .D(n50), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[6]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__2_ ( .D(n49), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[5]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__1_ ( .D(n48), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[4]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__4_ ( .D(n46), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[3]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__3_ ( .D(n45), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[2]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__2_ ( .D(n44), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[1]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__1_ ( .D(n43), .CLK(
+        clk_i), .Q(gen_normal_fifo_storage[0]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_1_ ( .D(n65), .CLK(
+        clk_i), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[1]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U3 ( .B1(gen_normal_fifo_fifo_wptr_2_), .B2(n28), 
+        .A1_N(gen_normal_fifo_fifo_wptr_2_), .A2_N(n28), .Y(n62) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(gen_normal_fifo_wptr_value[0]), .Y(n25) );
+  sky130_fd_sc_hd__nand2_1 U5 ( .A(gen_normal_fifo_rptr_value[0]), .B(n25), 
+        .Y(n14) );
+  sky130_fd_sc_hd__o21ai_1 U6 ( .A1(gen_normal_fifo_rptr_value[0]), .A2(n25), 
+        .B1(n14), .Y(depth_o_0_) );
+  sky130_fd_sc_hd__xnor2_1 U7 ( .A(gen_normal_fifo_fifo_wptr_2_), .B(
+        gen_normal_fifo_fifo_rptr_2_), .Y(n17) );
+  sky130_fd_sc_hd__clkinv_1 U8 ( .A(gen_normal_fifo_rptr_value[1]), .Y(n23) );
+  sky130_fd_sc_hd__clkinv_1 U9 ( .A(gen_normal_fifo_wptr_value[1]), .Y(n30) );
+  sky130_fd_sc_hd__o22ai_1 U10 ( .A1(gen_normal_fifo_wptr_value[1]), .A2(n23), 
+        .B1(n30), .B2(gen_normal_fifo_rptr_value[1]), .Y(n13) );
+  sky130_fd_sc_hd__nor2_1 U11 ( .A(depth_o_0_), .B(n13), .Y(n3) );
+  sky130_fd_sc_hd__nand2b_1 U12 ( .A_N(n17), .B(n3), .Y(wready_o) );
+  sky130_fd_sc_hd__nand3_1 U13 ( .A(gen_normal_fifo_wptr_value[0]), .B(
+        wvalid_i), .C(wready_o), .Y(n27) );
+  sky130_fd_sc_hd__nor2_1 U14 ( .A(n30), .B(n27), .Y(n29) );
+  sky130_fd_sc_hd__clkinv_1 U15 ( .A(n29), .Y(n28) );
+  sky130_fd_sc_hd__nand2_1 U16 ( .A(n3), .B(n17), .Y(rvalid_o0) );
+  sky130_fd_sc_hd__o221ai_1 U17 ( .A1(gen_normal_fifo_rptr_value[1]), .A2(
+        gen_normal_fifo_storage[4]), .B1(n23), .B2(gen_normal_fifo_storage[12]), .C1(gen_normal_fifo_rptr_value[0]), .Y(n5) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(gen_normal_fifo_rptr_value[0]), .Y(n18)
+         );
+  sky130_fd_sc_hd__o221ai_1 U19 ( .A1(gen_normal_fifo_rptr_value[1]), .A2(
+        gen_normal_fifo_storage[0]), .B1(n23), .B2(gen_normal_fifo_storage[8]), 
+        .C1(n18), .Y(n4) );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(rvalid_o0), .Y(n10) );
+  sky130_fd_sc_hd__a21oi_1 U21 ( .A1(n5), .A2(n4), .B1(n10), .Y(rdata_o[1]) );
+  sky130_fd_sc_hd__o221ai_1 U22 ( .A1(gen_normal_fifo_rptr_value[1]), .A2(
+        gen_normal_fifo_storage[7]), .B1(n23), .B2(gen_normal_fifo_storage[15]), .C1(gen_normal_fifo_rptr_value[0]), .Y(n7) );
+  sky130_fd_sc_hd__o221ai_1 U23 ( .A1(gen_normal_fifo_rptr_value[1]), .A2(
+        gen_normal_fifo_storage[3]), .B1(n23), .B2(gen_normal_fifo_storage[11]), .C1(n18), .Y(n6) );
+  sky130_fd_sc_hd__a21oi_1 U24 ( .A1(n7), .A2(n6), .B1(n10), .Y(rdata_o[4]) );
+  sky130_fd_sc_hd__o221ai_1 U25 ( .A1(gen_normal_fifo_rptr_value[1]), .A2(
+        gen_normal_fifo_storage[6]), .B1(n23), .B2(gen_normal_fifo_storage[14]), .C1(gen_normal_fifo_rptr_value[0]), .Y(n9) );
+  sky130_fd_sc_hd__o221ai_1 U26 ( .A1(gen_normal_fifo_rptr_value[1]), .A2(
+        gen_normal_fifo_storage[2]), .B1(n23), .B2(gen_normal_fifo_storage[10]), .C1(n18), .Y(n8) );
+  sky130_fd_sc_hd__a21oi_1 U27 ( .A1(n9), .A2(n8), .B1(n10), .Y(rdata_o[3]) );
+  sky130_fd_sc_hd__o221ai_1 U28 ( .A1(gen_normal_fifo_rptr_value[1]), .A2(
+        gen_normal_fifo_storage[5]), .B1(n23), .B2(gen_normal_fifo_storage[13]), .C1(gen_normal_fifo_rptr_value[0]), .Y(n12) );
+  sky130_fd_sc_hd__o221ai_1 U29 ( .A1(gen_normal_fifo_rptr_value[1]), .A2(
+        gen_normal_fifo_storage[1]), .B1(n23), .B2(gen_normal_fifo_storage[9]), 
+        .C1(n18), .Y(n11) );
+  sky130_fd_sc_hd__a21oi_1 U30 ( .A1(n12), .A2(n11), .B1(n10), .Y(rdata_o[2])
+         );
+  sky130_fd_sc_hd__nand2_1 U35 ( .A(rready_i), .B(rvalid_o0), .Y(n22) );
+  sky130_fd_sc_hd__nor2_1 U36 ( .A(n18), .B(n22), .Y(n24) );
+  sky130_fd_sc_hd__a21oi_1 U37 ( .A1(n18), .A2(n22), .B1(n24), .Y(n67) );
+  sky130_fd_sc_hd__nand2_1 U38 ( .A(gen_normal_fifo_rptr_value[0]), .B(
+        gen_normal_fifo_rptr_value[1]), .Y(n20) );
+  sky130_fd_sc_hd__o21ai_1 U39 ( .A1(n22), .A2(n20), .B1(
+        gen_normal_fifo_fifo_rptr_2_), .Y(n19) );
+  sky130_fd_sc_hd__o31ai_1 U40 ( .A1(n22), .A2(gen_normal_fifo_fifo_rptr_2_), 
+        .A3(n20), .B1(n19), .Y(n66) );
+  sky130_fd_sc_hd__nand2_1 U41 ( .A(gen_normal_fifo_rptr_value[0]), .B(n23), 
+        .Y(n21) );
+  sky130_fd_sc_hd__o22ai_1 U42 ( .A1(n24), .A2(n23), .B1(n22), .B2(n21), .Y(
+        n65) );
+  sky130_fd_sc_hd__and2_0 U43 ( .A(wvalid_i), .B(wready_o), .X(n26) );
+  sky130_fd_sc_hd__nand3_1 U44 ( .A(wvalid_i), .B(n25), .C(wready_o), .Y(n35)
+         );
+  sky130_fd_sc_hd__o21ai_1 U45 ( .A1(n26), .A2(n25), .B1(n35), .Y(n64) );
+  sky130_fd_sc_hd__nor2_1 U46 ( .A(n27), .B(gen_normal_fifo_wptr_value[1]), 
+        .Y(n34) );
+  sky130_fd_sc_hd__clkinv_1 U47 ( .A(n34), .Y(n33) );
+  sky130_fd_sc_hd__o21ai_1 U48 ( .A1(n29), .A2(n30), .B1(n33), .Y(n63) );
+  sky130_fd_sc_hd__a22o_1 U49 ( .A1(n29), .A2(wdata_i[4]), .B1(n28), .B2(
+        gen_normal_fifo_storage[15]), .X(n61) );
+  sky130_fd_sc_hd__a22o_1 U50 ( .A1(n29), .A2(wdata_i[3]), .B1(n28), .B2(
+        gen_normal_fifo_storage[14]), .X(n60) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(n29), .A2(wdata_i[2]), .B1(n28), .B2(
+        gen_normal_fifo_storage[13]), .X(n59) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(n29), .A2(wdata_i[1]), .B1(n28), .B2(
+        gen_normal_fifo_storage[12]), .X(n58) );
+  sky130_fd_sc_hd__nor2_1 U53 ( .A(n30), .B(n35), .Y(n32) );
+  sky130_fd_sc_hd__clkinv_1 U54 ( .A(n32), .Y(n31) );
+  sky130_fd_sc_hd__a22o_1 U55 ( .A1(n32), .A2(wdata_i[4]), .B1(n31), .B2(
+        gen_normal_fifo_storage[11]), .X(n56) );
+  sky130_fd_sc_hd__a22o_1 U56 ( .A1(n32), .A2(wdata_i[3]), .B1(n31), .B2(
+        gen_normal_fifo_storage[10]), .X(n55) );
+  sky130_fd_sc_hd__a22o_1 U57 ( .A1(n32), .A2(wdata_i[2]), .B1(n31), .B2(
+        gen_normal_fifo_storage[9]), .X(n54) );
+  sky130_fd_sc_hd__a22o_1 U58 ( .A1(n32), .A2(wdata_i[1]), .B1(n31), .B2(
+        gen_normal_fifo_storage[8]), .X(n53) );
+  sky130_fd_sc_hd__a22o_1 U59 ( .A1(n34), .A2(wdata_i[4]), .B1(n33), .B2(
+        gen_normal_fifo_storage[7]), .X(n51) );
+  sky130_fd_sc_hd__a22o_1 U60 ( .A1(n34), .A2(wdata_i[3]), .B1(n33), .B2(
+        gen_normal_fifo_storage[6]), .X(n50) );
+  sky130_fd_sc_hd__a22o_1 U61 ( .A1(n34), .A2(wdata_i[2]), .B1(n33), .B2(
+        gen_normal_fifo_storage[5]), .X(n49) );
+  sky130_fd_sc_hd__a22o_1 U62 ( .A1(n34), .A2(wdata_i[1]), .B1(n33), .B2(
+        gen_normal_fifo_storage[4]), .X(n48) );
+  sky130_fd_sc_hd__nor2_1 U63 ( .A(gen_normal_fifo_wptr_value[1]), .B(n35), 
+        .Y(n37) );
+  sky130_fd_sc_hd__clkinv_1 U64 ( .A(n37), .Y(n36) );
+  sky130_fd_sc_hd__a22o_1 U65 ( .A1(n37), .A2(wdata_i[4]), .B1(n36), .B2(
+        gen_normal_fifo_storage[3]), .X(n46) );
+  sky130_fd_sc_hd__a22o_1 U66 ( .A1(n37), .A2(wdata_i[3]), .B1(n36), .B2(
+        gen_normal_fifo_storage[2]), .X(n45) );
+  sky130_fd_sc_hd__a22o_1 U67 ( .A1(n37), .A2(wdata_i[2]), .B1(n36), .B2(
+        gen_normal_fifo_storage[1]), .X(n44) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(n37), .A2(wdata_i[1]), .B1(n36), .B2(
+        gen_normal_fifo_storage[0]), .X(n43) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_33_1_4_0 ( clk_i, rst_ni, clr_i, wvalid_i, 
+        wready_o, wdata_i, rvalid_o, rready_i, rdata_o, depth_o );
+  input [32:0] wdata_i;
+  output [32:0] rdata_o;
+  output [2:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+  wire   wready_o0, depth_o_0_, gen_normal_fifo_fifo_wptr_2_,
+         gen_normal_fifo_fifo_rptr_2_, n133, n134, n135, n136, n137, n138,
+         n139, n140, n141, n142, n143, n144, n145, n146, n147, n148, n149,
+         n150, n151, n152, n153, n154, n155, n156, n157, n158, n159, n160,
+         n161, n162, n163, n164, n166, n167, n168, n169, n170, n171, n172,
+         n173, n174, n175, n176, n177, n178, n179, n180, n181, n182, n183,
+         n184, n185, n186, n187, n188, n189, n190, n191, n192, n193, n194,
+         n195, n196, n197, n199, n200, n201, n202, n203, n204, n205, n206,
+         n207, n208, n209, n210, n211, n212, n213, n214, n215, n216, n217,
+         n218, n219, n220, n221, n222, n223, n224, n225, n226, n227, n228,
+         n229, n230, n232, n233, n234, n235, n236, n237, n238, n239, n240,
+         n241, n242, n243, n244, n245, n246, n247, n248, n249, n250, n251,
+         n252, n253, n254, n255, n256, n257, n258, n259, n260, n261, n262,
+         n263, n264, n265, n266, n267, n268, n269, n3, n4, n5, n6, n7, n8, n9,
+         n10, n11, n12, n13, n14, n15, n16, n17, n18, n19, n20, n21, n22, n23,
+         n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37,
+         n38, n39, n40, n41, n42, n43, n44, n45, n46, n47, n48, n49, n50, n51,
+         n52, n53, n54, n55, n56, n57, n58, n59, n60, n61, n62, n63, n64, n65,
+         n66, n67, n68, n69, n70, n71, n72, n73, n74, n75, n76, n77, n78, n79,
+         n80, n81, n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93,
+         n94, n95, n96, n97, n98, n99, n100, n101, n102, n103, n104, n105,
+         n106, n107, n108, n109, n110, n111, n112, n113, n114, n115, n116,
+         n117, n118, n119, n120, n121, n122, n123, n124, n125, n126, n127,
+         n128, n131, n132, n165, n198, n231, n270, n271, n272, n273, n274;
+  wire   [1:0] gen_normal_fifo_wptr_value;
+  wire   [1:0] gen_normal_fifo_rptr_value;
+  wire   [127:0] gen_normal_fifo_storage;
+
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_0_ ( .D(n269), .CLK(
+        n7), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[0]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_0_ ( .D(n266), .CLK(
+        n4), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[0]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_1_ ( .D(n265), .CLK(
+        n4), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[1]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_2_ ( .D(n264), .CLK(
+        n7), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_wptr_2_) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_1_ ( .D(n267), .CLK(
+        n7), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[1]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_2_ ( .D(n268), .CLK(
+        n7), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_rptr_2_) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__32_ ( .D(n263), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[127]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__31_ ( .D(n262), 
+        .CLK(n9), .Q(gen_normal_fifo_storage[126]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__30_ ( .D(n261), 
+        .CLK(n6), .Q(gen_normal_fifo_storage[125]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__29_ ( .D(n260), 
+        .CLK(n10), .Q(gen_normal_fifo_storage[124]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__28_ ( .D(n259), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[123]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__27_ ( .D(n258), 
+        .CLK(n10), .Q(gen_normal_fifo_storage[122]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__26_ ( .D(n257), 
+        .CLK(n12), .Q(gen_normal_fifo_storage[121]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__25_ ( .D(n256), 
+        .CLK(n12), .Q(gen_normal_fifo_storage[120]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__24_ ( .D(n255), 
+        .CLK(n9), .Q(gen_normal_fifo_storage[119]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__23_ ( .D(n254), 
+        .CLK(n5), .Q(gen_normal_fifo_storage[118]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__22_ ( .D(n253), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[117]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__21_ ( .D(n252), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[116]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__20_ ( .D(n251), 
+        .CLK(n11), .Q(gen_normal_fifo_storage[115]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__19_ ( .D(n250), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[114]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__18_ ( .D(n249), 
+        .CLK(n9), .Q(gen_normal_fifo_storage[113]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__17_ ( .D(n248), 
+        .CLK(n5), .Q(gen_normal_fifo_storage[112]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__16_ ( .D(n247), 
+        .CLK(n6), .Q(gen_normal_fifo_storage[111]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__15_ ( .D(n246), 
+        .CLK(n12), .Q(gen_normal_fifo_storage[110]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__14_ ( .D(n245), 
+        .CLK(n12), .Q(gen_normal_fifo_storage[109]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__13_ ( .D(n244), 
+        .CLK(n11), .Q(gen_normal_fifo_storage[108]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__12_ ( .D(n243), 
+        .CLK(n10), .Q(gen_normal_fifo_storage[107]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__11_ ( .D(n242), 
+        .CLK(n9), .Q(gen_normal_fifo_storage[106]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__10_ ( .D(n241), 
+        .CLK(n6), .Q(gen_normal_fifo_storage[105]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__9_ ( .D(n240), .CLK(
+        n11), .Q(gen_normal_fifo_storage[104]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__8_ ( .D(n239), .CLK(
+        n11), .Q(gen_normal_fifo_storage[103]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__7_ ( .D(n238), .CLK(
+        n9), .Q(gen_normal_fifo_storage[102]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__6_ ( .D(n237), .CLK(
+        n7), .Q(gen_normal_fifo_storage[101]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__5_ ( .D(n236), .CLK(
+        n4), .Q(gen_normal_fifo_storage[100]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__4_ ( .D(n235), .CLK(
+        n10), .Q(gen_normal_fifo_storage[99]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__3_ ( .D(n234), .CLK(
+        n11), .Q(gen_normal_fifo_storage[98]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__2_ ( .D(n233), .CLK(
+        n3), .Q(gen_normal_fifo_storage[97]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_3__1_ ( .D(n232), .CLK(
+        n3), .Q(gen_normal_fifo_storage[96]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__32_ ( .D(n230), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[95]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__31_ ( .D(n229), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[94]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__30_ ( .D(n228), 
+        .CLK(n9), .Q(gen_normal_fifo_storage[93]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__29_ ( .D(n227), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[92]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__28_ ( .D(n226), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[91]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__27_ ( .D(n225), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[90]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__26_ ( .D(n224), 
+        .CLK(n6), .Q(gen_normal_fifo_storage[89]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__25_ ( .D(n223), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[88]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__24_ ( .D(n222), 
+        .CLK(n10), .Q(gen_normal_fifo_storage[87]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__23_ ( .D(n221), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[86]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__22_ ( .D(n220), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[85]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__21_ ( .D(n219), 
+        .CLK(n12), .Q(gen_normal_fifo_storage[84]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__20_ ( .D(n218), 
+        .CLK(n12), .Q(gen_normal_fifo_storage[83]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__19_ ( .D(n217), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[82]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__18_ ( .D(n216), 
+        .CLK(n8), .Q(gen_normal_fifo_storage[81]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__17_ ( .D(n215), 
+        .CLK(n7), .Q(gen_normal_fifo_storage[80]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__16_ ( .D(n214), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[79]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__15_ ( .D(n213), 
+        .CLK(n11), .Q(gen_normal_fifo_storage[78]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__14_ ( .D(n212), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[77]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__13_ ( .D(n211), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[76]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__12_ ( .D(n210), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[75]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__11_ ( .D(n209), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[74]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__10_ ( .D(n208), 
+        .CLK(n11), .Q(gen_normal_fifo_storage[73]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__9_ ( .D(n207), .CLK(
+        n5), .Q(gen_normal_fifo_storage[72]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__8_ ( .D(n206), .CLK(
+        n9), .Q(gen_normal_fifo_storage[71]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__7_ ( .D(n205), .CLK(
+        n4), .Q(gen_normal_fifo_storage[70]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__6_ ( .D(n204), .CLK(
+        n6), .Q(gen_normal_fifo_storage[69]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__5_ ( .D(n203), .CLK(
+        n4), .Q(gen_normal_fifo_storage[68]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__4_ ( .D(n202), .CLK(
+        n3), .Q(gen_normal_fifo_storage[67]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__3_ ( .D(n201), .CLK(
+        n7), .Q(gen_normal_fifo_storage[66]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__2_ ( .D(n200), .CLK(
+        n4), .Q(gen_normal_fifo_storage[65]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_2__1_ ( .D(n199), .CLK(
+        n10), .Q(gen_normal_fifo_storage[64]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__32_ ( .D(n197), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[63]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__31_ ( .D(n196), 
+        .CLK(n9), .Q(gen_normal_fifo_storage[62]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__30_ ( .D(n195), 
+        .CLK(n5), .Q(gen_normal_fifo_storage[61]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__29_ ( .D(n194), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[60]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__28_ ( .D(n193), 
+        .CLK(n10), .Q(gen_normal_fifo_storage[59]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__27_ ( .D(n192), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[58]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__26_ ( .D(n191), 
+        .CLK(n10), .Q(gen_normal_fifo_storage[57]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__25_ ( .D(n190), 
+        .CLK(n11), .Q(gen_normal_fifo_storage[56]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__24_ ( .D(n189), 
+        .CLK(n12), .Q(gen_normal_fifo_storage[55]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__23_ ( .D(n188), 
+        .CLK(n6), .Q(gen_normal_fifo_storage[54]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__22_ ( .D(n187), 
+        .CLK(n6), .Q(gen_normal_fifo_storage[53]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__21_ ( .D(n186), 
+        .CLK(n12), .Q(gen_normal_fifo_storage[52]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__20_ ( .D(n185), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[51]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__19_ ( .D(n184), 
+        .CLK(n10), .Q(gen_normal_fifo_storage[50]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__18_ ( .D(n183), 
+        .CLK(n9), .Q(gen_normal_fifo_storage[49]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__17_ ( .D(n182), 
+        .CLK(n8), .Q(gen_normal_fifo_storage[48]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__16_ ( .D(n181), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[47]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__15_ ( .D(n180), 
+        .CLK(n12), .Q(gen_normal_fifo_storage[46]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__14_ ( .D(n179), 
+        .CLK(n9), .Q(gen_normal_fifo_storage[45]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__13_ ( .D(n178), 
+        .CLK(n5), .Q(gen_normal_fifo_storage[44]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__12_ ( .D(n177), 
+        .CLK(n12), .Q(gen_normal_fifo_storage[43]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__11_ ( .D(n176), 
+        .CLK(n7), .Q(gen_normal_fifo_storage[42]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__10_ ( .D(n175), 
+        .CLK(n11), .Q(gen_normal_fifo_storage[41]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__9_ ( .D(n174), .CLK(
+        n4), .Q(gen_normal_fifo_storage[40]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__8_ ( .D(n173), .CLK(
+        n4), .Q(gen_normal_fifo_storage[39]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__7_ ( .D(n172), .CLK(
+        n3), .Q(gen_normal_fifo_storage[38]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__6_ ( .D(n171), .CLK(
+        n12), .Q(gen_normal_fifo_storage[37]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__5_ ( .D(n170), .CLK(
+        n11), .Q(gen_normal_fifo_storage[36]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__4_ ( .D(n169), .CLK(
+        n5), .Q(gen_normal_fifo_storage[35]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__3_ ( .D(n168), .CLK(
+        n9), .Q(gen_normal_fifo_storage[34]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__2_ ( .D(n167), .CLK(
+        n4), .Q(gen_normal_fifo_storage[33]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_1__1_ ( .D(n166), .CLK(
+        n4), .Q(gen_normal_fifo_storage[32]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__32_ ( .D(n164), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[31]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__31_ ( .D(n163), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[30]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__30_ ( .D(n162), 
+        .CLK(n11), .Q(gen_normal_fifo_storage[29]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__29_ ( .D(n161), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[28]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__28_ ( .D(n160), 
+        .CLK(n10), .Q(gen_normal_fifo_storage[27]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__27_ ( .D(n159), 
+        .CLK(n11), .Q(gen_normal_fifo_storage[26]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__26_ ( .D(n158), 
+        .CLK(n10), .Q(gen_normal_fifo_storage[25]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__25_ ( .D(n157), 
+        .CLK(n6), .Q(gen_normal_fifo_storage[24]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__24_ ( .D(n156), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[23]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__23_ ( .D(n155), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[22]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__22_ ( .D(n154), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[21]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__21_ ( .D(n153), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[20]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__20_ ( .D(n152), 
+        .CLK(n8), .Q(gen_normal_fifo_storage[19]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__19_ ( .D(n151), 
+        .CLK(n9), .Q(gen_normal_fifo_storage[18]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__18_ ( .D(n150), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[17]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__17_ ( .D(n149), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[16]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__16_ ( .D(n148), 
+        .CLK(n11), .Q(gen_normal_fifo_storage[15]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__15_ ( .D(n147), 
+        .CLK(n8), .Q(gen_normal_fifo_storage[14]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__14_ ( .D(n146), 
+        .CLK(n4), .Q(gen_normal_fifo_storage[13]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__13_ ( .D(n145), 
+        .CLK(n9), .Q(gen_normal_fifo_storage[12]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__12_ ( .D(n144), 
+        .CLK(n10), .Q(gen_normal_fifo_storage[11]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__11_ ( .D(n143), 
+        .CLK(n3), .Q(gen_normal_fifo_storage[10]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__10_ ( .D(n142), 
+        .CLK(n7), .Q(gen_normal_fifo_storage[9]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__9_ ( .D(n141), .CLK(
+        n12), .Q(gen_normal_fifo_storage[8]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__8_ ( .D(n140), .CLK(
+        n3), .Q(gen_normal_fifo_storage[7]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__7_ ( .D(n139), .CLK(
+        n5), .Q(gen_normal_fifo_storage[6]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__6_ ( .D(n138), .CLK(
+        n12), .Q(gen_normal_fifo_storage[5]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__5_ ( .D(n137), .CLK(
+        n10), .Q(gen_normal_fifo_storage[4]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__4_ ( .D(n136), .CLK(
+        n7), .Q(gen_normal_fifo_storage[3]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__3_ ( .D(n135), .CLK(
+        n4), .Q(gen_normal_fifo_storage[2]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__2_ ( .D(n134), .CLK(
+        n6), .Q(gen_normal_fifo_storage[1]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_0__1_ ( .D(n133), .CLK(
+        n3), .Q(gen_normal_fifo_storage[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(n5), .X(n3) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(n8), .X(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(n6), .X(n4) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(n8), .X(n5) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(n8), .X(n6) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(clk_i), .X(n8) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(n7), .X(n9) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(n8), .X(n10) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(n8), .X(n11) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(n8), .X(n12) );
+  sky130_fd_sc_hd__clkinv_1 U14 ( .A(gen_normal_fifo_wptr_value[0]), .Y(n270)
+         );
+  sky130_fd_sc_hd__nand2_1 U15 ( .A(gen_normal_fifo_rptr_value[0]), .B(n270), 
+        .Y(n128) );
+  sky130_fd_sc_hd__o21ai_1 U16 ( .A1(gen_normal_fifo_rptr_value[0]), .A2(n270), 
+        .B1(n128), .Y(depth_o_0_) );
+  sky130_fd_sc_hd__xor2_1 U17 ( .A(gen_normal_fifo_fifo_rptr_2_), .B(
+        gen_normal_fifo_fifo_wptr_2_), .X(n131) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(depth_o_0_), .Y(n17) );
+  sky130_fd_sc_hd__clkinv_1 U19 ( .A(gen_normal_fifo_rptr_value[1]), .Y(n198)
+         );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(gen_normal_fifo_wptr_value[1]), .Y(n273)
+         );
+  sky130_fd_sc_hd__o22ai_1 U21 ( .A1(gen_normal_fifo_rptr_value[1]), .A2(
+        gen_normal_fifo_wptr_value[1]), .B1(n198), .B2(n273), .Y(n127) );
+  sky130_fd_sc_hd__nand3_1 U22 ( .A(n131), .B(n17), .C(n127), .Y(wready_o0) );
+  sky130_fd_sc_hd__nand3_1 U23 ( .A(gen_normal_fifo_wptr_value[0]), .B(
+        wvalid_i), .C(wready_o0), .Y(n15) );
+  sky130_fd_sc_hd__nor2_1 U24 ( .A(n273), .B(n15), .Y(n274) );
+  sky130_fd_sc_hd__clkinv_1 U25 ( .A(n274), .Y(n16) );
+  sky130_fd_sc_hd__o2bb2ai_1 U26 ( .B1(gen_normal_fifo_fifo_wptr_2_), .B2(n16), 
+        .A1_N(gen_normal_fifo_fifo_wptr_2_), .A2_N(n16), .Y(n264) );
+  sky130_fd_sc_hd__nand3_1 U27 ( .A(wvalid_i), .B(n270), .C(wready_o0), .Y(
+        n231) );
+  sky130_fd_sc_hd__or2_1 U28 ( .A(n231), .B(n273), .X(n14) );
+  sky130_fd_sc_hd__clkinv_1 U29 ( .A(wdata_i[18]), .Y(n75) );
+  sky130_fd_sc_hd__o2bb2ai_1 U30 ( .B1(n14), .B2(n75), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[81]), .Y(n216) );
+  sky130_fd_sc_hd__or2_1 U31 ( .A(n231), .B(gen_normal_fifo_wptr_value[1]), 
+        .X(n13) );
+  sky130_fd_sc_hd__clkinv_1 U32 ( .A(wdata_i[9]), .Y(n48) );
+  sky130_fd_sc_hd__o2bb2ai_1 U33 ( .B1(n13), .B2(n48), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[8]), .Y(n141) );
+  sky130_fd_sc_hd__clkinv_1 U34 ( .A(wdata_i[8]), .Y(n45) );
+  sky130_fd_sc_hd__o2bb2ai_1 U35 ( .B1(n13), .B2(n45), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[7]), .Y(n140) );
+  sky130_fd_sc_hd__clkinv_1 U36 ( .A(wdata_i[10]), .Y(n51) );
+  sky130_fd_sc_hd__o2bb2ai_1 U37 ( .B1(n13), .B2(n51), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[9]), .Y(n142) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(wdata_i[7]), .Y(n42) );
+  sky130_fd_sc_hd__o2bb2ai_1 U39 ( .B1(n13), .B2(n42), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[6]), .Y(n139) );
+  sky130_fd_sc_hd__clkinv_1 U40 ( .A(wdata_i[6]), .Y(n39) );
+  sky130_fd_sc_hd__o2bb2ai_1 U41 ( .B1(n13), .B2(n39), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[5]), .Y(n138) );
+  sky130_fd_sc_hd__clkinv_1 U42 ( .A(wdata_i[5]), .Y(n36) );
+  sky130_fd_sc_hd__o2bb2ai_1 U43 ( .B1(n13), .B2(n36), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[4]), .Y(n137) );
+  sky130_fd_sc_hd__clkinv_1 U44 ( .A(wdata_i[16]), .Y(n69) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45 ( .B1(n14), .B2(n69), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[79]), .Y(n214) );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(wdata_i[13]), .Y(n60) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47 ( .B1(n14), .B2(n60), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[76]), .Y(n211) );
+  sky130_fd_sc_hd__clkinv_1 U48 ( .A(wdata_i[12]), .Y(n57) );
+  sky130_fd_sc_hd__o2bb2ai_1 U49 ( .B1(n14), .B2(n57), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[75]), .Y(n210) );
+  sky130_fd_sc_hd__o2bb2ai_1 U50 ( .B1(n13), .B2(n57), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[11]), .Y(n144) );
+  sky130_fd_sc_hd__clkinv_1 U51 ( .A(wdata_i[19]), .Y(n78) );
+  sky130_fd_sc_hd__o2bb2ai_1 U52 ( .B1(n14), .B2(n78), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[82]), .Y(n217) );
+  sky130_fd_sc_hd__clkinv_1 U53 ( .A(wdata_i[20]), .Y(n83) );
+  sky130_fd_sc_hd__o2bb2ai_1 U54 ( .B1(n14), .B2(n83), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[83]), .Y(n218) );
+  sky130_fd_sc_hd__clkinv_1 U55 ( .A(wdata_i[11]), .Y(n54) );
+  sky130_fd_sc_hd__o2bb2ai_1 U56 ( .B1(n14), .B2(n54), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[74]), .Y(n209) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57 ( .B1(n14), .B2(n51), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[73]), .Y(n208) );
+  sky130_fd_sc_hd__clkinv_1 U58 ( .A(wdata_i[4]), .Y(n33) );
+  sky130_fd_sc_hd__o2bb2ai_1 U59 ( .B1(n13), .B2(n33), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[3]), .Y(n136) );
+  sky130_fd_sc_hd__o2bb2ai_1 U60 ( .B1(n14), .B2(n48), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[72]), .Y(n207) );
+  sky130_fd_sc_hd__clkinv_1 U61 ( .A(wdata_i[14]), .Y(n63) );
+  sky130_fd_sc_hd__o2bb2ai_1 U62 ( .B1(n14), .B2(n63), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[77]), .Y(n212) );
+  sky130_fd_sc_hd__o2bb2ai_1 U63 ( .B1(n14), .B2(n45), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[71]), .Y(n206) );
+  sky130_fd_sc_hd__clkinv_1 U64 ( .A(wdata_i[3]), .Y(n30) );
+  sky130_fd_sc_hd__o2bb2ai_1 U65 ( .B1(n13), .B2(n30), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[2]), .Y(n135) );
+  sky130_fd_sc_hd__clkinv_1 U66 ( .A(wdata_i[2]), .Y(n27) );
+  sky130_fd_sc_hd__o2bb2ai_1 U67 ( .B1(n13), .B2(n27), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[1]), .Y(n134) );
+  sky130_fd_sc_hd__o2bb2ai_1 U68 ( .B1(n14), .B2(n42), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[70]), .Y(n205) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69 ( .B1(n14), .B2(n39), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[69]), .Y(n204) );
+  sky130_fd_sc_hd__o2bb2ai_1 U70 ( .B1(n14), .B2(n36), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[68]), .Y(n203) );
+  sky130_fd_sc_hd__clkinv_1 U71 ( .A(wdata_i[17]), .Y(n72) );
+  sky130_fd_sc_hd__o2bb2ai_1 U72 ( .B1(n14), .B2(n72), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[80]), .Y(n215) );
+  sky130_fd_sc_hd__o2bb2ai_1 U73 ( .B1(n14), .B2(n33), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[67]), .Y(n202) );
+  sky130_fd_sc_hd__clkinv_1 U74 ( .A(wdata_i[1]), .Y(n24) );
+  sky130_fd_sc_hd__o2bb2ai_1 U75 ( .B1(n13), .B2(n24), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[0]), .Y(n133) );
+  sky130_fd_sc_hd__o2bb2ai_1 U76 ( .B1(n14), .B2(n30), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[66]), .Y(n201) );
+  sky130_fd_sc_hd__o2bb2ai_1 U77 ( .B1(n13), .B2(n63), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[13]), .Y(n146) );
+  sky130_fd_sc_hd__clkinv_1 U78 ( .A(wdata_i[25]), .Y(n98) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79 ( .B1(n13), .B2(n98), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[24]), .Y(n157) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80 ( .B1(n14), .B2(n27), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[65]), .Y(n200) );
+  sky130_fd_sc_hd__clkinv_1 U81 ( .A(wdata_i[22]), .Y(n89) );
+  sky130_fd_sc_hd__o2bb2ai_1 U82 ( .B1(n14), .B2(n89), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[85]), .Y(n220) );
+  sky130_fd_sc_hd__o2bb2ai_1 U83 ( .B1(n13), .B2(n54), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[10]), .Y(n143) );
+  sky130_fd_sc_hd__clkinv_1 U84 ( .A(wdata_i[23]), .Y(n92) );
+  sky130_fd_sc_hd__o2bb2ai_1 U85 ( .B1(n14), .B2(n92), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[86]), .Y(n221) );
+  sky130_fd_sc_hd__o2bb2ai_1 U86 ( .B1(n14), .B2(n24), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[64]), .Y(n199) );
+  sky130_fd_sc_hd__clkinv_1 U87 ( .A(wdata_i[30]), .Y(n113) );
+  sky130_fd_sc_hd__o2bb2ai_1 U88 ( .B1(n14), .B2(n113), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[93]), .Y(n228) );
+  sky130_fd_sc_hd__clkinv_1 U89 ( .A(wdata_i[27]), .Y(n104) );
+  sky130_fd_sc_hd__o2bb2ai_1 U90 ( .B1(n13), .B2(n104), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[26]), .Y(n159) );
+  sky130_fd_sc_hd__clkinv_1 U91 ( .A(wdata_i[28]), .Y(n107) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92 ( .B1(n13), .B2(n107), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[27]), .Y(n160) );
+  sky130_fd_sc_hd__clkinv_1 U93 ( .A(wdata_i[29]), .Y(n110) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94 ( .B1(n13), .B2(n110), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[28]), .Y(n161) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95 ( .B1(n13), .B2(n113), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[29]), .Y(n162) );
+  sky130_fd_sc_hd__clkinv_1 U96 ( .A(wdata_i[31]), .Y(n118) );
+  sky130_fd_sc_hd__o2bb2ai_1 U97 ( .B1(n13), .B2(n118), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[30]), .Y(n163) );
+  sky130_fd_sc_hd__clkinv_1 U98 ( .A(wdata_i[32]), .Y(n125) );
+  sky130_fd_sc_hd__o2bb2ai_1 U99 ( .B1(n13), .B2(n125), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[31]), .Y(n164) );
+  sky130_fd_sc_hd__o2bb2ai_1 U100 ( .B1(n14), .B2(n118), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[94]), .Y(n229) );
+  sky130_fd_sc_hd__o2bb2ai_1 U101 ( .B1(n14), .B2(n125), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[95]), .Y(n230) );
+  sky130_fd_sc_hd__o2bb2ai_1 U102 ( .B1(n14), .B2(n110), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[92]), .Y(n227) );
+  sky130_fd_sc_hd__o2bb2ai_1 U103 ( .B1(n14), .B2(n107), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[91]), .Y(n226) );
+  sky130_fd_sc_hd__clkinv_1 U104 ( .A(wdata_i[24]), .Y(n95) );
+  sky130_fd_sc_hd__o2bb2ai_1 U105 ( .B1(n14), .B2(n95), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[87]), .Y(n222) );
+  sky130_fd_sc_hd__o2bb2ai_1 U106 ( .B1(n14), .B2(n104), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[90]), .Y(n225) );
+  sky130_fd_sc_hd__clkinv_1 U107 ( .A(wdata_i[21]), .Y(n86) );
+  sky130_fd_sc_hd__o2bb2ai_1 U108 ( .B1(n14), .B2(n86), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[84]), .Y(n219) );
+  sky130_fd_sc_hd__clkinv_1 U109 ( .A(wdata_i[26]), .Y(n101) );
+  sky130_fd_sc_hd__o2bb2ai_1 U110 ( .B1(n14), .B2(n101), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[89]), .Y(n224) );
+  sky130_fd_sc_hd__o2bb2ai_1 U111 ( .B1(n14), .B2(n98), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[88]), .Y(n223) );
+  sky130_fd_sc_hd__o2bb2ai_1 U112 ( .B1(n13), .B2(n75), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[17]), .Y(n150) );
+  sky130_fd_sc_hd__o2bb2ai_1 U113 ( .B1(n13), .B2(n95), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[23]), .Y(n156) );
+  sky130_fd_sc_hd__o2bb2ai_1 U114 ( .B1(n13), .B2(n92), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[22]), .Y(n155) );
+  sky130_fd_sc_hd__o2bb2ai_1 U115 ( .B1(n13), .B2(n89), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[21]), .Y(n154) );
+  sky130_fd_sc_hd__o2bb2ai_1 U116 ( .B1(n13), .B2(n101), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[25]), .Y(n158) );
+  sky130_fd_sc_hd__o2bb2ai_1 U117 ( .B1(n13), .B2(n86), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[20]), .Y(n153) );
+  sky130_fd_sc_hd__clkinv_1 U118 ( .A(wdata_i[15]), .Y(n66) );
+  sky130_fd_sc_hd__o2bb2ai_1 U119 ( .B1(n13), .B2(n66), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[14]), .Y(n147) );
+  sky130_fd_sc_hd__o2bb2ai_1 U120 ( .B1(n13), .B2(n83), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[19]), .Y(n152) );
+  sky130_fd_sc_hd__o2bb2ai_1 U121 ( .B1(n13), .B2(n60), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[12]), .Y(n145) );
+  sky130_fd_sc_hd__o2bb2ai_1 U122 ( .B1(n13), .B2(n78), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[18]), .Y(n151) );
+  sky130_fd_sc_hd__o2bb2ai_1 U123 ( .B1(n13), .B2(n69), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[15]), .Y(n148) );
+  sky130_fd_sc_hd__o2bb2ai_1 U124 ( .B1(n13), .B2(n72), .A1_N(n13), .A2_N(
+        gen_normal_fifo_storage[16]), .Y(n149) );
+  sky130_fd_sc_hd__o2bb2ai_1 U125 ( .B1(n14), .B2(n66), .A1_N(n14), .A2_N(
+        gen_normal_fifo_storage[78]), .Y(n213) );
+  sky130_fd_sc_hd__o2bb2ai_1 U126 ( .B1(n272), .B2(n78), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[50]), .Y(n184) );
+  sky130_fd_sc_hd__o2bb2ai_1 U127 ( .B1(n272), .B2(n36), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[36]), .Y(n170) );
+  sky130_fd_sc_hd__o2bb2ai_1 U128 ( .B1(n272), .B2(n92), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[54]), .Y(n188) );
+  sky130_fd_sc_hd__o2bb2ai_1 U129 ( .B1(n272), .B2(n24), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[32]), .Y(n166) );
+  sky130_fd_sc_hd__o2bb2ai_1 U130 ( .B1(n272), .B2(n83), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[51]), .Y(n185) );
+  sky130_fd_sc_hd__o2bb2ai_1 U131 ( .B1(n272), .B2(n104), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[58]), .Y(n192) );
+  sky130_fd_sc_hd__o2bb2ai_1 U132 ( .B1(n272), .B2(n75), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[49]), .Y(n183) );
+  sky130_fd_sc_hd__o2bb2ai_1 U133 ( .B1(n272), .B2(n110), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[60]), .Y(n194) );
+  sky130_fd_sc_hd__o2bb2ai_1 U134 ( .B1(n272), .B2(n125), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[63]), .Y(n197) );
+  sky130_fd_sc_hd__o2bb2ai_1 U135 ( .B1(n272), .B2(n89), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[53]), .Y(n187) );
+  sky130_fd_sc_hd__o2bb2ai_1 U136 ( .B1(n272), .B2(n86), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[52]), .Y(n186) );
+  sky130_fd_sc_hd__o2bb2ai_1 U137 ( .B1(n272), .B2(n98), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[56]), .Y(n190) );
+  sky130_fd_sc_hd__o2bb2ai_1 U138 ( .B1(n16), .B2(n30), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[98]), .Y(n234) );
+  sky130_fd_sc_hd__o2bb2ai_1 U139 ( .B1(n16), .B2(n39), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[101]), .Y(n237) );
+  sky130_fd_sc_hd__o2bb2ai_1 U140 ( .B1(n16), .B2(n33), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[99]), .Y(n235) );
+  sky130_fd_sc_hd__o2bb2ai_1 U141 ( .B1(n16), .B2(n24), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[96]), .Y(n232) );
+  sky130_fd_sc_hd__o2bb2ai_1 U142 ( .B1(n16), .B2(n42), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[102]), .Y(n238) );
+  sky130_fd_sc_hd__o2bb2ai_1 U143 ( .B1(n16), .B2(n36), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[100]), .Y(n236) );
+  sky130_fd_sc_hd__o2bb2ai_1 U144 ( .B1(n16), .B2(n45), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[103]), .Y(n239) );
+  sky130_fd_sc_hd__o2bb2ai_1 U145 ( .B1(n16), .B2(n27), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[97]), .Y(n233) );
+  sky130_fd_sc_hd__o2bb2ai_1 U146 ( .B1(n16), .B2(n54), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[106]), .Y(n242) );
+  sky130_fd_sc_hd__o2bb2ai_1 U147 ( .B1(n16), .B2(n104), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[122]), .Y(n258) );
+  sky130_fd_sc_hd__o2bb2ai_1 U148 ( .B1(n16), .B2(n95), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[119]), .Y(n255) );
+  sky130_fd_sc_hd__o2bb2ai_1 U149 ( .B1(n16), .B2(n60), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[108]), .Y(n244) );
+  sky130_fd_sc_hd__o2bb2ai_1 U150 ( .B1(n16), .B2(n101), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[121]), .Y(n257) );
+  sky130_fd_sc_hd__o2bb2ai_1 U151 ( .B1(n16), .B2(n89), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[117]), .Y(n253) );
+  sky130_fd_sc_hd__o2bb2ai_1 U152 ( .B1(n16), .B2(n113), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[125]), .Y(n261) );
+  sky130_fd_sc_hd__o2bb2ai_1 U153 ( .B1(n16), .B2(n125), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[127]), .Y(n263) );
+  sky130_fd_sc_hd__o2bb2ai_1 U154 ( .B1(n16), .B2(n107), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[123]), .Y(n259) );
+  sky130_fd_sc_hd__o2bb2ai_1 U155 ( .B1(n16), .B2(n118), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[126]), .Y(n262) );
+  sky130_fd_sc_hd__o2bb2ai_1 U156 ( .B1(n16), .B2(n72), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[112]), .Y(n248) );
+  sky130_fd_sc_hd__o2bb2ai_1 U157 ( .B1(n16), .B2(n98), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[120]), .Y(n256) );
+  sky130_fd_sc_hd__o2bb2ai_1 U158 ( .B1(n16), .B2(n69), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[111]), .Y(n247) );
+  sky130_fd_sc_hd__o2bb2ai_1 U159 ( .B1(n16), .B2(n66), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[110]), .Y(n246) );
+  sky130_fd_sc_hd__o2bb2ai_1 U160 ( .B1(n16), .B2(n63), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[109]), .Y(n245) );
+  sky130_fd_sc_hd__o2bb2ai_1 U161 ( .B1(n16), .B2(n83), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[115]), .Y(n251) );
+  sky130_fd_sc_hd__o2bb2ai_1 U162 ( .B1(n16), .B2(n51), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[105]), .Y(n241) );
+  sky130_fd_sc_hd__o2bb2ai_1 U163 ( .B1(n16), .B2(n57), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[107]), .Y(n243) );
+  sky130_fd_sc_hd__o2bb2ai_1 U164 ( .B1(n16), .B2(n92), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[118]), .Y(n254) );
+  sky130_fd_sc_hd__o2bb2ai_1 U165 ( .B1(n16), .B2(n110), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[124]), .Y(n260) );
+  sky130_fd_sc_hd__o2bb2ai_1 U166 ( .B1(n16), .B2(n86), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[116]), .Y(n252) );
+  sky130_fd_sc_hd__o2bb2ai_1 U167 ( .B1(n16), .B2(n75), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[113]), .Y(n249) );
+  sky130_fd_sc_hd__o2bb2ai_1 U168 ( .B1(n16), .B2(n78), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[114]), .Y(n250) );
+  sky130_fd_sc_hd__o2bb2ai_1 U169 ( .B1(n16), .B2(n48), .A1_N(n16), .A2_N(
+        gen_normal_fifo_storage[104]), .Y(n240) );
+  sky130_fd_sc_hd__o2bb2ai_1 U170 ( .B1(n272), .B2(n69), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[47]), .Y(n181) );
+  sky130_fd_sc_hd__o2bb2ai_1 U171 ( .B1(n272), .B2(n95), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[55]), .Y(n189) );
+  sky130_fd_sc_hd__o2bb2ai_1 U172 ( .B1(n272), .B2(n60), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[44]), .Y(n178) );
+  sky130_fd_sc_hd__o2bb2ai_1 U173 ( .B1(n272), .B2(n54), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[42]), .Y(n176) );
+  sky130_fd_sc_hd__o2bb2ai_1 U174 ( .B1(n272), .B2(n51), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[41]), .Y(n175) );
+  sky130_fd_sc_hd__o2bb2ai_1 U175 ( .B1(n272), .B2(n63), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[45]), .Y(n179) );
+  sky130_fd_sc_hd__o2bb2ai_1 U176 ( .B1(n272), .B2(n27), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[33]), .Y(n167) );
+  sky130_fd_sc_hd__o2bb2ai_1 U177 ( .B1(n272), .B2(n33), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[35]), .Y(n169) );
+  sky130_fd_sc_hd__o2bb2ai_1 U178 ( .B1(n272), .B2(n42), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[38]), .Y(n172) );
+  sky130_fd_sc_hd__o2bb2ai_1 U179 ( .B1(n272), .B2(n107), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[59]), .Y(n193) );
+  sky130_fd_sc_hd__o2bb2ai_1 U180 ( .B1(n272), .B2(n57), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[43]), .Y(n177) );
+  sky130_fd_sc_hd__o2bb2ai_1 U181 ( .B1(n272), .B2(n72), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[48]), .Y(n182) );
+  sky130_fd_sc_hd__o2bb2ai_1 U182 ( .B1(n272), .B2(n113), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[61]), .Y(n195) );
+  sky130_fd_sc_hd__o2bb2ai_1 U183 ( .B1(n272), .B2(n39), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[37]), .Y(n171) );
+  sky130_fd_sc_hd__o2bb2ai_1 U184 ( .B1(n272), .B2(n66), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[46]), .Y(n180) );
+  sky130_fd_sc_hd__o2bb2ai_1 U185 ( .B1(n272), .B2(n48), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[40]), .Y(n174) );
+  sky130_fd_sc_hd__o2bb2ai_1 U186 ( .B1(n272), .B2(n45), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[39]), .Y(n173) );
+  sky130_fd_sc_hd__o2bb2ai_1 U187 ( .B1(n272), .B2(n118), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[62]), .Y(n196) );
+  sky130_fd_sc_hd__o2bb2ai_1 U188 ( .B1(n272), .B2(n30), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[34]), .Y(n168) );
+  sky130_fd_sc_hd__o2bb2ai_1 U189 ( .B1(n272), .B2(n101), .A1_N(n272), .A2_N(
+        gen_normal_fifo_storage[57]), .Y(n191) );
+  sky130_fd_sc_hd__nand2_1 U190 ( .A(n17), .B(n127), .Y(n18) );
+  sky130_fd_sc_hd__nor2_1 U191 ( .A(n131), .B(n18), .Y(n21) );
+  sky130_fd_sc_hd__nand2b_1 U192 ( .A_N(wvalid_i), .B(n21), .Y(rvalid_o) );
+  sky130_fd_sc_hd__clkinv_1 U193 ( .A(gen_normal_fifo_rptr_value[0]), .Y(n20)
+         );
+  sky130_fd_sc_hd__nand2_1 U194 ( .A(rready_i), .B(rvalid_o), .Y(n19) );
+  sky130_fd_sc_hd__nand3_1 U195 ( .A(gen_normal_fifo_rptr_value[0]), .B(
+        rready_i), .C(rvalid_o), .Y(n165) );
+  sky130_fd_sc_hd__a21boi_0 U196 ( .A1(n20), .A2(n19), .B1_N(n165), .Y(n269)
+         );
+  sky130_fd_sc_hd__nand2_1 U197 ( .A(wvalid_i), .B(n21), .Y(n126) );
+  sky130_fd_sc_hd__nor3_1 U198 ( .A(gen_normal_fifo_rptr_value[0]), .B(n21), 
+        .C(n198), .Y(n114) );
+  sky130_fd_sc_hd__nor3_1 U199 ( .A(gen_normal_fifo_rptr_value[1]), .B(n21), 
+        .C(n20), .Y(n79) );
+  sky130_fd_sc_hd__a22oi_1 U200 ( .A1(gen_normal_fifo_storage[64]), .A2(n114), 
+        .B1(gen_normal_fifo_storage[32]), .B2(n79), .Y(n23) );
+  sky130_fd_sc_hd__nor3_1 U201 ( .A(n21), .B(n20), .C(n198), .Y(n115) );
+  sky130_fd_sc_hd__nor3_1 U202 ( .A(gen_normal_fifo_rptr_value[1]), .B(
+        gen_normal_fifo_rptr_value[0]), .C(n21), .Y(n80) );
+  sky130_fd_sc_hd__a22oi_1 U203 ( .A1(gen_normal_fifo_storage[96]), .A2(n115), 
+        .B1(gen_normal_fifo_storage[0]), .B2(n80), .Y(n22) );
+  sky130_fd_sc_hd__o211ai_1 U204 ( .A1(n24), .A2(n126), .B1(n23), .C1(n22), 
+        .Y(rdata_o[1]) );
+  sky130_fd_sc_hd__a22oi_1 U205 ( .A1(n114), .A2(gen_normal_fifo_storage[65]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[33]), .Y(n26) );
+  sky130_fd_sc_hd__a22oi_1 U206 ( .A1(n115), .A2(gen_normal_fifo_storage[97]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[1]), .Y(n25) );
+  sky130_fd_sc_hd__o211ai_1 U207 ( .A1(n126), .A2(n27), .B1(n26), .C1(n25), 
+        .Y(rdata_o[2]) );
+  sky130_fd_sc_hd__a22oi_1 U208 ( .A1(n114), .A2(gen_normal_fifo_storage[66]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[34]), .Y(n29) );
+  sky130_fd_sc_hd__a22oi_1 U209 ( .A1(n115), .A2(gen_normal_fifo_storage[98]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[2]), .Y(n28) );
+  sky130_fd_sc_hd__o211ai_1 U210 ( .A1(n126), .A2(n30), .B1(n29), .C1(n28), 
+        .Y(rdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U211 ( .A(n79), .X(n119) );
+  sky130_fd_sc_hd__a22oi_1 U212 ( .A1(n114), .A2(gen_normal_fifo_storage[67]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[35]), .Y(n32) );
+  sky130_fd_sc_hd__clkbuf_1 U213 ( .A(n80), .X(n121) );
+  sky130_fd_sc_hd__a22oi_1 U214 ( .A1(n115), .A2(gen_normal_fifo_storage[99]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[3]), .Y(n31) );
+  sky130_fd_sc_hd__o211ai_1 U215 ( .A1(n126), .A2(n33), .B1(n32), .C1(n31), 
+        .Y(rdata_o[4]) );
+  sky130_fd_sc_hd__a22oi_1 U216 ( .A1(n114), .A2(gen_normal_fifo_storage[68]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[36]), .Y(n35) );
+  sky130_fd_sc_hd__a22oi_1 U217 ( .A1(n115), .A2(gen_normal_fifo_storage[100]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[4]), .Y(n34) );
+  sky130_fd_sc_hd__o211ai_1 U218 ( .A1(n126), .A2(n36), .B1(n35), .C1(n34), 
+        .Y(rdata_o[5]) );
+  sky130_fd_sc_hd__a22oi_1 U219 ( .A1(n114), .A2(gen_normal_fifo_storage[69]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[37]), .Y(n38) );
+  sky130_fd_sc_hd__a22oi_1 U220 ( .A1(n115), .A2(gen_normal_fifo_storage[101]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[5]), .Y(n37) );
+  sky130_fd_sc_hd__o211ai_1 U221 ( .A1(n126), .A2(n39), .B1(n38), .C1(n37), 
+        .Y(rdata_o[6]) );
+  sky130_fd_sc_hd__a22oi_1 U222 ( .A1(n114), .A2(gen_normal_fifo_storage[70]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[38]), .Y(n41) );
+  sky130_fd_sc_hd__a22oi_1 U223 ( .A1(n115), .A2(gen_normal_fifo_storage[102]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[6]), .Y(n40) );
+  sky130_fd_sc_hd__o211ai_1 U224 ( .A1(n126), .A2(n42), .B1(n41), .C1(n40), 
+        .Y(rdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U225 ( .A(n114), .X(n120) );
+  sky130_fd_sc_hd__a22oi_1 U226 ( .A1(n120), .A2(gen_normal_fifo_storage[71]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[39]), .Y(n44) );
+  sky130_fd_sc_hd__clkbuf_1 U227 ( .A(n115), .X(n122) );
+  sky130_fd_sc_hd__a22oi_1 U228 ( .A1(n122), .A2(gen_normal_fifo_storage[103]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[7]), .Y(n43) );
+  sky130_fd_sc_hd__o211ai_1 U229 ( .A1(n126), .A2(n45), .B1(n44), .C1(n43), 
+        .Y(rdata_o[8]) );
+  sky130_fd_sc_hd__a22oi_1 U230 ( .A1(n114), .A2(gen_normal_fifo_storage[72]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[40]), .Y(n47) );
+  sky130_fd_sc_hd__a22oi_1 U231 ( .A1(n115), .A2(gen_normal_fifo_storage[104]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[8]), .Y(n46) );
+  sky130_fd_sc_hd__o211ai_1 U232 ( .A1(n126), .A2(n48), .B1(n47), .C1(n46), 
+        .Y(rdata_o[9]) );
+  sky130_fd_sc_hd__a22oi_1 U233 ( .A1(n114), .A2(gen_normal_fifo_storage[73]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[41]), .Y(n50) );
+  sky130_fd_sc_hd__a22oi_1 U234 ( .A1(n115), .A2(gen_normal_fifo_storage[105]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[9]), .Y(n49) );
+  sky130_fd_sc_hd__o211ai_1 U235 ( .A1(n126), .A2(n51), .B1(n50), .C1(n49), 
+        .Y(rdata_o[10]) );
+  sky130_fd_sc_hd__a22oi_1 U236 ( .A1(n120), .A2(gen_normal_fifo_storage[74]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[42]), .Y(n53) );
+  sky130_fd_sc_hd__a22oi_1 U237 ( .A1(n122), .A2(gen_normal_fifo_storage[106]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[10]), .Y(n52) );
+  sky130_fd_sc_hd__o211ai_1 U238 ( .A1(n126), .A2(n54), .B1(n53), .C1(n52), 
+        .Y(rdata_o[11]) );
+  sky130_fd_sc_hd__a22oi_1 U239 ( .A1(n120), .A2(gen_normal_fifo_storage[75]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[43]), .Y(n56) );
+  sky130_fd_sc_hd__a22oi_1 U240 ( .A1(n122), .A2(gen_normal_fifo_storage[107]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[11]), .Y(n55) );
+  sky130_fd_sc_hd__o211ai_1 U241 ( .A1(n126), .A2(n57), .B1(n56), .C1(n55), 
+        .Y(rdata_o[12]) );
+  sky130_fd_sc_hd__a22oi_1 U242 ( .A1(n114), .A2(gen_normal_fifo_storage[76]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[44]), .Y(n59) );
+  sky130_fd_sc_hd__a22oi_1 U243 ( .A1(n115), .A2(gen_normal_fifo_storage[108]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[12]), .Y(n58) );
+  sky130_fd_sc_hd__o211ai_1 U244 ( .A1(n126), .A2(n60), .B1(n59), .C1(n58), 
+        .Y(rdata_o[13]) );
+  sky130_fd_sc_hd__a22oi_1 U245 ( .A1(n120), .A2(gen_normal_fifo_storage[77]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[45]), .Y(n62) );
+  sky130_fd_sc_hd__a22oi_1 U246 ( .A1(n122), .A2(gen_normal_fifo_storage[109]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[13]), .Y(n61) );
+  sky130_fd_sc_hd__o211ai_1 U247 ( .A1(n126), .A2(n63), .B1(n62), .C1(n61), 
+        .Y(rdata_o[14]) );
+  sky130_fd_sc_hd__a22oi_1 U248 ( .A1(n120), .A2(gen_normal_fifo_storage[78]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[46]), .Y(n65) );
+  sky130_fd_sc_hd__a22oi_1 U249 ( .A1(n122), .A2(gen_normal_fifo_storage[110]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[14]), .Y(n64) );
+  sky130_fd_sc_hd__o211ai_1 U250 ( .A1(n126), .A2(n66), .B1(n65), .C1(n64), 
+        .Y(rdata_o[15]) );
+  sky130_fd_sc_hd__a22oi_1 U251 ( .A1(n120), .A2(gen_normal_fifo_storage[79]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[47]), .Y(n68) );
+  sky130_fd_sc_hd__a22oi_1 U252 ( .A1(n122), .A2(gen_normal_fifo_storage[111]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[15]), .Y(n67) );
+  sky130_fd_sc_hd__o211ai_1 U253 ( .A1(n126), .A2(n69), .B1(n68), .C1(n67), 
+        .Y(rdata_o[16]) );
+  sky130_fd_sc_hd__a22oi_1 U254 ( .A1(n120), .A2(gen_normal_fifo_storage[80]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[48]), .Y(n71) );
+  sky130_fd_sc_hd__a22oi_1 U255 ( .A1(n122), .A2(gen_normal_fifo_storage[112]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[16]), .Y(n70) );
+  sky130_fd_sc_hd__o211ai_1 U256 ( .A1(n126), .A2(n72), .B1(n71), .C1(n70), 
+        .Y(rdata_o[17]) );
+  sky130_fd_sc_hd__a22oi_1 U257 ( .A1(n120), .A2(gen_normal_fifo_storage[81]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[49]), .Y(n74) );
+  sky130_fd_sc_hd__a22oi_1 U258 ( .A1(n122), .A2(gen_normal_fifo_storage[113]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[17]), .Y(n73) );
+  sky130_fd_sc_hd__o211ai_1 U259 ( .A1(n126), .A2(n75), .B1(n74), .C1(n73), 
+        .Y(rdata_o[18]) );
+  sky130_fd_sc_hd__a22oi_1 U260 ( .A1(n120), .A2(gen_normal_fifo_storage[82]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[50]), .Y(n77) );
+  sky130_fd_sc_hd__a22oi_1 U261 ( .A1(n122), .A2(gen_normal_fifo_storage[114]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[18]), .Y(n76) );
+  sky130_fd_sc_hd__o211ai_1 U262 ( .A1(n126), .A2(n78), .B1(n77), .C1(n76), 
+        .Y(rdata_o[19]) );
+  sky130_fd_sc_hd__a22oi_1 U263 ( .A1(n120), .A2(gen_normal_fifo_storage[83]), 
+        .B1(n79), .B2(gen_normal_fifo_storage[51]), .Y(n82) );
+  sky130_fd_sc_hd__a22oi_1 U264 ( .A1(n122), .A2(gen_normal_fifo_storage[115]), 
+        .B1(n80), .B2(gen_normal_fifo_storage[19]), .Y(n81) );
+  sky130_fd_sc_hd__o211ai_1 U265 ( .A1(n126), .A2(n83), .B1(n82), .C1(n81), 
+        .Y(rdata_o[20]) );
+  sky130_fd_sc_hd__a22oi_1 U266 ( .A1(n120), .A2(gen_normal_fifo_storage[84]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[52]), .Y(n85) );
+  sky130_fd_sc_hd__a22oi_1 U267 ( .A1(n122), .A2(gen_normal_fifo_storage[116]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[20]), .Y(n84) );
+  sky130_fd_sc_hd__o211ai_1 U268 ( .A1(n126), .A2(n86), .B1(n85), .C1(n84), 
+        .Y(rdata_o[21]) );
+  sky130_fd_sc_hd__a22oi_1 U269 ( .A1(n120), .A2(gen_normal_fifo_storage[85]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[53]), .Y(n88) );
+  sky130_fd_sc_hd__a22oi_1 U270 ( .A1(n122), .A2(gen_normal_fifo_storage[117]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[21]), .Y(n87) );
+  sky130_fd_sc_hd__o211ai_1 U271 ( .A1(n126), .A2(n89), .B1(n88), .C1(n87), 
+        .Y(rdata_o[22]) );
+  sky130_fd_sc_hd__a22oi_1 U272 ( .A1(n120), .A2(gen_normal_fifo_storage[86]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[54]), .Y(n91) );
+  sky130_fd_sc_hd__a22oi_1 U273 ( .A1(n122), .A2(gen_normal_fifo_storage[118]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[22]), .Y(n90) );
+  sky130_fd_sc_hd__o211ai_1 U274 ( .A1(n126), .A2(n92), .B1(n91), .C1(n90), 
+        .Y(rdata_o[23]) );
+  sky130_fd_sc_hd__a22oi_1 U275 ( .A1(n120), .A2(gen_normal_fifo_storage[87]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[55]), .Y(n94) );
+  sky130_fd_sc_hd__a22oi_1 U276 ( .A1(n122), .A2(gen_normal_fifo_storage[119]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[23]), .Y(n93) );
+  sky130_fd_sc_hd__o211ai_1 U277 ( .A1(n126), .A2(n95), .B1(n94), .C1(n93), 
+        .Y(rdata_o[24]) );
+  sky130_fd_sc_hd__a22oi_1 U278 ( .A1(n120), .A2(gen_normal_fifo_storage[88]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[56]), .Y(n97) );
+  sky130_fd_sc_hd__a22oi_1 U279 ( .A1(n122), .A2(gen_normal_fifo_storage[120]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[24]), .Y(n96) );
+  sky130_fd_sc_hd__o211ai_1 U280 ( .A1(n126), .A2(n98), .B1(n97), .C1(n96), 
+        .Y(rdata_o[25]) );
+  sky130_fd_sc_hd__a22oi_1 U281 ( .A1(n120), .A2(gen_normal_fifo_storage[89]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[57]), .Y(n100) );
+  sky130_fd_sc_hd__a22oi_1 U282 ( .A1(n122), .A2(gen_normal_fifo_storage[121]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[25]), .Y(n99) );
+  sky130_fd_sc_hd__o211ai_1 U283 ( .A1(n126), .A2(n101), .B1(n100), .C1(n99), 
+        .Y(rdata_o[26]) );
+  sky130_fd_sc_hd__a22oi_1 U284 ( .A1(n114), .A2(gen_normal_fifo_storage[90]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[58]), .Y(n103) );
+  sky130_fd_sc_hd__a22oi_1 U285 ( .A1(n115), .A2(gen_normal_fifo_storage[122]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[26]), .Y(n102) );
+  sky130_fd_sc_hd__o211ai_1 U286 ( .A1(n126), .A2(n104), .B1(n103), .C1(n102), 
+        .Y(rdata_o[27]) );
+  sky130_fd_sc_hd__a22oi_1 U287 ( .A1(n114), .A2(gen_normal_fifo_storage[91]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[59]), .Y(n106) );
+  sky130_fd_sc_hd__a22oi_1 U288 ( .A1(n115), .A2(gen_normal_fifo_storage[123]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[27]), .Y(n105) );
+  sky130_fd_sc_hd__o211ai_1 U289 ( .A1(n126), .A2(n107), .B1(n106), .C1(n105), 
+        .Y(rdata_o[28]) );
+  sky130_fd_sc_hd__a22oi_1 U290 ( .A1(n114), .A2(gen_normal_fifo_storage[92]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[60]), .Y(n109) );
+  sky130_fd_sc_hd__a22oi_1 U291 ( .A1(n115), .A2(gen_normal_fifo_storage[124]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[28]), .Y(n108) );
+  sky130_fd_sc_hd__o211ai_1 U292 ( .A1(n126), .A2(n110), .B1(n109), .C1(n108), 
+        .Y(rdata_o[29]) );
+  sky130_fd_sc_hd__a22oi_1 U293 ( .A1(n114), .A2(gen_normal_fifo_storage[93]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[61]), .Y(n112) );
+  sky130_fd_sc_hd__a22oi_1 U294 ( .A1(n115), .A2(gen_normal_fifo_storage[125]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[29]), .Y(n111) );
+  sky130_fd_sc_hd__o211ai_1 U295 ( .A1(n126), .A2(n113), .B1(n112), .C1(n111), 
+        .Y(rdata_o[30]) );
+  sky130_fd_sc_hd__a22oi_1 U296 ( .A1(n114), .A2(gen_normal_fifo_storage[94]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[62]), .Y(n117) );
+  sky130_fd_sc_hd__a22oi_1 U297 ( .A1(n115), .A2(gen_normal_fifo_storage[126]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[30]), .Y(n116) );
+  sky130_fd_sc_hd__o211ai_1 U298 ( .A1(n126), .A2(n118), .B1(n117), .C1(n116), 
+        .Y(rdata_o[31]) );
+  sky130_fd_sc_hd__a22oi_1 U299 ( .A1(n120), .A2(gen_normal_fifo_storage[95]), 
+        .B1(n119), .B2(gen_normal_fifo_storage[63]), .Y(n124) );
+  sky130_fd_sc_hd__a22oi_1 U300 ( .A1(n122), .A2(gen_normal_fifo_storage[127]), 
+        .B1(n121), .B2(gen_normal_fifo_storage[31]), .Y(n123) );
+  sky130_fd_sc_hd__o211ai_1 U301 ( .A1(n126), .A2(n125), .B1(n124), .C1(n123), 
+        .Y(rdata_o[32]) );
+  sky130_fd_sc_hd__nor2_1 U306 ( .A(n198), .B(n165), .Y(n132) );
+  sky130_fd_sc_hd__xor2_1 U307 ( .A(gen_normal_fifo_fifo_rptr_2_), .B(n132), 
+        .X(n268) );
+  sky130_fd_sc_hd__a21oi_1 U308 ( .A1(n198), .A2(n165), .B1(n132), .Y(n267) );
+  sky130_fd_sc_hd__and2_0 U309 ( .A(wready_o0), .B(wvalid_i), .X(n271) );
+  sky130_fd_sc_hd__o21ai_1 U310 ( .A1(n271), .A2(n270), .B1(n231), .Y(n266) );
+  sky130_fd_sc_hd__o21ai_1 U311 ( .A1(n274), .A2(n273), .B1(n272), .Y(n265) );
+  sky130_fd_sc_hd__or2_2 U3 ( .A(n15), .B(gen_normal_fifo_wptr_value[1]), .X(
+        n272) );
+endmodule
+
+
+
+    module opentitan_soc_top_tlul_sram_adapter_SramAw12_SramDw32_Outstanding4_ByteAccess1_ErrOnWrite0_ErrOnRead0_0 ( 
+        clk_i, rst_ni, tl_i, tl_o, req_o, gnt_i, we_o, addr_o, wdata_o, 
+        wmask_o, rdata_i, rvalid_i, rerror_i );
+  input [85:0] tl_i;
+  output [51:0] tl_o;
+  output [11:0] addr_o;
+  output [31:0] wdata_o;
+  output [31:0] wmask_o;
+  input [31:0] rdata_i;
+  input [1:0] rerror_i;
+  input clk_i, rst_ni, gnt_i, rvalid_i;
+  output req_o, we_o;
+  wire   a_ack, reqfifo_rvalid, rspfifo_rvalid, reqfifo_wready,
+         sramreqfifo_wready, tlul_error, sramreqfifo_wvalid,
+         sramreqfifo_rready, rspfifo_rready, n17, n16, n19, n21, n23, n25, n27,
+         n29, n31, n33, n35, n38, n39, n40, n41, n42, n43, n44, n45, n46, n47,
+         n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58, n59, n60, n89,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22;
+  wire   [1:0] reqfifo_rdata;
+  wire   [31:0] rspfifo_rdata;
+  wire   [3:0] sramreqfifo_rdata;
+  wire   [31:0] rdata;
+
+  opentitan_soc_top_tlul_err_1 u_err ( .clk_i(1'b0), .rst_ni(1'b0), .tl_i({
+        tl_i[85:84], n89, tl_i[82], n89, n89, n89, n38, n89, n89, n89, n89, 
+        n89, n89, n89, n89, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, n89, 
+        n89, tl_i[36:33], 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, n38}), .err_o(tlul_error) );
+  opentitan_soc_top_fifo_sync_13_0_4_0 u_reqfifo ( .clk_i(n39), .rst_ni(rst_ni), .clr_i(n89), .wvalid_i(a_ack), .wready_o(reqfifo_wready), .wdata_i({n89, n17, 
+        tlul_error, n38, n89, n89, n89, n89, n89, n89, n89, n89, 1'b0}), 
+        .rvalid_o(reqfifo_rvalid), .rready_i(tl_o[51]), .rdata_o({
+        SYNOPSYS_UNCONNECTED_1, reqfifo_rdata, SYNOPSYS_UNCONNECTED_2, 
+        SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, 
+        SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, 
+        SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10, 
+        SYNOPSYS_UNCONNECTED_11}), .depth_o({SYNOPSYS_UNCONNECTED_12, 
+        SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14}) );
+  opentitan_soc_top_fifo_sync_5_0_4_0 u_sramreqfifo ( .clk_i(n39), .rst_ni(
+        rst_ni), .clr_i(n89), .wvalid_i(sramreqfifo_wvalid), .wready_o(
+        sramreqfifo_wready), .wdata_i({tl_i[36:33], n89}), .rready_i(
+        sramreqfifo_rready), .rdata_o({sramreqfifo_rdata, 
+        SYNOPSYS_UNCONNECTED_15}), .depth_o({SYNOPSYS_UNCONNECTED_16, 
+        SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18}) );
+  opentitan_soc_top_fifo_sync_33_1_4_0 u_rspfifo ( .clk_i(n39), .rst_ni(rst_ni), .clr_i(n89), .wvalid_i(sramreqfifo_rready), .wdata_i({rdata, n89}), 
+        .rvalid_o(rspfifo_rvalid), .rready_i(rspfifo_rready), .rdata_o({
+        rspfifo_rdata, SYNOPSYS_UNCONNECTED_19}), .depth_o({
+        SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21, 
+        SYNOPSYS_UNCONNECTED_22}) );
+  sky130_fd_sc_hd__inv_4 U3 ( .A(n47), .Y(n16) );
+  sky130_fd_sc_hd__inv_4 U4 ( .A(n48), .Y(n19) );
+  sky130_fd_sc_hd__inv_4 U5 ( .A(n46), .Y(n21) );
+  sky130_fd_sc_hd__inv_4 U6 ( .A(n45), .Y(n23) );
+  sky130_fd_sc_hd__inv_4 U7 ( .A(n50), .Y(n25) );
+  sky130_fd_sc_hd__inv_4 U8 ( .A(n49), .Y(n27) );
+  sky130_fd_sc_hd__inv_4 U9 ( .A(n41), .Y(n29) );
+  sky130_fd_sc_hd__inv_4 U10 ( .A(n44), .Y(n31) );
+  sky130_fd_sc_hd__inv_4 U11 ( .A(n43), .Y(n33) );
+  sky130_fd_sc_hd__inv_4 U12 ( .A(n42), .Y(n35) );
+  sky130_fd_sc_hd__inv_16 U23 ( .A(n16), .Y(addr_o[8]) );
+  sky130_fd_sc_hd__inv_16 U24 ( .A(n19), .Y(addr_o[9]) );
+  sky130_fd_sc_hd__inv_16 U25 ( .A(n21), .Y(addr_o[7]) );
+  sky130_fd_sc_hd__inv_16 U26 ( .A(n23), .Y(addr_o[6]) );
+  sky130_fd_sc_hd__inv_16 U27 ( .A(n25), .Y(addr_o[0]) );
+  sky130_fd_sc_hd__inv_16 U28 ( .A(n27), .Y(addr_o[1]) );
+  sky130_fd_sc_hd__inv_16 U29 ( .A(n29), .Y(addr_o[2]) );
+  sky130_fd_sc_hd__inv_16 U30 ( .A(n31), .Y(addr_o[5]) );
+  sky130_fd_sc_hd__inv_16 U31 ( .A(n33), .Y(addr_o[4]) );
+  sky130_fd_sc_hd__inv_16 U32 ( .A(n35), .Y(addr_o[3]) );
+  sky130_fd_sc_hd__conb_1 U43 ( .LO(n89), .HI(n38) );
+  sky130_fd_sc_hd__clkinv_1 U44 ( .A(n40), .Y(n39) );
+  sky130_fd_sc_hd__clkinv_1 U45 ( .A(clk_i), .Y(n40) );
+  sky130_fd_sc_hd__clkbuf_1 U47 ( .A(wmask_o[24]), .X(wmask_o[29]) );
+  sky130_fd_sc_hd__and2_0 U63 ( .A(tl_i[35]), .B(tl_i[85]), .X(wmask_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U64 ( .A(wmask_o[16]), .X(wmask_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U65 ( .A(wmask_o[16]), .X(wmask_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U66 ( .A(wmask_o[16]), .X(wmask_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U67 ( .A(wmask_o[16]), .X(wmask_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U68 ( .A(wmask_o[16]), .X(wmask_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U69 ( .A(wmask_o[16]), .X(wmask_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U70 ( .A(wmask_o[16]), .X(wmask_o[23]) );
+  sky130_fd_sc_hd__and2_0 U71 ( .A(tl_i[36]), .B(tl_i[85]), .X(wmask_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U72 ( .A(wmask_o[24]), .X(wmask_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U73 ( .A(wmask_o[24]), .X(wmask_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U74 ( .A(wmask_o[24]), .X(wmask_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U75 ( .A(wmask_o[24]), .X(wmask_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U76 ( .A(wmask_o[24]), .X(wmask_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U77 ( .A(wmask_o[24]), .X(wmask_o[31]) );
+  sky130_fd_sc_hd__clkinv_1 U78 ( .A(tl_i[85]), .Y(n54) );
+  sky130_fd_sc_hd__nor2_1 U79 ( .A(tl_i[84]), .B(n54), .Y(we_o) );
+  sky130_fd_sc_hd__nand2b_1 U80 ( .A_N(reqfifo_rdata[1]), .B(reqfifo_rvalid), 
+        .Y(tl_o[48]) );
+  sky130_fd_sc_hd__clkinv_1 U81 ( .A(reqfifo_rvalid), .Y(n52) );
+  sky130_fd_sc_hd__nor2b_1 U82 ( .B_N(rvalid_i), .A(n52), .Y(
+        sramreqfifo_rready) );
+  sky130_fd_sc_hd__nor2_1 U83 ( .A(rspfifo_rvalid), .B(reqfifo_rdata[0]), .Y(
+        n51) );
+  sky130_fd_sc_hd__a21oi_1 U84 ( .A1(n51), .A2(reqfifo_rdata[1]), .B1(n52), 
+        .Y(tl_o[51]) );
+  sky130_fd_sc_hd__and2_0 U87 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[30]), .X(
+        rdata[30]) );
+  sky130_fd_sc_hd__and2_0 U88 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[29]), .X(
+        rdata[29]) );
+  sky130_fd_sc_hd__and2_0 U89 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[14]), .X(
+        rdata[14]) );
+  sky130_fd_sc_hd__and2_0 U90 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[22]), .X(
+        rdata[22]) );
+  sky130_fd_sc_hd__and2_0 U91 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[6]), .X(
+        rdata[6]) );
+  sky130_fd_sc_hd__and2_0 U92 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[25]), .X(
+        rdata[25]) );
+  sky130_fd_sc_hd__and2_0 U93 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[13]), .X(
+        rdata[13]) );
+  sky130_fd_sc_hd__and2_0 U94 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[21]), .X(
+        rdata[21]) );
+  sky130_fd_sc_hd__and2_0 U95 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[5]), .X(
+        rdata[5]) );
+  sky130_fd_sc_hd__and2_0 U96 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[28]), .X(
+        rdata[28]) );
+  sky130_fd_sc_hd__and2_0 U97 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[12]), .X(
+        rdata[12]) );
+  sky130_fd_sc_hd__and2_0 U98 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[20]), .X(
+        rdata[20]) );
+  sky130_fd_sc_hd__and2_0 U99 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[4]), .X(
+        rdata[4]) );
+  sky130_fd_sc_hd__and2_0 U100 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[9]), .X(
+        rdata[9]) );
+  sky130_fd_sc_hd__and2_0 U101 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[17]), 
+        .X(rdata[17]) );
+  sky130_fd_sc_hd__and2_0 U102 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[1]), .X(
+        rdata[1]) );
+  sky130_fd_sc_hd__and2_0 U103 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[19]), 
+        .X(rdata[19]) );
+  sky130_fd_sc_hd__and2_0 U104 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[27]), 
+        .X(rdata[27]) );
+  sky130_fd_sc_hd__and2_0 U105 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[3]), .X(
+        rdata[3]) );
+  sky130_fd_sc_hd__and2_0 U106 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[11]), 
+        .X(rdata[11]) );
+  sky130_fd_sc_hd__and2_0 U107 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[16]), 
+        .X(rdata[16]) );
+  sky130_fd_sc_hd__and2_0 U108 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[24]), 
+        .X(rdata[24]) );
+  sky130_fd_sc_hd__and2_0 U109 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[0]), .X(
+        rdata[0]) );
+  sky130_fd_sc_hd__and2_0 U110 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[8]), .X(
+        rdata[8]) );
+  sky130_fd_sc_hd__and2_0 U111 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[18]), 
+        .X(rdata[18]) );
+  sky130_fd_sc_hd__and2_0 U112 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[26]), 
+        .X(rdata[26]) );
+  sky130_fd_sc_hd__and2_0 U113 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[2]), .X(
+        rdata[2]) );
+  sky130_fd_sc_hd__and2_0 U114 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[15]), 
+        .X(rdata[15]) );
+  sky130_fd_sc_hd__and2_0 U115 ( .A(sramreqfifo_rdata[0]), .B(rdata_i[7]), .X(
+        rdata[7]) );
+  sky130_fd_sc_hd__and2_0 U116 ( .A(sramreqfifo_rdata[3]), .B(rdata_i[31]), 
+        .X(rdata[31]) );
+  sky130_fd_sc_hd__and2_0 U117 ( .A(sramreqfifo_rdata[2]), .B(rdata_i[23]), 
+        .X(rdata[23]) );
+  sky130_fd_sc_hd__and2_0 U118 ( .A(sramreqfifo_rdata[1]), .B(rdata_i[10]), 
+        .X(rdata[10]) );
+  sky130_fd_sc_hd__and2_0 U119 ( .A(tl_i[50]), .B(tl_i[85]), .X(addr_o[11]) );
+  sky130_fd_sc_hd__nand2_1 U121 ( .A(reqfifo_wready), .B(sramreqfifo_wready), 
+        .Y(n53) );
+  sky130_fd_sc_hd__nor2_1 U122 ( .A(n54), .B(n53), .Y(a_ack) );
+  sky130_fd_sc_hd__clkinv_1 U123 ( .A(reqfifo_rdata[0]), .Y(n59) );
+  sky130_fd_sc_hd__nor2_1 U124 ( .A(n59), .B(n52), .Y(tl_o[1]) );
+  sky130_fd_sc_hd__clkinv_1 U125 ( .A(n53), .Y(tl_o[0]) );
+  sky130_fd_sc_hd__nor3b_1 U126 ( .C_N(reqfifo_wready), .A(tlul_error), .B(n54), .Y(req_o) );
+  sky130_fd_sc_hd__nor2b_1 U127 ( .B_N(req_o), .A(we_o), .Y(sramreqfifo_wvalid) );
+  sky130_fd_sc_hd__nand2_1 U128 ( .A(we_o), .B(tl_i[33]), .Y(n55) );
+  sky130_fd_sc_hd__nor2b_1 U129 ( .B_N(tl_i[1]), .A(n55), .Y(wdata_o[0]) );
+  sky130_fd_sc_hd__nor2b_1 U130 ( .B_N(tl_i[2]), .A(n55), .Y(wdata_o[1]) );
+  sky130_fd_sc_hd__nor2b_1 U131 ( .B_N(tl_i[3]), .A(n55), .Y(wdata_o[2]) );
+  sky130_fd_sc_hd__nor2b_1 U132 ( .B_N(tl_i[4]), .A(n55), .Y(wdata_o[3]) );
+  sky130_fd_sc_hd__nor2b_1 U133 ( .B_N(tl_i[5]), .A(n55), .Y(wdata_o[4]) );
+  sky130_fd_sc_hd__nor2b_1 U134 ( .B_N(tl_i[6]), .A(n55), .Y(wdata_o[5]) );
+  sky130_fd_sc_hd__nor2b_1 U135 ( .B_N(tl_i[7]), .A(n55), .Y(wdata_o[6]) );
+  sky130_fd_sc_hd__nor2b_1 U136 ( .B_N(tl_i[8]), .A(n55), .Y(wdata_o[7]) );
+  sky130_fd_sc_hd__nand2_1 U137 ( .A(we_o), .B(tl_i[34]), .Y(n56) );
+  sky130_fd_sc_hd__nor2b_1 U138 ( .B_N(tl_i[9]), .A(n56), .Y(wdata_o[8]) );
+  sky130_fd_sc_hd__nor2b_1 U139 ( .B_N(tl_i[10]), .A(n56), .Y(wdata_o[9]) );
+  sky130_fd_sc_hd__nor2b_1 U140 ( .B_N(tl_i[11]), .A(n56), .Y(wdata_o[10]) );
+  sky130_fd_sc_hd__nor2b_1 U141 ( .B_N(tl_i[12]), .A(n56), .Y(wdata_o[11]) );
+  sky130_fd_sc_hd__nor2b_1 U142 ( .B_N(tl_i[13]), .A(n56), .Y(wdata_o[12]) );
+  sky130_fd_sc_hd__nor2b_1 U143 ( .B_N(tl_i[14]), .A(n56), .Y(wdata_o[13]) );
+  sky130_fd_sc_hd__nor2b_1 U144 ( .B_N(tl_i[15]), .A(n56), .Y(wdata_o[14]) );
+  sky130_fd_sc_hd__nor2b_1 U145 ( .B_N(tl_i[16]), .A(n56), .Y(wdata_o[15]) );
+  sky130_fd_sc_hd__nand2_1 U146 ( .A(we_o), .B(tl_i[35]), .Y(n57) );
+  sky130_fd_sc_hd__nor2b_1 U147 ( .B_N(tl_i[17]), .A(n57), .Y(wdata_o[16]) );
+  sky130_fd_sc_hd__nor2b_1 U148 ( .B_N(tl_i[18]), .A(n57), .Y(wdata_o[17]) );
+  sky130_fd_sc_hd__nor2b_1 U149 ( .B_N(tl_i[19]), .A(n57), .Y(wdata_o[18]) );
+  sky130_fd_sc_hd__nor2b_1 U150 ( .B_N(tl_i[20]), .A(n57), .Y(wdata_o[19]) );
+  sky130_fd_sc_hd__nor2b_1 U151 ( .B_N(tl_i[21]), .A(n57), .Y(wdata_o[20]) );
+  sky130_fd_sc_hd__nor2b_1 U152 ( .B_N(tl_i[22]), .A(n57), .Y(wdata_o[21]) );
+  sky130_fd_sc_hd__nor2b_1 U153 ( .B_N(tl_i[23]), .A(n57), .Y(wdata_o[22]) );
+  sky130_fd_sc_hd__nor2b_1 U154 ( .B_N(tl_i[24]), .A(n57), .Y(wdata_o[23]) );
+  sky130_fd_sc_hd__nand2_1 U155 ( .A(we_o), .B(tl_i[36]), .Y(n58) );
+  sky130_fd_sc_hd__nor2b_1 U156 ( .B_N(tl_i[25]), .A(n58), .Y(wdata_o[24]) );
+  sky130_fd_sc_hd__nor2b_1 U157 ( .B_N(tl_i[26]), .A(n58), .Y(wdata_o[25]) );
+  sky130_fd_sc_hd__nor2b_1 U158 ( .B_N(tl_i[27]), .A(n58), .Y(wdata_o[26]) );
+  sky130_fd_sc_hd__nor2b_1 U159 ( .B_N(tl_i[28]), .A(n58), .Y(wdata_o[27]) );
+  sky130_fd_sc_hd__nor2b_1 U160 ( .B_N(tl_i[29]), .A(n58), .Y(wdata_o[28]) );
+  sky130_fd_sc_hd__nor2b_1 U161 ( .B_N(tl_i[30]), .A(n58), .Y(wdata_o[29]) );
+  sky130_fd_sc_hd__nor2b_1 U162 ( .B_N(tl_i[31]), .A(n58), .Y(wdata_o[30]) );
+  sky130_fd_sc_hd__nor2b_1 U163 ( .B_N(tl_i[32]), .A(n58), .Y(wdata_o[31]) );
+  sky130_fd_sc_hd__and3_1 U164 ( .A(n59), .B(reqfifo_rdata[1]), .C(tl_o[51]), 
+        .X(rspfifo_rready) );
+  sky130_fd_sc_hd__nand3_1 U165 ( .A(rspfifo_rvalid), .B(reqfifo_rdata[1]), 
+        .C(reqfifo_rvalid), .Y(n60) );
+  sky130_fd_sc_hd__nor2b_1 U166 ( .B_N(rspfifo_rdata[0]), .A(n60), .Y(tl_o[2])
+         );
+  sky130_fd_sc_hd__nor2b_1 U167 ( .B_N(rspfifo_rdata[1]), .A(n60), .Y(tl_o[3])
+         );
+  sky130_fd_sc_hd__nor2b_1 U168 ( .B_N(rspfifo_rdata[2]), .A(n60), .Y(tl_o[4])
+         );
+  sky130_fd_sc_hd__nor2b_1 U169 ( .B_N(rspfifo_rdata[3]), .A(n60), .Y(tl_o[5])
+         );
+  sky130_fd_sc_hd__nor2b_1 U170 ( .B_N(rspfifo_rdata[4]), .A(n60), .Y(tl_o[6])
+         );
+  sky130_fd_sc_hd__nor2b_1 U171 ( .B_N(rspfifo_rdata[5]), .A(n60), .Y(tl_o[7])
+         );
+  sky130_fd_sc_hd__nor2b_1 U172 ( .B_N(rspfifo_rdata[6]), .A(n60), .Y(tl_o[8])
+         );
+  sky130_fd_sc_hd__nor2b_1 U173 ( .B_N(rspfifo_rdata[7]), .A(n60), .Y(tl_o[9])
+         );
+  sky130_fd_sc_hd__nor2b_1 U174 ( .B_N(rspfifo_rdata[8]), .A(n60), .Y(tl_o[10]) );
+  sky130_fd_sc_hd__nor2b_1 U175 ( .B_N(rspfifo_rdata[9]), .A(n60), .Y(tl_o[11]) );
+  sky130_fd_sc_hd__nor2b_1 U176 ( .B_N(rspfifo_rdata[10]), .A(n60), .Y(
+        tl_o[12]) );
+  sky130_fd_sc_hd__nor2b_1 U177 ( .B_N(rspfifo_rdata[11]), .A(n60), .Y(
+        tl_o[13]) );
+  sky130_fd_sc_hd__nor2b_1 U178 ( .B_N(rspfifo_rdata[12]), .A(n60), .Y(
+        tl_o[14]) );
+  sky130_fd_sc_hd__nor2b_1 U179 ( .B_N(rspfifo_rdata[13]), .A(n60), .Y(
+        tl_o[15]) );
+  sky130_fd_sc_hd__nor2b_1 U180 ( .B_N(rspfifo_rdata[14]), .A(n60), .Y(
+        tl_o[16]) );
+  sky130_fd_sc_hd__nor2b_1 U181 ( .B_N(rspfifo_rdata[15]), .A(n60), .Y(
+        tl_o[17]) );
+  sky130_fd_sc_hd__nor2b_1 U182 ( .B_N(rspfifo_rdata[16]), .A(n60), .Y(
+        tl_o[18]) );
+  sky130_fd_sc_hd__nor2b_1 U183 ( .B_N(rspfifo_rdata[17]), .A(n60), .Y(
+        tl_o[19]) );
+  sky130_fd_sc_hd__nor2b_1 U184 ( .B_N(rspfifo_rdata[18]), .A(n60), .Y(
+        tl_o[20]) );
+  sky130_fd_sc_hd__nor2b_1 U185 ( .B_N(rspfifo_rdata[19]), .A(n60), .Y(
+        tl_o[21]) );
+  sky130_fd_sc_hd__nor2b_1 U186 ( .B_N(rspfifo_rdata[20]), .A(n60), .Y(
+        tl_o[22]) );
+  sky130_fd_sc_hd__nor2b_1 U187 ( .B_N(rspfifo_rdata[21]), .A(n60), .Y(
+        tl_o[23]) );
+  sky130_fd_sc_hd__nor2b_1 U188 ( .B_N(rspfifo_rdata[22]), .A(n60), .Y(
+        tl_o[24]) );
+  sky130_fd_sc_hd__nor2b_1 U189 ( .B_N(rspfifo_rdata[23]), .A(n60), .Y(
+        tl_o[25]) );
+  sky130_fd_sc_hd__nor2b_1 U190 ( .B_N(rspfifo_rdata[24]), .A(n60), .Y(
+        tl_o[26]) );
+  sky130_fd_sc_hd__nor2b_1 U191 ( .B_N(rspfifo_rdata[25]), .A(n60), .Y(
+        tl_o[27]) );
+  sky130_fd_sc_hd__nor2b_1 U192 ( .B_N(rspfifo_rdata[26]), .A(n60), .Y(
+        tl_o[28]) );
+  sky130_fd_sc_hd__nor2b_1 U193 ( .B_N(rspfifo_rdata[27]), .A(n60), .Y(
+        tl_o[29]) );
+  sky130_fd_sc_hd__nor2b_1 U194 ( .B_N(rspfifo_rdata[28]), .A(n60), .Y(
+        tl_o[30]) );
+  sky130_fd_sc_hd__nor2b_1 U195 ( .B_N(rspfifo_rdata[29]), .A(n60), .Y(
+        tl_o[31]) );
+  sky130_fd_sc_hd__nor2b_1 U196 ( .B_N(rspfifo_rdata[30]), .A(n60), .Y(
+        tl_o[32]) );
+  sky130_fd_sc_hd__nor2b_1 U197 ( .B_N(rspfifo_rdata[31]), .A(n60), .Y(
+        tl_o[33]) );
+  sky130_fd_sc_hd__nor2b_1 U198 ( .B_N(tl_i[84]), .A(tl_i[82]), .Y(n17) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(tl_i[39]), .B(tl_i[85]), .X(n50) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(tl_i[40]), .B(tl_i[85]), .X(n49) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(tl_i[41]), .B(tl_i[85]), .X(n41) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(tl_i[42]), .B(tl_i[85]), .X(n42) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(tl_i[43]), .B(tl_i[85]), .X(n43) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(tl_i[44]), .B(tl_i[85]), .X(n44) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(tl_i[45]), .B(tl_i[85]), .X(n45) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(tl_i[47]), .B(tl_i[85]), .X(n47) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(tl_i[48]), .B(tl_i[85]), .X(n48) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(tl_i[46]), .B(tl_i[85]), .X(n46) );
+endmodule
+
+
+module opentitan_soc_top_data_mem_tlul_0 ( clk_i, rst_ni, tl_d_i, tl_d_o );
+  input [85:0] tl_d_i;
+  output [51:0] tl_d_o;
+  input clk_i, rst_ni;
+  wire   n_Logic1_, we, rvalid, rvalid_buf, req, rdata_out_1__31_,
+         rdata_out_1__30_, rdata_out_1__29_, rdata_out_1__28_,
+         rdata_out_1__27_, rdata_out_1__26_, rdata_out_1__25_,
+         rdata_out_1__24_, rdata_out_1__23_, rdata_out_1__22_,
+         rdata_out_1__21_, rdata_out_1__20_, rdata_out_1__19_,
+         rdata_out_1__18_, rdata_out_1__17_, rdata_out_1__16_,
+         rdata_out_1__15_, rdata_out_1__14_, rdata_out_1__13_,
+         rdata_out_1__12_, rdata_out_1__11_, rdata_out_1__10_, rdata_out_1__9_,
+         rdata_out_1__8_, rdata_out_1__7_, rdata_out_1__6_, rdata_out_1__5_,
+         rdata_out_1__4_, rdata_out_1__3_, rdata_out_1__2_, rdata_out_1__1_,
+         rdata_out_1__0_, rdata_out_0__31_, rdata_out_0__30_, rdata_out_0__29_,
+         rdata_out_0__28_, rdata_out_0__27_, rdata_out_0__26_,
+         rdata_out_0__25_, rdata_out_0__24_, rdata_out_0__23_,
+         rdata_out_0__22_, rdata_out_0__21_, rdata_out_0__20_,
+         rdata_out_0__19_, rdata_out_0__18_, rdata_out_0__17_,
+         rdata_out_0__16_, rdata_out_0__15_, rdata_out_0__14_,
+         rdata_out_0__13_, rdata_out_0__12_, rdata_out_0__11_,
+         rdata_out_0__10_, rdata_out_0__9_, rdata_out_0__8_, rdata_out_0__7_,
+         rdata_out_0__6_, rdata_out_0__5_, rdata_out_0__4_, rdata_out_0__3_,
+         rdata_out_0__2_, rdata_out_0__1_, rdata_out_0__0_, N11, N18, N25, n1,
+         n2, n9, n10, n110, n12, n13, n14, n15, n16, n17, n180, n19, n20, n21,
+         n22, n23, n24, n250, n26, n27, n28, SYNOPSYS_UNCONNECTED_1,
+         SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3,
+         SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5,
+         SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7,
+         SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9,
+         SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11,
+         SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13,
+         SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15,
+         SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17,
+         SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19,
+         SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21,
+         SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23,
+         SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25,
+         SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27,
+         SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29,
+         SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31,
+         SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33,
+         SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35,
+         SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37,
+         SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39,
+         SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41,
+         SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43,
+         SYNOPSYS_UNCONNECTED_44, SYNOPSYS_UNCONNECTED_45,
+         SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_47,
+         SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49,
+         SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51,
+         SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53,
+         SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55,
+         SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57,
+         SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59,
+         SYNOPSYS_UNCONNECTED_60, SYNOPSYS_UNCONNECTED_61,
+         SYNOPSYS_UNCONNECTED_62, SYNOPSYS_UNCONNECTED_63,
+         SYNOPSYS_UNCONNECTED_64, SYNOPSYS_UNCONNECTED_65,
+         SYNOPSYS_UNCONNECTED_66, SYNOPSYS_UNCONNECTED_67,
+         SYNOPSYS_UNCONNECTED_68, SYNOPSYS_UNCONNECTED_69,
+         SYNOPSYS_UNCONNECTED_70, SYNOPSYS_UNCONNECTED_71,
+         SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_73,
+         SYNOPSYS_UNCONNECTED_74, SYNOPSYS_UNCONNECTED_75,
+         SYNOPSYS_UNCONNECTED_76, SYNOPSYS_UNCONNECTED_77,
+         SYNOPSYS_UNCONNECTED_78, SYNOPSYS_UNCONNECTED_79,
+         SYNOPSYS_UNCONNECTED_80, SYNOPSYS_UNCONNECTED_81,
+         SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_83,
+         SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_85,
+         SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_87,
+         SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_89,
+         SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_91,
+         SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_93,
+         SYNOPSYS_UNCONNECTED_94, SYNOPSYS_UNCONNECTED_95,
+         SYNOPSYS_UNCONNECTED_96, SYNOPSYS_UNCONNECTED_97;
+  wire   [31:16] wmask;
+  wire   [11:0] addr;
+  wire   [1:0] sel;
+  wire   [31:0] wdata;
+  wire   [31:0] rdata;
+  wire   [31:0] data_buffer;
+
+  opentitan_soc_top_d_1to2_decoder_0 one2two_dec ( .in(addr[11]), .out(sel) );
+  sky130_sram_4kbyte_1rw1r_32x1024_8 dccm_0__sky130_sram_4kb ( .din0(wdata), 
+        .dout0({SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_12, 
+        SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32, 
+        SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, 
+        SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, 
+        SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14, 
+        SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16, 
+        SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18, 
+        SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20, 
+        SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22, 
+        SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25}), .addr0(addr[9:0]), 
+        .wmask0({n16, n17, n20, n21}), .dout1({rdata_out_0__31_, 
+        rdata_out_0__30_, rdata_out_0__29_, rdata_out_0__28_, rdata_out_0__27_, 
+        rdata_out_0__26_, rdata_out_0__25_, rdata_out_0__24_, rdata_out_0__23_, 
+        rdata_out_0__22_, rdata_out_0__21_, rdata_out_0__20_, rdata_out_0__19_, 
+        rdata_out_0__18_, rdata_out_0__17_, rdata_out_0__16_, rdata_out_0__15_, 
+        rdata_out_0__14_, rdata_out_0__13_, rdata_out_0__12_, rdata_out_0__11_, 
+        rdata_out_0__10_, rdata_out_0__9_, rdata_out_0__8_, rdata_out_0__7_, 
+        rdata_out_0__6_, rdata_out_0__5_, rdata_out_0__4_, rdata_out_0__3_, 
+        rdata_out_0__2_, rdata_out_0__1_, rdata_out_0__0_}), .addr1(addr[9:0]), 
+        .csb0(n9), .web0(n13), .clk0(n22), .csb1(n10), .clk1(n22) );
+  sky130_sram_4kbyte_1rw1r_32x1024_8 dccm_1__sky130_sram_4kb ( .din0(wdata), 
+        .dout0({SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_44, 
+        SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_58, 
+        SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60, 
+        SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62, 
+        SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64, 
+        SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35, 
+        SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37, 
+        SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39, 
+        SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41, 
+        SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43, 
+        SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46, 
+        SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48, 
+        SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50, 
+        SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52, 
+        SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54, 
+        SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57}), .addr0(addr[9:0]), 
+        .wmask0({n15, n16, n19, n20}), .dout1({rdata_out_1__31_, 
+        rdata_out_1__30_, rdata_out_1__29_, rdata_out_1__28_, rdata_out_1__27_, 
+        rdata_out_1__26_, rdata_out_1__25_, rdata_out_1__24_, rdata_out_1__23_, 
+        rdata_out_1__22_, rdata_out_1__21_, rdata_out_1__20_, rdata_out_1__19_, 
+        rdata_out_1__18_, rdata_out_1__17_, rdata_out_1__16_, rdata_out_1__15_, 
+        rdata_out_1__14_, rdata_out_1__13_, rdata_out_1__12_, rdata_out_1__11_, 
+        rdata_out_1__10_, rdata_out_1__9_, rdata_out_1__8_, rdata_out_1__7_, 
+        rdata_out_1__6_, rdata_out_1__5_, rdata_out_1__4_, rdata_out_1__3_, 
+        rdata_out_1__2_, rdata_out_1__1_, rdata_out_1__0_}), .addr1(addr[9:0]), 
+        .csb0(n110), .web0(n13), .clk0(n22), .csb1(n12), .clk1(n22) );
+  opentitan_soc_top_tlul_sram_adapter_SramAw12_SramDw32_Outstanding4_ByteAccess1_ErrOnWrite0_ErrOnRead0_0 data_mem ( 
+        .clk_i(n22), .rst_ni(rst_ni), .tl_i({tl_d_i[85:84], n28, tl_d_i[82], 
+        n28, n28, n28, n_Logic1_, n28, n28, n28, n28, n28, n28, n28, n28, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, tl_d_i[50], 1'b0, tl_d_i[48:39], 
+        n28, n28, tl_d_i[36:1], n_Logic1_}), .tl_o({tl_d_o[51], 
+        SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66, tl_d_o[48], 
+        SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68, 
+        SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70, 
+        SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72, 
+        SYNOPSYS_UNCONNECTED_73, SYNOPSYS_UNCONNECTED_74, 
+        SYNOPSYS_UNCONNECTED_75, SYNOPSYS_UNCONNECTED_76, 
+        SYNOPSYS_UNCONNECTED_77, SYNOPSYS_UNCONNECTED_78, 
+        SYNOPSYS_UNCONNECTED_79, SYNOPSYS_UNCONNECTED_80, tl_d_o[33:0]}), 
+        .req_o(req), .gnt_i(n_Logic1_), .we_o(we), .addr_o({addr[11], 
+        SYNOPSYS_UNCONNECTED_81, addr[9:0]}), .wdata_o(wdata), .wmask_o({wmask, 
+        SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_83, 
+        SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_85, 
+        SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_87, 
+        SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_89, 
+        SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_91, 
+        SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_93, 
+        SYNOPSYS_UNCONNECTED_94, SYNOPSYS_UNCONNECTED_95, 
+        SYNOPSYS_UNCONNECTED_96, SYNOPSYS_UNCONNECTED_97}), .rdata_i(
+        data_buffer), .rvalid_i(rvalid), .rerror_i({n28, n28}) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_0_ ( .D(rdata[0]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[0]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_22_ ( .D(rdata[22]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[22]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_23_ ( .D(rdata[23]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[23]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_24_ ( .D(rdata[24]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[24]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_25_ ( .D(rdata[25]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[25]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_26_ ( .D(rdata[26]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[26]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_27_ ( .D(rdata[27]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[27]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_28_ ( .D(rdata[28]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[28]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_1_ ( .D(rdata[1]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[1]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_29_ ( .D(rdata[29]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[29]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_2_ ( .D(rdata[2]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[2]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_3_ ( .D(rdata[3]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[3]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_4_ ( .D(rdata[4]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[4]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_5_ ( .D(rdata[5]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[5]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_6_ ( .D(rdata[6]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[6]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_7_ ( .D(rdata[7]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[7]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_8_ ( .D(rdata[8]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[8]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_9_ ( .D(rdata[9]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[9]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_10_ ( .D(rdata[10]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[10]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_11_ ( .D(rdata[11]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[11]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_30_ ( .D(rdata[30]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[30]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_12_ ( .D(rdata[12]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[12]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_13_ ( .D(rdata[13]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[13]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_14_ ( .D(rdata[14]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[14]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_15_ ( .D(rdata[15]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[15]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_16_ ( .D(rdata[16]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[16]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_17_ ( .D(rdata[17]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[17]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_18_ ( .D(rdata[18]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[18]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_19_ ( .D(rdata[19]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[19]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_20_ ( .D(rdata[20]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[20]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_21_ ( .D(rdata[21]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[21]) );
+  sky130_fd_sc_hd__dfrtp_1 data_buffer_reg_31_ ( .D(rdata[31]), .CLK(n22), 
+        .RESET_B(rst_ni), .Q(data_buffer[31]) );
+  sky130_fd_sc_hd__dfxtp_1 rvalid_buf_reg ( .D(n2), .CLK(n22), .Q(rvalid_buf)
+         );
+  sky130_fd_sc_hd__dfxtp_1 rvalid_reg ( .D(n1), .CLK(n22), .Q(rvalid) );
+  sky130_fd_sc_hd__nor2b_1 U4 ( .B_N(req), .A(N11), .Y(n2) );
+  sky130_fd_sc_hd__nor2b_1 U3 ( .B_N(rvalid_buf), .A(N11), .Y(n1) );
+  sky130_fd_sc_hd__inv_2 U5 ( .A(N18), .Y(n14) );
+  sky130_fd_sc_hd__inv_4 U6 ( .A(n14), .Y(n15) );
+  sky130_fd_sc_hd__inv_8 U7 ( .A(n14), .Y(n16) );
+  sky130_fd_sc_hd__inv_4 U8 ( .A(n14), .Y(n17) );
+  sky130_fd_sc_hd__inv_2 U9 ( .A(N25), .Y(n180) );
+  sky130_fd_sc_hd__inv_4 U10 ( .A(n180), .Y(n19) );
+  sky130_fd_sc_hd__inv_8 U11 ( .A(n180), .Y(n20) );
+  sky130_fd_sc_hd__inv_4 U12 ( .A(n180), .Y(n21) );
+  sky130_fd_sc_hd__nand2_1 U13 ( .A(n250), .B(n24), .Y(N18) );
+  sky130_fd_sc_hd__nand2_1 U14 ( .A(n27), .B(n26), .Y(N25) );
+  sky130_fd_sc_hd__buf_2 U15 ( .A(clk_i), .X(n22) );
+  sky130_fd_sc_hd__clkinv_1 U16 ( .A(addr[11]), .Y(n23) );
+  sky130_fd_sc_hd__nor4_1 U17 ( .A(wmask[28]), .B(wmask[29]), .C(wmask[30]), 
+        .D(wmask[31]), .Y(n250) );
+  sky130_fd_sc_hd__nor4_1 U18 ( .A(wmask[20]), .B(wmask[21]), .C(wmask[22]), 
+        .D(wmask[23]), .Y(n27) );
+  sky130_fd_sc_hd__conb_1 U19 ( .LO(n28), .HI(n_Logic1_) );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(we), .Y(n13) );
+  sky130_fd_sc_hd__nand2_1 U21 ( .A(rst_ni), .B(n13), .Y(N11) );
+  sky130_fd_sc_hd__a22o_1 U22 ( .A1(addr[11]), .A2(rdata_out_1__0_), .B1(n23), 
+        .B2(rdata_out_0__0_), .X(rdata[0]) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(addr[11]), .A2(rdata_out_1__1_), .B1(n23), 
+        .B2(rdata_out_0__1_), .X(rdata[1]) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(addr[11]), .A2(rdata_out_1__2_), .B1(n23), 
+        .B2(rdata_out_0__2_), .X(rdata[2]) );
+  sky130_fd_sc_hd__a22o_1 U25 ( .A1(addr[11]), .A2(rdata_out_1__3_), .B1(n23), 
+        .B2(rdata_out_0__3_), .X(rdata[3]) );
+  sky130_fd_sc_hd__a22o_1 U26 ( .A1(addr[11]), .A2(rdata_out_1__4_), .B1(n23), 
+        .B2(rdata_out_0__4_), .X(rdata[4]) );
+  sky130_fd_sc_hd__a22o_1 U27 ( .A1(addr[11]), .A2(rdata_out_1__5_), .B1(n23), 
+        .B2(rdata_out_0__5_), .X(rdata[5]) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(addr[11]), .A2(rdata_out_1__6_), .B1(n23), 
+        .B2(rdata_out_0__6_), .X(rdata[6]) );
+  sky130_fd_sc_hd__a22o_1 U29 ( .A1(addr[11]), .A2(rdata_out_1__7_), .B1(n23), 
+        .B2(rdata_out_0__7_), .X(rdata[7]) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(addr[11]), .A2(rdata_out_1__8_), .B1(n23), 
+        .B2(rdata_out_0__8_), .X(rdata[8]) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(addr[11]), .A2(rdata_out_1__9_), .B1(n23), 
+        .B2(rdata_out_0__9_), .X(rdata[9]) );
+  sky130_fd_sc_hd__a22o_1 U32 ( .A1(addr[11]), .A2(rdata_out_1__10_), .B1(n23), 
+        .B2(rdata_out_0__10_), .X(rdata[10]) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(addr[11]), .A2(rdata_out_1__11_), .B1(n23), 
+        .B2(rdata_out_0__11_), .X(rdata[11]) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(addr[11]), .A2(rdata_out_1__12_), .B1(n23), 
+        .B2(rdata_out_0__12_), .X(rdata[12]) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(addr[11]), .A2(rdata_out_1__13_), .B1(n23), 
+        .B2(rdata_out_0__13_), .X(rdata[13]) );
+  sky130_fd_sc_hd__a22o_1 U36 ( .A1(addr[11]), .A2(rdata_out_1__14_), .B1(n23), 
+        .B2(rdata_out_0__14_), .X(rdata[14]) );
+  sky130_fd_sc_hd__a22o_1 U37 ( .A1(addr[11]), .A2(rdata_out_1__15_), .B1(n23), 
+        .B2(rdata_out_0__15_), .X(rdata[15]) );
+  sky130_fd_sc_hd__a22o_1 U38 ( .A1(addr[11]), .A2(rdata_out_1__16_), .B1(n23), 
+        .B2(rdata_out_0__16_), .X(rdata[16]) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(addr[11]), .A2(rdata_out_1__17_), .B1(n23), 
+        .B2(rdata_out_0__17_), .X(rdata[17]) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(addr[11]), .A2(rdata_out_1__18_), .B1(n23), 
+        .B2(rdata_out_0__18_), .X(rdata[18]) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(addr[11]), .A2(rdata_out_1__19_), .B1(n23), 
+        .B2(rdata_out_0__19_), .X(rdata[19]) );
+  sky130_fd_sc_hd__a22o_1 U42 ( .A1(addr[11]), .A2(rdata_out_1__20_), .B1(n23), 
+        .B2(rdata_out_0__20_), .X(rdata[20]) );
+  sky130_fd_sc_hd__a22o_1 U43 ( .A1(addr[11]), .A2(rdata_out_1__21_), .B1(n23), 
+        .B2(rdata_out_0__21_), .X(rdata[21]) );
+  sky130_fd_sc_hd__a22o_1 U44 ( .A1(addr[11]), .A2(rdata_out_1__22_), .B1(n23), 
+        .B2(rdata_out_0__22_), .X(rdata[22]) );
+  sky130_fd_sc_hd__a22o_1 U45 ( .A1(addr[11]), .A2(rdata_out_1__23_), .B1(n23), 
+        .B2(rdata_out_0__23_), .X(rdata[23]) );
+  sky130_fd_sc_hd__a22o_1 U46 ( .A1(addr[11]), .A2(rdata_out_1__24_), .B1(n23), 
+        .B2(rdata_out_0__24_), .X(rdata[24]) );
+  sky130_fd_sc_hd__a22o_1 U47 ( .A1(addr[11]), .A2(rdata_out_1__25_), .B1(n23), 
+        .B2(rdata_out_0__25_), .X(rdata[25]) );
+  sky130_fd_sc_hd__a22o_1 U48 ( .A1(addr[11]), .A2(rdata_out_1__26_), .B1(n23), 
+        .B2(rdata_out_0__26_), .X(rdata[26]) );
+  sky130_fd_sc_hd__a22o_1 U49 ( .A1(addr[11]), .A2(rdata_out_1__27_), .B1(n23), 
+        .B2(rdata_out_0__27_), .X(rdata[27]) );
+  sky130_fd_sc_hd__a22o_1 U50 ( .A1(addr[11]), .A2(rdata_out_1__28_), .B1(n23), 
+        .B2(rdata_out_0__28_), .X(rdata[28]) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(addr[11]), .A2(rdata_out_1__29_), .B1(n23), 
+        .B2(rdata_out_0__29_), .X(rdata[29]) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(addr[11]), .A2(rdata_out_1__30_), .B1(n23), 
+        .B2(rdata_out_0__30_), .X(rdata[30]) );
+  sky130_fd_sc_hd__a22o_1 U53 ( .A1(addr[11]), .A2(rdata_out_1__31_), .B1(n23), 
+        .B2(rdata_out_0__31_), .X(rdata[31]) );
+  sky130_fd_sc_hd__nor4_1 U54 ( .A(wmask[24]), .B(wmask[25]), .C(wmask[26]), 
+        .D(wmask[27]), .Y(n24) );
+  sky130_fd_sc_hd__nor4_1 U55 ( .A(wmask[16]), .B(wmask[17]), .C(wmask[18]), 
+        .D(wmask[19]), .Y(n26) );
+  sky130_fd_sc_hd__nand2b_1 U56 ( .A_N(N11), .B(sel[1]), .Y(n12) );
+  sky130_fd_sc_hd__nand2_1 U57 ( .A(rst_ni), .B(sel[1]), .Y(n110) );
+  sky130_fd_sc_hd__nand3_1 U58 ( .A(rst_ni), .B(sel[0]), .C(n13), .Y(n10) );
+  sky130_fd_sc_hd__nand2_1 U59 ( .A(rst_ni), .B(sel[0]), .Y(n9) );
+endmodule
+
+
+module opentitan_soc_top_iccm_controller_DW01_inc_J13_0_0 ( A, SUM );
+  input [13:0] A;
+  output [13:0] SUM;
+  wire   n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56;
+
+  sky130_fd_sc_hd__xor2_1 U17 ( .A(n45), .B(A[13]), .X(SUM[13]) );
+  sky130_fd_sc_hd__ha_1 U18 ( .A(A[1]), .B(A[0]), .COUT(n46), .SUM(SUM[1]) );
+  sky130_fd_sc_hd__ha_1 U19 ( .A(A[2]), .B(n46), .COUT(n47), .SUM(SUM[2]) );
+  sky130_fd_sc_hd__ha_1 U20 ( .A(A[3]), .B(n47), .COUT(n48), .SUM(SUM[3]) );
+  sky130_fd_sc_hd__ha_1 U21 ( .A(A[4]), .B(n48), .COUT(n49), .SUM(SUM[4]) );
+  sky130_fd_sc_hd__ha_1 U22 ( .A(A[5]), .B(n49), .COUT(n50), .SUM(SUM[5]) );
+  sky130_fd_sc_hd__ha_1 U23 ( .A(A[6]), .B(n50), .COUT(n51), .SUM(SUM[6]) );
+  sky130_fd_sc_hd__ha_1 U24 ( .A(A[7]), .B(n51), .COUT(n52), .SUM(SUM[7]) );
+  sky130_fd_sc_hd__ha_1 U25 ( .A(A[8]), .B(n52), .COUT(n53), .SUM(SUM[8]) );
+  sky130_fd_sc_hd__ha_1 U26 ( .A(A[9]), .B(n53), .COUT(n54), .SUM(SUM[9]) );
+  sky130_fd_sc_hd__ha_1 U27 ( .A(A[10]), .B(n54), .COUT(n55), .SUM(SUM[10]) );
+  sky130_fd_sc_hd__ha_1 U28 ( .A(A[11]), .B(n55), .COUT(n56), .SUM(SUM[11]) );
+  sky130_fd_sc_hd__ha_1 U29 ( .A(A[12]), .B(n56), .COUT(n45), .SUM(SUM[12]) );
+endmodule
+
+
+module opentitan_soc_top_iccm_controller_0 ( clk_i, rst_ni, rx_dv_i, rx_byte_i, 
+        we_o, addr_o, wdata_o, reset_o, rx_spi_i, sel );
+  input [7:0] rx_byte_i;
+  output [13:0] addr_o;
+  output [31:0] wdata_o;
+  input [31:0] rx_spi_i;
+  input clk_i, rst_ni, rx_dv_i, sel;
+  output we_o, reset_o;
+  wire   addr_o_13_, addr_o_12_, addr_o_10_, ctrl_fsm_ns_1_, N47, N48, N49,
+         N50, N51, N52, N53, N54, N55, N56, N57, N58, N59, n510, n520, n530,
+         n540, n550, n560, n570, n580, n590, n60, n61, n62, n63, n64, n65, n66,
+         n67, n69, n70, n71, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81,
+         n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95,
+         n96, n97, n98, n99, n100, n101, n1, n2, n3, n4, n5, n6, n7, n8, n9,
+         n10, n11, n12, n13, n14, n15, n16, n17, n18, n19, n20, n21, n22, n23,
+         n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37,
+         n39, n40, n41, n42, n43, n44, n45, n46, n470, n480, n490, n500,
+         SYNOPSYS_UNCONNECTED_1;
+  wire   [1:0] ctrl_fsm_cs;
+  wire   [1:0] byte_count;
+  wire   [7:0] rx_byte_q2;
+  wire   [7:0] rx_byte_q3;
+  wire   [7:0] rx_byte_q1;
+  wire   [7:0] rx_byte_q0;
+
+  sky130_fd_sc_hd__dfrtp_1 byte_count_reg_0_ ( .D(n520), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(byte_count[0]) );
+  sky130_fd_sc_hd__dfrtp_1 byte_count_reg_1_ ( .D(n510), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(byte_count[1]) );
+  sky130_fd_sc_hd__dfrtp_1 ctrl_fsm_cs_reg_0_ ( .D(n101), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(ctrl_fsm_cs[0]) );
+  sky130_fd_sc_hd__dfrtp_1 ctrl_fsm_cs_reg_1_ ( .D(ctrl_fsm_ns_1_), .CLK(clk_i), .RESET_B(rst_ni), .Q(ctrl_fsm_cs[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q2_reg_0_ ( .D(n100), .CLK(clk_i), 
+        .RESET_B(n500), .Q(rx_byte_q2[0]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q2_reg_1_ ( .D(n99), .CLK(clk_i), .RESET_B(
+        n500), .Q(rx_byte_q2[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q2_reg_2_ ( .D(n98), .CLK(clk_i), .RESET_B(
+        n500), .Q(rx_byte_q2[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q2_reg_3_ ( .D(n97), .CLK(clk_i), .RESET_B(
+        n500), .Q(rx_byte_q2[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q2_reg_4_ ( .D(n96), .CLK(clk_i), .RESET_B(
+        n500), .Q(rx_byte_q2[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q2_reg_5_ ( .D(n95), .CLK(clk_i), .RESET_B(
+        n500), .Q(rx_byte_q2[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q2_reg_6_ ( .D(n94), .CLK(clk_i), .RESET_B(
+        n500), .Q(rx_byte_q2[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q2_reg_7_ ( .D(n93), .CLK(clk_i), .RESET_B(
+        n500), .Q(rx_byte_q2[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q1_reg_0_ ( .D(n92), .CLK(clk_i), .RESET_B(
+        n500), .Q(rx_byte_q1[0]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q1_reg_1_ ( .D(n91), .CLK(clk_i), .RESET_B(
+        n500), .Q(rx_byte_q1[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q1_reg_2_ ( .D(n90), .CLK(clk_i), .RESET_B(
+        n500), .Q(rx_byte_q1[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q1_reg_3_ ( .D(n89), .CLK(clk_i), .RESET_B(
+        n500), .Q(rx_byte_q1[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q1_reg_4_ ( .D(n88), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q1[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q1_reg_5_ ( .D(n87), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q1[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q1_reg_6_ ( .D(n86), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q1[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q1_reg_7_ ( .D(n85), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q1[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q0_reg_0_ ( .D(n84), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q0[0]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q0_reg_1_ ( .D(n83), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q0[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q0_reg_2_ ( .D(n82), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q0[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q0_reg_3_ ( .D(n81), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q0[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q0_reg_4_ ( .D(n80), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q0[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q0_reg_5_ ( .D(n79), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q0[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q0_reg_6_ ( .D(n78), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q0[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q0_reg_7_ ( .D(n77), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q0[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q3_reg_0_ ( .D(n76), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q3[0]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q3_reg_1_ ( .D(n75), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q3[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q3_reg_2_ ( .D(n74), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q3[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q3_reg_3_ ( .D(n73), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q3[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q3_reg_4_ ( .D(n72), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q3[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q3_reg_5_ ( .D(n71), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q3[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q3_reg_6_ ( .D(n70), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q3[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_byte_q3_reg_7_ ( .D(n69), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rx_byte_q3[7]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_0_ ( .D(n67), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(addr_o[0]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_13_ ( .D(n66), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(addr_o_13_) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_12_ ( .D(n65), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(addr_o_12_) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_11_ ( .D(n64), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(addr_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_10_ ( .D(n63), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(addr_o_10_) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_9_ ( .D(n62), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(addr_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_8_ ( .D(n61), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(addr_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_7_ ( .D(n60), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(addr_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_6_ ( .D(n590), .CLK(clk_i), .RESET_B(
+        n500), .Q(addr_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_5_ ( .D(n580), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(addr_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_4_ ( .D(n570), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(addr_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_3_ ( .D(n560), .CLK(clk_i), .RESET_B(
+        n500), .Q(addr_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_2_ ( .D(n550), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(addr_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 addr_q_reg_1_ ( .D(n540), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(addr_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 reset_q_reg ( .D(n530), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(reset_o) );
+  opentitan_soc_top_iccm_controller_DW01_inc_J13_0_0 add_x_6 ( .A({addr_o_13_, 
+        addr_o_12_, addr_o[11], addr_o_10_, addr_o[9:0]}), .SUM({N59, N58, N57, 
+        N56, N55, N54, N53, N52, N51, N50, N49, N48, N47, 
+        SYNOPSYS_UNCONNECTED_1}) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(sel), .Y(n7) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(ctrl_fsm_cs[1]), .Y(n43) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(rst_ni), .X(n500) );
+  sky130_fd_sc_hd__nor2_1 U6 ( .A(n43), .B(ctrl_fsm_cs[0]), .Y(n40) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(n40), .Y(n39) );
+  sky130_fd_sc_hd__o2bb2ai_1 U8 ( .B1(n39), .B2(addr_o[0]), .A1_N(n39), .A2_N(
+        addr_o[0]), .Y(n67) );
+  sky130_fd_sc_hd__clkinv_1 U9 ( .A(byte_count[0]), .Y(n46) );
+  sky130_fd_sc_hd__nand3_1 U10 ( .A(n43), .B(ctrl_fsm_cs[0]), .C(sel), .Y(n45)
+         );
+  sky130_fd_sc_hd__nor2_1 U11 ( .A(n46), .B(n45), .Y(n490) );
+  sky130_fd_sc_hd__nand2_1 U12 ( .A(byte_count[1]), .B(n490), .Y(n1) );
+  sky130_fd_sc_hd__clkinv_1 U13 ( .A(rx_byte_i[3]), .Y(n2) );
+  sky130_fd_sc_hd__o2bb2ai_1 U14 ( .B1(n1), .B2(n2), .A1_N(n1), .A2_N(
+        rx_byte_q3[3]), .Y(n73) );
+  sky130_fd_sc_hd__clkinv_1 U15 ( .A(rx_byte_i[0]), .Y(n5) );
+  sky130_fd_sc_hd__o2bb2ai_1 U16 ( .B1(n1), .B2(n5), .A1_N(n1), .A2_N(
+        rx_byte_q3[0]), .Y(n76) );
+  sky130_fd_sc_hd__clkinv_1 U17 ( .A(rx_byte_i[4]), .Y(n27) );
+  sky130_fd_sc_hd__o2bb2ai_1 U18 ( .B1(n1), .B2(n27), .A1_N(n1), .A2_N(
+        rx_byte_q3[4]), .Y(n72) );
+  sky130_fd_sc_hd__clkinv_1 U19 ( .A(rx_byte_i[7]), .Y(n33) );
+  sky130_fd_sc_hd__o2bb2ai_1 U20 ( .B1(n1), .B2(n33), .A1_N(n1), .A2_N(
+        rx_byte_q3[7]), .Y(n69) );
+  sky130_fd_sc_hd__clkinv_1 U21 ( .A(rx_byte_i[6]), .Y(n31) );
+  sky130_fd_sc_hd__o2bb2ai_1 U22 ( .B1(n1), .B2(n31), .A1_N(n1), .A2_N(
+        rx_byte_q3[6]), .Y(n70) );
+  sky130_fd_sc_hd__clkinv_1 U23 ( .A(rx_byte_i[5]), .Y(n29) );
+  sky130_fd_sc_hd__o2bb2ai_1 U24 ( .B1(n1), .B2(n29), .A1_N(n1), .A2_N(
+        rx_byte_q3[5]), .Y(n71) );
+  sky130_fd_sc_hd__clkinv_1 U25 ( .A(rx_byte_i[1]), .Y(n4) );
+  sky130_fd_sc_hd__o2bb2ai_1 U26 ( .B1(n1), .B2(n4), .A1_N(n1), .A2_N(
+        rx_byte_q3[1]), .Y(n75) );
+  sky130_fd_sc_hd__clkinv_1 U27 ( .A(rx_byte_i[2]), .Y(n3) );
+  sky130_fd_sc_hd__o2bb2ai_1 U28 ( .B1(n1), .B2(n3), .A1_N(n1), .A2_N(
+        rx_byte_q3[2]), .Y(n74) );
+  sky130_fd_sc_hd__clkinv_1 U29 ( .A(byte_count[1]), .Y(n480) );
+  sky130_fd_sc_hd__nand2_1 U30 ( .A(n480), .B(n490), .Y(n470) );
+  sky130_fd_sc_hd__o2bb2ai_1 U31 ( .B1(n470), .B2(n29), .A1_N(n470), .A2_N(
+        rx_byte_q1[5]), .Y(n87) );
+  sky130_fd_sc_hd__o2bb2ai_1 U32 ( .B1(n470), .B2(n27), .A1_N(n470), .A2_N(
+        rx_byte_q1[4]), .Y(n88) );
+  sky130_fd_sc_hd__o2bb2ai_1 U33 ( .B1(n470), .B2(n2), .A1_N(n470), .A2_N(
+        rx_byte_q1[3]), .Y(n89) );
+  sky130_fd_sc_hd__o2bb2ai_1 U34 ( .B1(n470), .B2(n3), .A1_N(n470), .A2_N(
+        rx_byte_q1[2]), .Y(n90) );
+  sky130_fd_sc_hd__o2bb2ai_1 U35 ( .B1(n470), .B2(n33), .A1_N(n470), .A2_N(
+        rx_byte_q1[7]), .Y(n85) );
+  sky130_fd_sc_hd__o2bb2ai_1 U36 ( .B1(n470), .B2(n4), .A1_N(n470), .A2_N(
+        rx_byte_q1[1]), .Y(n91) );
+  sky130_fd_sc_hd__o2bb2ai_1 U37 ( .B1(n470), .B2(n5), .A1_N(n470), .A2_N(
+        rx_byte_q1[0]), .Y(n92) );
+  sky130_fd_sc_hd__o2bb2ai_1 U38 ( .B1(n470), .B2(n31), .A1_N(n470), .A2_N(
+        rx_byte_q1[6]), .Y(n86) );
+  sky130_fd_sc_hd__or3_1 U39 ( .A(byte_count[0]), .B(byte_count[1]), .C(n45), 
+        .X(n6) );
+  sky130_fd_sc_hd__o2bb2ai_1 U40 ( .B1(n6), .B2(n2), .A1_N(n6), .A2_N(
+        rx_byte_q0[3]), .Y(n81) );
+  sky130_fd_sc_hd__o2bb2ai_1 U41 ( .B1(n6), .B2(n27), .A1_N(n6), .A2_N(
+        rx_byte_q0[4]), .Y(n80) );
+  sky130_fd_sc_hd__o2bb2ai_1 U42 ( .B1(n6), .B2(n29), .A1_N(n6), .A2_N(
+        rx_byte_q0[5]), .Y(n79) );
+  sky130_fd_sc_hd__o2bb2ai_1 U43 ( .B1(n6), .B2(n3), .A1_N(n6), .A2_N(
+        rx_byte_q0[2]), .Y(n82) );
+  sky130_fd_sc_hd__o2bb2ai_1 U44 ( .B1(n6), .B2(n4), .A1_N(n6), .A2_N(
+        rx_byte_q0[1]), .Y(n83) );
+  sky130_fd_sc_hd__o2bb2ai_1 U45 ( .B1(n6), .B2(n5), .A1_N(n6), .A2_N(
+        rx_byte_q0[0]), .Y(n84) );
+  sky130_fd_sc_hd__o2bb2ai_1 U46 ( .B1(n6), .B2(n33), .A1_N(n6), .A2_N(
+        rx_byte_q0[7]), .Y(n77) );
+  sky130_fd_sc_hd__o2bb2ai_1 U47 ( .B1(n6), .B2(n31), .A1_N(n6), .A2_N(
+        rx_byte_q0[6]), .Y(n78) );
+  sky130_fd_sc_hd__clkinv_1 U48 ( .A(rx_byte_q2[4]), .Y(n28) );
+  sky130_fd_sc_hd__o2bb2ai_1 U49 ( .B1(n7), .B2(n28), .A1_N(n7), .A2_N(
+        rx_spi_i[20]), .Y(wdata_o[20]) );
+  sky130_fd_sc_hd__clkinv_1 U50 ( .A(rx_byte_q2[5]), .Y(n30) );
+  sky130_fd_sc_hd__o2bb2ai_1 U51 ( .B1(n7), .B2(n30), .A1_N(n7), .A2_N(
+        rx_spi_i[21]), .Y(wdata_o[21]) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(sel), .A2(rx_byte_q0[3]), .B1(n7), .B2(
+        rx_spi_i[3]), .X(wdata_o[3]) );
+  sky130_fd_sc_hd__a22o_1 U53 ( .A1(sel), .A2(rx_byte_q0[2]), .B1(n7), .B2(
+        rx_spi_i[2]), .X(wdata_o[2]) );
+  sky130_fd_sc_hd__a22o_1 U54 ( .A1(sel), .A2(rx_byte_q0[1]), .B1(n7), .B2(
+        rx_spi_i[1]), .X(wdata_o[1]) );
+  sky130_fd_sc_hd__a22o_1 U55 ( .A1(sel), .A2(rx_byte_q0[0]), .B1(n7), .B2(
+        rx_spi_i[0]), .X(wdata_o[0]) );
+  sky130_fd_sc_hd__a22o_1 U56 ( .A1(sel), .A2(rx_byte_q3[6]), .B1(n7), .B2(
+        rx_spi_i[30]), .X(wdata_o[30]) );
+  sky130_fd_sc_hd__a22o_1 U57 ( .A1(sel), .A2(rx_byte_q3[7]), .B1(n7), .B2(
+        rx_spi_i[31]), .X(wdata_o[31]) );
+  sky130_fd_sc_hd__a22o_1 U58 ( .A1(sel), .A2(rx_byte_q2[0]), .B1(n7), .B2(
+        rx_spi_i[16]), .X(wdata_o[16]) );
+  sky130_fd_sc_hd__a22o_1 U59 ( .A1(sel), .A2(rx_byte_q2[1]), .B1(n7), .B2(
+        rx_spi_i[17]), .X(wdata_o[17]) );
+  sky130_fd_sc_hd__a22o_1 U60 ( .A1(sel), .A2(rx_byte_q2[2]), .B1(n7), .B2(
+        rx_spi_i[18]), .X(wdata_o[18]) );
+  sky130_fd_sc_hd__a22o_1 U61 ( .A1(sel), .A2(rx_byte_q2[3]), .B1(n7), .B2(
+        rx_spi_i[19]), .X(wdata_o[19]) );
+  sky130_fd_sc_hd__a22o_1 U62 ( .A1(sel), .A2(rx_byte_q0[5]), .B1(n7), .B2(
+        rx_spi_i[5]), .X(wdata_o[5]) );
+  sky130_fd_sc_hd__a22o_1 U63 ( .A1(sel), .A2(rx_byte_q0[4]), .B1(n7), .B2(
+        rx_spi_i[4]), .X(wdata_o[4]) );
+  sky130_fd_sc_hd__a22o_1 U64 ( .A1(sel), .A2(rx_byte_q3[0]), .B1(n7), .B2(
+        rx_spi_i[24]), .X(wdata_o[24]) );
+  sky130_fd_sc_hd__a22o_1 U65 ( .A1(sel), .A2(rx_byte_q3[1]), .B1(n7), .B2(
+        rx_spi_i[25]), .X(wdata_o[25]) );
+  sky130_fd_sc_hd__a22o_1 U66 ( .A1(sel), .A2(rx_byte_q3[2]), .B1(n7), .B2(
+        rx_spi_i[26]), .X(wdata_o[26]) );
+  sky130_fd_sc_hd__a22o_1 U67 ( .A1(sel), .A2(rx_byte_q3[3]), .B1(n7), .B2(
+        rx_spi_i[27]), .X(wdata_o[27]) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(sel), .A2(rx_byte_q0[7]), .B1(n7), .B2(
+        rx_spi_i[7]), .X(wdata_o[7]) );
+  sky130_fd_sc_hd__a22o_1 U69 ( .A1(sel), .A2(rx_byte_q0[6]), .B1(n7), .B2(
+        rx_spi_i[6]), .X(wdata_o[6]) );
+  sky130_fd_sc_hd__a22o_1 U70 ( .A1(sel), .A2(rx_byte_q1[1]), .B1(n7), .B2(
+        rx_spi_i[9]), .X(wdata_o[9]) );
+  sky130_fd_sc_hd__a22o_1 U71 ( .A1(sel), .A2(rx_byte_q1[0]), .B1(n7), .B2(
+        rx_spi_i[8]), .X(wdata_o[8]) );
+  sky130_fd_sc_hd__a22o_1 U72 ( .A1(sel), .A2(rx_byte_q1[6]), .B1(n7), .B2(
+        rx_spi_i[14]), .X(wdata_o[14]) );
+  sky130_fd_sc_hd__a22o_1 U73 ( .A1(sel), .A2(rx_byte_q1[7]), .B1(n7), .B2(
+        rx_spi_i[15]), .X(wdata_o[15]) );
+  sky130_fd_sc_hd__a22o_1 U74 ( .A1(sel), .A2(rx_byte_q3[4]), .B1(n7), .B2(
+        rx_spi_i[28]), .X(wdata_o[28]) );
+  sky130_fd_sc_hd__a22o_1 U75 ( .A1(sel), .A2(rx_byte_q3[5]), .B1(n7), .B2(
+        rx_spi_i[29]), .X(wdata_o[29]) );
+  sky130_fd_sc_hd__clkinv_1 U76 ( .A(rx_byte_q2[6]), .Y(n32) );
+  sky130_fd_sc_hd__o2bb2ai_1 U77 ( .B1(n7), .B2(n32), .A1_N(n7), .A2_N(
+        rx_spi_i[22]), .Y(wdata_o[22]) );
+  sky130_fd_sc_hd__clkinv_1 U78 ( .A(rx_byte_q2[7]), .Y(n35) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79 ( .B1(n7), .B2(n35), .A1_N(n7), .A2_N(
+        rx_spi_i[23]), .Y(wdata_o[23]) );
+  sky130_fd_sc_hd__a22o_1 U80 ( .A1(sel), .A2(rx_byte_q1[4]), .B1(n7), .B2(
+        rx_spi_i[12]), .X(wdata_o[12]) );
+  sky130_fd_sc_hd__a22o_1 U81 ( .A1(sel), .A2(rx_byte_q1[5]), .B1(n7), .B2(
+        rx_spi_i[13]), .X(wdata_o[13]) );
+  sky130_fd_sc_hd__a22o_1 U82 ( .A1(sel), .A2(rx_byte_q1[3]), .B1(n7), .B2(
+        rx_spi_i[11]), .X(wdata_o[11]) );
+  sky130_fd_sc_hd__a22o_1 U83 ( .A1(sel), .A2(rx_byte_q1[2]), .B1(n7), .B2(
+        rx_spi_i[10]), .X(wdata_o[10]) );
+  sky130_fd_sc_hd__nand4_1 U84 ( .A(wdata_o[3]), .B(wdata_o[2]), .C(wdata_o[1]), .D(wdata_o[0]), .Y(n8) );
+  sky130_fd_sc_hd__nor3_1 U85 ( .A(wdata_o[20]), .B(wdata_o[21]), .C(n8), .Y(
+        n18) );
+  sky130_fd_sc_hd__nor4_1 U86 ( .A(wdata_o[16]), .B(wdata_o[17]), .C(
+        wdata_o[18]), .D(wdata_o[19]), .Y(n9) );
+  sky130_fd_sc_hd__nand3_1 U87 ( .A(n9), .B(wdata_o[5]), .C(wdata_o[4]), .Y(
+        n16) );
+  sky130_fd_sc_hd__nor2_1 U88 ( .A(wdata_o[24]), .B(wdata_o[25]), .Y(n14) );
+  sky130_fd_sc_hd__nand4_1 U89 ( .A(wdata_o[7]), .B(wdata_o[6]), .C(wdata_o[9]), .D(wdata_o[8]), .Y(n10) );
+  sky130_fd_sc_hd__nor3_1 U90 ( .A(wdata_o[26]), .B(wdata_o[27]), .C(n10), .Y(
+        n13) );
+  sky130_fd_sc_hd__nor4_1 U91 ( .A(wdata_o[14]), .B(wdata_o[15]), .C(
+        wdata_o[28]), .D(wdata_o[29]), .Y(n12) );
+  sky130_fd_sc_hd__nor4_1 U92 ( .A(wdata_o[22]), .B(wdata_o[23]), .C(
+        wdata_o[12]), .D(wdata_o[13]), .Y(n11) );
+  sky130_fd_sc_hd__nand4_1 U93 ( .A(n14), .B(n13), .C(n12), .D(n11), .Y(n15)
+         );
+  sky130_fd_sc_hd__nor4_1 U94 ( .A(wdata_o[30]), .B(wdata_o[31]), .C(n16), .D(
+        n15), .Y(n17) );
+  sky130_fd_sc_hd__nand4_1 U95 ( .A(n18), .B(n17), .C(wdata_o[11]), .D(
+        wdata_o[10]), .Y(n42) );
+  sky130_fd_sc_hd__and3_1 U96 ( .A(ctrl_fsm_cs[1]), .B(rx_dv_i), .C(n42), .X(
+        n19) );
+  sky130_fd_sc_hd__clkinv_1 U97 ( .A(ctrl_fsm_cs[0]), .Y(n44) );
+  sky130_fd_sc_hd__o21ai_1 U98 ( .A1(n19), .A2(n44), .B1(n39), .Y(
+        ctrl_fsm_ns_1_) );
+  sky130_fd_sc_hd__nand4_1 U99 ( .A(rx_byte_q2[0]), .B(rx_byte_q2[1]), .C(
+        rx_byte_q2[2]), .D(rx_byte_q2[3]), .Y(n23) );
+  sky130_fd_sc_hd__nand4_1 U100 ( .A(n28), .B(n30), .C(n32), .D(n35), .Y(n22)
+         );
+  sky130_fd_sc_hd__nand4_1 U101 ( .A(rx_byte_i[2]), .B(rx_byte_i[3]), .C(
+        rx_byte_i[0]), .D(rx_byte_i[1]), .Y(n21) );
+  sky130_fd_sc_hd__nand4_1 U102 ( .A(rx_byte_i[6]), .B(rx_byte_i[7]), .C(
+        rx_byte_i[4]), .D(rx_byte_i[5]), .Y(n20) );
+  sky130_fd_sc_hd__o22ai_1 U103 ( .A1(n23), .A2(n22), .B1(n21), .B2(n20), .Y(
+        n25) );
+  sky130_fd_sc_hd__nand2_1 U104 ( .A(byte_count[0]), .B(byte_count[1]), .Y(n24) );
+  sky130_fd_sc_hd__o21ai_1 U105 ( .A1(n25), .A2(n24), .B1(sel), .Y(n37) );
+  sky130_fd_sc_hd__a21oi_1 U106 ( .A1(rx_dv_i), .A2(n44), .B1(ctrl_fsm_cs[1]), 
+        .Y(n26) );
+  sky130_fd_sc_hd__o21ai_1 U107 ( .A1(n37), .A2(n44), .B1(n26), .Y(n101) );
+  sky130_fd_sc_hd__nor3_1 U108 ( .A(byte_count[0]), .B(n480), .C(n45), .Y(n36)
+         );
+  sky130_fd_sc_hd__clkinv_1 U109 ( .A(n36), .Y(n34) );
+  sky130_fd_sc_hd__a22o_1 U110 ( .A1(n36), .A2(rx_byte_i[0]), .B1(n34), .B2(
+        rx_byte_q2[0]), .X(n100) );
+  sky130_fd_sc_hd__a22o_1 U111 ( .A1(n36), .A2(rx_byte_i[1]), .B1(n34), .B2(
+        rx_byte_q2[1]), .X(n99) );
+  sky130_fd_sc_hd__a22o_1 U112 ( .A1(n36), .A2(rx_byte_i[2]), .B1(n34), .B2(
+        rx_byte_q2[2]), .X(n98) );
+  sky130_fd_sc_hd__a22o_1 U113 ( .A1(n36), .A2(rx_byte_i[3]), .B1(n34), .B2(
+        rx_byte_q2[3]), .X(n97) );
+  sky130_fd_sc_hd__o22ai_1 U114 ( .A1(n36), .A2(n28), .B1(n34), .B2(n27), .Y(
+        n96) );
+  sky130_fd_sc_hd__o22ai_1 U115 ( .A1(n36), .A2(n30), .B1(n34), .B2(n29), .Y(
+        n95) );
+  sky130_fd_sc_hd__o22ai_1 U116 ( .A1(n36), .A2(n32), .B1(n34), .B2(n31), .Y(
+        n94) );
+  sky130_fd_sc_hd__o22ai_1 U117 ( .A1(n36), .A2(n35), .B1(n34), .B2(n33), .Y(
+        n93) );
+  sky130_fd_sc_hd__a22o_1 U120 ( .A1(n40), .A2(N59), .B1(n39), .B2(addr_o_13_), 
+        .X(n66) );
+  sky130_fd_sc_hd__a22o_1 U121 ( .A1(n40), .A2(N58), .B1(n39), .B2(addr_o_12_), 
+        .X(n65) );
+  sky130_fd_sc_hd__a22o_1 U122 ( .A1(n40), .A2(N57), .B1(n39), .B2(addr_o[11]), 
+        .X(n64) );
+  sky130_fd_sc_hd__a22o_1 U123 ( .A1(n40), .A2(N56), .B1(n39), .B2(addr_o_10_), 
+        .X(n63) );
+  sky130_fd_sc_hd__a22o_1 U124 ( .A1(n40), .A2(N55), .B1(n39), .B2(addr_o[9]), 
+        .X(n62) );
+  sky130_fd_sc_hd__a22o_1 U125 ( .A1(n40), .A2(N54), .B1(n39), .B2(addr_o[8]), 
+        .X(n61) );
+  sky130_fd_sc_hd__a22o_1 U126 ( .A1(n40), .A2(N53), .B1(n39), .B2(addr_o[7]), 
+        .X(n60) );
+  sky130_fd_sc_hd__a22o_1 U127 ( .A1(n40), .A2(N52), .B1(n39), .B2(addr_o[6]), 
+        .X(n590) );
+  sky130_fd_sc_hd__a22o_1 U128 ( .A1(n40), .A2(N51), .B1(n39), .B2(addr_o[5]), 
+        .X(n580) );
+  sky130_fd_sc_hd__a22o_1 U129 ( .A1(n40), .A2(N50), .B1(n39), .B2(addr_o[4]), 
+        .X(n570) );
+  sky130_fd_sc_hd__a22o_1 U130 ( .A1(n40), .A2(N49), .B1(n39), .B2(addr_o[3]), 
+        .X(n560) );
+  sky130_fd_sc_hd__a22o_1 U131 ( .A1(n40), .A2(N48), .B1(n39), .B2(addr_o[2]), 
+        .X(n550) );
+  sky130_fd_sc_hd__a22o_1 U132 ( .A1(n40), .A2(N47), .B1(n39), .B2(addr_o[1]), 
+        .X(n540) );
+  sky130_fd_sc_hd__o21ai_1 U133 ( .A1(ctrl_fsm_cs[1]), .A2(ctrl_fsm_cs[0]), 
+        .B1(reset_o), .Y(n41) );
+  sky130_fd_sc_hd__o31ai_1 U134 ( .A1(n44), .A2(n43), .A3(n42), .B1(n41), .Y(
+        n530) );
+  sky130_fd_sc_hd__a21oi_1 U135 ( .A1(n46), .A2(n45), .B1(n490), .Y(n520) );
+  sky130_fd_sc_hd__o21ai_1 U136 ( .A1(n490), .A2(n480), .B1(n470), .Y(n510) );
+endmodule
+
+
+module opentitan_soc_top_SPI_slave_00000020_0 ( reset, SS, SCLK, MOSI, REG_DIN, 
+        valid );
+  output [31:0] REG_DIN;
+  input reset, SS, SCLK, MOSI;
+  output valid;
+  wire   byte_end, N3, N4, N5, N6, N7, n2, n300, n400, n500, n600, n700, n8,
+         n9, n10, n11, n12, n13, n14, n15, n16, n17, n18, n19, n20, n21, n22,
+         n23, n24, n25, n26, n27, n28, n29, n301, n31, n32, n33, n34, n35, n36,
+         n37, n38, n39, n401, n41, n42, n43, n44, n45, n46, n47, n48, n49,
+         n501, n51, n52, n53, n54, n55, n56, n57, n58, n59, n601, n61, n62,
+         n63, n64, n65, n66, n67, n68, n69, n701, n71, n72, n73, n74, n75, n76,
+         n1, n77, n78, n79, n80, n81, n84, n85, n86;
+  wire   [4:0] rcv_bit_count;
+  wire   [4:0] prev_rcv_bit_count;
+  wire   [31:0] command;
+
+  sky130_fd_sc_hd__dfrtp_1 command_reg_0_ ( .D(n76), .CLK(SCLK), .RESET_B(n84), 
+        .Q(command[0]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_1_ ( .D(n75), .CLK(SCLK), .RESET_B(n84), 
+        .Q(command[1]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_2_ ( .D(n74), .CLK(SCLK), .RESET_B(n85), 
+        .Q(command[2]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_3_ ( .D(n73), .CLK(SCLK), .RESET_B(n84), 
+        .Q(command[3]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_4_ ( .D(n72), .CLK(SCLK), .RESET_B(n85), 
+        .Q(command[4]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_5_ ( .D(n71), .CLK(SCLK), .RESET_B(n85), 
+        .Q(command[5]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_6_ ( .D(n701), .CLK(SCLK), .RESET_B(n84), .Q(command[6]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_7_ ( .D(n69), .CLK(SCLK), .RESET_B(n84), 
+        .Q(command[7]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_8_ ( .D(n68), .CLK(SCLK), .RESET_B(n85), 
+        .Q(command[8]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_9_ ( .D(n67), .CLK(SCLK), .RESET_B(n85), 
+        .Q(command[9]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_10_ ( .D(n66), .CLK(SCLK), .RESET_B(n84), .Q(command[10]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_11_ ( .D(n65), .CLK(SCLK), .RESET_B(n85), .Q(command[11]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_12_ ( .D(n64), .CLK(SCLK), .RESET_B(n85), .Q(command[12]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_13_ ( .D(n63), .CLK(SCLK), .RESET_B(n84), .Q(command[13]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_14_ ( .D(n62), .CLK(SCLK), .RESET_B(n85), .Q(command[14]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_15_ ( .D(n61), .CLK(SCLK), .RESET_B(n84), .Q(command[15]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_16_ ( .D(n601), .CLK(SCLK), .RESET_B(
+        n84), .Q(command[16]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_17_ ( .D(n59), .CLK(SCLK), .RESET_B(n85), .Q(command[17]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_18_ ( .D(n58), .CLK(SCLK), .RESET_B(n84), .Q(command[18]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_19_ ( .D(n57), .CLK(SCLK), .RESET_B(n85), .Q(command[19]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_20_ ( .D(n56), .CLK(SCLK), .RESET_B(n84), .Q(command[20]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_21_ ( .D(n55), .CLK(SCLK), .RESET_B(n85), .Q(command[21]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_22_ ( .D(n54), .CLK(SCLK), .RESET_B(n84), .Q(command[22]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_23_ ( .D(n53), .CLK(SCLK), .RESET_B(n85), .Q(command[23]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_24_ ( .D(n52), .CLK(SCLK), .RESET_B(n84), .Q(command[24]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_25_ ( .D(n51), .CLK(SCLK), .RESET_B(n84), .Q(command[25]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_26_ ( .D(n501), .CLK(SCLK), .RESET_B(
+        n84), .Q(command[26]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_27_ ( .D(n49), .CLK(SCLK), .RESET_B(n84), .Q(command[27]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_28_ ( .D(n48), .CLK(SCLK), .RESET_B(n84), .Q(command[28]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_29_ ( .D(n47), .CLK(SCLK), .RESET_B(n84), .Q(command[29]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_30_ ( .D(n46), .CLK(SCLK), .RESET_B(n84), .Q(command[30]) );
+  sky130_fd_sc_hd__dfrtp_1 command_reg_31_ ( .D(n45), .CLK(SCLK), .RESET_B(n84), .Q(command[31]) );
+  sky130_fd_sc_hd__dfstp_1 rcv_bit_count_reg_4_ ( .D(n44), .CLK(SCLK), .SET_B(
+        n85), .Q(rcv_bit_count[4]) );
+  sky130_fd_sc_hd__dfstp_1 rcv_bit_count_reg_3_ ( .D(n43), .CLK(SCLK), .SET_B(
+        reset), .Q(rcv_bit_count[3]) );
+  sky130_fd_sc_hd__dfstp_1 rcv_bit_count_reg_2_ ( .D(n42), .CLK(SCLK), .SET_B(
+        n84), .Q(rcv_bit_count[2]) );
+  sky130_fd_sc_hd__dfstp_1 rcv_bit_count_reg_1_ ( .D(n41), .CLK(SCLK), .SET_B(
+        n85), .Q(rcv_bit_count[1]) );
+  sky130_fd_sc_hd__dfstp_1 rcv_bit_count_reg_0_ ( .D(n401), .CLK(SCLK), 
+        .SET_B(n85), .Q(rcv_bit_count[0]) );
+  sky130_fd_sc_hd__dfstp_1 prev_rcv_bit_count_reg_4_ ( .D(n39), .CLK(SCLK), 
+        .SET_B(n85), .Q(prev_rcv_bit_count[4]) );
+  sky130_fd_sc_hd__dfstp_1 prev_rcv_bit_count_reg_3_ ( .D(n38), .CLK(SCLK), 
+        .SET_B(reset), .Q(prev_rcv_bit_count[3]) );
+  sky130_fd_sc_hd__dfstp_1 prev_rcv_bit_count_reg_2_ ( .D(n37), .CLK(SCLK), 
+        .SET_B(n84), .Q(prev_rcv_bit_count[2]) );
+  sky130_fd_sc_hd__dfstp_1 prev_rcv_bit_count_reg_1_ ( .D(n36), .CLK(SCLK), 
+        .SET_B(n84), .Q(prev_rcv_bit_count[1]) );
+  sky130_fd_sc_hd__dfstp_1 prev_rcv_bit_count_reg_0_ ( .D(n35), .CLK(SCLK), 
+        .SET_B(n85), .Q(prev_rcv_bit_count[0]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_31_ ( .D(n33), .CLK(SS), .RESET_B(n84), 
+        .Q(REG_DIN[31]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_30_ ( .D(n32), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[30]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_29_ ( .D(n31), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[29]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_28_ ( .D(n301), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[28]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_27_ ( .D(n29), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[27]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_26_ ( .D(n28), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[26]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_25_ ( .D(n27), .CLK(SS), .RESET_B(n84), 
+        .Q(REG_DIN[25]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_24_ ( .D(n26), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[24]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_23_ ( .D(n25), .CLK(SS), .RESET_B(reset), .Q(REG_DIN[23]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_22_ ( .D(n24), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[22]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_21_ ( .D(n23), .CLK(SS), .RESET_B(reset), .Q(REG_DIN[21]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_20_ ( .D(n22), .CLK(SS), .RESET_B(n84), 
+        .Q(REG_DIN[20]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_19_ ( .D(n21), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[19]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_18_ ( .D(n20), .CLK(SS), .RESET_B(reset), .Q(REG_DIN[18]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_17_ ( .D(n19), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[17]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_16_ ( .D(n18), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[16]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_15_ ( .D(n17), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[15]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_14_ ( .D(n16), .CLK(SS), .RESET_B(n84), 
+        .Q(REG_DIN[14]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_13_ ( .D(n15), .CLK(SS), .RESET_B(n84), 
+        .Q(REG_DIN[13]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_12_ ( .D(n14), .CLK(SS), .RESET_B(n84), 
+        .Q(REG_DIN[12]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_11_ ( .D(n13), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[11]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_10_ ( .D(n12), .CLK(SS), .RESET_B(reset), .Q(REG_DIN[10]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_9_ ( .D(n11), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[9]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_8_ ( .D(n10), .CLK(SS), .RESET_B(n84), 
+        .Q(REG_DIN[8]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_7_ ( .D(n9), .CLK(SS), .RESET_B(reset), 
+        .Q(REG_DIN[7]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_6_ ( .D(n8), .CLK(SS), .RESET_B(n84), 
+        .Q(REG_DIN[6]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_5_ ( .D(n700), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[5]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_4_ ( .D(n600), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[4]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_3_ ( .D(n500), .CLK(SS), .RESET_B(n85), 
+        .Q(REG_DIN[3]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_2_ ( .D(n400), .CLK(SS), .RESET_B(n84), 
+        .Q(REG_DIN[2]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_1_ ( .D(n300), .CLK(SS), .RESET_B(reset), .Q(REG_DIN[1]) );
+  sky130_fd_sc_hd__dfrtp_1 REG_DIN_reg_0_ ( .D(n2), .CLK(SS), .RESET_B(n84), 
+        .Q(REG_DIN[0]) );
+  sky130_fd_sc_hd__nor2b_1 U36 ( .B_N(byte_end), .A(SS), .Y(n34) );
+  sky130_fd_sc_hd__nor2b_1 U47 ( .B_N(command[30]), .A(SS), .Y(n45) );
+  sky130_fd_sc_hd__nor2b_1 U48 ( .B_N(command[29]), .A(SS), .Y(n46) );
+  sky130_fd_sc_hd__nor2b_1 U49 ( .B_N(command[28]), .A(SS), .Y(n47) );
+  sky130_fd_sc_hd__nor2b_1 U50 ( .B_N(command[27]), .A(SS), .Y(n48) );
+  sky130_fd_sc_hd__nor2b_1 U51 ( .B_N(command[26]), .A(SS), .Y(n49) );
+  sky130_fd_sc_hd__nor2b_1 U52 ( .B_N(command[25]), .A(SS), .Y(n501) );
+  sky130_fd_sc_hd__nor2b_1 U53 ( .B_N(command[24]), .A(SS), .Y(n51) );
+  sky130_fd_sc_hd__nor2b_1 U54 ( .B_N(command[23]), .A(SS), .Y(n52) );
+  sky130_fd_sc_hd__nor2b_1 U55 ( .B_N(command[22]), .A(SS), .Y(n53) );
+  sky130_fd_sc_hd__nor2b_1 U56 ( .B_N(command[21]), .A(SS), .Y(n54) );
+  sky130_fd_sc_hd__nor2b_1 U57 ( .B_N(command[20]), .A(SS), .Y(n55) );
+  sky130_fd_sc_hd__nor2b_1 U58 ( .B_N(command[19]), .A(SS), .Y(n56) );
+  sky130_fd_sc_hd__nor2b_1 U59 ( .B_N(command[18]), .A(SS), .Y(n57) );
+  sky130_fd_sc_hd__nor2b_1 U60 ( .B_N(command[17]), .A(SS), .Y(n58) );
+  sky130_fd_sc_hd__nor2b_1 U61 ( .B_N(command[16]), .A(SS), .Y(n59) );
+  sky130_fd_sc_hd__nor2b_1 U62 ( .B_N(command[15]), .A(SS), .Y(n601) );
+  sky130_fd_sc_hd__nor2b_1 U63 ( .B_N(command[14]), .A(SS), .Y(n61) );
+  sky130_fd_sc_hd__nor2b_1 U64 ( .B_N(command[13]), .A(SS), .Y(n62) );
+  sky130_fd_sc_hd__nor2b_1 U65 ( .B_N(command[12]), .A(SS), .Y(n63) );
+  sky130_fd_sc_hd__nor2b_1 U66 ( .B_N(command[11]), .A(SS), .Y(n64) );
+  sky130_fd_sc_hd__nor2b_1 U67 ( .B_N(command[10]), .A(SS), .Y(n65) );
+  sky130_fd_sc_hd__nor2b_1 U68 ( .B_N(command[9]), .A(SS), .Y(n66) );
+  sky130_fd_sc_hd__nor2b_1 U69 ( .B_N(command[8]), .A(SS), .Y(n67) );
+  sky130_fd_sc_hd__nor2b_1 U70 ( .B_N(command[7]), .A(SS), .Y(n68) );
+  sky130_fd_sc_hd__nor2b_1 U71 ( .B_N(command[6]), .A(SS), .Y(n69) );
+  sky130_fd_sc_hd__nor2b_1 U72 ( .B_N(command[5]), .A(SS), .Y(n701) );
+  sky130_fd_sc_hd__nor2b_1 U73 ( .B_N(command[4]), .A(SS), .Y(n71) );
+  sky130_fd_sc_hd__nor2b_1 U74 ( .B_N(command[3]), .A(SS), .Y(n72) );
+  sky130_fd_sc_hd__nor2b_1 U75 ( .B_N(command[2]), .A(SS), .Y(n73) );
+  sky130_fd_sc_hd__nor2b_1 U76 ( .B_N(command[1]), .A(SS), .Y(n74) );
+  sky130_fd_sc_hd__nor2b_1 U77 ( .B_N(command[0]), .A(SS), .Y(n75) );
+  sky130_fd_sc_hd__or2_0 U46 ( .A(SS), .B(N7), .X(n44) );
+  sky130_fd_sc_hd__or2_0 U45 ( .A(SS), .B(N6), .X(n43) );
+  sky130_fd_sc_hd__or2_0 U44 ( .A(SS), .B(N5), .X(n42) );
+  sky130_fd_sc_hd__or2_0 U43 ( .A(SS), .B(N4), .X(n41) );
+  sky130_fd_sc_hd__or2_0 U42 ( .A(SS), .B(N3), .X(n401) );
+  sky130_fd_sc_hd__or2_0 U41 ( .A(SS), .B(rcv_bit_count[4]), .X(n39) );
+  sky130_fd_sc_hd__or2_0 U39 ( .A(SS), .B(rcv_bit_count[2]), .X(n37) );
+  sky130_fd_sc_hd__or2_0 U40 ( .A(SS), .B(rcv_bit_count[3]), .X(n38) );
+  sky130_fd_sc_hd__or2_0 U38 ( .A(SS), .B(rcv_bit_count[1]), .X(n36) );
+  sky130_fd_sc_hd__or2_0 U37 ( .A(SS), .B(rcv_bit_count[0]), .X(n35) );
+  sky130_fd_sc_hd__nor2b_1 U78 ( .B_N(MOSI), .A(SS), .Y(n76) );
+  sky130_fd_sc_hd__dfrtp_1 valid_reg ( .D(n34), .CLK(SCLK), .RESET_B(n85), .Q(
+        valid) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(valid), .Y(n1) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(rcv_bit_count[1]), .Y(n80) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(reset), .X(n84) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(reset), .X(n85) );
+  sky130_fd_sc_hd__a22o_1 U7 ( .A1(valid), .A2(command[30]), .B1(n1), .B2(
+        REG_DIN[30]), .X(n32) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(valid), .A2(command[1]), .B1(n1), .B2(
+        REG_DIN[1]), .X(n300) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(valid), .A2(command[23]), .B1(n1), .B2(
+        REG_DIN[23]), .X(n25) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(valid), .A2(command[20]), .B1(n1), .B2(
+        REG_DIN[20]), .X(n22) );
+  sky130_fd_sc_hd__a22o_1 U11 ( .A1(valid), .A2(command[19]), .B1(n1), .B2(
+        REG_DIN[19]), .X(n21) );
+  sky130_fd_sc_hd__a22o_1 U12 ( .A1(valid), .A2(command[31]), .B1(n1), .B2(
+        REG_DIN[31]), .X(n33) );
+  sky130_fd_sc_hd__a22o_1 U13 ( .A1(valid), .A2(command[21]), .B1(n1), .B2(
+        REG_DIN[21]), .X(n23) );
+  sky130_fd_sc_hd__a22o_1 U14 ( .A1(valid), .A2(command[22]), .B1(n1), .B2(
+        REG_DIN[22]), .X(n24) );
+  sky130_fd_sc_hd__a22o_1 U15 ( .A1(valid), .A2(command[16]), .B1(n1), .B2(
+        REG_DIN[16]), .X(n18) );
+  sky130_fd_sc_hd__a22o_1 U16 ( .A1(valid), .A2(command[24]), .B1(n1), .B2(
+        REG_DIN[24]), .X(n26) );
+  sky130_fd_sc_hd__a22o_1 U17 ( .A1(valid), .A2(command[5]), .B1(n1), .B2(
+        REG_DIN[5]), .X(n700) );
+  sky130_fd_sc_hd__a22o_1 U18 ( .A1(valid), .A2(command[18]), .B1(n1), .B2(
+        REG_DIN[18]), .X(n20) );
+  sky130_fd_sc_hd__a22o_1 U19 ( .A1(valid), .A2(command[29]), .B1(n1), .B2(
+        REG_DIN[29]), .X(n31) );
+  sky130_fd_sc_hd__a22o_1 U20 ( .A1(valid), .A2(command[25]), .B1(n1), .B2(
+        REG_DIN[25]), .X(n27) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(valid), .A2(command[27]), .B1(n1), .B2(
+        REG_DIN[27]), .X(n29) );
+  sky130_fd_sc_hd__a22o_1 U22 ( .A1(valid), .A2(command[15]), .B1(n1), .B2(
+        REG_DIN[15]), .X(n17) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(valid), .A2(command[26]), .B1(n1), .B2(
+        REG_DIN[26]), .X(n28) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(valid), .A2(command[4]), .B1(n1), .B2(
+        REG_DIN[4]), .X(n600) );
+  sky130_fd_sc_hd__a22o_1 U25 ( .A1(valid), .A2(command[2]), .B1(n1), .B2(
+        REG_DIN[2]), .X(n400) );
+  sky130_fd_sc_hd__a22o_1 U26 ( .A1(valid), .A2(command[0]), .B1(n1), .B2(
+        REG_DIN[0]), .X(n2) );
+  sky130_fd_sc_hd__a22o_1 U27 ( .A1(valid), .A2(command[28]), .B1(n1), .B2(
+        REG_DIN[28]), .X(n301) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(valid), .A2(command[14]), .B1(n1), .B2(
+        REG_DIN[14]), .X(n16) );
+  sky130_fd_sc_hd__a22o_1 U29 ( .A1(valid), .A2(command[10]), .B1(n1), .B2(
+        REG_DIN[10]), .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(valid), .A2(command[3]), .B1(n1), .B2(
+        REG_DIN[3]), .X(n500) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(valid), .A2(command[6]), .B1(n1), .B2(
+        REG_DIN[6]), .X(n8) );
+  sky130_fd_sc_hd__a22o_1 U32 ( .A1(valid), .A2(command[8]), .B1(n1), .B2(
+        REG_DIN[8]), .X(n10) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(valid), .A2(command[11]), .B1(n1), .B2(
+        REG_DIN[11]), .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(valid), .A2(command[7]), .B1(n1), .B2(
+        REG_DIN[7]), .X(n9) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(valid), .A2(command[12]), .B1(n1), .B2(
+        REG_DIN[12]), .X(n14) );
+  sky130_fd_sc_hd__a22o_1 U79 ( .A1(valid), .A2(command[17]), .B1(n1), .B2(
+        REG_DIN[17]), .X(n19) );
+  sky130_fd_sc_hd__a22o_1 U80 ( .A1(valid), .A2(command[9]), .B1(n1), .B2(
+        REG_DIN[9]), .X(n11) );
+  sky130_fd_sc_hd__a22o_1 U81 ( .A1(valid), .A2(command[13]), .B1(n1), .B2(
+        REG_DIN[13]), .X(n15) );
+  sky130_fd_sc_hd__clkinv_1 U82 ( .A(rcv_bit_count[0]), .Y(N3) );
+  sky130_fd_sc_hd__nor2_1 U83 ( .A(N3), .B(n80), .Y(n79) );
+  sky130_fd_sc_hd__nand2_1 U84 ( .A(n79), .B(rcv_bit_count[2]), .Y(n78) );
+  sky130_fd_sc_hd__clkinv_1 U85 ( .A(rcv_bit_count[3]), .Y(n77) );
+  sky130_fd_sc_hd__nor2_1 U86 ( .A(n78), .B(n77), .Y(n81) );
+  sky130_fd_sc_hd__a21oi_1 U87 ( .A1(n78), .A2(n77), .B1(n81), .Y(N6) );
+  sky130_fd_sc_hd__o21a_1 U88 ( .A1(n79), .A2(rcv_bit_count[2]), .B1(n78), .X(
+        N5) );
+  sky130_fd_sc_hd__a21oi_1 U89 ( .A1(N3), .A2(n80), .B1(n79), .Y(N4) );
+  sky130_fd_sc_hd__xor2_1 U90 ( .A(rcv_bit_count[4]), .B(n81), .X(N7) );
+  sky130_fd_sc_hd__nor4bb_1 U91 ( .C_N(n81), .D_N(rcv_bit_count[4]), .A(
+        prev_rcv_bit_count[0]), .B(n86), .Y(byte_end) );
+  sky130_fd_sc_hd__nand4_1 U92 ( .A(prev_rcv_bit_count[4]), .B(
+        prev_rcv_bit_count[1]), .C(prev_rcv_bit_count[3]), .D(
+        prev_rcv_bit_count[2]), .Y(n86) );
+endmodule
+
+
+module opentitan_soc_top_uart_receiver_DW01_inc_J14_0_0 ( A, SUM );
+  input [15:0] A;
+  output [15:0] SUM;
+  wire   n51, n52, n53, n54, n55, n56, n57, n58, n59, n60, n61, n62, n63, n64;
+
+  sky130_fd_sc_hd__xor2_1 U19 ( .A(n51), .B(A[15]), .X(SUM[15]) );
+  sky130_fd_sc_hd__ha_1 U20 ( .A(A[1]), .B(A[0]), .COUT(n52), .SUM(SUM[1]) );
+  sky130_fd_sc_hd__ha_1 U21 ( .A(A[2]), .B(n52), .COUT(n53), .SUM(SUM[2]) );
+  sky130_fd_sc_hd__ha_1 U22 ( .A(A[3]), .B(n53), .COUT(n54), .SUM(SUM[3]) );
+  sky130_fd_sc_hd__ha_1 U23 ( .A(A[4]), .B(n54), .COUT(n55), .SUM(SUM[4]) );
+  sky130_fd_sc_hd__ha_1 U24 ( .A(A[5]), .B(n55), .COUT(n56), .SUM(SUM[5]) );
+  sky130_fd_sc_hd__ha_1 U25 ( .A(A[6]), .B(n56), .COUT(n57), .SUM(SUM[6]) );
+  sky130_fd_sc_hd__ha_1 U26 ( .A(A[7]), .B(n57), .COUT(n58), .SUM(SUM[7]) );
+  sky130_fd_sc_hd__ha_1 U27 ( .A(A[8]), .B(n58), .COUT(n59), .SUM(SUM[8]) );
+  sky130_fd_sc_hd__ha_1 U28 ( .A(A[9]), .B(n59), .COUT(n60), .SUM(SUM[9]) );
+  sky130_fd_sc_hd__ha_1 U29 ( .A(A[10]), .B(n60), .COUT(n61), .SUM(SUM[10]) );
+  sky130_fd_sc_hd__ha_1 U30 ( .A(A[11]), .B(n61), .COUT(n62), .SUM(SUM[11]) );
+  sky130_fd_sc_hd__ha_1 U31 ( .A(A[12]), .B(n62), .COUT(n63), .SUM(SUM[12]) );
+  sky130_fd_sc_hd__ha_1 U32 ( .A(A[13]), .B(n63), .COUT(n64), .SUM(SUM[13]) );
+  sky130_fd_sc_hd__ha_1 U33 ( .A(A[14]), .B(n64), .COUT(n51), .SUM(SUM[14]) );
+endmodule
+
+
+module opentitan_soc_top_uart_receiver_0 ( i_Clock, rst_ni, i_Rx_Serial, 
+        CLKS_PER_BIT, o_Rx_DV, o_Rx_Byte );
+  input [15:0] CLKS_PER_BIT;
+  output [7:0] o_Rx_Byte;
+  input i_Clock, rst_ni, i_Rx_Serial;
+  output o_Rx_DV;
+  wire   r_Rx_Data_R, r_Rx_Data, N64, N65, N66, N67, N68, N69, N70, N71, N72,
+         N73, N74, N75, N76, N77, N78, N256, n640, n650, n660, n670, n680,
+         n690, n700, n710, n720, n730, n740, n750, n760, n770, n780, n79, n80,
+         n81, n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n1,
+         n2, n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16,
+         n17, n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30,
+         n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42, n43, n44,
+         n45, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58,
+         SYNOPSYS_UNCONNECTED_1;
+  wire   [2:0] r_SM_Main;
+  wire   [15:0] r_Clock_Count;
+  wire   [2:0] r_Bit_Index;
+
+  sky130_fd_sc_hd__dfstp_1 r_Rx_Data_R_reg ( .D(i_Rx_Serial), .CLK(i_Clock), 
+        .SET_B(rst_ni), .Q(r_Rx_Data_R) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_14_ ( .D(n670), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[14]) );
+  sky130_fd_sc_hd__dfrtp_1 r_SM_Main_reg_0_ ( .D(n93), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_SM_Main[0]) );
+  sky130_fd_sc_hd__dfrtp_1 r_SM_Main_reg_1_ ( .D(n92), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_SM_Main[1]) );
+  sky130_fd_sc_hd__dfrtp_1 r_SM_Main_reg_2_ ( .D(N256), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_SM_Main[2]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Bit_Index_reg_0_ ( .D(n660), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Bit_Index[0]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Bit_Index_reg_1_ ( .D(n650), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Bit_Index[1]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Bit_Index_reg_2_ ( .D(n91), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Bit_Index[2]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Rx_Byte_reg_1_ ( .D(n88), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(o_Rx_Byte[1]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Rx_Byte_reg_0_ ( .D(n89), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(o_Rx_Byte[0]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Rx_Byte_reg_2_ ( .D(n87), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(o_Rx_Byte[2]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Rx_Byte_reg_3_ ( .D(n86), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(o_Rx_Byte[3]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Rx_Byte_reg_5_ ( .D(n84), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(o_Rx_Byte[5]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Rx_Byte_reg_4_ ( .D(n85), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(o_Rx_Byte[4]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Rx_Byte_reg_6_ ( .D(n83), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(o_Rx_Byte[6]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Rx_Byte_reg_7_ ( .D(n82), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(o_Rx_Byte[7]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Rx_DV_reg ( .D(n640), .CLK(i_Clock), .RESET_B(
+        rst_ni), .Q(o_Rx_DV) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_15_ ( .D(n90), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[15]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_1_ ( .D(n81), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[1]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_0_ ( .D(n80), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[0]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_2_ ( .D(n79), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[2]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_3_ ( .D(n780), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[3]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_4_ ( .D(n770), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[4]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_5_ ( .D(n760), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[5]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_6_ ( .D(n750), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[6]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_7_ ( .D(n740), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[7]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_8_ ( .D(n730), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[8]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_9_ ( .D(n720), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[9]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_10_ ( .D(n710), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[10]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_11_ ( .D(n700), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[11]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_12_ ( .D(n690), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[12]) );
+  sky130_fd_sc_hd__dfrtp_1 r_Clock_Count_reg_13_ ( .D(n680), .CLK(i_Clock), 
+        .RESET_B(rst_ni), .Q(r_Clock_Count[13]) );
+  opentitan_soc_top_uart_receiver_DW01_inc_J14_0_0 add_x_2 ( .A(r_Clock_Count), 
+        .SUM({N78, N77, N76, N75, N74, N73, N72, N71, N70, N69, N68, N67, N66, 
+        N65, N64, SYNOPSYS_UNCONNECTED_1}) );
+  sky130_fd_sc_hd__dfsbp_1 r_Rx_Data_reg ( .D(r_Rx_Data_R), .CLK(i_Clock), 
+        .SET_B(rst_ni), .Q(r_Rx_Data), .Q_N(n58) );
+  sky130_fd_sc_hd__nor2_1 U3 ( .A(r_Clock_Count[2]), .B(r_Clock_Count[6]), .Y(
+        n3) );
+  sky130_fd_sc_hd__or3_1 U4 ( .A(r_Clock_Count[1]), .B(r_Clock_Count[3]), .C(
+        r_Clock_Count[0]), .X(n5) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(r_Clock_Count[8]), .Y(n8) );
+  sky130_fd_sc_hd__nand4b_1 U6 ( .A_N(r_Clock_Count[9]), .B(r_Clock_Count[5]), 
+        .C(r_Clock_Count[11]), .D(n8), .Y(n1) );
+  sky130_fd_sc_hd__nor4_1 U7 ( .A(r_Clock_Count[12]), .B(r_Clock_Count[10]), 
+        .C(n5), .D(n1), .Y(n2) );
+  sky130_fd_sc_hd__nand4_1 U8 ( .A(r_Clock_Count[7]), .B(r_Clock_Count[4]), 
+        .C(n3), .D(n2), .Y(n4) );
+  sky130_fd_sc_hd__nor4b_1 U9 ( .D_N(r_Clock_Count[13]), .A(r_Clock_Count[15]), 
+        .B(r_Clock_Count[14]), .C(n4), .Y(n13) );
+  sky130_fd_sc_hd__clkinv_1 U10 ( .A(r_SM_Main[0]), .Y(n55) );
+  sky130_fd_sc_hd__nor3_1 U11 ( .A(r_SM_Main[1]), .B(n13), .C(n55), .Y(n16) );
+  sky130_fd_sc_hd__or3_1 U12 ( .A(r_Clock_Count[4]), .B(r_Clock_Count[2]), .C(
+        n5), .X(n6) );
+  sky130_fd_sc_hd__a31oi_1 U13 ( .A1(r_Clock_Count[6]), .A2(r_Clock_Count[5]), 
+        .A3(n6), .B1(r_Clock_Count[7]), .Y(n9) );
+  sky130_fd_sc_hd__nor3_1 U14 ( .A(r_Clock_Count[9]), .B(r_Clock_Count[11]), 
+        .C(r_Clock_Count[10]), .Y(n7) );
+  sky130_fd_sc_hd__o21ai_1 U15 ( .A1(n9), .A2(n8), .B1(n7), .Y(n10) );
+  sky130_fd_sc_hd__a21o_1 U16 ( .A1(r_Clock_Count[12]), .A2(n10), .B1(
+        r_Clock_Count[13]), .X(n11) );
+  sky130_fd_sc_hd__a21oi_1 U17 ( .A1(n11), .A2(r_Clock_Count[14]), .B1(
+        r_Clock_Count[15]), .Y(n54) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(n54), .Y(n27) );
+  sky130_fd_sc_hd__clkinv_1 U19 ( .A(r_SM_Main[1]), .Y(n56) );
+  sky130_fd_sc_hd__nor2_1 U20 ( .A(n27), .B(n56), .Y(n21) );
+  sky130_fd_sc_hd__nor2_1 U21 ( .A(n16), .B(n21), .Y(n12) );
+  sky130_fd_sc_hd__nor2_1 U22 ( .A(r_SM_Main[2]), .B(n12), .Y(n46) );
+  sky130_fd_sc_hd__clkinv_1 U23 ( .A(n46), .Y(n14) );
+  sky130_fd_sc_hd__and3_1 U24 ( .A(r_SM_Main[0]), .B(n13), .C(n56), .X(n19) );
+  sky130_fd_sc_hd__a21oi_1 U25 ( .A1(r_Rx_Data), .A2(n19), .B1(r_SM_Main[2]), 
+        .Y(n26) );
+  sky130_fd_sc_hd__clkinv_1 U26 ( .A(n26), .Y(n47) );
+  sky130_fd_sc_hd__o2bb2ai_1 U27 ( .B1(r_Clock_Count[0]), .B2(n14), .A1_N(
+        r_Clock_Count[0]), .A2_N(n47), .Y(n80) );
+  sky130_fd_sc_hd__nor3_1 U28 ( .A(r_SM_Main[1]), .B(r_SM_Main[0]), .C(
+        r_Rx_Data), .Y(n15) );
+  sky130_fd_sc_hd__a211oi_1 U29 ( .A1(r_SM_Main[0]), .A2(n21), .B1(n16), .C1(
+        n15), .Y(n18) );
+  sky130_fd_sc_hd__clkinv_1 U30 ( .A(r_Bit_Index[0]), .Y(n49) );
+  sky130_fd_sc_hd__nor3_1 U31 ( .A(r_SM_Main[0]), .B(r_SM_Main[2]), .C(n56), 
+        .Y(n28) );
+  sky130_fd_sc_hd__nand2_1 U32 ( .A(n28), .B(n27), .Y(n17) );
+  sky130_fd_sc_hd__nor2_1 U33 ( .A(n49), .B(n17), .Y(n30) );
+  sky130_fd_sc_hd__nand3_1 U34 ( .A(n30), .B(r_Bit_Index[1]), .C(
+        r_Bit_Index[2]), .Y(n45) );
+  sky130_fd_sc_hd__o21ai_1 U35 ( .A1(r_SM_Main[2]), .A2(n18), .B1(n45), .Y(n93) );
+  sky130_fd_sc_hd__a21oi_1 U36 ( .A1(n19), .A2(n58), .B1(n21), .Y(n20) );
+  sky130_fd_sc_hd__o21bai_1 U37 ( .A1(r_SM_Main[2]), .A2(n20), .B1_N(n28), .Y(
+        n92) );
+  sky130_fd_sc_hd__nand2_1 U38 ( .A(r_Bit_Index[1]), .B(r_Bit_Index[2]), .Y(
+        n43) );
+  sky130_fd_sc_hd__nand2_1 U39 ( .A(n28), .B(n43), .Y(n23) );
+  sky130_fd_sc_hd__nor3_1 U40 ( .A(r_SM_Main[0]), .B(r_SM_Main[2]), .C(n21), 
+        .Y(n50) );
+  sky130_fd_sc_hd__a21boi_0 U41 ( .A1(n28), .A2(n49), .B1_N(n50), .Y(n51) );
+  sky130_fd_sc_hd__a31oi_1 U42 ( .A1(r_Bit_Index[1]), .A2(r_Bit_Index[0]), 
+        .A3(n50), .B1(r_Bit_Index[2]), .Y(n22) );
+  sky130_fd_sc_hd__a21oi_1 U43 ( .A1(n23), .A2(n51), .B1(n22), .Y(n91) );
+  sky130_fd_sc_hd__clkinv_1 U44 ( .A(r_Clock_Count[15]), .Y(n25) );
+  sky130_fd_sc_hd__nand2_1 U45 ( .A(n46), .B(N78), .Y(n24) );
+  sky130_fd_sc_hd__o21ai_1 U46 ( .A1(n26), .A2(n25), .B1(n24), .Y(n90) );
+  sky130_fd_sc_hd__clkinv_1 U47 ( .A(r_Bit_Index[1]), .Y(n52) );
+  sky130_fd_sc_hd__clkinv_1 U48 ( .A(r_Bit_Index[2]), .Y(n33) );
+  sky130_fd_sc_hd__nand2_1 U49 ( .A(n52), .B(n33), .Y(n32) );
+  sky130_fd_sc_hd__nand3_1 U50 ( .A(n28), .B(n49), .C(n27), .Y(n48) );
+  sky130_fd_sc_hd__nand2b_1 U51 ( .A_N(n48), .B(r_Rx_Data), .Y(n42) );
+  sky130_fd_sc_hd__o21ai_1 U52 ( .A1(n32), .A2(n48), .B1(o_Rx_Byte[0]), .Y(n29) );
+  sky130_fd_sc_hd__o21ai_1 U53 ( .A1(n32), .A2(n42), .B1(n29), .Y(n89) );
+  sky130_fd_sc_hd__nand2_1 U54 ( .A(n30), .B(r_Rx_Data), .Y(n39) );
+  sky130_fd_sc_hd__clkinv_1 U55 ( .A(n30), .Y(n53) );
+  sky130_fd_sc_hd__o21ai_1 U56 ( .A1(n32), .A2(n53), .B1(o_Rx_Byte[1]), .Y(n31) );
+  sky130_fd_sc_hd__o21ai_1 U57 ( .A1(n32), .A2(n39), .B1(n31), .Y(n88) );
+  sky130_fd_sc_hd__nand2_1 U58 ( .A(r_Bit_Index[1]), .B(n33), .Y(n36) );
+  sky130_fd_sc_hd__o21ai_1 U59 ( .A1(n36), .A2(n48), .B1(o_Rx_Byte[2]), .Y(n34) );
+  sky130_fd_sc_hd__o21ai_1 U60 ( .A1(n36), .A2(n42), .B1(n34), .Y(n87) );
+  sky130_fd_sc_hd__o21ai_1 U61 ( .A1(n36), .A2(n53), .B1(o_Rx_Byte[3]), .Y(n35) );
+  sky130_fd_sc_hd__o21ai_1 U62 ( .A1(n36), .A2(n39), .B1(n35), .Y(n86) );
+  sky130_fd_sc_hd__nand2_1 U63 ( .A(r_Bit_Index[2]), .B(n52), .Y(n40) );
+  sky130_fd_sc_hd__o21ai_1 U64 ( .A1(n40), .A2(n48), .B1(o_Rx_Byte[4]), .Y(n37) );
+  sky130_fd_sc_hd__o21ai_1 U65 ( .A1(n40), .A2(n42), .B1(n37), .Y(n85) );
+  sky130_fd_sc_hd__o21ai_1 U66 ( .A1(n40), .A2(n53), .B1(o_Rx_Byte[5]), .Y(n38) );
+  sky130_fd_sc_hd__o21ai_1 U67 ( .A1(n40), .A2(n39), .B1(n38), .Y(n84) );
+  sky130_fd_sc_hd__o21ai_1 U68 ( .A1(n43), .A2(n48), .B1(o_Rx_Byte[6]), .Y(n41) );
+  sky130_fd_sc_hd__o21ai_1 U69 ( .A1(n43), .A2(n42), .B1(n41), .Y(n83) );
+  sky130_fd_sc_hd__nand2_1 U70 ( .A(n45), .B(o_Rx_Byte[7]), .Y(n44) );
+  sky130_fd_sc_hd__o21ai_1 U71 ( .A1(n58), .A2(n45), .B1(n44), .Y(n82) );
+  sky130_fd_sc_hd__a22o_1 U72 ( .A1(r_Clock_Count[1]), .A2(n47), .B1(n46), 
+        .B2(N64), .X(n81) );
+  sky130_fd_sc_hd__a22o_1 U73 ( .A1(r_Clock_Count[2]), .A2(n47), .B1(n46), 
+        .B2(N65), .X(n79) );
+  sky130_fd_sc_hd__a22o_1 U74 ( .A1(r_Clock_Count[3]), .A2(n47), .B1(n46), 
+        .B2(N66), .X(n780) );
+  sky130_fd_sc_hd__a22o_1 U75 ( .A1(r_Clock_Count[4]), .A2(n47), .B1(n46), 
+        .B2(N67), .X(n770) );
+  sky130_fd_sc_hd__a22o_1 U76 ( .A1(r_Clock_Count[5]), .A2(n47), .B1(n46), 
+        .B2(N68), .X(n760) );
+  sky130_fd_sc_hd__a22o_1 U77 ( .A1(r_Clock_Count[6]), .A2(n47), .B1(n46), 
+        .B2(N69), .X(n750) );
+  sky130_fd_sc_hd__a22o_1 U78 ( .A1(r_Clock_Count[7]), .A2(n47), .B1(n46), 
+        .B2(N70), .X(n740) );
+  sky130_fd_sc_hd__a22o_1 U79 ( .A1(r_Clock_Count[8]), .A2(n47), .B1(n46), 
+        .B2(N71), .X(n730) );
+  sky130_fd_sc_hd__a22o_1 U80 ( .A1(r_Clock_Count[9]), .A2(n47), .B1(n46), 
+        .B2(N72), .X(n720) );
+  sky130_fd_sc_hd__a22o_1 U81 ( .A1(r_Clock_Count[10]), .A2(n47), .B1(n46), 
+        .B2(N73), .X(n710) );
+  sky130_fd_sc_hd__a22o_1 U82 ( .A1(r_Clock_Count[11]), .A2(n47), .B1(n46), 
+        .B2(N74), .X(n700) );
+  sky130_fd_sc_hd__a22o_1 U83 ( .A1(r_Clock_Count[12]), .A2(n47), .B1(n46), 
+        .B2(N75), .X(n690) );
+  sky130_fd_sc_hd__a22o_1 U84 ( .A1(r_Clock_Count[13]), .A2(n47), .B1(n46), 
+        .B2(N76), .X(n680) );
+  sky130_fd_sc_hd__a22o_1 U85 ( .A1(r_Clock_Count[14]), .A2(n47), .B1(n46), 
+        .B2(N77), .X(n670) );
+  sky130_fd_sc_hd__o21ai_1 U86 ( .A1(n50), .A2(n49), .B1(n48), .Y(n660) );
+  sky130_fd_sc_hd__o22ai_1 U87 ( .A1(r_Bit_Index[1]), .A2(n53), .B1(n52), .B2(
+        n51), .Y(n650) );
+  sky130_fd_sc_hd__nor4_1 U88 ( .A(n54), .B(r_SM_Main[2]), .C(n56), .D(n55), 
+        .Y(N256) );
+  sky130_fd_sc_hd__nand2_1 U89 ( .A(n56), .B(n55), .Y(n57) );
+  sky130_fd_sc_hd__a21o_1 U90 ( .A1(o_Rx_DV), .A2(n57), .B1(N256), .X(n640) );
+endmodule
+
+
+module opentitan_soc_top_rstmgr_0 ( clk_i, rst_ni, iccm_rst_i, sys_rst_ni );
+  input clk_i, rst_ni, iccm_rst_i;
+  output sys_rst_ni;
+  wire   rst_q, n1;
+
+  sky130_fd_sc_hd__dfxtp_1 rst_q_reg ( .D(n1), .CLK(clk_i), .Q(rst_q) );
+  sky130_fd_sc_hd__dfxtp_1 rst_fq_reg ( .D(rst_q), .CLK(clk_i), .Q(sys_rst_ni)
+         );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(rst_ni), .B(iccm_rst_i), .X(n1) );
+endmodule
+
+
+module opentitan_soc_top_rv_plic_gateway_N_SOURCE32_0 ( clk_i, rst_ni, src_i, 
+        le_i, claim_i, complete_i, ip_o );
+  input [31:0] src_i;
+  input [31:0] le_i;
+  input [31:0] claim_i;
+  input [31:0] complete_i;
+  output [31:0] ip_o;
+  input clk_i, rst_ni;
+  wire   N97, N98, N99, N100, N101, N102, N103, N104, N105, N106, N107, N108,
+         N109, N110, N111, N112, N113, N114, N115, N116, N117, N118, N119,
+         N120, N121, N122, N123, N124, N125, N126, N127, N129, N130, N131,
+         N132, N133, N134, N135, N136, N137, N138, N139, N140, N141, N142,
+         N143, N144, N145, N146, N147, N148, N149, N150, N151, N152, N153,
+         N154, N155, N156, N157, N158, N159, n1, n2, n3, n4, n5, n6, n7, n8,
+         n9, n10, n11, n12, n13, n14, n15, n16, n17, n18, n19, n20, n21, n22,
+         n23, n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36,
+         n37, n38, n39, n40, n41, n42, n43, n44, n45, n46, n47, n48, n49, n50,
+         n51, n52, n53, n54, n55, n56, n57, n58, n59, n60, n61, n62, n63, n64,
+         n65, n66, n67, n68, n69, n70, n71, n72, n73, n74, n75, n76, n77, n78,
+         n79, n80, n81, n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92,
+         n93, n94, n95, n96, n970, n980, n990, n1000, n1010, n1020, n1030,
+         n1040, n1050, n1060, n1070, n1080, n1090, n1100, n1110, n1120, n1130,
+         n1140, n1150, n1160, n1170, n1180, n1190, n1200, n1210, n1220, n1230,
+         n1240, n1250;
+  wire   [31:1] src_q;
+  wire   [31:1] ia;
+
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_31_ ( .D(src_i[31]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[31]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_30_ ( .D(src_i[30]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[30]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_29_ ( .D(src_i[29]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[29]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_28_ ( .D(src_i[28]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[28]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_27_ ( .D(src_i[27]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[27]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_26_ ( .D(src_i[26]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[26]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_25_ ( .D(src_i[25]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[25]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_24_ ( .D(src_i[24]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[24]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_23_ ( .D(src_i[23]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[23]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_22_ ( .D(src_i[22]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[22]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_21_ ( .D(src_i[21]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[21]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_20_ ( .D(src_i[20]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[20]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_19_ ( .D(src_i[19]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[19]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_18_ ( .D(src_i[18]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[18]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_17_ ( .D(src_i[17]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[17]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_16_ ( .D(src_i[16]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[16]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_15_ ( .D(src_i[15]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[15]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_14_ ( .D(src_i[14]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[14]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_13_ ( .D(src_i[13]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[13]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_12_ ( .D(src_i[12]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[12]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_11_ ( .D(src_i[11]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(src_q[11]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_10_ ( .D(src_i[10]), .CLK(clk_i), 
+        .RESET_B(n1250), .Q(src_q[10]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_9_ ( .D(src_i[9]), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(src_q[9]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_8_ ( .D(src_i[8]), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(src_q[8]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_7_ ( .D(src_i[7]), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(src_q[7]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_6_ ( .D(src_i[6]), .CLK(clk_i), .RESET_B(
+        n1250), .Q(src_q[6]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_5_ ( .D(src_i[5]), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(src_q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_4_ ( .D(src_i[4]), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(src_q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_3_ ( .D(src_i[3]), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(src_q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_2_ ( .D(src_i[2]), .CLK(clk_i), .RESET_B(
+        n1250), .Q(src_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 src_q_reg_1_ ( .D(src_i[1]), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(src_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_31_ ( .D(N129), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[31]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_31_ ( .D(N97), .CLK(clk_i), .RESET_B(n1250), .Q(ip_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_30_ ( .D(N130), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[30]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_30_ ( .D(N98), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_29_ ( .D(N131), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[29]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_29_ ( .D(N99), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_28_ ( .D(N132), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[28]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_28_ ( .D(N100), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_27_ ( .D(N133), .CLK(clk_i), .RESET_B(n1250), 
+        .Q(ia[27]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_27_ ( .D(N101), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_26_ ( .D(N134), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[26]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_26_ ( .D(N102), .CLK(clk_i), .RESET_B(
+        n1250), .Q(ip_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_25_ ( .D(N135), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[25]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_25_ ( .D(N103), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_24_ ( .D(N136), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[24]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_24_ ( .D(N104), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_23_ ( .D(N137), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[23]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_23_ ( .D(N105), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_22_ ( .D(N138), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[22]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_22_ ( .D(N106), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_21_ ( .D(N139), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[21]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_21_ ( .D(N107), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_20_ ( .D(N140), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[20]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_20_ ( .D(N108), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_19_ ( .D(N141), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[19]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_19_ ( .D(N109), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_18_ ( .D(N142), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[18]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_18_ ( .D(N110), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_17_ ( .D(N143), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[17]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_17_ ( .D(N111), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_16_ ( .D(N144), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[16]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_16_ ( .D(N112), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_15_ ( .D(N145), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[15]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_15_ ( .D(N113), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_14_ ( .D(N146), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[14]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_14_ ( .D(N114), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(ip_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_13_ ( .D(N147), .CLK(clk_i), .RESET_B(rst_ni), .Q(ia[13]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_13_ ( .D(N115), .CLK(clk_i), .RESET_B(
+        n1250), .Q(ip_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_12_ ( .D(N148), .CLK(clk_i), .RESET_B(n1250), 
+        .Q(ia[12]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_12_ ( .D(N116), .CLK(clk_i), .RESET_B(
+        n1250), .Q(ip_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_11_ ( .D(N149), .CLK(clk_i), .RESET_B(n1250), 
+        .Q(ia[11]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_11_ ( .D(N117), .CLK(clk_i), .RESET_B(
+        n1250), .Q(ip_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_10_ ( .D(N150), .CLK(clk_i), .RESET_B(n1250), 
+        .Q(ia[10]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_10_ ( .D(N118), .CLK(clk_i), .RESET_B(
+        n1250), .Q(ip_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_9_ ( .D(N151), .CLK(clk_i), .RESET_B(n1250), 
+        .Q(ia[9]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_9_ ( .D(N119), .CLK(clk_i), .RESET_B(n1250), .Q(ip_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_8_ ( .D(N152), .CLK(clk_i), .RESET_B(n1250), 
+        .Q(ia[8]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_8_ ( .D(N120), .CLK(clk_i), .RESET_B(n1250), .Q(ip_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_7_ ( .D(N153), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(ia[7]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_7_ ( .D(N121), .CLK(clk_i), .RESET_B(n1250), .Q(ip_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_6_ ( .D(N154), .CLK(clk_i), .RESET_B(n1250), 
+        .Q(ia[6]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_6_ ( .D(N122), .CLK(clk_i), .RESET_B(n1250), .Q(ip_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_5_ ( .D(N155), .CLK(clk_i), .RESET_B(n1250), 
+        .Q(ia[5]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_5_ ( .D(N123), .CLK(clk_i), .RESET_B(n1250), .Q(ip_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_4_ ( .D(N156), .CLK(clk_i), .RESET_B(n1250), 
+        .Q(ia[4]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_4_ ( .D(N124), .CLK(clk_i), .RESET_B(n1250), .Q(ip_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_3_ ( .D(N157), .CLK(clk_i), .RESET_B(n1250), 
+        .Q(ia[3]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_3_ ( .D(N125), .CLK(clk_i), .RESET_B(n1250), .Q(ip_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_2_ ( .D(N158), .CLK(clk_i), .RESET_B(n1250), 
+        .Q(ia[2]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_2_ ( .D(N126), .CLK(clk_i), .RESET_B(n1250), .Q(ip_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 ia_reg_1_ ( .D(N159), .CLK(clk_i), .RESET_B(n1250), 
+        .Q(ia[1]) );
+  sky130_fd_sc_hd__dfrtp_1 ip_o_reg_1_ ( .D(N127), .CLK(clk_i), .RESET_B(n1250), .Q(ip_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(rst_ni), .X(n1250) );
+  sky130_fd_sc_hd__a21oi_1 U4 ( .A1(src_q[31]), .A2(le_i[31]), .B1(ia[31]), 
+        .Y(n1) );
+  sky130_fd_sc_hd__nand2_1 U5 ( .A(src_i[31]), .B(n1), .Y(n34) );
+  sky130_fd_sc_hd__clkinv_1 U6 ( .A(ip_o[31]), .Y(n33) );
+  sky130_fd_sc_hd__o22ai_1 U7 ( .A1(ip_o[31]), .A2(n34), .B1(n33), .B2(
+        claim_i[31]), .Y(N97) );
+  sky130_fd_sc_hd__a21oi_1 U8 ( .A1(src_q[30]), .A2(le_i[30]), .B1(ia[30]), 
+        .Y(n2) );
+  sky130_fd_sc_hd__nand2_1 U9 ( .A(src_i[30]), .B(n2), .Y(n37) );
+  sky130_fd_sc_hd__clkinv_1 U10 ( .A(ip_o[30]), .Y(n36) );
+  sky130_fd_sc_hd__o22ai_1 U11 ( .A1(ip_o[30]), .A2(n37), .B1(n36), .B2(
+        claim_i[30]), .Y(N98) );
+  sky130_fd_sc_hd__a21oi_1 U12 ( .A1(src_q[29]), .A2(le_i[29]), .B1(ia[29]), 
+        .Y(n3) );
+  sky130_fd_sc_hd__nand2_1 U13 ( .A(src_i[29]), .B(n3), .Y(n40) );
+  sky130_fd_sc_hd__clkinv_1 U14 ( .A(ip_o[29]), .Y(n39) );
+  sky130_fd_sc_hd__o22ai_1 U15 ( .A1(ip_o[29]), .A2(n40), .B1(n39), .B2(
+        claim_i[29]), .Y(N99) );
+  sky130_fd_sc_hd__a21oi_1 U16 ( .A1(src_q[28]), .A2(le_i[28]), .B1(ia[28]), 
+        .Y(n4) );
+  sky130_fd_sc_hd__nand2_1 U17 ( .A(src_i[28]), .B(n4), .Y(n43) );
+  sky130_fd_sc_hd__clkinv_1 U18 ( .A(ip_o[28]), .Y(n42) );
+  sky130_fd_sc_hd__o22ai_1 U19 ( .A1(ip_o[28]), .A2(n43), .B1(n42), .B2(
+        claim_i[28]), .Y(N100) );
+  sky130_fd_sc_hd__a21oi_1 U20 ( .A1(src_q[27]), .A2(le_i[27]), .B1(ia[27]), 
+        .Y(n5) );
+  sky130_fd_sc_hd__nand2_1 U21 ( .A(src_i[27]), .B(n5), .Y(n46) );
+  sky130_fd_sc_hd__clkinv_1 U22 ( .A(ip_o[27]), .Y(n45) );
+  sky130_fd_sc_hd__o22ai_1 U23 ( .A1(ip_o[27]), .A2(n46), .B1(n45), .B2(
+        claim_i[27]), .Y(N101) );
+  sky130_fd_sc_hd__a21oi_1 U24 ( .A1(src_q[26]), .A2(le_i[26]), .B1(ia[26]), 
+        .Y(n6) );
+  sky130_fd_sc_hd__nand2_1 U25 ( .A(src_i[26]), .B(n6), .Y(n49) );
+  sky130_fd_sc_hd__clkinv_1 U26 ( .A(ip_o[26]), .Y(n48) );
+  sky130_fd_sc_hd__o22ai_1 U27 ( .A1(ip_o[26]), .A2(n49), .B1(n48), .B2(
+        claim_i[26]), .Y(N102) );
+  sky130_fd_sc_hd__a21oi_1 U28 ( .A1(src_q[25]), .A2(le_i[25]), .B1(ia[25]), 
+        .Y(n7) );
+  sky130_fd_sc_hd__nand2_1 U29 ( .A(src_i[25]), .B(n7), .Y(n52) );
+  sky130_fd_sc_hd__clkinv_1 U30 ( .A(ip_o[25]), .Y(n51) );
+  sky130_fd_sc_hd__o22ai_1 U31 ( .A1(ip_o[25]), .A2(n52), .B1(n51), .B2(
+        claim_i[25]), .Y(N103) );
+  sky130_fd_sc_hd__a21oi_1 U32 ( .A1(src_q[24]), .A2(le_i[24]), .B1(ia[24]), 
+        .Y(n8) );
+  sky130_fd_sc_hd__nand2_1 U33 ( .A(src_i[24]), .B(n8), .Y(n55) );
+  sky130_fd_sc_hd__clkinv_1 U34 ( .A(ip_o[24]), .Y(n54) );
+  sky130_fd_sc_hd__o22ai_1 U35 ( .A1(ip_o[24]), .A2(n55), .B1(n54), .B2(
+        claim_i[24]), .Y(N104) );
+  sky130_fd_sc_hd__a21oi_1 U36 ( .A1(src_q[23]), .A2(le_i[23]), .B1(ia[23]), 
+        .Y(n9) );
+  sky130_fd_sc_hd__nand2_1 U37 ( .A(src_i[23]), .B(n9), .Y(n58) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(ip_o[23]), .Y(n57) );
+  sky130_fd_sc_hd__o22ai_1 U39 ( .A1(ip_o[23]), .A2(n58), .B1(n57), .B2(
+        claim_i[23]), .Y(N105) );
+  sky130_fd_sc_hd__a21oi_1 U40 ( .A1(src_q[22]), .A2(le_i[22]), .B1(ia[22]), 
+        .Y(n10) );
+  sky130_fd_sc_hd__nand2_1 U41 ( .A(src_i[22]), .B(n10), .Y(n61) );
+  sky130_fd_sc_hd__clkinv_1 U42 ( .A(ip_o[22]), .Y(n60) );
+  sky130_fd_sc_hd__o22ai_1 U43 ( .A1(ip_o[22]), .A2(n61), .B1(n60), .B2(
+        claim_i[22]), .Y(N106) );
+  sky130_fd_sc_hd__a21oi_1 U44 ( .A1(src_q[21]), .A2(le_i[21]), .B1(ia[21]), 
+        .Y(n11) );
+  sky130_fd_sc_hd__nand2_1 U45 ( .A(src_i[21]), .B(n11), .Y(n64) );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(ip_o[21]), .Y(n63) );
+  sky130_fd_sc_hd__o22ai_1 U47 ( .A1(ip_o[21]), .A2(n64), .B1(n63), .B2(
+        claim_i[21]), .Y(N107) );
+  sky130_fd_sc_hd__a21oi_1 U48 ( .A1(src_q[20]), .A2(le_i[20]), .B1(ia[20]), 
+        .Y(n12) );
+  sky130_fd_sc_hd__nand2_1 U49 ( .A(src_i[20]), .B(n12), .Y(n67) );
+  sky130_fd_sc_hd__clkinv_1 U50 ( .A(ip_o[20]), .Y(n66) );
+  sky130_fd_sc_hd__o22ai_1 U51 ( .A1(ip_o[20]), .A2(n67), .B1(n66), .B2(
+        claim_i[20]), .Y(N108) );
+  sky130_fd_sc_hd__a21oi_1 U52 ( .A1(src_q[19]), .A2(le_i[19]), .B1(ia[19]), 
+        .Y(n13) );
+  sky130_fd_sc_hd__nand2_1 U53 ( .A(src_i[19]), .B(n13), .Y(n70) );
+  sky130_fd_sc_hd__clkinv_1 U54 ( .A(ip_o[19]), .Y(n69) );
+  sky130_fd_sc_hd__o22ai_1 U55 ( .A1(ip_o[19]), .A2(n70), .B1(n69), .B2(
+        claim_i[19]), .Y(N109) );
+  sky130_fd_sc_hd__a21oi_1 U56 ( .A1(src_q[18]), .A2(le_i[18]), .B1(ia[18]), 
+        .Y(n14) );
+  sky130_fd_sc_hd__nand2_1 U57 ( .A(src_i[18]), .B(n14), .Y(n73) );
+  sky130_fd_sc_hd__clkinv_1 U58 ( .A(ip_o[18]), .Y(n72) );
+  sky130_fd_sc_hd__o22ai_1 U59 ( .A1(ip_o[18]), .A2(n73), .B1(n72), .B2(
+        claim_i[18]), .Y(N110) );
+  sky130_fd_sc_hd__a21oi_1 U60 ( .A1(src_q[17]), .A2(le_i[17]), .B1(ia[17]), 
+        .Y(n15) );
+  sky130_fd_sc_hd__nand2_1 U61 ( .A(src_i[17]), .B(n15), .Y(n76) );
+  sky130_fd_sc_hd__clkinv_1 U62 ( .A(ip_o[17]), .Y(n75) );
+  sky130_fd_sc_hd__o22ai_1 U63 ( .A1(ip_o[17]), .A2(n76), .B1(n75), .B2(
+        claim_i[17]), .Y(N111) );
+  sky130_fd_sc_hd__a21oi_1 U64 ( .A1(src_q[16]), .A2(le_i[16]), .B1(ia[16]), 
+        .Y(n16) );
+  sky130_fd_sc_hd__nand2_1 U65 ( .A(src_i[16]), .B(n16), .Y(n79) );
+  sky130_fd_sc_hd__clkinv_1 U66 ( .A(ip_o[16]), .Y(n78) );
+  sky130_fd_sc_hd__o22ai_1 U67 ( .A1(ip_o[16]), .A2(n79), .B1(n78), .B2(
+        claim_i[16]), .Y(N112) );
+  sky130_fd_sc_hd__a21oi_1 U68 ( .A1(src_q[15]), .A2(le_i[15]), .B1(ia[15]), 
+        .Y(n17) );
+  sky130_fd_sc_hd__nand2_1 U69 ( .A(src_i[15]), .B(n17), .Y(n82) );
+  sky130_fd_sc_hd__clkinv_1 U70 ( .A(ip_o[15]), .Y(n81) );
+  sky130_fd_sc_hd__o22ai_1 U71 ( .A1(ip_o[15]), .A2(n82), .B1(n81), .B2(
+        claim_i[15]), .Y(N113) );
+  sky130_fd_sc_hd__a21oi_1 U72 ( .A1(src_q[14]), .A2(le_i[14]), .B1(ia[14]), 
+        .Y(n18) );
+  sky130_fd_sc_hd__nand2_1 U73 ( .A(src_i[14]), .B(n18), .Y(n85) );
+  sky130_fd_sc_hd__clkinv_1 U74 ( .A(ip_o[14]), .Y(n84) );
+  sky130_fd_sc_hd__o22ai_1 U75 ( .A1(ip_o[14]), .A2(n85), .B1(n84), .B2(
+        claim_i[14]), .Y(N114) );
+  sky130_fd_sc_hd__a21oi_1 U76 ( .A1(src_q[13]), .A2(le_i[13]), .B1(ia[13]), 
+        .Y(n19) );
+  sky130_fd_sc_hd__nand2_1 U77 ( .A(src_i[13]), .B(n19), .Y(n88) );
+  sky130_fd_sc_hd__clkinv_1 U78 ( .A(ip_o[13]), .Y(n87) );
+  sky130_fd_sc_hd__o22ai_1 U79 ( .A1(ip_o[13]), .A2(n88), .B1(n87), .B2(
+        claim_i[13]), .Y(N115) );
+  sky130_fd_sc_hd__a21oi_1 U80 ( .A1(src_q[12]), .A2(le_i[12]), .B1(ia[12]), 
+        .Y(n20) );
+  sky130_fd_sc_hd__nand2_1 U81 ( .A(src_i[12]), .B(n20), .Y(n91) );
+  sky130_fd_sc_hd__clkinv_1 U82 ( .A(ip_o[12]), .Y(n90) );
+  sky130_fd_sc_hd__o22ai_1 U83 ( .A1(ip_o[12]), .A2(n91), .B1(n90), .B2(
+        claim_i[12]), .Y(N116) );
+  sky130_fd_sc_hd__a21oi_1 U84 ( .A1(src_q[11]), .A2(le_i[11]), .B1(ia[11]), 
+        .Y(n21) );
+  sky130_fd_sc_hd__nand2_1 U85 ( .A(src_i[11]), .B(n21), .Y(n94) );
+  sky130_fd_sc_hd__clkinv_1 U86 ( .A(ip_o[11]), .Y(n93) );
+  sky130_fd_sc_hd__o22ai_1 U87 ( .A1(ip_o[11]), .A2(n94), .B1(n93), .B2(
+        claim_i[11]), .Y(N117) );
+  sky130_fd_sc_hd__a21oi_1 U88 ( .A1(src_q[10]), .A2(le_i[10]), .B1(ia[10]), 
+        .Y(n22) );
+  sky130_fd_sc_hd__nand2_1 U89 ( .A(src_i[10]), .B(n22), .Y(n970) );
+  sky130_fd_sc_hd__clkinv_1 U90 ( .A(ip_o[10]), .Y(n96) );
+  sky130_fd_sc_hd__o22ai_1 U91 ( .A1(ip_o[10]), .A2(n970), .B1(n96), .B2(
+        claim_i[10]), .Y(N118) );
+  sky130_fd_sc_hd__a21oi_1 U92 ( .A1(src_q[9]), .A2(le_i[9]), .B1(ia[9]), .Y(
+        n23) );
+  sky130_fd_sc_hd__nand2_1 U93 ( .A(src_i[9]), .B(n23), .Y(n1000) );
+  sky130_fd_sc_hd__clkinv_1 U94 ( .A(ip_o[9]), .Y(n990) );
+  sky130_fd_sc_hd__o22ai_1 U95 ( .A1(ip_o[9]), .A2(n1000), .B1(n990), .B2(
+        claim_i[9]), .Y(N119) );
+  sky130_fd_sc_hd__a21oi_1 U96 ( .A1(src_q[8]), .A2(le_i[8]), .B1(ia[8]), .Y(
+        n24) );
+  sky130_fd_sc_hd__nand2_1 U97 ( .A(src_i[8]), .B(n24), .Y(n1030) );
+  sky130_fd_sc_hd__clkinv_1 U98 ( .A(ip_o[8]), .Y(n1020) );
+  sky130_fd_sc_hd__o22ai_1 U99 ( .A1(ip_o[8]), .A2(n1030), .B1(n1020), .B2(
+        claim_i[8]), .Y(N120) );
+  sky130_fd_sc_hd__a21oi_1 U100 ( .A1(src_q[7]), .A2(le_i[7]), .B1(ia[7]), .Y(
+        n25) );
+  sky130_fd_sc_hd__nand2_1 U101 ( .A(src_i[7]), .B(n25), .Y(n1060) );
+  sky130_fd_sc_hd__clkinv_1 U102 ( .A(ip_o[7]), .Y(n1050) );
+  sky130_fd_sc_hd__o22ai_1 U103 ( .A1(ip_o[7]), .A2(n1060), .B1(n1050), .B2(
+        claim_i[7]), .Y(N121) );
+  sky130_fd_sc_hd__a21oi_1 U104 ( .A1(src_q[6]), .A2(le_i[6]), .B1(ia[6]), .Y(
+        n26) );
+  sky130_fd_sc_hd__nand2_1 U105 ( .A(src_i[6]), .B(n26), .Y(n1090) );
+  sky130_fd_sc_hd__clkinv_1 U106 ( .A(ip_o[6]), .Y(n1080) );
+  sky130_fd_sc_hd__o22ai_1 U107 ( .A1(ip_o[6]), .A2(n1090), .B1(n1080), .B2(
+        claim_i[6]), .Y(N122) );
+  sky130_fd_sc_hd__a21oi_1 U108 ( .A1(src_q[5]), .A2(le_i[5]), .B1(ia[5]), .Y(
+        n27) );
+  sky130_fd_sc_hd__nand2_1 U109 ( .A(src_i[5]), .B(n27), .Y(n1120) );
+  sky130_fd_sc_hd__clkinv_1 U110 ( .A(ip_o[5]), .Y(n1110) );
+  sky130_fd_sc_hd__o22ai_1 U111 ( .A1(ip_o[5]), .A2(n1120), .B1(n1110), .B2(
+        claim_i[5]), .Y(N123) );
+  sky130_fd_sc_hd__a21oi_1 U112 ( .A1(src_q[4]), .A2(le_i[4]), .B1(ia[4]), .Y(
+        n28) );
+  sky130_fd_sc_hd__nand2_1 U113 ( .A(src_i[4]), .B(n28), .Y(n1150) );
+  sky130_fd_sc_hd__clkinv_1 U114 ( .A(ip_o[4]), .Y(n1140) );
+  sky130_fd_sc_hd__o22ai_1 U115 ( .A1(ip_o[4]), .A2(n1150), .B1(n1140), .B2(
+        claim_i[4]), .Y(N124) );
+  sky130_fd_sc_hd__a21oi_1 U116 ( .A1(src_q[3]), .A2(le_i[3]), .B1(ia[3]), .Y(
+        n29) );
+  sky130_fd_sc_hd__nand2_1 U117 ( .A(src_i[3]), .B(n29), .Y(n1180) );
+  sky130_fd_sc_hd__clkinv_1 U118 ( .A(ip_o[3]), .Y(n1170) );
+  sky130_fd_sc_hd__o22ai_1 U119 ( .A1(ip_o[3]), .A2(n1180), .B1(n1170), .B2(
+        claim_i[3]), .Y(N125) );
+  sky130_fd_sc_hd__a21oi_1 U120 ( .A1(src_q[2]), .A2(le_i[2]), .B1(ia[2]), .Y(
+        n30) );
+  sky130_fd_sc_hd__nand2_1 U121 ( .A(src_i[2]), .B(n30), .Y(n1210) );
+  sky130_fd_sc_hd__clkinv_1 U122 ( .A(ip_o[2]), .Y(n1200) );
+  sky130_fd_sc_hd__o22ai_1 U123 ( .A1(ip_o[2]), .A2(n1210), .B1(n1200), .B2(
+        claim_i[2]), .Y(N126) );
+  sky130_fd_sc_hd__a21oi_1 U124 ( .A1(src_q[1]), .A2(le_i[1]), .B1(ia[1]), .Y(
+        n31) );
+  sky130_fd_sc_hd__nand2_1 U125 ( .A(src_i[1]), .B(n31), .Y(n1240) );
+  sky130_fd_sc_hd__clkinv_1 U126 ( .A(ip_o[1]), .Y(n1230) );
+  sky130_fd_sc_hd__o22ai_1 U127 ( .A1(ip_o[1]), .A2(n1240), .B1(n1230), .B2(
+        claim_i[1]), .Y(N127) );
+  sky130_fd_sc_hd__clkinv_1 U128 ( .A(ia[31]), .Y(n32) );
+  sky130_fd_sc_hd__a32oi_1 U129 ( .A1(complete_i[31]), .A2(n34), .A3(n33), 
+        .B1(n32), .B2(n34), .Y(N129) );
+  sky130_fd_sc_hd__clkinv_1 U130 ( .A(ia[30]), .Y(n35) );
+  sky130_fd_sc_hd__a32oi_1 U131 ( .A1(complete_i[30]), .A2(n37), .A3(n36), 
+        .B1(n35), .B2(n37), .Y(N130) );
+  sky130_fd_sc_hd__clkinv_1 U132 ( .A(ia[29]), .Y(n38) );
+  sky130_fd_sc_hd__a32oi_1 U133 ( .A1(complete_i[29]), .A2(n40), .A3(n39), 
+        .B1(n38), .B2(n40), .Y(N131) );
+  sky130_fd_sc_hd__clkinv_1 U134 ( .A(ia[28]), .Y(n41) );
+  sky130_fd_sc_hd__a32oi_1 U135 ( .A1(complete_i[28]), .A2(n43), .A3(n42), 
+        .B1(n41), .B2(n43), .Y(N132) );
+  sky130_fd_sc_hd__clkinv_1 U136 ( .A(ia[27]), .Y(n44) );
+  sky130_fd_sc_hd__a32oi_1 U137 ( .A1(complete_i[27]), .A2(n46), .A3(n45), 
+        .B1(n44), .B2(n46), .Y(N133) );
+  sky130_fd_sc_hd__clkinv_1 U138 ( .A(ia[26]), .Y(n47) );
+  sky130_fd_sc_hd__a32oi_1 U139 ( .A1(complete_i[26]), .A2(n49), .A3(n48), 
+        .B1(n47), .B2(n49), .Y(N134) );
+  sky130_fd_sc_hd__clkinv_1 U140 ( .A(ia[25]), .Y(n50) );
+  sky130_fd_sc_hd__a32oi_1 U141 ( .A1(complete_i[25]), .A2(n52), .A3(n51), 
+        .B1(n50), .B2(n52), .Y(N135) );
+  sky130_fd_sc_hd__clkinv_1 U142 ( .A(ia[24]), .Y(n53) );
+  sky130_fd_sc_hd__a32oi_1 U143 ( .A1(complete_i[24]), .A2(n55), .A3(n54), 
+        .B1(n53), .B2(n55), .Y(N136) );
+  sky130_fd_sc_hd__clkinv_1 U144 ( .A(ia[23]), .Y(n56) );
+  sky130_fd_sc_hd__a32oi_1 U145 ( .A1(complete_i[23]), .A2(n58), .A3(n57), 
+        .B1(n56), .B2(n58), .Y(N137) );
+  sky130_fd_sc_hd__clkinv_1 U146 ( .A(ia[22]), .Y(n59) );
+  sky130_fd_sc_hd__a32oi_1 U147 ( .A1(complete_i[22]), .A2(n61), .A3(n60), 
+        .B1(n59), .B2(n61), .Y(N138) );
+  sky130_fd_sc_hd__clkinv_1 U148 ( .A(ia[21]), .Y(n62) );
+  sky130_fd_sc_hd__a32oi_1 U149 ( .A1(complete_i[21]), .A2(n64), .A3(n63), 
+        .B1(n62), .B2(n64), .Y(N139) );
+  sky130_fd_sc_hd__clkinv_1 U150 ( .A(ia[20]), .Y(n65) );
+  sky130_fd_sc_hd__a32oi_1 U151 ( .A1(complete_i[20]), .A2(n67), .A3(n66), 
+        .B1(n65), .B2(n67), .Y(N140) );
+  sky130_fd_sc_hd__clkinv_1 U152 ( .A(ia[19]), .Y(n68) );
+  sky130_fd_sc_hd__a32oi_1 U153 ( .A1(complete_i[19]), .A2(n70), .A3(n69), 
+        .B1(n68), .B2(n70), .Y(N141) );
+  sky130_fd_sc_hd__clkinv_1 U154 ( .A(ia[18]), .Y(n71) );
+  sky130_fd_sc_hd__a32oi_1 U155 ( .A1(complete_i[18]), .A2(n73), .A3(n72), 
+        .B1(n71), .B2(n73), .Y(N142) );
+  sky130_fd_sc_hd__clkinv_1 U156 ( .A(ia[17]), .Y(n74) );
+  sky130_fd_sc_hd__a32oi_1 U157 ( .A1(complete_i[17]), .A2(n76), .A3(n75), 
+        .B1(n74), .B2(n76), .Y(N143) );
+  sky130_fd_sc_hd__clkinv_1 U158 ( .A(ia[16]), .Y(n77) );
+  sky130_fd_sc_hd__a32oi_1 U159 ( .A1(complete_i[16]), .A2(n79), .A3(n78), 
+        .B1(n77), .B2(n79), .Y(N144) );
+  sky130_fd_sc_hd__clkinv_1 U160 ( .A(ia[15]), .Y(n80) );
+  sky130_fd_sc_hd__a32oi_1 U161 ( .A1(complete_i[15]), .A2(n82), .A3(n81), 
+        .B1(n80), .B2(n82), .Y(N145) );
+  sky130_fd_sc_hd__clkinv_1 U162 ( .A(ia[14]), .Y(n83) );
+  sky130_fd_sc_hd__a32oi_1 U163 ( .A1(complete_i[14]), .A2(n85), .A3(n84), 
+        .B1(n83), .B2(n85), .Y(N146) );
+  sky130_fd_sc_hd__clkinv_1 U164 ( .A(ia[13]), .Y(n86) );
+  sky130_fd_sc_hd__a32oi_1 U165 ( .A1(complete_i[13]), .A2(n88), .A3(n87), 
+        .B1(n86), .B2(n88), .Y(N147) );
+  sky130_fd_sc_hd__clkinv_1 U166 ( .A(ia[12]), .Y(n89) );
+  sky130_fd_sc_hd__a32oi_1 U167 ( .A1(complete_i[12]), .A2(n91), .A3(n90), 
+        .B1(n89), .B2(n91), .Y(N148) );
+  sky130_fd_sc_hd__clkinv_1 U168 ( .A(ia[11]), .Y(n92) );
+  sky130_fd_sc_hd__a32oi_1 U169 ( .A1(complete_i[11]), .A2(n94), .A3(n93), 
+        .B1(n92), .B2(n94), .Y(N149) );
+  sky130_fd_sc_hd__clkinv_1 U170 ( .A(ia[10]), .Y(n95) );
+  sky130_fd_sc_hd__a32oi_1 U171 ( .A1(complete_i[10]), .A2(n970), .A3(n96), 
+        .B1(n95), .B2(n970), .Y(N150) );
+  sky130_fd_sc_hd__clkinv_1 U172 ( .A(ia[9]), .Y(n980) );
+  sky130_fd_sc_hd__a32oi_1 U173 ( .A1(complete_i[9]), .A2(n1000), .A3(n990), 
+        .B1(n980), .B2(n1000), .Y(N151) );
+  sky130_fd_sc_hd__clkinv_1 U174 ( .A(ia[8]), .Y(n1010) );
+  sky130_fd_sc_hd__a32oi_1 U175 ( .A1(complete_i[8]), .A2(n1030), .A3(n1020), 
+        .B1(n1010), .B2(n1030), .Y(N152) );
+  sky130_fd_sc_hd__clkinv_1 U176 ( .A(ia[7]), .Y(n1040) );
+  sky130_fd_sc_hd__a32oi_1 U177 ( .A1(complete_i[7]), .A2(n1060), .A3(n1050), 
+        .B1(n1040), .B2(n1060), .Y(N153) );
+  sky130_fd_sc_hd__clkinv_1 U178 ( .A(ia[6]), .Y(n1070) );
+  sky130_fd_sc_hd__a32oi_1 U179 ( .A1(complete_i[6]), .A2(n1090), .A3(n1080), 
+        .B1(n1070), .B2(n1090), .Y(N154) );
+  sky130_fd_sc_hd__clkinv_1 U180 ( .A(ia[5]), .Y(n1100) );
+  sky130_fd_sc_hd__a32oi_1 U181 ( .A1(complete_i[5]), .A2(n1120), .A3(n1110), 
+        .B1(n1100), .B2(n1120), .Y(N155) );
+  sky130_fd_sc_hd__clkinv_1 U182 ( .A(ia[4]), .Y(n1130) );
+  sky130_fd_sc_hd__a32oi_1 U183 ( .A1(complete_i[4]), .A2(n1150), .A3(n1140), 
+        .B1(n1130), .B2(n1150), .Y(N156) );
+  sky130_fd_sc_hd__clkinv_1 U184 ( .A(ia[3]), .Y(n1160) );
+  sky130_fd_sc_hd__a32oi_1 U185 ( .A1(complete_i[3]), .A2(n1180), .A3(n1170), 
+        .B1(n1160), .B2(n1180), .Y(N157) );
+  sky130_fd_sc_hd__clkinv_1 U186 ( .A(ia[2]), .Y(n1190) );
+  sky130_fd_sc_hd__a32oi_1 U187 ( .A1(complete_i[2]), .A2(n1210), .A3(n1200), 
+        .B1(n1190), .B2(n1210), .Y(N158) );
+  sky130_fd_sc_hd__clkinv_1 U188 ( .A(ia[1]), .Y(n1220) );
+  sky130_fd_sc_hd__a32oi_1 U189 ( .A1(complete_i[1]), .A2(n1240), .A3(n1230), 
+        .B1(n1220), .B2(n1240), .Y(N159) );
+endmodule
+
+
+module opentitan_soc_top_rv_plic_target_N_SOURCE32_MAX_PRIO7_0 ( clk_i, rst_ni, 
+        ip_i, ie_i, prio_i, threshold_i, irq_o, irq_id_o );
+  input [31:0] ip_i;
+  input [31:0] ie_i;
+  input [95:0] prio_i;
+  input [2:0] threshold_i;
+  output [5:0] irq_id_o;
+  input clk_i, rst_ni;
+  output irq_o;
+  wire   irq_d, n1, n2, n5, n6, n9, n10, n11, n12, n13, n14, n15, n16, n17,
+         n18, n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30, n31,
+         n32, n33, n34, n35, n36, n37, n38, n39, n40, n41, n42, n43, n44, n45,
+         n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58, n59,
+         n60, n61, n62, n63, n64, n65, n66, n67, n68, n69, n70, n71, n72, n73,
+         n74, n75, n76, n77, n78, n79, n80, n81, n82, n83, n84, n85, n86, n87,
+         n88, n89, n90, n91, n92, n93, n94, n95, n96, n97, n98, n99, n100,
+         n101, n102, n103, n104, n105, n106, n107, n108, n109, n110, n111,
+         n112, n113, n114, n115, n116, n117, n118, n119, n120, n121, n122,
+         n123, n124, n125, n126, n127, n128, n129, n130, n131, n132, n133,
+         n134, n135, n136, n137, n138, n139, n140, n141, n142, n143, n144,
+         n145, n146, n147, n148, n149, n150, n151, n152, n153, n154, n155,
+         n156, n157, n158, n159, n160, n161, n162, n163, n164, n165, n166,
+         n167, n168, n169, n170, n171, n172, n173, n174, n175, n176, n177,
+         n178, n179, n180, n181, n182, n185, n188, n189, n190, n191, n192,
+         n193, n194, n195, n196, n197, n198, n199, n200, n201, n202, n203,
+         n204, n205, n206, n207, n208, n209, n210, n211, n212, n213, n214,
+         n215, n216, n217, n218, n219, n220, n221, n222, n223, n224, n225,
+         n226, n227, n228, n229, n230, n231, n232, n233, n234, n235, n236,
+         n237, n238, n239, n240, n241, n242, n243, n244, n245, n246, n247,
+         n248, n249, n250, n251, n252, n253, n254, n255, n256, n257, n258,
+         n259, n260, n261, n262, n263, n264, n265, n266, n267, n268, n269,
+         n270, n271, n272, n273, n274, n275, n276, n277, n278, n279, n280,
+         n281, n282, n283, n284, n285, n286, n287, n288, n289, n290, n291,
+         n292, n293, n294, n295, n296, n297, n298, n299, n300, n301, n302,
+         n303, n304, n305, n306, n307, n308, n309, n310, n311, n312, n313,
+         n314, n315, n316, n317, n318, n319, n320, n321, n322, n323, n324,
+         n325, n326, n327, n328, n329, n330, n331, n332, n333, n334, n335,
+         n336, n337, n338, n339, n340, n341, n342, n343, n344, n345, n346,
+         n347, n348, n349, n350, n351, n352, n353, n354, n355, n356, n357,
+         n358, n359, n360, n361, n362, n363, n364, n365, n366, n367, n368,
+         n369, n370, n371, n372, n373, n374, n375, n376, n377, n378, n379,
+         n380, n381, n382, n383, n384, n385, n386, n387, n388, n389, n390,
+         n391, n392, n393, n394, n395, n396, n397, n398, n399, n400, n401,
+         n402, n403, n404, n405, n406, n407, n408, n409, n410, n411, n412,
+         n413, n414, n415, n416, n417, n418, n419, n420, n421, n422, n423,
+         n424, n425, n426, n427, n428, n429, n430, n431, n432, n433, n434,
+         n435, n436, n437, n438, n439, n440, n441, n442, n443, n444, n445,
+         n446, n447, n448, n449, n450, n451, n452, n453, n454;
+  wire   [4:0] irq_id_d;
+
+  sky130_fd_sc_hd__dfrtp_1 irq_id_q_reg_4_ ( .D(irq_id_d[4]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(irq_id_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 irq_id_q_reg_3_ ( .D(irq_id_d[3]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(irq_id_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 irq_id_q_reg_2_ ( .D(irq_id_d[2]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(irq_id_o[2]) );
+  sky130_fd_sc_hd__dfrtp_1 irq_id_q_reg_1_ ( .D(irq_id_d[1]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(irq_id_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 irq_id_q_reg_0_ ( .D(irq_id_d[0]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(irq_id_o[0]) );
+  sky130_fd_sc_hd__dfrtp_1 irq_q_reg ( .D(irq_d), .CLK(clk_i), .RESET_B(rst_ni), .Q(irq_o) );
+  sky130_fd_sc_hd__nand2_1 U3 ( .A(ie_i[28]), .B(ip_i[28]), .Y(n1) );
+  sky130_fd_sc_hd__nand2_1 U4 ( .A(ip_i[29]), .B(ie_i[29]), .Y(n110) );
+  sky130_fd_sc_hd__nand2_1 U5 ( .A(n1), .B(n110), .Y(n129) );
+  sky130_fd_sc_hd__nand2_1 U6 ( .A(ie_i[30]), .B(ip_i[30]), .Y(n2) );
+  sky130_fd_sc_hd__nand2_1 U7 ( .A(ip_i[31]), .B(ie_i[31]), .Y(n117) );
+  sky130_fd_sc_hd__nand2_1 U8 ( .A(n2), .B(n117), .Y(n132) );
+  sky130_fd_sc_hd__nor2_1 U9 ( .A(n129), .B(n132), .Y(n152) );
+  sky130_fd_sc_hd__nand2_1 U16 ( .A(n103), .B(n104), .Y(n153) );
+  sky130_fd_sc_hd__nor2b_1 U17 ( .B_N(n152), .A(n153), .Y(n177) );
+  sky130_fd_sc_hd__nand2_1 U18 ( .A(ie_i[20]), .B(ip_i[20]), .Y(n5) );
+  sky130_fd_sc_hd__nand2_1 U19 ( .A(ip_i[21]), .B(ie_i[21]), .Y(n11) );
+  sky130_fd_sc_hd__nand2_1 U20 ( .A(n5), .B(n11), .Y(n27) );
+  sky130_fd_sc_hd__nand2_1 U21 ( .A(ip_i[22]), .B(ie_i[22]), .Y(n6) );
+  sky130_fd_sc_hd__nand2_1 U22 ( .A(ip_i[23]), .B(ie_i[23]), .Y(n19) );
+  sky130_fd_sc_hd__nand2_1 U23 ( .A(n6), .B(n19), .Y(n30) );
+  sky130_fd_sc_hd__nor2_1 U24 ( .A(n27), .B(n30), .Y(n78) );
+  sky130_fd_sc_hd__nand2_1 U31 ( .A(n60), .B(n59), .Y(n77) );
+  sky130_fd_sc_hd__nor2b_1 U32 ( .B_N(n78), .A(n77), .Y(n174) );
+  sky130_fd_sc_hd__and2_0 U33 ( .A(n177), .B(n174), .X(n380) );
+  sky130_fd_sc_hd__clkinv_1 U34 ( .A(prio_i[35]), .Y(n14) );
+  sky130_fd_sc_hd__clkinv_1 U35 ( .A(prio_i[34]), .Y(n22) );
+  sky130_fd_sc_hd__o21ai_1 U36 ( .A1(prio_i[31]), .A2(n22), .B1(prio_i[30]), 
+        .Y(n9) );
+  sky130_fd_sc_hd__o2bb2ai_1 U37 ( .B1(prio_i[33]), .B2(n9), .A1_N(n22), 
+        .A2_N(prio_i[31]), .Y(n10) );
+  sky130_fd_sc_hd__a222oi_1 U38 ( .A1(prio_i[32]), .A2(n14), .B1(prio_i[32]), 
+        .B2(n10), .C1(n14), .C2(n10), .Y(n12) );
+  sky130_fd_sc_hd__a31oi_1 U39 ( .A1(ie_i[20]), .A2(ip_i[20]), .A3(n12), .B1(
+        n11), .Y(n361) );
+  sky130_fd_sc_hd__nand2_1 U40 ( .A(n361), .B(prio_i[32]), .Y(n13) );
+  sky130_fd_sc_hd__o21ai_1 U41 ( .A1(n14), .A2(n361), .B1(n13), .Y(n33) );
+  sky130_fd_sc_hd__clkinv_1 U42 ( .A(prio_i[28]), .Y(n15) );
+  sky130_fd_sc_hd__clkinv_1 U43 ( .A(prio_i[27]), .Y(n24) );
+  sky130_fd_sc_hd__o21a_1 U44 ( .A1(n15), .A2(prio_i[25]), .B1(n24), .X(n16)
+         );
+  sky130_fd_sc_hd__a22oi_1 U45 ( .A1(n16), .A2(prio_i[24]), .B1(prio_i[25]), 
+        .B2(n15), .Y(n18) );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(prio_i[26]), .Y(n17) );
+  sky130_fd_sc_hd__maj3_1 U47 ( .A(prio_i[29]), .B(n18), .C(n17), .X(n20) );
+  sky130_fd_sc_hd__a31oi_1 U48 ( .A1(ip_i[22]), .A2(ie_i[22]), .A3(n20), .B1(
+        n19), .Y(n360) );
+  sky130_fd_sc_hd__mux2i_1 U49 ( .A0(prio_i[28]), .A1(prio_i[25]), .S(n360), 
+        .Y(n64) );
+  sky130_fd_sc_hd__nand2_1 U50 ( .A(n361), .B(prio_i[31]), .Y(n21) );
+  sky130_fd_sc_hd__o21ai_1 U51 ( .A1(n22), .A2(n361), .B1(n21), .Y(n66) );
+  sky130_fd_sc_hd__nand2_1 U52 ( .A(n360), .B(prio_i[24]), .Y(n23) );
+  sky130_fd_sc_hd__o21ai_1 U53 ( .A1(n24), .A2(n360), .B1(n23), .Y(n35) );
+  sky130_fd_sc_hd__mux2i_1 U54 ( .A0(prio_i[33]), .A1(prio_i[30]), .S(n361), 
+        .Y(n34) );
+  sky130_fd_sc_hd__nand2_1 U55 ( .A(n35), .B(n34), .Y(n25) );
+  sky130_fd_sc_hd__maj3_1 U56 ( .A(n64), .B(n66), .C(n25), .X(n26) );
+  sky130_fd_sc_hd__mux2i_1 U57 ( .A0(prio_i[29]), .A1(prio_i[26]), .S(n360), 
+        .Y(n31) );
+  sky130_fd_sc_hd__o21ai_1 U58 ( .A1(n26), .A2(n31), .B1(n33), .Y(n29) );
+  sky130_fd_sc_hd__nand2_1 U59 ( .A(n26), .B(n31), .Y(n28) );
+  sky130_fd_sc_hd__a21boi_0 U60 ( .A1(n29), .A2(n28), .B1_N(n27), .Y(n364) );
+  sky130_fd_sc_hd__nor2b_1 U61 ( .B_N(n30), .A(n364), .Y(n416) );
+  sky130_fd_sc_hd__nand2_1 U62 ( .A(n416), .B(n31), .Y(n32) );
+  sky130_fd_sc_hd__o21ai_1 U63 ( .A1(n33), .A2(n416), .B1(n32), .Y(n81) );
+  sky130_fd_sc_hd__clkinv_1 U64 ( .A(n34), .Y(n37) );
+  sky130_fd_sc_hd__nand2b_1 U65 ( .A_N(n35), .B(n416), .Y(n36) );
+  sky130_fd_sc_hd__o21ai_1 U66 ( .A1(n37), .A2(n416), .B1(n36), .Y(n168) );
+  sky130_fd_sc_hd__clkinv_1 U67 ( .A(prio_i[46]), .Y(n52) );
+  sky130_fd_sc_hd__clkinv_1 U68 ( .A(prio_i[43]), .Y(n38) );
+  sky130_fd_sc_hd__a21oi_1 U69 ( .A1(prio_i[46]), .A2(n38), .B1(prio_i[45]), 
+        .Y(n39) );
+  sky130_fd_sc_hd__a22oi_1 U70 ( .A1(prio_i[43]), .A2(n52), .B1(prio_i[42]), 
+        .B2(n39), .Y(n41) );
+  sky130_fd_sc_hd__clkinv_1 U71 ( .A(prio_i[44]), .Y(n40) );
+  sky130_fd_sc_hd__maj3_1 U72 ( .A(prio_i[47]), .B(n41), .C(n40), .X(n43) );
+  sky130_fd_sc_hd__a31oi_1 U73 ( .A1(ip_i[16]), .A2(ie_i[16]), .A3(n43), .B1(
+        n42), .Y(n356) );
+  sky130_fd_sc_hd__mux2i_1 U74 ( .A0(prio_i[45]), .A1(prio_i[42]), .S(n356), 
+        .Y(n63) );
+  sky130_fd_sc_hd__mux2i_1 U75 ( .A0(prio_i[47]), .A1(prio_i[44]), .S(n356), 
+        .Y(n74) );
+  sky130_fd_sc_hd__clkinv_1 U76 ( .A(prio_i[41]), .Y(n56) );
+  sky130_fd_sc_hd__clkinv_1 U77 ( .A(prio_i[40]), .Y(n44) );
+  sky130_fd_sc_hd__o21ai_1 U78 ( .A1(prio_i[37]), .A2(n44), .B1(prio_i[36]), 
+        .Y(n45) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79 ( .B1(prio_i[39]), .B2(n45), .A1_N(n44), 
+        .A2_N(prio_i[37]), .Y(n46) );
+  sky130_fd_sc_hd__a222oi_1 U80 ( .A1(prio_i[38]), .A2(n56), .B1(prio_i[38]), 
+        .B2(n46), .C1(n56), .C2(n46), .Y(n48) );
+  sky130_fd_sc_hd__a31oi_1 U81 ( .A1(ip_i[18]), .A2(ie_i[18]), .A3(n48), .B1(
+        n47), .Y(n55) );
+  sky130_fd_sc_hd__clkinv_1 U82 ( .A(n55), .Y(n358) );
+  sky130_fd_sc_hd__mux2i_1 U83 ( .A0(prio_i[37]), .A1(prio_i[40]), .S(n358), 
+        .Y(n69) );
+  sky130_fd_sc_hd__clkinv_1 U84 ( .A(prio_i[39]), .Y(n50) );
+  sky130_fd_sc_hd__nand2_1 U85 ( .A(n55), .B(prio_i[36]), .Y(n49) );
+  sky130_fd_sc_hd__o21ai_1 U86 ( .A1(n50), .A2(n55), .B1(n49), .Y(n61) );
+  sky130_fd_sc_hd__nand2_1 U87 ( .A(n61), .B(n63), .Y(n53) );
+  sky130_fd_sc_hd__nand2_1 U88 ( .A(n356), .B(prio_i[43]), .Y(n51) );
+  sky130_fd_sc_hd__o21ai_1 U89 ( .A1(n52), .A2(n356), .B1(n51), .Y(n67) );
+  sky130_fd_sc_hd__a222oi_1 U90 ( .A1(n69), .A2(n53), .B1(n69), .B2(n67), .C1(
+        n53), .C2(n67), .Y(n57) );
+  sky130_fd_sc_hd__nand2_1 U91 ( .A(n55), .B(prio_i[38]), .Y(n54) );
+  sky130_fd_sc_hd__o21ai_1 U92 ( .A1(n56), .A2(n55), .B1(n54), .Y(n72) );
+  sky130_fd_sc_hd__maj3_1 U93 ( .A(n74), .B(n57), .C(n72), .X(n58) );
+  sky130_fd_sc_hd__nor2_1 U94 ( .A(n59), .B(n58), .Y(n359) );
+  sky130_fd_sc_hd__nor2_1 U95 ( .A(n60), .B(n359), .Y(n418) );
+  sky130_fd_sc_hd__nand2_1 U96 ( .A(n418), .B(n61), .Y(n62) );
+  sky130_fd_sc_hd__o21ai_1 U97 ( .A1(n63), .A2(n418), .B1(n62), .Y(n166) );
+  sky130_fd_sc_hd__nand2_1 U98 ( .A(n416), .B(n64), .Y(n65) );
+  sky130_fd_sc_hd__o21ai_1 U99 ( .A1(n66), .A2(n416), .B1(n65), .Y(n160) );
+  sky130_fd_sc_hd__clkinv_1 U100 ( .A(n418), .Y(n357) );
+  sky130_fd_sc_hd__nand2_1 U101 ( .A(n357), .B(n67), .Y(n68) );
+  sky130_fd_sc_hd__o21ai_1 U102 ( .A1(n69), .A2(n357), .B1(n68), .Y(n158) );
+  sky130_fd_sc_hd__o22ai_1 U103 ( .A1(n168), .A2(n166), .B1(n160), .B2(n158), 
+        .Y(n71) );
+  sky130_fd_sc_hd__nand2_1 U104 ( .A(n160), .B(n158), .Y(n70) );
+  sky130_fd_sc_hd__nand2_1 U105 ( .A(n71), .B(n70), .Y(n75) );
+  sky130_fd_sc_hd__nand2_1 U106 ( .A(n418), .B(n72), .Y(n73) );
+  sky130_fd_sc_hd__o21ai_1 U107 ( .A1(n74), .A2(n418), .B1(n73), .Y(n79) );
+  sky130_fd_sc_hd__maj3_1 U108 ( .A(n81), .B(n75), .C(n79), .X(n76) );
+  sky130_fd_sc_hd__nand2_1 U109 ( .A(n77), .B(n76), .Y(n415) );
+  sky130_fd_sc_hd__nand2b_1 U110 ( .A_N(n78), .B(n415), .Y(n417) );
+  sky130_fd_sc_hd__nand2_1 U111 ( .A(n417), .B(n79), .Y(n80) );
+  sky130_fd_sc_hd__o21ai_1 U112 ( .A1(n81), .A2(n417), .B1(n80), .Y(n180) );
+  sky130_fd_sc_hd__clkinv_1 U113 ( .A(prio_i[22]), .Y(n96) );
+  sky130_fd_sc_hd__clkinv_1 U114 ( .A(prio_i[19]), .Y(n82) );
+  sky130_fd_sc_hd__a21oi_1 U115 ( .A1(prio_i[22]), .A2(n82), .B1(prio_i[21]), 
+        .Y(n83) );
+  sky130_fd_sc_hd__a22oi_1 U116 ( .A1(prio_i[19]), .A2(n96), .B1(prio_i[18]), 
+        .B2(n83), .Y(n85) );
+  sky130_fd_sc_hd__clkinv_1 U117 ( .A(prio_i[20]), .Y(n84) );
+  sky130_fd_sc_hd__maj3_1 U118 ( .A(prio_i[23]), .B(n85), .C(n84), .X(n87) );
+  sky130_fd_sc_hd__a31oi_1 U119 ( .A1(ip_i[24]), .A2(ie_i[24]), .A3(n87), .B1(
+        n86), .Y(n367) );
+  sky130_fd_sc_hd__mux2i_1 U120 ( .A0(prio_i[23]), .A1(prio_i[20]), .S(n367), 
+        .Y(n107) );
+  sky130_fd_sc_hd__clkinv_1 U121 ( .A(prio_i[17]), .Y(n100) );
+  sky130_fd_sc_hd__clkinv_1 U122 ( .A(prio_i[16]), .Y(n88) );
+  sky130_fd_sc_hd__o21ai_1 U123 ( .A1(prio_i[13]), .A2(n88), .B1(prio_i[12]), 
+        .Y(n89) );
+  sky130_fd_sc_hd__o2bb2ai_1 U124 ( .B1(prio_i[15]), .B2(n89), .A1_N(n88), 
+        .A2_N(prio_i[13]), .Y(n90) );
+  sky130_fd_sc_hd__a222oi_1 U125 ( .A1(prio_i[14]), .A2(n100), .B1(prio_i[14]), 
+        .B2(n90), .C1(n100), .C2(n90), .Y(n92) );
+  sky130_fd_sc_hd__a31oi_1 U126 ( .A1(ip_i[26]), .A2(ie_i[26]), .A3(n92), .B1(
+        n91), .Y(n99) );
+  sky130_fd_sc_hd__clkinv_1 U127 ( .A(n99), .Y(n368) );
+  sky130_fd_sc_hd__mux2i_1 U128 ( .A0(prio_i[13]), .A1(prio_i[16]), .S(n368), 
+        .Y(n145) );
+  sky130_fd_sc_hd__clkinv_1 U129 ( .A(prio_i[15]), .Y(n94) );
+  sky130_fd_sc_hd__nand2_1 U130 ( .A(n99), .B(prio_i[12]), .Y(n93) );
+  sky130_fd_sc_hd__o21ai_1 U131 ( .A1(n94), .A2(n99), .B1(n93), .Y(n136) );
+  sky130_fd_sc_hd__mux2i_1 U132 ( .A0(prio_i[21]), .A1(prio_i[18]), .S(n367), 
+        .Y(n138) );
+  sky130_fd_sc_hd__nand2_1 U133 ( .A(n136), .B(n138), .Y(n97) );
+  sky130_fd_sc_hd__nand2_1 U134 ( .A(n367), .B(prio_i[19]), .Y(n95) );
+  sky130_fd_sc_hd__o21ai_1 U135 ( .A1(n96), .A2(n367), .B1(n95), .Y(n143) );
+  sky130_fd_sc_hd__a222oi_1 U136 ( .A1(n145), .A2(n97), .B1(n145), .B2(n143), 
+        .C1(n97), .C2(n143), .Y(n101) );
+  sky130_fd_sc_hd__nand2_1 U137 ( .A(n99), .B(prio_i[14]), .Y(n98) );
+  sky130_fd_sc_hd__o21ai_1 U138 ( .A1(n100), .A2(n99), .B1(n98), .Y(n105) );
+  sky130_fd_sc_hd__maj3_1 U139 ( .A(n107), .B(n101), .C(n105), .X(n102) );
+  sky130_fd_sc_hd__nor2_1 U140 ( .A(n103), .B(n102), .Y(n369) );
+  sky130_fd_sc_hd__nor2_1 U141 ( .A(n104), .B(n369), .Y(n142) );
+  sky130_fd_sc_hd__nand2_1 U142 ( .A(n142), .B(n105), .Y(n106) );
+  sky130_fd_sc_hd__o21ai_1 U143 ( .A1(n107), .A2(n142), .B1(n106), .Y(n157) );
+  sky130_fd_sc_hd__clkinv_1 U144 ( .A(prio_i[11]), .Y(n127) );
+  sky130_fd_sc_hd__clkinv_1 U145 ( .A(prio_i[10]), .Y(n120) );
+  sky130_fd_sc_hd__o21ai_1 U146 ( .A1(prio_i[7]), .A2(n120), .B1(prio_i[6]), 
+        .Y(n108) );
+  sky130_fd_sc_hd__o2bb2ai_1 U147 ( .B1(prio_i[9]), .B2(n108), .A1_N(n120), 
+        .A2_N(prio_i[7]), .Y(n109) );
+  sky130_fd_sc_hd__a222oi_1 U148 ( .A1(prio_i[8]), .A2(n127), .B1(prio_i[8]), 
+        .B2(n109), .C1(n127), .C2(n109), .Y(n111) );
+  sky130_fd_sc_hd__a31oi_1 U149 ( .A1(ie_i[28]), .A2(ip_i[28]), .A3(n111), 
+        .B1(n110), .Y(n126) );
+  sky130_fd_sc_hd__clkinv_1 U150 ( .A(n126), .Y(n371) );
+  sky130_fd_sc_hd__nand2_1 U151 ( .A(n126), .B(prio_i[6]), .Y(n112) );
+  sky130_fd_sc_hd__a21boi_0 U152 ( .A1(prio_i[9]), .A2(n371), .B1_N(n112), .Y(
+        n123) );
+  sky130_fd_sc_hd__clkinv_1 U153 ( .A(n123), .Y(n135) );
+  sky130_fd_sc_hd__clkinv_1 U154 ( .A(prio_i[4]), .Y(n114) );
+  sky130_fd_sc_hd__clkinv_1 U155 ( .A(prio_i[3]), .Y(n122) );
+  sky130_fd_sc_hd__o21a_1 U156 ( .A1(n114), .A2(prio_i[1]), .B1(n122), .X(n113) );
+  sky130_fd_sc_hd__a22oi_1 U157 ( .A1(prio_i[1]), .A2(n114), .B1(prio_i[0]), 
+        .B2(n113), .Y(n116) );
+  sky130_fd_sc_hd__clkinv_1 U158 ( .A(prio_i[2]), .Y(n115) );
+  sky130_fd_sc_hd__maj3_1 U159 ( .A(prio_i[5]), .B(n116), .C(n115), .X(n118)
+         );
+  sky130_fd_sc_hd__a31oi_1 U160 ( .A1(ie_i[30]), .A2(ip_i[30]), .A3(n118), 
+        .B1(n117), .Y(n370) );
+  sky130_fd_sc_hd__mux2i_1 U161 ( .A0(prio_i[5]), .A1(prio_i[2]), .S(n370), 
+        .Y(n148) );
+  sky130_fd_sc_hd__mux2i_1 U162 ( .A0(prio_i[4]), .A1(prio_i[1]), .S(n370), 
+        .Y(n139) );
+  sky130_fd_sc_hd__nand2_1 U163 ( .A(n126), .B(prio_i[7]), .Y(n119) );
+  sky130_fd_sc_hd__o21ai_1 U164 ( .A1(n120), .A2(n126), .B1(n119), .Y(n141) );
+  sky130_fd_sc_hd__nand2_1 U165 ( .A(n370), .B(prio_i[0]), .Y(n121) );
+  sky130_fd_sc_hd__o21ai_1 U166 ( .A1(n122), .A2(n370), .B1(n121), .Y(n133) );
+  sky130_fd_sc_hd__nand2_1 U167 ( .A(n133), .B(n123), .Y(n124) );
+  sky130_fd_sc_hd__maj3_1 U168 ( .A(n139), .B(n141), .C(n124), .X(n128) );
+  sky130_fd_sc_hd__nand2_1 U169 ( .A(n126), .B(prio_i[8]), .Y(n125) );
+  sky130_fd_sc_hd__o21ai_1 U170 ( .A1(n127), .A2(n126), .B1(n125), .Y(n150) );
+  sky130_fd_sc_hd__o21ai_1 U171 ( .A1(n148), .A2(n128), .B1(n150), .Y(n131) );
+  sky130_fd_sc_hd__nand2_1 U172 ( .A(n148), .B(n128), .Y(n130) );
+  sky130_fd_sc_hd__a21boi_0 U173 ( .A1(n131), .A2(n130), .B1_N(n129), .Y(n373)
+         );
+  sky130_fd_sc_hd__nor2b_1 U174 ( .B_N(n132), .A(n373), .Y(n424) );
+  sky130_fd_sc_hd__nand2b_1 U175 ( .A_N(n133), .B(n424), .Y(n134) );
+  sky130_fd_sc_hd__o21ai_1 U176 ( .A1(n135), .A2(n424), .B1(n134), .Y(n164) );
+  sky130_fd_sc_hd__nand2_1 U177 ( .A(n142), .B(n136), .Y(n137) );
+  sky130_fd_sc_hd__o21ai_1 U178 ( .A1(n138), .A2(n142), .B1(n137), .Y(n165) );
+  sky130_fd_sc_hd__nand2_1 U179 ( .A(n424), .B(n139), .Y(n140) );
+  sky130_fd_sc_hd__o21ai_1 U180 ( .A1(n141), .A2(n424), .B1(n140), .Y(n161) );
+  sky130_fd_sc_hd__clkinv_1 U181 ( .A(n142), .Y(n421) );
+  sky130_fd_sc_hd__nand2_1 U182 ( .A(n421), .B(n143), .Y(n144) );
+  sky130_fd_sc_hd__o21ai_1 U183 ( .A1(n145), .A2(n421), .B1(n144), .Y(n163) );
+  sky130_fd_sc_hd__o22ai_1 U184 ( .A1(n164), .A2(n165), .B1(n161), .B2(n163), 
+        .Y(n147) );
+  sky130_fd_sc_hd__nand2_1 U185 ( .A(n161), .B(n163), .Y(n146) );
+  sky130_fd_sc_hd__nand2_1 U186 ( .A(n147), .B(n146), .Y(n151) );
+  sky130_fd_sc_hd__nand2_1 U187 ( .A(n424), .B(n148), .Y(n149) );
+  sky130_fd_sc_hd__o21ai_1 U188 ( .A1(n150), .A2(n424), .B1(n149), .Y(n155) );
+  sky130_fd_sc_hd__maj3_1 U189 ( .A(n151), .B(n157), .C(n155), .X(n154) );
+  sky130_fd_sc_hd__a21oi_1 U190 ( .A1(n154), .A2(n153), .B1(n152), .Y(n422) );
+  sky130_fd_sc_hd__nand2_1 U191 ( .A(n422), .B(n155), .Y(n156) );
+  sky130_fd_sc_hd__o21ai_1 U192 ( .A1(n157), .A2(n422), .B1(n156), .Y(n178) );
+  sky130_fd_sc_hd__clkinv_1 U193 ( .A(n417), .Y(n431) );
+  sky130_fd_sc_hd__or2_0 U194 ( .A(n158), .B(n431), .X(n159) );
+  sky130_fd_sc_hd__a21boi_0 U195 ( .A1(n160), .A2(n431), .B1_N(n159), .Y(n342)
+         );
+  sky130_fd_sc_hd__clkinv_1 U196 ( .A(n342), .Y(n172) );
+  sky130_fd_sc_hd__clkinv_1 U197 ( .A(n422), .Y(n375) );
+  sky130_fd_sc_hd__or2_0 U198 ( .A(n161), .B(n375), .X(n162) );
+  sky130_fd_sc_hd__a21boi_0 U199 ( .A1(n163), .A2(n375), .B1_N(n162), .Y(n340)
+         );
+  sky130_fd_sc_hd__clkinv_1 U200 ( .A(n340), .Y(n171) );
+  sky130_fd_sc_hd__clkinv_1 U201 ( .A(n164), .Y(n339) );
+  sky130_fd_sc_hd__nand2_1 U202 ( .A(n339), .B(n422), .Y(n169) );
+  sky130_fd_sc_hd__nand2_1 U203 ( .A(n165), .B(n375), .Y(n335) );
+  sky130_fd_sc_hd__nand2_1 U204 ( .A(n166), .B(n417), .Y(n167) );
+  sky130_fd_sc_hd__o21ai_1 U205 ( .A1(n168), .A2(n417), .B1(n167), .Y(n334) );
+  sky130_fd_sc_hd__a21oi_1 U206 ( .A1(n169), .A2(n335), .B1(n334), .Y(n170) );
+  sky130_fd_sc_hd__a222oi_1 U207 ( .A1(n172), .A2(n171), .B1(n172), .B2(n170), 
+        .C1(n171), .C2(n170), .Y(n173) );
+  sky130_fd_sc_hd__o21ai_1 U208 ( .A1(n178), .A2(n173), .B1(n180), .Y(n176) );
+  sky130_fd_sc_hd__nand2_1 U209 ( .A(n178), .B(n173), .Y(n175) );
+  sky130_fd_sc_hd__a21oi_1 U210 ( .A1(n176), .A2(n175), .B1(n174), .Y(n333) );
+  sky130_fd_sc_hd__nor2_1 U211 ( .A(n177), .B(n333), .Y(n420) );
+  sky130_fd_sc_hd__nand2_1 U212 ( .A(n420), .B(n178), .Y(n179) );
+  sky130_fd_sc_hd__o21ai_1 U213 ( .A1(n180), .A2(n420), .B1(n179), .Y(n447) );
+  sky130_fd_sc_hd__nand2_1 U214 ( .A(ip_i[10]), .B(ie_i[10]), .Y(n181) );
+  sky130_fd_sc_hd__nand2_1 U215 ( .A(ip_i[11]), .B(ie_i[11]), .Y(n226) );
+  sky130_fd_sc_hd__nand2_1 U216 ( .A(n181), .B(n226), .Y(n238) );
+  sky130_fd_sc_hd__nand2_1 U217 ( .A(ip_i[8]), .B(ie_i[8]), .Y(n182) );
+  sky130_fd_sc_hd__nand2_1 U218 ( .A(ip_i[9]), .B(ie_i[9]), .Y(n221) );
+  sky130_fd_sc_hd__nand2_1 U219 ( .A(n182), .B(n221), .Y(n237) );
+  sky130_fd_sc_hd__nor2_1 U220 ( .A(n238), .B(n237), .Y(n257) );
+  sky130_fd_sc_hd__nand2_1 U227 ( .A(n209), .B(n206), .Y(n255) );
+  sky130_fd_sc_hd__nor2b_1 U228 ( .B_N(n257), .A(n255), .Y(n352) );
+  sky130_fd_sc_hd__nand2_1 U229 ( .A(ie_i[2]), .B(ip_i[2]), .Y(n185) );
+  sky130_fd_sc_hd__nand2_1 U230 ( .A(ip_i[3]), .B(ie_i[3]), .Y(n280) );
+  sky130_fd_sc_hd__nand2_1 U231 ( .A(n185), .B(n280), .Y(n286) );
+  sky130_fd_sc_hd__a21oi_1 U232 ( .A1(ip_i[1]), .A2(ie_i[1]), .B1(n286), .Y(
+        n291) );
+  sky130_fd_sc_hd__nand2_1 U239 ( .A(n273), .B(n272), .Y(n307) );
+  sky130_fd_sc_hd__nor2b_1 U240 ( .B_N(n291), .A(n307), .Y(n353) );
+  sky130_fd_sc_hd__clkinv_1 U241 ( .A(prio_i[59]), .Y(n193) );
+  sky130_fd_sc_hd__clkinv_1 U242 ( .A(prio_i[58]), .Y(n201) );
+  sky130_fd_sc_hd__o21ai_1 U243 ( .A1(prio_i[55]), .A2(n201), .B1(prio_i[54]), 
+        .Y(n188) );
+  sky130_fd_sc_hd__o2bb2ai_1 U244 ( .B1(prio_i[57]), .B2(n188), .A1_N(n201), 
+        .A2_N(prio_i[55]), .Y(n189) );
+  sky130_fd_sc_hd__a222oi_1 U245 ( .A1(prio_i[56]), .A2(n193), .B1(prio_i[56]), 
+        .B2(n189), .C1(n193), .C2(n189), .Y(n191) );
+  sky130_fd_sc_hd__a31oi_1 U246 ( .A1(ip_i[12]), .A2(ie_i[12]), .A3(n191), 
+        .B1(n190), .Y(n395) );
+  sky130_fd_sc_hd__nand2_1 U247 ( .A(n395), .B(prio_i[56]), .Y(n192) );
+  sky130_fd_sc_hd__o21ai_1 U248 ( .A1(n193), .A2(n395), .B1(n192), .Y(n212) );
+  sky130_fd_sc_hd__clkinv_1 U249 ( .A(prio_i[52]), .Y(n194) );
+  sky130_fd_sc_hd__clkinv_1 U250 ( .A(prio_i[51]), .Y(n203) );
+  sky130_fd_sc_hd__o21a_1 U251 ( .A1(n194), .A2(prio_i[49]), .B1(n203), .X(
+        n195) );
+  sky130_fd_sc_hd__a22oi_1 U252 ( .A1(n195), .A2(prio_i[48]), .B1(prio_i[49]), 
+        .B2(n194), .Y(n197) );
+  sky130_fd_sc_hd__clkinv_1 U253 ( .A(prio_i[50]), .Y(n196) );
+  sky130_fd_sc_hd__maj3_1 U254 ( .A(prio_i[53]), .B(n197), .C(n196), .X(n199)
+         );
+  sky130_fd_sc_hd__a31oi_1 U255 ( .A1(ip_i[14]), .A2(ie_i[14]), .A3(n199), 
+        .B1(n198), .Y(n394) );
+  sky130_fd_sc_hd__mux2i_1 U256 ( .A0(prio_i[52]), .A1(prio_i[49]), .S(n394), 
+        .Y(n242) );
+  sky130_fd_sc_hd__nand2_1 U257 ( .A(n395), .B(prio_i[55]), .Y(n200) );
+  sky130_fd_sc_hd__o21ai_1 U258 ( .A1(n201), .A2(n395), .B1(n200), .Y(n244) );
+  sky130_fd_sc_hd__nand2_1 U259 ( .A(n394), .B(prio_i[48]), .Y(n202) );
+  sky130_fd_sc_hd__o21ai_1 U260 ( .A1(n203), .A2(n394), .B1(n202), .Y(n214) );
+  sky130_fd_sc_hd__mux2i_1 U261 ( .A0(prio_i[57]), .A1(prio_i[54]), .S(n395), 
+        .Y(n213) );
+  sky130_fd_sc_hd__nand2_1 U262 ( .A(n214), .B(n213), .Y(n204) );
+  sky130_fd_sc_hd__maj3_1 U263 ( .A(n242), .B(n244), .C(n204), .X(n205) );
+  sky130_fd_sc_hd__mux2i_1 U264 ( .A0(prio_i[53]), .A1(prio_i[50]), .S(n394), 
+        .Y(n210) );
+  sky130_fd_sc_hd__o21ai_1 U265 ( .A1(n205), .A2(n210), .B1(n212), .Y(n208) );
+  sky130_fd_sc_hd__nand2_1 U266 ( .A(n205), .B(n210), .Y(n207) );
+  sky130_fd_sc_hd__a21oi_1 U267 ( .A1(n208), .A2(n207), .B1(n206), .Y(n398) );
+  sky130_fd_sc_hd__nor2_1 U268 ( .A(n209), .B(n398), .Y(n410) );
+  sky130_fd_sc_hd__nand2_1 U269 ( .A(n410), .B(n210), .Y(n211) );
+  sky130_fd_sc_hd__o21ai_1 U270 ( .A1(n212), .A2(n410), .B1(n211), .Y(n260) );
+  sky130_fd_sc_hd__clkinv_1 U271 ( .A(n213), .Y(n216) );
+  sky130_fd_sc_hd__nand2b_1 U272 ( .A_N(n214), .B(n410), .Y(n215) );
+  sky130_fd_sc_hd__o21ai_1 U273 ( .A1(n216), .A2(n410), .B1(n215), .Y(n328) );
+  sky130_fd_sc_hd__clkinv_1 U274 ( .A(prio_i[67]), .Y(n217) );
+  sky130_fd_sc_hd__a21oi_1 U275 ( .A1(prio_i[70]), .A2(n217), .B1(prio_i[69]), 
+        .Y(n218) );
+  sky130_fd_sc_hd__clkinv_1 U276 ( .A(prio_i[70]), .Y(n229) );
+  sky130_fd_sc_hd__a22oi_1 U277 ( .A1(n218), .A2(prio_i[66]), .B1(prio_i[67]), 
+        .B2(n229), .Y(n220) );
+  sky130_fd_sc_hd__clkinv_1 U278 ( .A(prio_i[68]), .Y(n219) );
+  sky130_fd_sc_hd__maj3_1 U279 ( .A(prio_i[71]), .B(n220), .C(n219), .X(n222)
+         );
+  sky130_fd_sc_hd__a31oi_1 U280 ( .A1(ip_i[8]), .A2(ie_i[8]), .A3(n222), .B1(
+        n221), .Y(n390) );
+  sky130_fd_sc_hd__mux2i_1 U281 ( .A0(prio_i[69]), .A1(prio_i[66]), .S(n390), 
+        .Y(n241) );
+  sky130_fd_sc_hd__mux2i_1 U282 ( .A0(prio_i[71]), .A1(prio_i[68]), .S(n390), 
+        .Y(n253) );
+  sky130_fd_sc_hd__clkinv_1 U283 ( .A(prio_i[65]), .Y(n234) );
+  sky130_fd_sc_hd__clkinv_1 U284 ( .A(prio_i[64]), .Y(n223) );
+  sky130_fd_sc_hd__o21ai_1 U285 ( .A1(prio_i[61]), .A2(n223), .B1(prio_i[60]), 
+        .Y(n224) );
+  sky130_fd_sc_hd__o2bb2ai_1 U286 ( .B1(prio_i[63]), .B2(n224), .A1_N(n223), 
+        .A2_N(prio_i[61]), .Y(n225) );
+  sky130_fd_sc_hd__a222oi_1 U287 ( .A1(prio_i[62]), .A2(n234), .B1(prio_i[62]), 
+        .B2(n225), .C1(n234), .C2(n225), .Y(n227) );
+  sky130_fd_sc_hd__a31oi_1 U288 ( .A1(ip_i[10]), .A2(ie_i[10]), .A3(n227), 
+        .B1(n226), .Y(n389) );
+  sky130_fd_sc_hd__mux2i_1 U289 ( .A0(prio_i[64]), .A1(prio_i[61]), .S(n389), 
+        .Y(n246) );
+  sky130_fd_sc_hd__nand2_1 U290 ( .A(n390), .B(prio_i[67]), .Y(n228) );
+  sky130_fd_sc_hd__o21ai_1 U291 ( .A1(n229), .A2(n390), .B1(n228), .Y(n245) );
+  sky130_fd_sc_hd__clkinv_1 U292 ( .A(prio_i[63]), .Y(n231) );
+  sky130_fd_sc_hd__nand2_1 U293 ( .A(n389), .B(prio_i[60]), .Y(n230) );
+  sky130_fd_sc_hd__o21ai_1 U294 ( .A1(n231), .A2(n389), .B1(n230), .Y(n239) );
+  sky130_fd_sc_hd__nand2_1 U295 ( .A(n239), .B(n241), .Y(n232) );
+  sky130_fd_sc_hd__a222oi_1 U296 ( .A1(n246), .A2(n245), .B1(n246), .B2(n232), 
+        .C1(n245), .C2(n232), .Y(n235) );
+  sky130_fd_sc_hd__nand2_1 U297 ( .A(n389), .B(prio_i[62]), .Y(n233) );
+  sky130_fd_sc_hd__o21ai_1 U298 ( .A1(n234), .A2(n389), .B1(n233), .Y(n251) );
+  sky130_fd_sc_hd__maj3_1 U299 ( .A(n253), .B(n235), .C(n251), .X(n236) );
+  sky130_fd_sc_hd__nor2b_1 U300 ( .B_N(n237), .A(n236), .Y(n393) );
+  sky130_fd_sc_hd__nor2b_1 U301 ( .B_N(n238), .A(n393), .Y(n414) );
+  sky130_fd_sc_hd__nand2_1 U302 ( .A(n414), .B(n239), .Y(n240) );
+  sky130_fd_sc_hd__o21ai_1 U303 ( .A1(n241), .A2(n414), .B1(n240), .Y(n318) );
+  sky130_fd_sc_hd__nand2_1 U304 ( .A(n410), .B(n242), .Y(n243) );
+  sky130_fd_sc_hd__o21ai_1 U305 ( .A1(n244), .A2(n410), .B1(n243), .Y(n311) );
+  sky130_fd_sc_hd__clkinv_1 U306 ( .A(n245), .Y(n248) );
+  sky130_fd_sc_hd__nand2b_1 U307 ( .A_N(n246), .B(n414), .Y(n247) );
+  sky130_fd_sc_hd__o21ai_1 U308 ( .A1(n248), .A2(n414), .B1(n247), .Y(n312) );
+  sky130_fd_sc_hd__o22ai_1 U309 ( .A1(n328), .A2(n318), .B1(n311), .B2(n312), 
+        .Y(n250) );
+  sky130_fd_sc_hd__nand2_1 U310 ( .A(n311), .B(n312), .Y(n249) );
+  sky130_fd_sc_hd__nand2_1 U311 ( .A(n250), .B(n249), .Y(n254) );
+  sky130_fd_sc_hd__nand2_1 U312 ( .A(n414), .B(n251), .Y(n252) );
+  sky130_fd_sc_hd__o21ai_1 U313 ( .A1(n253), .A2(n414), .B1(n252), .Y(n258) );
+  sky130_fd_sc_hd__a222oi_1 U314 ( .A1(n254), .A2(n258), .B1(n254), .B2(n260), 
+        .C1(n258), .C2(n260), .Y(n256) );
+  sky130_fd_sc_hd__o21ai_1 U315 ( .A1(n257), .A2(n256), .B1(n255), .Y(n413) );
+  sky130_fd_sc_hd__nand2_1 U316 ( .A(n413), .B(n258), .Y(n259) );
+  sky130_fd_sc_hd__o21ai_1 U317 ( .A1(n260), .A2(n413), .B1(n259), .Y(n348) );
+  sky130_fd_sc_hd__clkinv_1 U318 ( .A(prio_i[79]), .Y(n261) );
+  sky130_fd_sc_hd__a21oi_1 U319 ( .A1(prio_i[82]), .A2(n261), .B1(prio_i[81]), 
+        .Y(n262) );
+  sky130_fd_sc_hd__clkinv_1 U320 ( .A(prio_i[82]), .Y(n268) );
+  sky130_fd_sc_hd__a22oi_1 U321 ( .A1(n262), .A2(prio_i[78]), .B1(prio_i[79]), 
+        .B2(n268), .Y(n264) );
+  sky130_fd_sc_hd__clkinv_1 U322 ( .A(prio_i[80]), .Y(n263) );
+  sky130_fd_sc_hd__maj3_1 U323 ( .A(prio_i[83]), .B(n264), .C(n263), .X(n266)
+         );
+  sky130_fd_sc_hd__a31oi_1 U324 ( .A1(ie_i[4]), .A2(ip_i[4]), .A3(n266), .B1(
+        n265), .Y(n382) );
+  sky130_fd_sc_hd__mux2i_1 U325 ( .A0(prio_i[83]), .A1(prio_i[80]), .S(n382), 
+        .Y(n275) );
+  sky130_fd_sc_hd__nand2_1 U326 ( .A(n386), .B(prio_i[77]), .Y(n274) );
+  sky130_fd_sc_hd__nand2_1 U327 ( .A(n382), .B(prio_i[79]), .Y(n267) );
+  sky130_fd_sc_hd__o21ai_1 U328 ( .A1(n268), .A2(n382), .B1(n267), .Y(n296) );
+  sky130_fd_sc_hd__nand2_1 U329 ( .A(n386), .B(prio_i[76]), .Y(n294) );
+  sky130_fd_sc_hd__mux2i_1 U330 ( .A0(prio_i[81]), .A1(prio_i[78]), .S(n382), 
+        .Y(n298) );
+  sky130_fd_sc_hd__nand3_1 U331 ( .A(n386), .B(prio_i[75]), .C(n298), .Y(n269)
+         );
+  sky130_fd_sc_hd__maj3_1 U332 ( .A(n296), .B(n294), .C(n269), .X(n271) );
+  sky130_fd_sc_hd__clkinv_1 U333 ( .A(n275), .Y(n270) );
+  sky130_fd_sc_hd__a222oi_1 U334 ( .A1(n274), .A2(n271), .B1(n274), .B2(n270), 
+        .C1(n271), .C2(n270), .Y(n384) );
+  sky130_fd_sc_hd__nor2_1 U335 ( .A(n272), .B(n384), .Y(n385) );
+  sky130_fd_sc_hd__nor2_1 U336 ( .A(n273), .B(n385), .Y(n408) );
+  sky130_fd_sc_hd__o21ai_1 U337 ( .A1(n275), .A2(n408), .B1(n274), .Y(n310) );
+  sky130_fd_sc_hd__clkinv_1 U338 ( .A(prio_i[89]), .Y(n279) );
+  sky130_fd_sc_hd__clkinv_1 U339 ( .A(prio_i[88]), .Y(n276) );
+  sky130_fd_sc_hd__o21ai_1 U340 ( .A1(prio_i[85]), .A2(n276), .B1(prio_i[84]), 
+        .Y(n277) );
+  sky130_fd_sc_hd__o2bb2ai_1 U341 ( .B1(prio_i[87]), .B2(n277), .A1_N(n276), 
+        .A2_N(prio_i[85]), .Y(n278) );
+  sky130_fd_sc_hd__a222oi_1 U342 ( .A1(prio_i[86]), .A2(n279), .B1(prio_i[86]), 
+        .B2(n278), .C1(n279), .C2(n278), .Y(n281) );
+  sky130_fd_sc_hd__a31oi_1 U343 ( .A1(ie_i[2]), .A2(ip_i[2]), .A3(n281), .B1(
+        n280), .Y(n388) );
+  sky130_fd_sc_hd__mux2i_1 U344 ( .A0(prio_i[89]), .A1(prio_i[86]), .S(n388), 
+        .Y(n289) );
+  sky130_fd_sc_hd__mux2i_1 U345 ( .A0(prio_i[88]), .A1(prio_i[85]), .S(n388), 
+        .Y(n293) );
+  sky130_fd_sc_hd__clkinv_1 U346 ( .A(prio_i[87]), .Y(n283) );
+  sky130_fd_sc_hd__nand2_1 U347 ( .A(n388), .B(prio_i[84]), .Y(n282) );
+  sky130_fd_sc_hd__o21ai_1 U348 ( .A1(n283), .A2(n388), .B1(n282), .Y(n300) );
+  sky130_fd_sc_hd__nand2b_1 U349 ( .A_N(prio_i[90]), .B(n300), .Y(n284) );
+  sky130_fd_sc_hd__maj3_1 U350 ( .A(prio_i[91]), .B(n293), .C(n284), .X(n285)
+         );
+  sky130_fd_sc_hd__a222oi_1 U351 ( .A1(n289), .A2(prio_i[92]), .B1(n289), .B2(
+        n285), .C1(prio_i[92]), .C2(n285), .Y(n288) );
+  sky130_fd_sc_hd__nand2_1 U352 ( .A(ip_i[1]), .B(ie_i[1]), .Y(n287) );
+  sky130_fd_sc_hd__o21ai_1 U353 ( .A1(n288), .A2(n287), .B1(n286), .Y(n299) );
+  sky130_fd_sc_hd__clkinv_1 U354 ( .A(n299), .Y(n381) );
+  sky130_fd_sc_hd__nand2_1 U355 ( .A(n381), .B(n289), .Y(n290) );
+  sky130_fd_sc_hd__o21ai_1 U356 ( .A1(prio_i[92]), .A2(n381), .B1(n290), .Y(
+        n308) );
+  sky130_fd_sc_hd__a21oi_1 U357 ( .A1(n308), .A2(n310), .B1(n291), .Y(n306) );
+  sky130_fd_sc_hd__nand2_1 U358 ( .A(prio_i[91]), .B(n299), .Y(n292) );
+  sky130_fd_sc_hd__o21ai_1 U359 ( .A1(n293), .A2(n299), .B1(n292), .Y(n315) );
+  sky130_fd_sc_hd__nand2_1 U360 ( .A(n408), .B(n294), .Y(n295) );
+  sky130_fd_sc_hd__o21ai_1 U361 ( .A1(n296), .A2(n408), .B1(n295), .Y(n317) );
+  sky130_fd_sc_hd__nand3_1 U362 ( .A(n408), .B(n386), .C(prio_i[75]), .Y(n297)
+         );
+  sky130_fd_sc_hd__o21ai_1 U363 ( .A1(n298), .A2(n408), .B1(n297), .Y(n321) );
+  sky130_fd_sc_hd__or2_0 U364 ( .A(n300), .B(n299), .X(n301) );
+  sky130_fd_sc_hd__o21ai_1 U365 ( .A1(prio_i[90]), .A2(n381), .B1(n301), .Y(
+        n319) );
+  sky130_fd_sc_hd__nand2_1 U366 ( .A(n315), .B(n317), .Y(n302) );
+  sky130_fd_sc_hd__nand3_1 U367 ( .A(n321), .B(n319), .C(n302), .Y(n303) );
+  sky130_fd_sc_hd__o21ai_1 U368 ( .A1(n315), .A2(n317), .B1(n303), .Y(n304) );
+  sky130_fd_sc_hd__o21ai_1 U369 ( .A1(n310), .A2(n308), .B1(n304), .Y(n305) );
+  sky130_fd_sc_hd__nand2_1 U370 ( .A(n306), .B(n305), .Y(n407) );
+  sky130_fd_sc_hd__nand2_1 U371 ( .A(n307), .B(n407), .Y(n429) );
+  sky130_fd_sc_hd__nand2_1 U372 ( .A(n308), .B(n429), .Y(n309) );
+  sky130_fd_sc_hd__o21ai_1 U373 ( .A1(n310), .A2(n429), .B1(n309), .Y(n350) );
+  sky130_fd_sc_hd__clkinv_1 U374 ( .A(n311), .Y(n314) );
+  sky130_fd_sc_hd__clkinv_1 U375 ( .A(n413), .Y(n401) );
+  sky130_fd_sc_hd__or2_0 U376 ( .A(n312), .B(n401), .X(n313) );
+  sky130_fd_sc_hd__o21ai_1 U377 ( .A1(n314), .A2(n413), .B1(n313), .Y(n345) );
+  sky130_fd_sc_hd__nand2_1 U378 ( .A(n429), .B(n315), .Y(n316) );
+  sky130_fd_sc_hd__o21ai_1 U379 ( .A1(n317), .A2(n429), .B1(n316), .Y(n343) );
+  sky130_fd_sc_hd__nand2_1 U380 ( .A(n318), .B(n413), .Y(n326) );
+  sky130_fd_sc_hd__o21ai_1 U381 ( .A1(n413), .A2(n328), .B1(n326), .Y(n322) );
+  sky130_fd_sc_hd__nand2_1 U382 ( .A(n429), .B(n319), .Y(n320) );
+  sky130_fd_sc_hd__o21ai_1 U383 ( .A1(n321), .A2(n429), .B1(n320), .Y(n332) );
+  sky130_fd_sc_hd__nand2_1 U384 ( .A(n322), .B(n332), .Y(n323) );
+  sky130_fd_sc_hd__a222oi_1 U385 ( .A1(n345), .A2(n343), .B1(n345), .B2(n323), 
+        .C1(n343), .C2(n323), .Y(n324) );
+  sky130_fd_sc_hd__maj3_1 U386 ( .A(n348), .B(n350), .C(n324), .X(n325) );
+  sky130_fd_sc_hd__nor2_1 U387 ( .A(n353), .B(n325), .Y(n327) );
+  sky130_fd_sc_hd__nor2_1 U388 ( .A(n352), .B(n327), .Y(n428) );
+  sky130_fd_sc_hd__clkinv_1 U389 ( .A(n326), .Y(n330) );
+  sky130_fd_sc_hd__nor2_1 U390 ( .A(n413), .B(n327), .Y(n409) );
+  sky130_fd_sc_hd__clkinv_1 U391 ( .A(n409), .Y(n427) );
+  sky130_fd_sc_hd__nor2_1 U392 ( .A(n328), .B(n427), .Y(n329) );
+  sky130_fd_sc_hd__a21oi_1 U393 ( .A1(n330), .A2(n428), .B1(n329), .Y(n331) );
+  sky130_fd_sc_hd__o21ai_1 U394 ( .A1(n428), .A2(n332), .B1(n331), .Y(n442) );
+  sky130_fd_sc_hd__nor2_1 U395 ( .A(n333), .B(n375), .Y(n430) );
+  sky130_fd_sc_hd__clkinv_1 U396 ( .A(n334), .Y(n337) );
+  sky130_fd_sc_hd__clkinv_1 U397 ( .A(n420), .Y(n436) );
+  sky130_fd_sc_hd__or2_0 U398 ( .A(n335), .B(n436), .X(n336) );
+  sky130_fd_sc_hd__o21ai_1 U399 ( .A1(n337), .A2(n420), .B1(n336), .Y(n338) );
+  sky130_fd_sc_hd__a21oi_1 U400 ( .A1(n339), .A2(n430), .B1(n338), .Y(n440) );
+  sky130_fd_sc_hd__nand2_1 U401 ( .A(n420), .B(n340), .Y(n341) );
+  sky130_fd_sc_hd__o21ai_1 U402 ( .A1(n342), .A2(n420), .B1(n341), .Y(n439) );
+  sky130_fd_sc_hd__clkinv_1 U403 ( .A(n428), .Y(n435) );
+  sky130_fd_sc_hd__nand2_1 U404 ( .A(n343), .B(n435), .Y(n344) );
+  sky130_fd_sc_hd__o21ai_1 U405 ( .A1(n345), .A2(n435), .B1(n344), .Y(n438) );
+  sky130_fd_sc_hd__o22ai_1 U406 ( .A1(n442), .A2(n440), .B1(n439), .B2(n438), 
+        .Y(n347) );
+  sky130_fd_sc_hd__nand2_1 U407 ( .A(n439), .B(n438), .Y(n346) );
+  sky130_fd_sc_hd__nand2_1 U408 ( .A(n347), .B(n346), .Y(n351) );
+  sky130_fd_sc_hd__nand2_1 U409 ( .A(n428), .B(n348), .Y(n349) );
+  sky130_fd_sc_hd__o21ai_1 U410 ( .A1(n350), .A2(n428), .B1(n349), .Y(n450) );
+  sky130_fd_sc_hd__o21ai_1 U411 ( .A1(n447), .A2(n351), .B1(n450), .Y(n355) );
+  sky130_fd_sc_hd__nand2_1 U412 ( .A(n447), .B(n351), .Y(n354) );
+  sky130_fd_sc_hd__and2_0 U413 ( .A(n353), .B(n352), .X(n379) );
+  sky130_fd_sc_hd__a21oi_1 U414 ( .A1(n355), .A2(n354), .B1(n379), .Y(n437) );
+  sky130_fd_sc_hd__nor2_1 U415 ( .A(n380), .B(n437), .Y(irq_id_d[4]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U416 ( .B1(n359), .B2(n358), .A1_N(n357), .A2_N(
+        n356), .Y(n366) );
+  sky130_fd_sc_hd__clkinv_1 U417 ( .A(n360), .Y(n363) );
+  sky130_fd_sc_hd__clkinv_1 U418 ( .A(n361), .Y(n362) );
+  sky130_fd_sc_hd__o22ai_1 U419 ( .A1(n364), .A2(n363), .B1(n416), .B2(n362), 
+        .Y(n365) );
+  sky130_fd_sc_hd__o22ai_1 U420 ( .A1(n431), .A2(n366), .B1(n417), .B2(n365), 
+        .Y(n378) );
+  sky130_fd_sc_hd__o2bb2ai_1 U421 ( .B1(n369), .B2(n368), .A1_N(n421), .A2_N(
+        n367), .Y(n376) );
+  sky130_fd_sc_hd__clkinv_1 U422 ( .A(n370), .Y(n372) );
+  sky130_fd_sc_hd__o22ai_1 U423 ( .A1(n373), .A2(n372), .B1(n424), .B2(n371), 
+        .Y(n374) );
+  sky130_fd_sc_hd__o22ai_1 U424 ( .A1(n422), .A2(n376), .B1(n375), .B2(n374), 
+        .Y(n377) );
+  sky130_fd_sc_hd__o22ai_1 U425 ( .A1(n420), .A2(n378), .B1(n436), .B2(n377), 
+        .Y(n405) );
+  sky130_fd_sc_hd__and2_0 U426 ( .A(n380), .B(n379), .X(n443) );
+  sky130_fd_sc_hd__nor2_1 U427 ( .A(irq_id_d[4]), .B(n443), .Y(n451) );
+  sky130_fd_sc_hd__nand2_1 U428 ( .A(n381), .B(n429), .Y(n406) );
+  sky130_fd_sc_hd__clkinv_1 U429 ( .A(n382), .Y(n383) );
+  sky130_fd_sc_hd__o22ai_1 U430 ( .A1(n386), .A2(n385), .B1(n384), .B2(n383), 
+        .Y(n387) );
+  sky130_fd_sc_hd__o22ai_1 U431 ( .A1(n388), .A2(n406), .B1(n387), .B2(n429), 
+        .Y(n403) );
+  sky130_fd_sc_hd__clkinv_1 U432 ( .A(n389), .Y(n392) );
+  sky130_fd_sc_hd__clkinv_1 U433 ( .A(n390), .Y(n391) );
+  sky130_fd_sc_hd__o22ai_1 U434 ( .A1(n393), .A2(n392), .B1(n414), .B2(n391), 
+        .Y(n400) );
+  sky130_fd_sc_hd__clkinv_1 U435 ( .A(n394), .Y(n397) );
+  sky130_fd_sc_hd__clkinv_1 U436 ( .A(n395), .Y(n396) );
+  sky130_fd_sc_hd__o22ai_1 U437 ( .A1(n398), .A2(n397), .B1(n410), .B2(n396), 
+        .Y(n399) );
+  sky130_fd_sc_hd__o22ai_1 U438 ( .A1(n401), .A2(n400), .B1(n413), .B2(n399), 
+        .Y(n402) );
+  sky130_fd_sc_hd__o22ai_1 U439 ( .A1(n428), .A2(n403), .B1(n435), .B2(n402), 
+        .Y(n404) );
+  sky130_fd_sc_hd__a22o_1 U440 ( .A1(irq_id_d[4]), .A2(n405), .B1(n451), .B2(
+        n404), .X(irq_id_d[0]) );
+  sky130_fd_sc_hd__a21boi_0 U441 ( .A1(n408), .A2(n407), .B1_N(n406), .Y(n411)
+         );
+  sky130_fd_sc_hd__o2bb2ai_1 U442 ( .B1(n428), .B2(n411), .A1_N(n410), .A2_N(
+        n409), .Y(n412) );
+  sky130_fd_sc_hd__a31oi_1 U443 ( .A1(n414), .A2(n428), .A3(n413), .B1(n412), 
+        .Y(n426) );
+  sky130_fd_sc_hd__clkinv_1 U444 ( .A(irq_id_d[4]), .Y(n448) );
+  sky130_fd_sc_hd__a22oi_1 U445 ( .A1(n418), .A2(n417), .B1(n416), .B2(n415), 
+        .Y(n419) );
+  sky130_fd_sc_hd__o32ai_1 U446 ( .A1(n436), .A2(n422), .A3(n421), .B1(n420), 
+        .B2(n419), .Y(n423) );
+  sky130_fd_sc_hd__a21oi_1 U447 ( .A1(n424), .A2(n430), .B1(n423), .Y(n425) );
+  sky130_fd_sc_hd__o22ai_1 U448 ( .A1(irq_id_d[4]), .A2(n426), .B1(n448), .B2(
+        n425), .Y(irq_id_d[1]) );
+  sky130_fd_sc_hd__o21a_1 U449 ( .A1(n429), .A2(n428), .B1(n427), .X(n433) );
+  sky130_fd_sc_hd__clkinv_1 U450 ( .A(n451), .Y(n434) );
+  sky130_fd_sc_hd__a21oi_1 U451 ( .A1(n431), .A2(n436), .B1(n430), .Y(n432) );
+  sky130_fd_sc_hd__o22ai_1 U452 ( .A1(n433), .A2(n434), .B1(n432), .B2(n448), 
+        .Y(irq_id_d[2]) );
+  sky130_fd_sc_hd__o22ai_1 U453 ( .A1(n437), .A2(n436), .B1(n435), .B2(n434), 
+        .Y(irq_id_d[3]) );
+  sky130_fd_sc_hd__o2bb2ai_1 U454 ( .B1(n439), .B2(n448), .A1_N(n438), .A2_N(
+        n451), .Y(n446) );
+  sky130_fd_sc_hd__a21oi_1 U455 ( .A1(n440), .A2(irq_id_d[4]), .B1(
+        threshold_i[0]), .Y(n441) );
+  sky130_fd_sc_hd__o21ai_1 U456 ( .A1(irq_id_d[4]), .A2(n442), .B1(n441), .Y(
+        n444) );
+  sky130_fd_sc_hd__nor3_1 U457 ( .A(threshold_i[1]), .B(n444), .C(n443), .Y(
+        n445) );
+  sky130_fd_sc_hd__o2bb2ai_1 U458 ( .B1(n446), .B2(n445), .A1_N(threshold_i[1]), .A2_N(n444), .Y(n454) );
+  sky130_fd_sc_hd__nor2_1 U459 ( .A(n447), .B(n448), .Y(n449) );
+  sky130_fd_sc_hd__a21oi_1 U460 ( .A1(n450), .A2(n448), .B1(n449), .Y(n453) );
+  sky130_fd_sc_hd__a21oi_1 U461 ( .A1(n451), .A2(n450), .B1(n449), .Y(n452) );
+  sky130_fd_sc_hd__a222oi_1 U462 ( .A1(threshold_i[2]), .A2(n454), .B1(
+        threshold_i[2]), .B2(n453), .C1(n454), .C2(n452), .Y(irq_d) );
+  sky130_fd_sc_hd__a21boi_0 U10 ( .A1(ip_i[14]), .A2(ie_i[14]), .B1_N(n198), 
+        .Y(n209) );
+  sky130_fd_sc_hd__nand2_1 U11 ( .A(ie_i[15]), .B(ip_i[15]), .Y(n198) );
+  sky130_fd_sc_hd__a21boi_0 U12 ( .A1(ip_i[12]), .A2(ie_i[12]), .B1_N(n190), 
+        .Y(n206) );
+  sky130_fd_sc_hd__nand2_1 U13 ( .A(ie_i[13]), .B(ip_i[13]), .Y(n190) );
+  sky130_fd_sc_hd__a21boi_0 U14 ( .A1(ip_i[26]), .A2(ie_i[26]), .B1_N(n91), 
+        .Y(n104) );
+  sky130_fd_sc_hd__nand2_1 U15 ( .A(ie_i[27]), .B(ip_i[27]), .Y(n91) );
+  sky130_fd_sc_hd__a21oi_1 U25 ( .A1(ie_i[7]), .A2(ip_i[7]), .B1(n386), .Y(
+        n273) );
+  sky130_fd_sc_hd__and2_0 U26 ( .A(ip_i[6]), .B(ie_i[6]), .X(n386) );
+  sky130_fd_sc_hd__a21boi_0 U27 ( .A1(ip_i[24]), .A2(ie_i[24]), .B1_N(n86), 
+        .Y(n103) );
+  sky130_fd_sc_hd__nand2_1 U28 ( .A(ie_i[25]), .B(ip_i[25]), .Y(n86) );
+  sky130_fd_sc_hd__a21boi_0 U29 ( .A1(ip_i[18]), .A2(ie_i[18]), .B1_N(n47), 
+        .Y(n60) );
+  sky130_fd_sc_hd__nand2_1 U30 ( .A(ie_i[19]), .B(ip_i[19]), .Y(n47) );
+  sky130_fd_sc_hd__a21boi_0 U221 ( .A1(ie_i[4]), .A2(ip_i[4]), .B1_N(n265), 
+        .Y(n272) );
+  sky130_fd_sc_hd__nand2_1 U222 ( .A(ie_i[5]), .B(ip_i[5]), .Y(n265) );
+  sky130_fd_sc_hd__a21boi_0 U223 ( .A1(ip_i[16]), .A2(ie_i[16]), .B1_N(n42), 
+        .Y(n59) );
+  sky130_fd_sc_hd__nand2_1 U224 ( .A(ie_i[17]), .B(ip_i[17]), .Y(n42) );
+endmodule
+
+
+module opentitan_soc_top_tlul_err_3 ( clk_i, rst_ni, tl_i, err_o );
+  input [85:0] tl_i;
+  input clk_i, rst_ni;
+  output err_o;
+  wire   n6, n7;
+
+  sky130_fd_sc_hd__o311ai_0 U3 ( .A1(n7), .A2(tl_i[82]), .A3(tl_i[84]), .B1(
+        tl_i[85]), .C1(n6), .Y(err_o) );
+  sky130_fd_sc_hd__and4_1 U4 ( .A(tl_i[36]), .B(tl_i[35]), .C(tl_i[34]), .D(
+        tl_i[33]), .X(n7) );
+  sky130_fd_sc_hd__nand2_1 U5 ( .A(tl_i[84]), .B(tl_i[82]), .Y(n6) );
+endmodule
+
+
+module opentitan_soc_top_tlul_adapter_reg_RegAw9_RegDw32_0 ( clk_i, rst_ni, 
+        tl_i, tl_o, re_o, we_o, addr_o, wdata_o, be_o, rdata_i, error_i );
+  input [85:0] tl_i;
+  output [51:0] tl_o;
+  output [8:0] addr_o;
+  output [31:0] wdata_o;
+  output [3:0] be_o;
+  input [31:0] rdata_i;
+  input clk_i, rst_ni, error_i;
+  output re_o, we_o;
+  wire   a_ack, tl_err, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81, n82,
+         n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96,
+         n97, n98, n99, n100, n101, n102, n103, n104, n107, n108, n14, n15,
+         n17, n18, n19, n20, n63;
+
+  opentitan_soc_top_tlul_err_3 u_err ( .clk_i(1'b0), .rst_ni(1'b0), .tl_i({
+        tl_i[85:84], n63, tl_i[82], n63, n63, n63, n107, n63, n63, n63, n63, 
+        n63, n63, n63, n63, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, n63, 
+        n63, tl_i[36:33], 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, n107}), .err_o(tl_err) );
+  sky130_fd_sc_hd__dfrtp_1 outstanding_reg ( .D(a_ack), .CLK(n15), .RESET_B(
+        rst_ni), .Q(tl_o[51]) );
+  sky130_fd_sc_hd__dfrtp_1 rspop_reg_0_ ( .D(n108), .CLK(n15), .RESET_B(rst_ni), .Q(tl_o[48]) );
+  sky130_fd_sc_hd__dfrtp_1 error_reg ( .D(n104), .CLK(n14), .RESET_B(rst_ni), 
+        .Q(tl_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_31_ ( .D(n103), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[33]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_30_ ( .D(n102), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[32]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_29_ ( .D(n101), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_28_ ( .D(n100), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_27_ ( .D(n99), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_26_ ( .D(n98), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_25_ ( .D(n97), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_24_ ( .D(n96), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_23_ ( .D(n95), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_22_ ( .D(n94), .CLK(n15), .RESET_B(rst_ni), .Q(tl_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_21_ ( .D(n93), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_20_ ( .D(n92), .CLK(n15), .RESET_B(rst_ni), .Q(tl_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_19_ ( .D(n91), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_18_ ( .D(n90), .CLK(n15), .RESET_B(rst_ni), .Q(tl_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_17_ ( .D(n89), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_16_ ( .D(n88), .CLK(n15), .RESET_B(rst_ni), .Q(tl_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_15_ ( .D(n87), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_14_ ( .D(n86), .CLK(n15), .RESET_B(rst_ni), .Q(tl_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_13_ ( .D(n85), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_12_ ( .D(n84), .CLK(n15), .RESET_B(rst_ni), .Q(tl_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_11_ ( .D(n83), .CLK(n15), .RESET_B(rst_ni), .Q(tl_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_10_ ( .D(n82), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_9_ ( .D(n81), .CLK(n15), .RESET_B(rst_ni), 
+        .Q(tl_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_8_ ( .D(n80), .CLK(n14), .RESET_B(rst_ni), 
+        .Q(tl_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_7_ ( .D(n79), .CLK(n15), .RESET_B(rst_ni), 
+        .Q(tl_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_6_ ( .D(n78), .CLK(n15), .RESET_B(rst_ni), 
+        .Q(tl_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_5_ ( .D(n77), .CLK(n15), .RESET_B(rst_ni), 
+        .Q(tl_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_4_ ( .D(n76), .CLK(n15), .RESET_B(rst_ni), 
+        .Q(tl_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_3_ ( .D(n75), .CLK(n15), .RESET_B(rst_ni), 
+        .Q(tl_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_2_ ( .D(n74), .CLK(n15), .RESET_B(rst_ni), 
+        .Q(tl_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_1_ ( .D(n73), .CLK(n15), .RESET_B(rst_ni), 
+        .Q(tl_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_0_ ( .D(n72), .CLK(n15), .RESET_B(rst_ni), 
+        .Q(tl_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(clk_i), .X(n14) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(clk_i), .X(n15) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(tl_i[40]), .X(addr_o[3]) );
+  sky130_fd_sc_hd__clkinv_1 U6 ( .A(n19), .Y(a_ack) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(tl_i[44]), .X(addr_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(tl_i[12]), .X(wdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(tl_i[27]), .X(wdata_o[26]) );
+  sky130_fd_sc_hd__nand2b_1 U10 ( .A_N(tl_err), .B(a_ack), .Y(n20) );
+  sky130_fd_sc_hd__conb_1 U11 ( .LO(n63), .HI(n107) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(tl_i[5]), .X(wdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(tl_i[23]), .X(wdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(tl_i[4]), .X(wdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(tl_i[6]), .X(wdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(tl_i[7]), .X(wdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(tl_i[8]), .X(wdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(tl_i[9]), .X(wdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(tl_i[10]), .X(wdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(tl_i[11]), .X(wdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(tl_i[13]), .X(wdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(tl_i[14]), .X(wdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(tl_i[15]), .X(wdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(tl_i[16]), .X(wdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(tl_i[17]), .X(wdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(tl_i[18]), .X(wdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(tl_i[19]), .X(wdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(tl_i[20]), .X(wdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(tl_i[21]), .X(wdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(tl_i[22]), .X(wdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(tl_i[24]), .X(wdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(tl_i[25]), .X(wdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(tl_i[26]), .X(wdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(tl_i[28]), .X(wdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(tl_i[29]), .X(wdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(tl_i[30]), .X(wdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(tl_i[31]), .X(wdata_o[30]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(tl_i[32]), .X(wdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(tl_i[33]), .X(be_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(tl_i[34]), .X(be_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(tl_i[35]), .X(be_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(tl_i[36]), .X(be_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(tl_i[39]), .X(addr_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(tl_i[41]), .X(addr_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U45 ( .A(tl_i[42]), .X(addr_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U46 ( .A(tl_i[43]), .X(addr_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U47 ( .A(tl_i[45]), .X(addr_o[8]) );
+  sky130_fd_sc_hd__clkinv_1 U48 ( .A(tl_o[51]), .Y(tl_o[0]) );
+  sky130_fd_sc_hd__nand2_1 U49 ( .A(tl_o[0]), .B(tl_i[85]), .Y(n19) );
+  sky130_fd_sc_hd__nor2_1 U50 ( .A(tl_i[84]), .B(n20), .Y(we_o) );
+  sky130_fd_sc_hd__clkbuf_1 U51 ( .A(tl_i[1]), .X(wdata_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U52 ( .A(tl_i[2]), .X(wdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U53 ( .A(tl_i[3]), .X(wdata_o[2]) );
+  sky130_fd_sc_hd__nand3b_1 U54 ( .A_N(tl_i[82]), .B(tl_i[84]), .C(a_ack), .Y(
+        n17) );
+  sky130_fd_sc_hd__nor2_1 U55 ( .A(tl_err), .B(n17), .Y(re_o) );
+  sky130_fd_sc_hd__clkinv_1 U56 ( .A(tl_o[48]), .Y(n18) );
+  sky130_fd_sc_hd__o21ai_1 U57 ( .A1(a_ack), .A2(n18), .B1(n17), .Y(n108) );
+  sky130_fd_sc_hd__o22a_1 U60 ( .A1(a_ack), .A2(tl_o[1]), .B1(error_i), .B2(
+        n20), .X(n104) );
+  sky130_fd_sc_hd__o22a_1 U61 ( .A1(a_ack), .A2(tl_o[33]), .B1(rdata_i[31]), 
+        .B2(n20), .X(n103) );
+  sky130_fd_sc_hd__o22a_1 U62 ( .A1(a_ack), .A2(tl_o[32]), .B1(rdata_i[30]), 
+        .B2(n20), .X(n102) );
+  sky130_fd_sc_hd__o22a_1 U63 ( .A1(a_ack), .A2(tl_o[31]), .B1(rdata_i[29]), 
+        .B2(n20), .X(n101) );
+  sky130_fd_sc_hd__o22a_1 U64 ( .A1(a_ack), .A2(tl_o[30]), .B1(rdata_i[28]), 
+        .B2(n20), .X(n100) );
+  sky130_fd_sc_hd__o22a_1 U65 ( .A1(a_ack), .A2(tl_o[29]), .B1(rdata_i[27]), 
+        .B2(n20), .X(n99) );
+  sky130_fd_sc_hd__o22a_1 U66 ( .A1(a_ack), .A2(tl_o[28]), .B1(rdata_i[26]), 
+        .B2(n20), .X(n98) );
+  sky130_fd_sc_hd__o22a_1 U67 ( .A1(a_ack), .A2(tl_o[27]), .B1(rdata_i[25]), 
+        .B2(n20), .X(n97) );
+  sky130_fd_sc_hd__o22a_1 U68 ( .A1(a_ack), .A2(tl_o[26]), .B1(rdata_i[24]), 
+        .B2(n20), .X(n96) );
+  sky130_fd_sc_hd__o22a_1 U69 ( .A1(a_ack), .A2(tl_o[25]), .B1(rdata_i[23]), 
+        .B2(n20), .X(n95) );
+  sky130_fd_sc_hd__o22a_1 U70 ( .A1(a_ack), .A2(tl_o[24]), .B1(rdata_i[22]), 
+        .B2(n20), .X(n94) );
+  sky130_fd_sc_hd__o22a_1 U71 ( .A1(a_ack), .A2(tl_o[23]), .B1(rdata_i[21]), 
+        .B2(n20), .X(n93) );
+  sky130_fd_sc_hd__o22a_1 U72 ( .A1(a_ack), .A2(tl_o[22]), .B1(rdata_i[20]), 
+        .B2(n20), .X(n92) );
+  sky130_fd_sc_hd__o22a_1 U73 ( .A1(a_ack), .A2(tl_o[21]), .B1(rdata_i[19]), 
+        .B2(n20), .X(n91) );
+  sky130_fd_sc_hd__o22a_1 U74 ( .A1(a_ack), .A2(tl_o[20]), .B1(rdata_i[18]), 
+        .B2(n20), .X(n90) );
+  sky130_fd_sc_hd__o22a_1 U75 ( .A1(a_ack), .A2(tl_o[19]), .B1(rdata_i[17]), 
+        .B2(n20), .X(n89) );
+  sky130_fd_sc_hd__o22a_1 U76 ( .A1(a_ack), .A2(tl_o[18]), .B1(rdata_i[16]), 
+        .B2(n20), .X(n88) );
+  sky130_fd_sc_hd__o22a_1 U77 ( .A1(a_ack), .A2(tl_o[17]), .B1(rdata_i[15]), 
+        .B2(n20), .X(n87) );
+  sky130_fd_sc_hd__o22a_1 U78 ( .A1(a_ack), .A2(tl_o[16]), .B1(rdata_i[14]), 
+        .B2(n20), .X(n86) );
+  sky130_fd_sc_hd__o22a_1 U79 ( .A1(a_ack), .A2(tl_o[15]), .B1(rdata_i[13]), 
+        .B2(n20), .X(n85) );
+  sky130_fd_sc_hd__o22a_1 U80 ( .A1(a_ack), .A2(tl_o[14]), .B1(rdata_i[12]), 
+        .B2(n20), .X(n84) );
+  sky130_fd_sc_hd__o22a_1 U81 ( .A1(a_ack), .A2(tl_o[13]), .B1(rdata_i[11]), 
+        .B2(n20), .X(n83) );
+  sky130_fd_sc_hd__o22a_1 U82 ( .A1(a_ack), .A2(tl_o[12]), .B1(rdata_i[10]), 
+        .B2(n20), .X(n82) );
+  sky130_fd_sc_hd__o22a_1 U83 ( .A1(a_ack), .A2(tl_o[11]), .B1(rdata_i[9]), 
+        .B2(n20), .X(n81) );
+  sky130_fd_sc_hd__o22a_1 U84 ( .A1(a_ack), .A2(tl_o[10]), .B1(rdata_i[8]), 
+        .B2(n20), .X(n80) );
+  sky130_fd_sc_hd__o22a_1 U85 ( .A1(a_ack), .A2(tl_o[9]), .B1(rdata_i[7]), 
+        .B2(n20), .X(n79) );
+  sky130_fd_sc_hd__o22a_1 U86 ( .A1(a_ack), .A2(tl_o[8]), .B1(rdata_i[6]), 
+        .B2(n20), .X(n78) );
+  sky130_fd_sc_hd__o22a_1 U87 ( .A1(a_ack), .A2(tl_o[7]), .B1(rdata_i[5]), 
+        .B2(n20), .X(n77) );
+  sky130_fd_sc_hd__o22a_1 U88 ( .A1(a_ack), .A2(tl_o[6]), .B1(rdata_i[4]), 
+        .B2(n20), .X(n76) );
+  sky130_fd_sc_hd__o22a_1 U89 ( .A1(a_ack), .A2(tl_o[5]), .B1(rdata_i[3]), 
+        .B2(n20), .X(n75) );
+  sky130_fd_sc_hd__o22a_1 U90 ( .A1(a_ack), .A2(tl_o[4]), .B1(rdata_i[2]), 
+        .B2(n20), .X(n74) );
+  sky130_fd_sc_hd__o22a_1 U91 ( .A1(a_ack), .A2(tl_o[3]), .B1(rdata_i[1]), 
+        .B2(n20), .X(n73) );
+  sky130_fd_sc_hd__o22a_1 U92 ( .A1(a_ack), .A2(tl_o[2]), .B1(rdata_i[0]), 
+        .B2(n20), .X(n72) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_0 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_0 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n5;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_0 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n5)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_0 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_0 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n4, n5, n6, n7, n8;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_0 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n6), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n4), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(qs[0]), .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(qs[2]), .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(
+        qs[1]), .X(n4) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW6_0 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [5:0] wd;
+  input [5:0] d;
+  output [5:0] q;
+  output [5:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wd[1]), .X(q[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(wd[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(re), .X(qre) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(d[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(d[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(d[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(d[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(d[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(wd[4]), .X(q[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(wd[3]), .X(q[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(wd[5]), .X(q[5]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_19 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_19 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_19 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_20 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_20 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_20 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_21 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_21 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_21 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_22 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_22 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_22 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_23 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_23 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_23 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_24 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_24 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_24 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_25 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_25 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_25 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_26 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_26 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_26 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_27 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_27 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_27 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_28 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_28 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_28 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_29 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_29 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_29 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_30 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_30 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_30 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_31 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_31 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_31 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_32 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_32 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_32 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_33 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_33 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_33 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_34 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_34 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_34 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_35 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_35 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_35 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_36 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_36 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_36 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_37 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_37 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_37 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_38 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_38 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_38 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_39 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_39 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_39 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_40 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_40 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_40 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_41 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_41 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_41 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_42 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_42 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_42 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_43 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_43 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_43 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_44 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_44 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_44 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_45 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_45 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_45 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_46 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_46 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_46 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_47 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_47 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_47 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_48 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_48 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_48 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_49 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_49 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_49 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_50 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_50 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_50 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_51 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_51 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_51 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_52 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_52 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_52 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_53 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_53 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_53 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_54 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_54 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_54 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_55 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_55 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_55 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_56 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_56 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_56 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_57 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_57 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_57 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_58 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_58 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_58 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_59 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__and2_0 U1 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(we), .X(wr_en) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_59 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_59 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_60 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_60 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_60 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_61 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_61 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_61 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_62 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_62 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_62 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_63 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_63 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_63 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_64 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_64 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_64 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_65 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_65 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_65 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_66 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_66 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_66 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_67 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_67 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_67 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_68 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_68 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_68 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_69 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_69 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_69 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_70 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_70 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_70 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_71 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_71 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_71 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_72 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_72 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_72 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_73 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__and2_0 U1 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(we), .X(wr_en) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_73 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_73 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_74 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__and2_0 U1 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(we), .X(wr_en) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_74 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_74 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_75 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__and2_0 U1 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(we), .X(wr_en) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_75 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_75 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_76 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_76 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_76 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_77 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_77 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_77 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_78 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_78 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_78 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_79 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_79 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_79 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_80 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_80 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_80 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_81 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_81 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_81 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_82 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_82 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_82 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_0 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_0 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18081, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_0 wr_en_data_arb ( .we(
+        net18081), .wd(net18081), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18081), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_1 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_1 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18083, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_1 wr_en_data_arb ( .we(
+        net18083), .wd(net18083), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(net18083), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_2 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_2 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18085, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_2 wr_en_data_arb ( .we(
+        net18085), .wd(net18085), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18085), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_3 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_3 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18087, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_3 wr_en_data_arb ( .we(
+        net18087), .wd(net18087), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18087), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_4 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_4 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18089, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_4 wr_en_data_arb ( .we(
+        net18089), .wd(net18089), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18089), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_5 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_5 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18091, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_5 wr_en_data_arb ( .we(
+        net18091), .wd(net18091), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(net18091), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_6 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_6 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18093, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_6 wr_en_data_arb ( .we(
+        net18093), .wd(net18093), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18093), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_7 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_7 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18095, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_7 wr_en_data_arb ( .we(
+        net18095), .wd(net18095), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18095), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_8 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_8 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18097, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_8 wr_en_data_arb ( .we(
+        net18097), .wd(net18097), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18097), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_9 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_9 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18099, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_9 wr_en_data_arb ( .we(
+        net18099), .wd(net18099), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(net18099), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_10 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_10 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18101, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_10 wr_en_data_arb ( .we(
+        net18101), .wd(net18101), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18101), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_11 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_11 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18103, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_11 wr_en_data_arb ( .we(
+        net18103), .wd(net18103), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18103), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_12 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_12 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18105, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_12 wr_en_data_arb ( .we(
+        net18105), .wd(net18105), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(net18105), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_13 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_13 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18107, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_13 wr_en_data_arb ( .we(
+        net18107), .wd(net18107), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18107), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_14 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_14 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18109, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_14 wr_en_data_arb ( .we(
+        net18109), .wd(net18109), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18109), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_15 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_15 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18111, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_15 wr_en_data_arb ( .we(
+        net18111), .wd(net18111), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18111), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_16 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_16 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18113, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_16 wr_en_data_arb ( .we(
+        net18113), .wd(net18113), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(net18113), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_17 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_17 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18115, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_17 wr_en_data_arb ( .we(
+        net18115), .wd(net18115), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18115), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_18 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_18 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18117, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_18 wr_en_data_arb ( .we(
+        net18117), .wd(net18117), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18117), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_19 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_19 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18119, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_19 wr_en_data_arb ( .we(
+        net18119), .wd(net18119), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18119), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_20 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_20 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18121, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_20 wr_en_data_arb ( .we(
+        net18121), .wd(net18121), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(net18121), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_21 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_21 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18123, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_21 wr_en_data_arb ( .we(
+        net18123), .wd(net18123), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18123), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_22 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_22 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18125, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_22 wr_en_data_arb ( .we(
+        net18125), .wd(net18125), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18125), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_23 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_23 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18127, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_23 wr_en_data_arb ( .we(
+        net18127), .wd(net18127), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18127), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_24 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_24 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18129, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_24 wr_en_data_arb ( .we(
+        net18129), .wd(net18129), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(net18129), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_25 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_25 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18131, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_25 wr_en_data_arb ( .we(
+        net18131), .wd(net18131), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18131), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_26 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_26 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18133, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_26 wr_en_data_arb ( .we(
+        net18133), .wd(net18133), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18133), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_27 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_27 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18135, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_27 wr_en_data_arb ( .we(
+        net18135), .wd(net18135), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(net18135), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_28 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_28 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18137, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_28 wr_en_data_arb ( .we(
+        net18137), .wd(net18137), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18137), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_29 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_29 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18139, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_29 wr_en_data_arb ( .we(
+        net18139), .wd(net18139), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18139), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_30 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RO_0_30 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, net18141, wr_data_0_;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRO_30 wr_en_data_arb ( .we(
+        net18141), .wd(net18141), .de(n2), .d(d[0]), .q(1'b0), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(wr_data_0_), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(net18141), .HI(n2) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_2 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_2 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_2 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(qs[2]), .X(q[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(qs[2]), .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_3 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_3 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_3 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_4 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_4 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_4 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_5 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_5 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_5 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_6 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_6 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_6 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_7 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_7 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_7 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_8 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_8 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_8 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_9 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_9 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_9 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_10 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_10 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_10 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_11 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_11 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_11 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_12 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_12 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_12 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_13 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_13 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_13 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_14 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_14 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_14 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_15 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_15 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_15 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_16 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_16 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_16 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_17 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_17 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_17 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_18 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_18 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_18 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_19 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_19 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_19 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_20 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_20 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_20 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_21 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_21 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_21 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_22 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_22 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_22 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_23 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_23 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_23 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_24 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_24 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_24 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_25 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_25 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_25 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_26 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_26 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_26 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_27 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_27 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_27 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_28 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_28 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_28 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_29 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_29 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_29 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_30 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_30 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_30 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_31 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_31 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_31 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_32 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_32 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_32 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_rv_plic_reg_top_0 ( clk_i, rst_ni, tl_i, tl_o, reg2hw, 
+        hw2reg, devmode_i );
+  input [85:0] tl_i;
+  output [51:0] tl_o;
+  output [171:0] reg2hw;
+  input [69:0] hw2reg;
+  input clk_i, rst_ni, devmode_i;
+  wire   reg_we, reg_re, reg_error, ip_p_1_qs, ip_p_2_qs, ip_p_3_qs, ip_p_4_qs,
+         ip_p_5_qs, ip_p_6_qs, ip_p_7_qs, ip_p_8_qs, ip_p_9_qs, ip_p_10_qs,
+         ip_p_11_qs, ip_p_12_qs, ip_p_13_qs, ip_p_14_qs, ip_p_15_qs,
+         ip_p_16_qs, ip_p_17_qs, ip_p_18_qs, ip_p_19_qs, ip_p_20_qs,
+         ip_p_21_qs, ip_p_22_qs, ip_p_23_qs, ip_p_24_qs, ip_p_25_qs,
+         ip_p_26_qs, ip_p_27_qs, ip_p_28_qs, ip_p_29_qs, ip_p_30_qs,
+         ip_p_31_qs, le_le_0_qs, le_le_1_qs, le_le_2_qs, le_le_3_qs,
+         le_le_4_qs, le_le_5_qs, le_le_6_qs, le_le_7_qs, le_le_8_qs,
+         le_le_9_qs, le_le_10_qs, le_le_11_qs, le_le_12_qs, le_le_13_qs,
+         le_le_14_qs, le_le_15_qs, le_le_16_qs, le_le_17_qs, le_le_18_qs,
+         le_le_19_qs, le_le_20_qs, le_le_21_qs, le_le_22_qs, le_le_23_qs,
+         le_le_24_qs, le_le_25_qs, le_le_26_qs, le_le_27_qs, le_le_28_qs,
+         le_le_29_qs, le_le_30_qs, le_le_31_we, le_le_31_qs, prio0_we,
+         prio1_we, prio2_we, prio3_we, prio4_we, prio5_we, prio6_we, prio8_we,
+         prio9_we, prio10_we, prio11_we, prio12_we, prio13_we, prio14_we,
+         prio15_we, prio16_we, prio17_we, prio18_we, prio19_we, prio20_we,
+         prio21_we, prio22_we, prio23_we, prio24_we, prio25_we, prio26_we,
+         prio27_we, prio28_we, prio29_we, prio30_we, prio31_we, ie0_e_0_qs,
+         ie0_e_1_qs, ie0_e_2_qs, ie0_e_3_qs, ie0_e_4_qs, ie0_e_5_qs,
+         ie0_e_6_qs, ie0_e_7_qs, ie0_e_8_qs, ie0_e_9_qs, ie0_e_10_qs,
+         ie0_e_11_qs, ie0_e_12_qs, ie0_e_13_qs, ie0_e_14_qs, ie0_e_15_qs,
+         ie0_e_16_qs, ie0_e_17_qs, ie0_e_18_qs, ie0_e_19_qs, ie0_e_20_qs,
+         ie0_e_21_qs, ie0_e_22_qs, ie0_e_23_qs, ie0_e_24_qs, ie0_e_25_qs,
+         ie0_e_26_qs, ie0_e_27_qs, ie0_e_28_qs, ie0_e_29_qs, ie0_e_30_qs,
+         ie0_e_31_qs, threshold0_we, cc0_re, cc0_we, msip0_we, msip0_qs, n47,
+         n48, n49, n50, n51, n52, n57, n58, n59, n60, n61, n62, n63, n64, n65,
+         n66, n67, n68, n69, n70, n71, n72, n73, n74, n75, n76, n77, n78, n79,
+         n80, n81, n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93,
+         n94, n95, n96, n97, n98, n99, n100, n101, n102, n103, n104, n105,
+         n106, n107, n108, n109, n110, n111, n112, n113, n114, n115, n116,
+         n117, n118, n119, n120, n121, n122, n123, n124, n125, n126, n127,
+         n128, n129, n130, n131, n132, n133, n134, n135, n136, n137, n138,
+         n139, n140, n141, n142, n143, n144, n145, n146, n147, n148, n149,
+         n150, n151, n152, n153, n154, n155, n156, n157, n158, n159, n160,
+         n161, n162, n163, n164, n165, n166, n167, n168, n169, n170, n171,
+         n172, n173, n174, n175, n176, n177, n178, n179, n180, n181, n182,
+         n183, n184, n185, n186, n187, n188, n189, n190, n191, n192, n193,
+         n194, n195, n196, n197, n198, n199, n200, n201, n202, n203, n204,
+         n205, n206, n207, n208, n209, n210, n211, n212, n213, n214, n215,
+         n216, n217, n218, n219, n220, n221, n222, n223, n224, n225, n226,
+         n227, n228, n229, n230, n231, n232, n233, n234, n235, n236, n237,
+         n238, n239, n240, n241, n242, n243, n244, n245, n246, n247, n248,
+         n249, n250, n251, n252, n253, n254, n255, n256, n257, n258, n259,
+         n260, n261, n262, n263, n264, n269, SYNOPSYS_UNCONNECTED_1,
+         SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3,
+         SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5,
+         SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7,
+         SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9,
+         SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11,
+         SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13,
+         SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15,
+         SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17,
+         SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19,
+         SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21,
+         SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23,
+         SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25,
+         SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27,
+         SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29,
+         SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31,
+         SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33,
+         SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35,
+         SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37,
+         SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39,
+         SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41,
+         SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43,
+         SYNOPSYS_UNCONNECTED_44, SYNOPSYS_UNCONNECTED_45,
+         SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_47,
+         SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49,
+         SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51,
+         SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53,
+         SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55,
+         SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57,
+         SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59;
+  wire   [8:2] reg_addr;
+  wire   [31:0] reg_wdata;
+  wire   [3:0] reg_be;
+  wire   [31:0] reg_rdata;
+  wire   [2:0] prio0_qs;
+  wire   [2:0] prio1_qs;
+  wire   [2:0] prio2_qs;
+  wire   [2:0] prio3_qs;
+  wire   [2:0] prio4_qs;
+  wire   [2:0] prio5_qs;
+  wire   [2:0] prio6_qs;
+  wire   [2:0] prio8_qs;
+  wire   [2:0] prio10_qs;
+  wire   [2:0] prio11_qs;
+  wire   [2:0] prio12_qs;
+  wire   [2:0] prio13_qs;
+  wire   [2:0] prio14_qs;
+  wire   [2:0] prio15_qs;
+  wire   [2:0] prio16_qs;
+  wire   [2:0] prio17_qs;
+  wire   [2:0] prio18_qs;
+  wire   [2:0] prio19_qs;
+  wire   [2:0] prio20_qs;
+  wire   [2:0] prio21_qs;
+  wire   [2:0] prio22_qs;
+  wire   [2:0] prio23_qs;
+  wire   [2:0] prio24_qs;
+  wire   [2:0] prio25_qs;
+  wire   [2:0] prio26_qs;
+  wire   [2:0] prio27_qs;
+  wire   [2:0] prio28_qs;
+  wire   [2:0] prio29_qs;
+  wire   [2:0] prio30_qs;
+  wire   [2:0] prio31_qs;
+  wire   [2:0] threshold0_qs;
+  wire   [4:0] cc0_qs;
+
+  opentitan_soc_top_tlul_adapter_reg_RegAw9_RegDw32_0 u_reg_if ( .clk_i(n49), 
+        .rst_ni(n262), .tl_i({tl_i[85:84], n269, tl_i[82], n269, n269, n269, 
+        n48, n269, n269, n269, n269, n269, n269, n269, n269, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, tl_i[45:39], 
+        n269, n269, tl_i[36:1], n48}), .tl_o({tl_o[51], SYNOPSYS_UNCONNECTED_1, 
+        SYNOPSYS_UNCONNECTED_2, tl_o[48], SYNOPSYS_UNCONNECTED_3, 
+        SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, 
+        SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, tl_o[33:0]}), .re_o(reg_re), .we_o(reg_we), 
+        .addr_o({reg_addr[8:4], n47, reg_addr[2], SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18}), .wdata_o(reg_wdata), .be_o(reg_be), 
+        .rdata_i(reg_rdata), .error_i(reg_error) );
+  opentitan_soc_top_prim_subreg_1_RO_0_30 u_ip_p_1 ( .clk_i(n49), .rst_ni(n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[9]), .q(SYNOPSYS_UNCONNECTED_19), 
+        .qs(ip_p_1_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_29 u_ip_p_2 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[11]), .q(
+        SYNOPSYS_UNCONNECTED_20), .qs(ip_p_2_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_28 u_ip_p_3 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[13]), .q(
+        SYNOPSYS_UNCONNECTED_21), .qs(ip_p_3_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_27 u_ip_p_4 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[15]), .q(
+        SYNOPSYS_UNCONNECTED_22), .qs(ip_p_4_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_26 u_ip_p_5 ( .clk_i(n49), .rst_ni(n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[17]), .q(SYNOPSYS_UNCONNECTED_23), 
+        .qs(ip_p_5_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_25 u_ip_p_6 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[19]), .q(
+        SYNOPSYS_UNCONNECTED_24), .qs(ip_p_6_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_24 u_ip_p_7 ( .clk_i(n49), .rst_ni(n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[21]), .q(SYNOPSYS_UNCONNECTED_25), 
+        .qs(ip_p_7_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_23 u_ip_p_8 ( .clk_i(n49), .rst_ni(n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[23]), .q(SYNOPSYS_UNCONNECTED_26), 
+        .qs(ip_p_8_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_22 u_ip_p_9 ( .clk_i(n49), .rst_ni(n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[25]), .q(SYNOPSYS_UNCONNECTED_27), 
+        .qs(ip_p_9_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_21 u_ip_p_10 ( .clk_i(n49), .rst_ni(
+        n263), .we(n269), .wd(n269), .de(n48), .d(hw2reg[27]), .q(
+        SYNOPSYS_UNCONNECTED_28), .qs(ip_p_10_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_20 u_ip_p_11 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[29]), .q(
+        SYNOPSYS_UNCONNECTED_29), .qs(ip_p_11_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_19 u_ip_p_12 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[31]), .q(
+        SYNOPSYS_UNCONNECTED_30), .qs(ip_p_12_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_18 u_ip_p_13 ( .clk_i(n49), .rst_ni(
+        n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[33]), .q(
+        SYNOPSYS_UNCONNECTED_31), .qs(ip_p_13_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_17 u_ip_p_14 ( .clk_i(n49), .rst_ni(
+        n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[35]), .q(
+        SYNOPSYS_UNCONNECTED_32), .qs(ip_p_14_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_16 u_ip_p_15 ( .clk_i(n49), .rst_ni(
+        n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[37]), .q(
+        SYNOPSYS_UNCONNECTED_33), .qs(ip_p_15_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_15 u_ip_p_16 ( .clk_i(n49), .rst_ni(
+        n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[39]), .q(
+        SYNOPSYS_UNCONNECTED_34), .qs(ip_p_16_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_14 u_ip_p_17 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[41]), .q(
+        SYNOPSYS_UNCONNECTED_35), .qs(ip_p_17_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_13 u_ip_p_18 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[43]), .q(
+        SYNOPSYS_UNCONNECTED_36), .qs(ip_p_18_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_12 u_ip_p_19 ( .clk_i(n49), .rst_ni(
+        n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[45]), .q(
+        SYNOPSYS_UNCONNECTED_37), .qs(ip_p_19_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_11 u_ip_p_20 ( .clk_i(n49), .rst_ni(
+        n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[47]), .q(
+        SYNOPSYS_UNCONNECTED_38), .qs(ip_p_20_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_10 u_ip_p_21 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[49]), .q(
+        SYNOPSYS_UNCONNECTED_39), .qs(ip_p_21_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_9 u_ip_p_22 ( .clk_i(n49), .rst_ni(n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[51]), .q(SYNOPSYS_UNCONNECTED_40), 
+        .qs(ip_p_22_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_8 u_ip_p_23 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[53]), .q(
+        SYNOPSYS_UNCONNECTED_41), .qs(ip_p_23_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_7 u_ip_p_24 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[55]), .q(
+        SYNOPSYS_UNCONNECTED_42), .qs(ip_p_24_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_6 u_ip_p_25 ( .clk_i(n49), .rst_ni(n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[57]), .q(SYNOPSYS_UNCONNECTED_43), 
+        .qs(ip_p_25_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_5 u_ip_p_26 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[59]), .q(
+        SYNOPSYS_UNCONNECTED_44), .qs(ip_p_26_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_4 u_ip_p_27 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[61]), .q(
+        SYNOPSYS_UNCONNECTED_45), .qs(ip_p_27_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_3 u_ip_p_28 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[63]), .q(
+        SYNOPSYS_UNCONNECTED_46), .qs(ip_p_28_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_2 u_ip_p_29 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(n269), .wd(n269), .de(n48), .d(hw2reg[65]), .q(
+        SYNOPSYS_UNCONNECTED_47), .qs(ip_p_29_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_1 u_ip_p_30 ( .clk_i(n49), .rst_ni(n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[67]), .q(SYNOPSYS_UNCONNECTED_48), 
+        .qs(ip_p_30_qs) );
+  opentitan_soc_top_prim_subreg_1_RO_0_0 u_ip_p_31 ( .clk_i(n49), .rst_ni(n264), .we(n269), .wd(n269), .de(n48), .d(hw2reg[69]), .q(SYNOPSYS_UNCONNECTED_49), 
+        .qs(ip_p_31_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_0 u_le_le_0 ( .clk_i(n49), .rst_ni(n263), .we(le_le_31_we), .wd(reg_wdata[0]), .de(n269), .d(n269), .q(
+        SYNOPSYS_UNCONNECTED_50), .qs(le_le_0_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_82 u_le_le_1 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[1]), .de(n269), .d(n269), .q(
+        reg2hw[141]), .qs(le_le_1_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_81 u_le_le_2 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[2]), .de(n269), .d(n269), .q(
+        reg2hw[142]), .qs(le_le_2_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_80 u_le_le_3 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[3]), .de(n269), .d(n269), .q(
+        reg2hw[143]), .qs(le_le_3_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_79 u_le_le_4 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[4]), .de(n269), .d(n269), .q(
+        reg2hw[144]), .qs(le_le_4_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_78 u_le_le_5 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[5]), .de(n269), .d(n269), .q(
+        reg2hw[145]), .qs(le_le_5_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_77 u_le_le_6 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[6]), .de(n269), .d(n269), .q(
+        reg2hw[146]), .qs(le_le_6_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_76 u_le_le_7 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[7]), .de(n269), .d(n269), .q(
+        reg2hw[147]), .qs(le_le_7_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_75 u_le_le_8 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[8]), .de(n269), .d(n269), .q(
+        reg2hw[148]), .qs(le_le_8_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_74 u_le_le_9 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[9]), .de(n269), .d(n269), .q(
+        reg2hw[149]), .qs(le_le_9_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_73 u_le_le_10 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[10]), .de(n269), .d(n269), .q(
+        reg2hw[150]), .qs(le_le_10_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_72 u_le_le_11 ( .clk_i(n49), .rst_ni(
+        n264), .we(le_le_31_we), .wd(reg_wdata[11]), .de(n269), .d(n269), .q(
+        reg2hw[151]), .qs(le_le_11_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_71 u_le_le_12 ( .clk_i(n49), .rst_ni(
+        n264), .we(le_le_31_we), .wd(reg_wdata[12]), .de(n269), .d(n269), .q(
+        reg2hw[152]), .qs(le_le_12_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_70 u_le_le_13 ( .clk_i(n49), .rst_ni(
+        n264), .we(le_le_31_we), .wd(reg_wdata[13]), .de(n269), .d(n269), .q(
+        reg2hw[153]), .qs(le_le_13_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_69 u_le_le_14 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(le_le_31_we), .wd(reg_wdata[14]), .de(n269), .d(n269), 
+        .q(reg2hw[154]), .qs(le_le_14_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_68 u_le_le_15 ( .clk_i(n49), .rst_ni(
+        rst_ni), .we(le_le_31_we), .wd(reg_wdata[15]), .de(n269), .d(n269), 
+        .q(reg2hw[155]), .qs(le_le_15_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_67 u_le_le_16 ( .clk_i(n49), .rst_ni(
+        n264), .we(le_le_31_we), .wd(reg_wdata[16]), .de(n269), .d(n269), .q(
+        reg2hw[156]), .qs(le_le_16_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_66 u_le_le_17 ( .clk_i(n49), .rst_ni(
+        n264), .we(le_le_31_we), .wd(reg_wdata[17]), .de(n269), .d(n269), .q(
+        reg2hw[157]), .qs(le_le_17_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_65 u_le_le_18 ( .clk_i(n49), .rst_ni(
+        n264), .we(le_le_31_we), .wd(reg_wdata[18]), .de(n269), .d(n269), .q(
+        reg2hw[158]), .qs(le_le_18_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_64 u_le_le_19 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[19]), .de(n269), .d(n269), .q(
+        reg2hw[159]), .qs(le_le_19_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_63 u_le_le_20 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[20]), .de(n269), .d(n269), .q(
+        reg2hw[160]), .qs(le_le_20_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_62 u_le_le_21 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[21]), .de(n269), .d(n269), .q(
+        reg2hw[161]), .qs(le_le_21_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_61 u_le_le_22 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[22]), .de(n269), .d(n269), .q(
+        reg2hw[162]), .qs(le_le_22_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_60 u_le_le_23 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[23]), .de(n269), .d(n269), .q(
+        reg2hw[163]), .qs(le_le_23_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_59 u_le_le_24 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[24]), .de(n269), .d(n269), .q(
+        reg2hw[164]), .qs(le_le_24_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_58 u_le_le_25 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[25]), .de(n269), .d(n269), .q(
+        reg2hw[165]), .qs(le_le_25_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_57 u_le_le_26 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[26]), .de(n269), .d(n269), .q(
+        reg2hw[166]), .qs(le_le_26_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_56 u_le_le_27 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[27]), .de(n269), .d(n269), .q(
+        reg2hw[167]), .qs(le_le_27_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_55 u_le_le_28 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[28]), .de(n269), .d(n269), .q(
+        reg2hw[168]), .qs(le_le_28_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_54 u_le_le_29 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[29]), .de(n269), .d(n269), .q(
+        reg2hw[169]), .qs(le_le_29_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_53 u_le_le_30 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[30]), .de(n269), .d(n269), .q(
+        reg2hw[170]), .qs(le_le_30_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_52 u_le_le_31 ( .clk_i(n49), .rst_ni(
+        n263), .we(le_le_31_we), .wd(reg_wdata[31]), .de(n269), .d(n269), .q(
+        reg2hw[171]), .qs(le_le_31_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_0 u_prio0 ( .clk_i(n49), .rst_ni(n264), 
+        .we(prio0_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q({SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52, 
+        SYNOPSYS_UNCONNECTED_53}), .qs(prio0_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_32 u_prio1 ( .clk_i(n49), .rst_ni(n262), 
+        .we(prio1_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[136:134]), .qs(prio1_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_31 u_prio2 ( .clk_i(n49), .rst_ni(n261), 
+        .we(prio2_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[133:131]), .qs(prio2_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_30 u_prio3 ( .clk_i(n49), .rst_ni(n262), 
+        .we(prio3_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[130:128]), .qs(prio3_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_29 u_prio4 ( .clk_i(n49), .rst_ni(n261), 
+        .we(prio4_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[127:125]), .qs(prio4_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_28 u_prio5 ( .clk_i(n49), .rst_ni(n262), 
+        .we(prio5_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[124:122]), .qs(prio5_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_27 u_prio6 ( .clk_i(n49), .rst_ni(n261), 
+        .we(prio6_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[121:119]), .qs(prio6_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_26 u_prio8 ( .clk_i(n49), .rst_ni(n262), 
+        .we(prio8_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[115:113]), .qs(prio8_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_25 u_prio9 ( .clk_i(n49), .rst_ni(n261), 
+        .we(prio9_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[112:110]), .qs({SYNOPSYS_UNCONNECTED_54, 
+        SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56}) );
+  opentitan_soc_top_prim_subreg_3_RW_0_24 u_prio10 ( .clk_i(n49), .rst_ni(n262), .we(prio10_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[109:107]), .qs(prio10_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_23 u_prio11 ( .clk_i(n49), .rst_ni(n261), .we(prio11_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[106:104]), .qs(prio11_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_22 u_prio12 ( .clk_i(n49), .rst_ni(n261), .we(prio12_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[103:101]), .qs(prio12_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_21 u_prio13 ( .clk_i(n49), .rst_ni(n261), .we(prio13_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[100:98]), .qs(prio13_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_20 u_prio14 ( .clk_i(n49), .rst_ni(n261), .we(prio14_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[97:95]), .qs(prio14_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_19 u_prio15 ( .clk_i(n49), .rst_ni(n261), .we(prio15_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[94:92]), .qs(prio15_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_18 u_prio16 ( .clk_i(n49), .rst_ni(n261), .we(prio16_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[91:89]), .qs(prio16_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_17 u_prio17 ( .clk_i(n49), .rst_ni(n260), .we(prio17_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[88:86]), .qs(prio17_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_16 u_prio18 ( .clk_i(n49), .rst_ni(n261), .we(prio18_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[85:83]), .qs(prio18_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_15 u_prio19 ( .clk_i(n49), .rst_ni(n260), .we(prio19_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[82:80]), .qs(prio19_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_14 u_prio20 ( .clk_i(n49), .rst_ni(n261), .we(prio20_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[79:77]), .qs(prio20_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_13 u_prio21 ( .clk_i(n49), .rst_ni(n260), .we(prio21_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[76:74]), .qs(prio21_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_12 u_prio22 ( .clk_i(n49), .rst_ni(n260), .we(prio22_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[73:71]), .qs(prio22_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_11 u_prio23 ( .clk_i(n49), .rst_ni(n260), .we(prio23_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[70:68]), .qs(prio23_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_10 u_prio24 ( .clk_i(n49), .rst_ni(n260), .we(prio24_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), .q(
+        reg2hw[67:65]), .qs(prio24_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_9 u_prio25 ( .clk_i(n49), .rst_ni(n260), 
+        .we(prio25_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[64:62]), .qs(prio25_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_8 u_prio26 ( .clk_i(n49), .rst_ni(n260), 
+        .we(prio26_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[61:59]), .qs(prio26_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_7 u_prio27 ( .clk_i(n49), .rst_ni(n260), 
+        .we(prio27_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[58:56]), .qs(prio27_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_6 u_prio28 ( .clk_i(n49), .rst_ni(n260), 
+        .we(prio28_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[55:53]), .qs(prio28_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_5 u_prio29 ( .clk_i(n49), .rst_ni(n260), 
+        .we(prio29_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[52:50]), .qs(prio29_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_4 u_prio30 ( .clk_i(n49), .rst_ni(n264), 
+        .we(prio30_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[49:47]), .qs(prio30_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_3 u_prio31 ( .clk_i(n49), .rst_ni(n260), 
+        .we(prio31_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, n269, n269}), 
+        .q(reg2hw[46:44]), .qs(prio31_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_51 u_ie0_e_0 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[0]), .de(n269), .d(n269), .q(
+        SYNOPSYS_UNCONNECTED_57), .qs(ie0_e_0_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_50 u_ie0_e_1 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[1]), .de(n269), .d(n269), .q(
+        reg2hw[13]), .qs(ie0_e_1_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_49 u_ie0_e_2 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[2]), .de(n269), .d(n269), .q(
+        reg2hw[14]), .qs(ie0_e_2_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_48 u_ie0_e_3 ( .clk_i(n49), .rst_ni(
+        n264), .we(n259), .wd(reg_wdata[3]), .de(n269), .d(n269), .q(
+        reg2hw[15]), .qs(ie0_e_3_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_47 u_ie0_e_4 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[4]), .de(n269), .d(n269), .q(
+        reg2hw[16]), .qs(ie0_e_4_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_46 u_ie0_e_5 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[5]), .de(n269), .d(n269), .q(
+        reg2hw[17]), .qs(ie0_e_5_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_45 u_ie0_e_6 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[6]), .de(n269), .d(n269), .q(
+        reg2hw[18]), .qs(ie0_e_6_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_44 u_ie0_e_7 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[7]), .de(n269), .d(n269), .q(
+        reg2hw[19]), .qs(ie0_e_7_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_43 u_ie0_e_8 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[8]), .de(n269), .d(n269), .q(
+        reg2hw[20]), .qs(ie0_e_8_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_42 u_ie0_e_9 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[9]), .de(n269), .d(n269), .q(
+        reg2hw[21]), .qs(ie0_e_9_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_41 u_ie0_e_10 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[10]), .de(n269), .d(n269), .q(
+        reg2hw[22]), .qs(ie0_e_10_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_40 u_ie0_e_11 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[11]), .de(n269), .d(n269), .q(
+        reg2hw[23]), .qs(ie0_e_11_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_39 u_ie0_e_12 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[12]), .de(n269), .d(n269), .q(
+        reg2hw[24]), .qs(ie0_e_12_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_38 u_ie0_e_13 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[13]), .de(n269), .d(n269), .q(
+        reg2hw[25]), .qs(ie0_e_13_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_37 u_ie0_e_14 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[14]), .de(n269), .d(n269), .q(
+        reg2hw[26]), .qs(ie0_e_14_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_36 u_ie0_e_15 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[15]), .de(n269), .d(n269), .q(
+        reg2hw[27]), .qs(ie0_e_15_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_35 u_ie0_e_16 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[16]), .de(n269), .d(n269), .q(
+        reg2hw[28]), .qs(ie0_e_16_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_34 u_ie0_e_17 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[17]), .de(n269), .d(n269), .q(
+        reg2hw[29]), .qs(ie0_e_17_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_33 u_ie0_e_18 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[18]), .de(n269), .d(n269), .q(
+        reg2hw[30]), .qs(ie0_e_18_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_32 u_ie0_e_19 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[19]), .de(n269), .d(n269), .q(
+        reg2hw[31]), .qs(ie0_e_19_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_31 u_ie0_e_20 ( .clk_i(n49), .rst_ni(
+        n262), .we(n259), .wd(reg_wdata[20]), .de(n269), .d(n269), .q(
+        reg2hw[32]), .qs(ie0_e_20_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_30 u_ie0_e_21 ( .clk_i(n49), .rst_ni(
+        n263), .we(n259), .wd(reg_wdata[21]), .de(n269), .d(n269), .q(
+        reg2hw[33]), .qs(ie0_e_21_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_29 u_ie0_e_22 ( .clk_i(n49), .rst_ni(
+        n262), .we(n259), .wd(reg_wdata[22]), .de(n269), .d(n269), .q(
+        reg2hw[34]), .qs(ie0_e_22_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_28 u_ie0_e_23 ( .clk_i(n49), .rst_ni(
+        n262), .we(n259), .wd(reg_wdata[23]), .de(n269), .d(n269), .q(
+        reg2hw[35]), .qs(ie0_e_23_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_27 u_ie0_e_24 ( .clk_i(n49), .rst_ni(
+        n264), .we(n259), .wd(reg_wdata[24]), .de(n269), .d(n269), .q(
+        reg2hw[36]), .qs(ie0_e_24_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_26 u_ie0_e_25 ( .clk_i(n49), .rst_ni(
+        n262), .we(n259), .wd(reg_wdata[25]), .de(n269), .d(n269), .q(
+        reg2hw[37]), .qs(ie0_e_25_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_25 u_ie0_e_26 ( .clk_i(n49), .rst_ni(
+        n264), .we(n259), .wd(reg_wdata[26]), .de(n269), .d(n269), .q(
+        reg2hw[38]), .qs(ie0_e_26_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_24 u_ie0_e_27 ( .clk_i(n49), .rst_ni(
+        n264), .we(n259), .wd(reg_wdata[27]), .de(n269), .d(n269), .q(
+        reg2hw[39]), .qs(ie0_e_27_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_23 u_ie0_e_28 ( .clk_i(n49), .rst_ni(
+        n264), .we(n259), .wd(reg_wdata[28]), .de(n269), .d(n269), .q(
+        reg2hw[40]), .qs(ie0_e_28_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_22 u_ie0_e_29 ( .clk_i(n49), .rst_ni(
+        n262), .we(n259), .wd(reg_wdata[29]), .de(n269), .d(n269), .q(
+        reg2hw[41]), .qs(ie0_e_29_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_21 u_ie0_e_30 ( .clk_i(n49), .rst_ni(
+        n260), .we(n259), .wd(reg_wdata[30]), .de(n269), .d(n269), .q(
+        reg2hw[42]), .qs(ie0_e_30_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_20 u_ie0_e_31 ( .clk_i(n49), .rst_ni(
+        n262), .we(n259), .wd(reg_wdata[31]), .de(n269), .d(n269), .q(
+        reg2hw[43]), .qs(ie0_e_31_qs) );
+  opentitan_soc_top_prim_subreg_3_RW_0_2 u_threshold0 ( .clk_i(n49), .rst_ni(
+        n264), .we(threshold0_we), .wd(reg_wdata[2:0]), .de(n269), .d({n269, 
+        n269, n269}), .q(reg2hw[11:9]), .qs(threshold0_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW6_0 u_cc0 ( .re(cc0_re), .we(cc0_we), 
+        .wd(reg_wdata[5:0]), .d({n269, hw2reg[4:0]}), .qe(reg2hw[2]), .qre(
+        reg2hw[1]), .q(reg2hw[8:3]), .qs({SYNOPSYS_UNCONNECTED_58, cc0_qs}) );
+  opentitan_soc_top_prim_subreg_1_RW_0_19 u_msip0 ( .clk_i(n49), .rst_ni(n261), 
+        .we(msip0_we), .wd(reg_wdata[0]), .de(n269), .d(n269), .q(
+        SYNOPSYS_UNCONNECTED_59), .qs(msip0_qs) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n269), .HI(n48) );
+  sky130_fd_sc_hd__inv_4 U4 ( .A(n50), .Y(n49) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(clk_i), .Y(n50) );
+  sky130_fd_sc_hd__inv_2 U7 ( .A(n57), .Y(n262) );
+  sky130_fd_sc_hd__inv_2 U8 ( .A(n57), .Y(n263) );
+  sky130_fd_sc_hd__nor2_1 U9 ( .A(reg_addr[6]), .B(reg_addr[4]), .Y(n59) );
+  sky130_fd_sc_hd__clkinv_1 U10 ( .A(reg_addr[5]), .Y(n74) );
+  sky130_fd_sc_hd__nand2_1 U11 ( .A(n59), .B(n74), .Y(n123) );
+  sky130_fd_sc_hd__clkinv_1 U12 ( .A(n123), .Y(n175) );
+  sky130_fd_sc_hd__clkinv_1 U13 ( .A(reg_addr[7]), .Y(n60) );
+  sky130_fd_sc_hd__nand3_1 U14 ( .A(reg_addr[8]), .B(n175), .C(n60), .Y(n76)
+         );
+  sky130_fd_sc_hd__nor3_1 U15 ( .A(reg_addr[2]), .B(n47), .C(n76), .Y(n254) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(n254), .X(n224) );
+  sky130_fd_sc_hd__nand3_1 U17 ( .A(reg_be[1]), .B(reg_be[3]), .C(reg_be[2]), 
+        .Y(n51) );
+  sky130_fd_sc_hd__nor3_1 U18 ( .A(n47), .B(reg_addr[8]), .C(reg_addr[7]), .Y(
+        n77) );
+  sky130_fd_sc_hd__a32oi_1 U19 ( .A1(n175), .A2(n51), .A3(n77), .B1(n224), 
+        .B2(n51), .Y(n52) );
+  sky130_fd_sc_hd__a21bo_2 U20 ( .A1(reg_be[0]), .A2(n52), .B1_N(reg_we), .X(
+        n80) );
+  sky130_fd_sc_hd__nand2_1 U21 ( .A(n80), .B(reg_we), .Y(n83) );
+  sky130_fd_sc_hd__clkinv_1 U22 ( .A(n83), .Y(n68) );
+  sky130_fd_sc_hd__and2_2 U23 ( .A(n224), .B(n68), .X(n259) );
+  sky130_fd_sc_hd__nand2_1 U24 ( .A(reg_addr[2]), .B(n77), .Y(n163) );
+  sky130_fd_sc_hd__nor2_1 U25 ( .A(n123), .B(n163), .Y(n253) );
+  sky130_fd_sc_hd__clkinv_1 U34 ( .A(rst_ni), .Y(n57) );
+  sky130_fd_sc_hd__clkinv_1 U35 ( .A(n57), .Y(n260) );
+  sky130_fd_sc_hd__clkinv_1 U36 ( .A(n57), .Y(n261) );
+  sky130_fd_sc_hd__clkinv_1 U37 ( .A(n57), .Y(n264) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(reg_addr[2]), .Y(n62) );
+  sky130_fd_sc_hd__nand2_1 U39 ( .A(n62), .B(n77), .Y(n159) );
+  sky130_fd_sc_hd__clkinv_1 U40 ( .A(n159), .Y(n146) );
+  sky130_fd_sc_hd__nand2_1 U41 ( .A(n68), .B(n146), .Y(n65) );
+  sky130_fd_sc_hd__nand3_1 U42 ( .A(reg_addr[6]), .B(reg_addr[4]), .C(n74), 
+        .Y(n87) );
+  sky130_fd_sc_hd__nor2_1 U43 ( .A(n65), .B(n87), .Y(prio18_we) );
+  sky130_fd_sc_hd__clkinv_1 U44 ( .A(reg_addr[4]), .Y(n58) );
+  sky130_fd_sc_hd__nand3_1 U45 ( .A(reg_addr[6]), .B(n74), .C(n58), .Y(n89) );
+  sky130_fd_sc_hd__nor2_1 U46 ( .A(n65), .B(n89), .Y(prio14_we) );
+  sky130_fd_sc_hd__nand3_1 U47 ( .A(reg_addr[6]), .B(reg_addr[5]), .C(n58), 
+        .Y(n90) );
+  sky130_fd_sc_hd__nor2_1 U48 ( .A(n65), .B(n90), .Y(prio22_we) );
+  sky130_fd_sc_hd__nand3_1 U49 ( .A(reg_addr[5]), .B(reg_addr[6]), .C(
+        reg_addr[4]), .Y(n88) );
+  sky130_fd_sc_hd__nor2_1 U50 ( .A(n65), .B(n88), .Y(prio26_we) );
+  sky130_fd_sc_hd__clkinv_1 U51 ( .A(reg_addr[6]), .Y(n64) );
+  sky130_fd_sc_hd__nand3_1 U52 ( .A(reg_addr[5]), .B(reg_addr[4]), .C(n64), 
+        .Y(n69) );
+  sky130_fd_sc_hd__nor2_1 U53 ( .A(n65), .B(n69), .Y(prio10_we) );
+  sky130_fd_sc_hd__nand2_1 U54 ( .A(reg_addr[5]), .B(n59), .Y(n66) );
+  sky130_fd_sc_hd__nor2_1 U55 ( .A(n65), .B(n66), .Y(prio6_we) );
+  sky130_fd_sc_hd__or2_0 U56 ( .A(n163), .B(n83), .X(n71) );
+  sky130_fd_sc_hd__nor2_1 U57 ( .A(n71), .B(n69), .Y(prio11_we) );
+  sky130_fd_sc_hd__nor2_1 U58 ( .A(n71), .B(n90), .Y(prio23_we) );
+  sky130_fd_sc_hd__nor2_1 U59 ( .A(n71), .B(n88), .Y(prio27_we) );
+  sky130_fd_sc_hd__nor2_1 U60 ( .A(n71), .B(n87), .Y(prio19_we) );
+  sky130_fd_sc_hd__nor2_1 U61 ( .A(n62), .B(n76), .Y(n82) );
+  sky130_fd_sc_hd__clkinv_1 U62 ( .A(n47), .Y(n72) );
+  sky130_fd_sc_hd__nand2_1 U63 ( .A(n82), .B(n72), .Y(n86) );
+  sky130_fd_sc_hd__nor2_1 U64 ( .A(n83), .B(n86), .Y(threshold0_we) );
+  sky130_fd_sc_hd__nor2_1 U65 ( .A(reg_addr[8]), .B(reg_addr[7]), .Y(n73) );
+  sky130_fd_sc_hd__nand2_1 U66 ( .A(n47), .B(n73), .Y(n61) );
+  sky130_fd_sc_hd__nor2_1 U67 ( .A(n62), .B(n61), .Y(n95) );
+  sky130_fd_sc_hd__clkinv_1 U68 ( .A(n69), .Y(n174) );
+  sky130_fd_sc_hd__nand2_1 U69 ( .A(n95), .B(n174), .Y(n84) );
+  sky130_fd_sc_hd__nor2_1 U70 ( .A(n83), .B(n84), .Y(prio13_we) );
+  sky130_fd_sc_hd__nor4_1 U71 ( .A(reg_addr[8]), .B(n47), .C(n123), .D(n60), 
+        .Y(n63) );
+  sky130_fd_sc_hd__nand2_1 U72 ( .A(reg_addr[2]), .B(n63), .Y(n85) );
+  sky130_fd_sc_hd__nor2_1 U73 ( .A(n83), .B(n85), .Y(prio31_we) );
+  sky130_fd_sc_hd__nor2_1 U74 ( .A(reg_addr[2]), .B(n61), .Y(n182) );
+  sky130_fd_sc_hd__clkinv_1 U75 ( .A(n66), .Y(n157) );
+  sky130_fd_sc_hd__nand2_1 U76 ( .A(n182), .B(n157), .Y(n109) );
+  sky130_fd_sc_hd__nor2_1 U77 ( .A(n83), .B(n109), .Y(prio8_we) );
+  sky130_fd_sc_hd__nand2_1 U78 ( .A(n63), .B(n62), .Y(n110) );
+  sky130_fd_sc_hd__nor2_1 U79 ( .A(n83), .B(n110), .Y(prio30_we) );
+  sky130_fd_sc_hd__nand2_1 U80 ( .A(n68), .B(n95), .Y(n67) );
+  sky130_fd_sc_hd__nor2_1 U81 ( .A(n123), .B(n67), .Y(prio1_we) );
+  sky130_fd_sc_hd__nand3_1 U82 ( .A(reg_addr[4]), .B(n74), .C(n64), .Y(n91) );
+  sky130_fd_sc_hd__nor2_1 U83 ( .A(n91), .B(n65), .Y(prio2_we) );
+  sky130_fd_sc_hd__nor2_1 U84 ( .A(n67), .B(n87), .Y(prio21_we) );
+  sky130_fd_sc_hd__nor2_1 U85 ( .A(n67), .B(n90), .Y(prio25_we) );
+  sky130_fd_sc_hd__nor2_1 U86 ( .A(n67), .B(n89), .Y(prio17_we) );
+  sky130_fd_sc_hd__nor2_1 U87 ( .A(n67), .B(n91), .Y(prio5_we) );
+  sky130_fd_sc_hd__nor2_1 U88 ( .A(n67), .B(n88), .Y(prio29_we) );
+  sky130_fd_sc_hd__nor2_1 U89 ( .A(n67), .B(n66), .Y(prio9_we) );
+  sky130_fd_sc_hd__nand2_1 U90 ( .A(n182), .B(n68), .Y(n70) );
+  sky130_fd_sc_hd__nor2_1 U91 ( .A(n123), .B(n70), .Y(prio0_we) );
+  sky130_fd_sc_hd__nor2_1 U92 ( .A(n70), .B(n89), .Y(prio16_we) );
+  sky130_fd_sc_hd__nor2_1 U93 ( .A(n70), .B(n90), .Y(prio24_we) );
+  sky130_fd_sc_hd__nor2_1 U94 ( .A(n70), .B(n91), .Y(prio4_we) );
+  sky130_fd_sc_hd__nor2_1 U95 ( .A(n70), .B(n69), .Y(prio12_we) );
+  sky130_fd_sc_hd__nor2_1 U96 ( .A(n70), .B(n88), .Y(prio28_we) );
+  sky130_fd_sc_hd__nor2_1 U97 ( .A(n70), .B(n87), .Y(prio20_we) );
+  sky130_fd_sc_hd__nor2_1 U98 ( .A(n91), .B(n71), .Y(prio3_we) );
+  sky130_fd_sc_hd__nor2_1 U99 ( .A(n71), .B(n89), .Y(prio15_we) );
+  sky130_fd_sc_hd__nor3_1 U100 ( .A(n72), .B(n76), .C(reg_addr[2]), .Y(n196)
+         );
+  sky130_fd_sc_hd__and2_0 U101 ( .A(n196), .B(reg_re), .X(cc0_re) );
+  sky130_fd_sc_hd__nor3_1 U102 ( .A(n47), .B(reg_addr[8]), .C(n123), .Y(n79)
+         );
+  sky130_fd_sc_hd__o21ai_1 U103 ( .A1(reg_addr[6]), .A2(n74), .B1(n73), .Y(n75) );
+  sky130_fd_sc_hd__nand4b_1 U104 ( .A_N(n77), .B(n76), .C(n84), .D(n75), .Y(
+        n78) );
+  sky130_fd_sc_hd__or3_1 U105 ( .A(n182), .B(n79), .C(n78), .X(n197) );
+  sky130_fd_sc_hd__clkinv_1 U106 ( .A(n197), .Y(n255) );
+  sky130_fd_sc_hd__o21ai_1 U107 ( .A1(reg_we), .A2(reg_re), .B1(n255), .Y(n81)
+         );
+  sky130_fd_sc_hd__o21ai_1 U108 ( .A1(n95), .A2(n81), .B1(n80), .Y(reg_error)
+         );
+  sky130_fd_sc_hd__nor2b_1 U109 ( .B_N(n196), .A(n83), .Y(cc0_we) );
+  sky130_fd_sc_hd__nand2_1 U110 ( .A(n47), .B(n82), .Y(n111) );
+  sky130_fd_sc_hd__nor2_1 U111 ( .A(n83), .B(n111), .Y(msip0_we) );
+  sky130_fd_sc_hd__clkinv_1 U112 ( .A(n84), .Y(n183) );
+  sky130_fd_sc_hd__clkinv_1 U113 ( .A(n85), .Y(n151) );
+  sky130_fd_sc_hd__a22oi_1 U114 ( .A1(n183), .A2(prio13_qs[0]), .B1(n151), 
+        .B2(prio31_qs[0]), .Y(n122) );
+  sky130_fd_sc_hd__clkinv_1 U115 ( .A(n86), .Y(n180) );
+  sky130_fd_sc_hd__a21oi_1 U116 ( .A1(n180), .A2(threshold0_qs[0]), .B1(n255), 
+        .Y(n121) );
+  sky130_fd_sc_hd__clkinv_1 U117 ( .A(n87), .Y(n170) );
+  sky130_fd_sc_hd__clkinv_1 U118 ( .A(n88), .Y(n173) );
+  sky130_fd_sc_hd__a22oi_1 U119 ( .A1(n170), .A2(prio19_qs[0]), .B1(n173), 
+        .B2(prio27_qs[0]), .Y(n94) );
+  sky130_fd_sc_hd__clkinv_1 U120 ( .A(n89), .Y(n171) );
+  sky130_fd_sc_hd__clkinv_1 U121 ( .A(n90), .Y(n169) );
+  sky130_fd_sc_hd__a22oi_1 U122 ( .A1(n171), .A2(prio15_qs[0]), .B1(n169), 
+        .B2(prio23_qs[0]), .Y(n93) );
+  sky130_fd_sc_hd__clkinv_1 U123 ( .A(n91), .Y(n172) );
+  sky130_fd_sc_hd__a22oi_1 U124 ( .A1(n172), .A2(prio3_qs[0]), .B1(n174), .B2(
+        prio11_qs[0]), .Y(n92) );
+  sky130_fd_sc_hd__a31oi_1 U125 ( .A1(n94), .A2(n93), .A3(n92), .B1(n163), .Y(
+        n119) );
+  sky130_fd_sc_hd__a22oi_1 U126 ( .A1(n170), .A2(prio21_qs[0]), .B1(n173), 
+        .B2(prio29_qs[0]), .Y(n98) );
+  sky130_fd_sc_hd__a22oi_1 U127 ( .A1(n171), .A2(prio17_qs[0]), .B1(n169), 
+        .B2(prio25_qs[0]), .Y(n97) );
+  sky130_fd_sc_hd__a22oi_1 U128 ( .A1(n175), .A2(prio1_qs[0]), .B1(n172), .B2(
+        prio5_qs[0]), .Y(n96) );
+  sky130_fd_sc_hd__clkinv_1 U129 ( .A(n95), .Y(n153) );
+  sky130_fd_sc_hd__a31oi_1 U130 ( .A1(n98), .A2(n97), .A3(n96), .B1(n153), .Y(
+        n118) );
+  sky130_fd_sc_hd__a22oi_1 U131 ( .A1(n170), .A2(prio20_qs[0]), .B1(n169), 
+        .B2(prio24_qs[0]), .Y(n102) );
+  sky130_fd_sc_hd__a22oi_1 U132 ( .A1(n172), .A2(prio4_qs[0]), .B1(n171), .B2(
+        prio16_qs[0]), .Y(n101) );
+  sky130_fd_sc_hd__a22oi_1 U133 ( .A1(n174), .A2(prio12_qs[0]), .B1(n173), 
+        .B2(prio28_qs[0]), .Y(n100) );
+  sky130_fd_sc_hd__nand2_1 U134 ( .A(n175), .B(prio0_qs[0]), .Y(n99) );
+  sky130_fd_sc_hd__nand4_1 U135 ( .A(n102), .B(n101), .C(n100), .D(n99), .Y(
+        n108) );
+  sky130_fd_sc_hd__a22oi_1 U136 ( .A1(n170), .A2(prio18_qs[0]), .B1(n169), 
+        .B2(prio22_qs[0]), .Y(n106) );
+  sky130_fd_sc_hd__a22oi_1 U137 ( .A1(n172), .A2(prio2_qs[0]), .B1(n171), .B2(
+        prio14_qs[0]), .Y(n105) );
+  sky130_fd_sc_hd__a22oi_1 U138 ( .A1(n157), .A2(prio6_qs[0]), .B1(n173), .B2(
+        prio26_qs[0]), .Y(n104) );
+  sky130_fd_sc_hd__nand2_1 U139 ( .A(n174), .B(prio10_qs[0]), .Y(n103) );
+  sky130_fd_sc_hd__nand4_1 U140 ( .A(n106), .B(n105), .C(n104), .D(n103), .Y(
+        n107) );
+  sky130_fd_sc_hd__a22oi_1 U141 ( .A1(n182), .A2(n108), .B1(n146), .B2(n107), 
+        .Y(n116) );
+  sky130_fd_sc_hd__a22oi_1 U142 ( .A1(n253), .A2(le_le_0_qs), .B1(n196), .B2(
+        cc0_qs[0]), .Y(n115) );
+  sky130_fd_sc_hd__clkinv_1 U143 ( .A(n109), .Y(n168) );
+  sky130_fd_sc_hd__a22oi_1 U144 ( .A1(n224), .A2(ie0_e_0_qs), .B1(n168), .B2(
+        prio8_qs[0]), .Y(n114) );
+  sky130_fd_sc_hd__clkinv_1 U145 ( .A(n110), .Y(n152) );
+  sky130_fd_sc_hd__clkinv_1 U146 ( .A(n111), .Y(n112) );
+  sky130_fd_sc_hd__a22oi_1 U147 ( .A1(n152), .A2(prio30_qs[0]), .B1(n112), 
+        .B2(msip0_qs), .Y(n113) );
+  sky130_fd_sc_hd__nand4_1 U148 ( .A(n116), .B(n115), .C(n114), .D(n113), .Y(
+        n117) );
+  sky130_fd_sc_hd__nor3_1 U149 ( .A(n119), .B(n118), .C(n117), .Y(n120) );
+  sky130_fd_sc_hd__nand3_1 U150 ( .A(n122), .B(n121), .C(n120), .Y(
+        reg_rdata[0]) );
+  sky130_fd_sc_hd__nor2_1 U151 ( .A(n123), .B(n159), .Y(n256) );
+  sky130_fd_sc_hd__a22oi_1 U152 ( .A1(n196), .A2(cc0_qs[1]), .B1(n256), .B2(
+        ip_p_1_qs), .Y(n150) );
+  sky130_fd_sc_hd__a22oi_1 U153 ( .A1(n224), .A2(ie0_e_1_qs), .B1(n253), .B2(
+        le_le_1_qs), .Y(n149) );
+  sky130_fd_sc_hd__a22oi_1 U154 ( .A1(n152), .A2(prio30_qs[1]), .B1(n151), 
+        .B2(prio31_qs[1]), .Y(n148) );
+  sky130_fd_sc_hd__a22oi_1 U155 ( .A1(n170), .A2(prio18_qs[1]), .B1(n169), 
+        .B2(prio22_qs[1]), .Y(n127) );
+  sky130_fd_sc_hd__a22oi_1 U156 ( .A1(n172), .A2(prio2_qs[1]), .B1(n171), .B2(
+        prio14_qs[1]), .Y(n126) );
+  sky130_fd_sc_hd__a22oi_1 U157 ( .A1(n157), .A2(prio6_qs[1]), .B1(n173), .B2(
+        prio26_qs[1]), .Y(n125) );
+  sky130_fd_sc_hd__nand2_1 U158 ( .A(n174), .B(prio10_qs[1]), .Y(n124) );
+  sky130_fd_sc_hd__nand4_1 U159 ( .A(n127), .B(n126), .C(n125), .D(n124), .Y(
+        n145) );
+  sky130_fd_sc_hd__a22oi_1 U160 ( .A1(n170), .A2(prio21_qs[1]), .B1(n173), 
+        .B2(prio29_qs[1]), .Y(n130) );
+  sky130_fd_sc_hd__a22oi_1 U161 ( .A1(n171), .A2(prio17_qs[1]), .B1(n169), 
+        .B2(prio25_qs[1]), .Y(n129) );
+  sky130_fd_sc_hd__a22oi_1 U162 ( .A1(n175), .A2(prio1_qs[1]), .B1(n172), .B2(
+        prio5_qs[1]), .Y(n128) );
+  sky130_fd_sc_hd__a31oi_1 U163 ( .A1(n130), .A2(n129), .A3(n128), .B1(n153), 
+        .Y(n144) );
+  sky130_fd_sc_hd__a22oi_1 U164 ( .A1(n170), .A2(prio19_qs[1]), .B1(n173), 
+        .B2(prio27_qs[1]), .Y(n133) );
+  sky130_fd_sc_hd__a22oi_1 U165 ( .A1(n171), .A2(prio15_qs[1]), .B1(n169), 
+        .B2(prio23_qs[1]), .Y(n132) );
+  sky130_fd_sc_hd__a22oi_1 U166 ( .A1(n172), .A2(prio3_qs[1]), .B1(n174), .B2(
+        prio11_qs[1]), .Y(n131) );
+  sky130_fd_sc_hd__a31oi_1 U167 ( .A1(n133), .A2(n132), .A3(n131), .B1(n163), 
+        .Y(n134) );
+  sky130_fd_sc_hd__a21oi_1 U168 ( .A1(n168), .A2(prio8_qs[1]), .B1(n134), .Y(
+        n142) );
+  sky130_fd_sc_hd__a22oi_1 U169 ( .A1(n170), .A2(prio20_qs[1]), .B1(n169), 
+        .B2(prio24_qs[1]), .Y(n138) );
+  sky130_fd_sc_hd__a22oi_1 U170 ( .A1(n172), .A2(prio4_qs[1]), .B1(n171), .B2(
+        prio16_qs[1]), .Y(n137) );
+  sky130_fd_sc_hd__a22oi_1 U171 ( .A1(n174), .A2(prio12_qs[1]), .B1(n173), 
+        .B2(prio28_qs[1]), .Y(n136) );
+  sky130_fd_sc_hd__nand2_1 U172 ( .A(n175), .B(prio0_qs[1]), .Y(n135) );
+  sky130_fd_sc_hd__nand4_1 U173 ( .A(n138), .B(n137), .C(n136), .D(n135), .Y(
+        n139) );
+  sky130_fd_sc_hd__a22oi_1 U174 ( .A1(n182), .A2(n139), .B1(n180), .B2(
+        threshold0_qs[1]), .Y(n141) );
+  sky130_fd_sc_hd__nand2_1 U175 ( .A(n183), .B(prio13_qs[1]), .Y(n140) );
+  sky130_fd_sc_hd__nand4_1 U176 ( .A(n142), .B(n141), .C(n197), .D(n140), .Y(
+        n143) );
+  sky130_fd_sc_hd__a211oi_1 U177 ( .A1(n146), .A2(n145), .B1(n144), .C1(n143), 
+        .Y(n147) );
+  sky130_fd_sc_hd__nand4_1 U178 ( .A(n150), .B(n149), .C(n148), .D(n147), .Y(
+        reg_rdata[1]) );
+  sky130_fd_sc_hd__a22oi_1 U179 ( .A1(n196), .A2(cc0_qs[2]), .B1(n256), .B2(
+        ip_p_2_qs), .Y(n193) );
+  sky130_fd_sc_hd__a22oi_1 U180 ( .A1(n224), .A2(ie0_e_2_qs), .B1(n253), .B2(
+        le_le_2_qs), .Y(n192) );
+  sky130_fd_sc_hd__a22oi_1 U181 ( .A1(n152), .A2(prio30_qs[2]), .B1(n151), 
+        .B2(prio31_qs[2]), .Y(n191) );
+  sky130_fd_sc_hd__a22oi_1 U182 ( .A1(n170), .A2(prio21_qs[2]), .B1(n173), 
+        .B2(prio29_qs[2]), .Y(n156) );
+  sky130_fd_sc_hd__a22oi_1 U183 ( .A1(n171), .A2(prio17_qs[2]), .B1(n169), 
+        .B2(prio25_qs[2]), .Y(n155) );
+  sky130_fd_sc_hd__a22oi_1 U184 ( .A1(n175), .A2(prio1_qs[2]), .B1(n172), .B2(
+        prio5_qs[2]), .Y(n154) );
+  sky130_fd_sc_hd__a31oi_1 U185 ( .A1(n156), .A2(n155), .A3(n154), .B1(n153), 
+        .Y(n189) );
+  sky130_fd_sc_hd__a22oi_1 U186 ( .A1(n172), .A2(prio2_qs[2]), .B1(n171), .B2(
+        prio14_qs[2]), .Y(n162) );
+  sky130_fd_sc_hd__a22oi_1 U187 ( .A1(n170), .A2(prio18_qs[2]), .B1(n169), 
+        .B2(prio22_qs[2]), .Y(n161) );
+  sky130_fd_sc_hd__a22o_1 U188 ( .A1(n157), .A2(prio6_qs[2]), .B1(n173), .B2(
+        prio26_qs[2]), .X(n158) );
+  sky130_fd_sc_hd__a21oi_1 U189 ( .A1(n174), .A2(prio10_qs[2]), .B1(n158), .Y(
+        n160) );
+  sky130_fd_sc_hd__a31oi_1 U190 ( .A1(n162), .A2(n161), .A3(n160), .B1(n159), 
+        .Y(n188) );
+  sky130_fd_sc_hd__a22oi_1 U191 ( .A1(n170), .A2(prio19_qs[2]), .B1(n173), 
+        .B2(prio27_qs[2]), .Y(n166) );
+  sky130_fd_sc_hd__a22oi_1 U192 ( .A1(n171), .A2(prio15_qs[2]), .B1(n169), 
+        .B2(prio23_qs[2]), .Y(n165) );
+  sky130_fd_sc_hd__a22oi_1 U193 ( .A1(n172), .A2(prio3_qs[2]), .B1(n174), .B2(
+        prio11_qs[2]), .Y(n164) );
+  sky130_fd_sc_hd__a31oi_1 U194 ( .A1(n166), .A2(n165), .A3(n164), .B1(n163), 
+        .Y(n167) );
+  sky130_fd_sc_hd__a21oi_1 U195 ( .A1(n168), .A2(prio8_qs[2]), .B1(n167), .Y(
+        n186) );
+  sky130_fd_sc_hd__a22oi_1 U196 ( .A1(n170), .A2(prio20_qs[2]), .B1(n169), 
+        .B2(prio24_qs[2]), .Y(n179) );
+  sky130_fd_sc_hd__a22oi_1 U197 ( .A1(n172), .A2(prio4_qs[2]), .B1(n171), .B2(
+        prio16_qs[2]), .Y(n178) );
+  sky130_fd_sc_hd__a22oi_1 U198 ( .A1(n174), .A2(prio12_qs[2]), .B1(n173), 
+        .B2(prio28_qs[2]), .Y(n177) );
+  sky130_fd_sc_hd__nand2_1 U199 ( .A(n175), .B(prio0_qs[2]), .Y(n176) );
+  sky130_fd_sc_hd__nand4_1 U200 ( .A(n179), .B(n178), .C(n177), .D(n176), .Y(
+        n181) );
+  sky130_fd_sc_hd__a22oi_1 U201 ( .A1(n182), .A2(n181), .B1(n180), .B2(
+        threshold0_qs[2]), .Y(n185) );
+  sky130_fd_sc_hd__nand2_1 U202 ( .A(n183), .B(prio13_qs[2]), .Y(n184) );
+  sky130_fd_sc_hd__nand4_1 U203 ( .A(n186), .B(n185), .C(n197), .D(n184), .Y(
+        n187) );
+  sky130_fd_sc_hd__nor3_1 U204 ( .A(n189), .B(n188), .C(n187), .Y(n190) );
+  sky130_fd_sc_hd__nand4_1 U205 ( .A(n193), .B(n192), .C(n191), .D(n190), .Y(
+        reg_rdata[2]) );
+  sky130_fd_sc_hd__a22oi_1 U206 ( .A1(n196), .A2(cc0_qs[3]), .B1(n256), .B2(
+        ip_p_3_qs), .Y(n195) );
+  sky130_fd_sc_hd__a22oi_1 U207 ( .A1(n224), .A2(ie0_e_3_qs), .B1(n253), .B2(
+        le_le_3_qs), .Y(n194) );
+  sky130_fd_sc_hd__nand3_1 U208 ( .A(n195), .B(n194), .C(n197), .Y(
+        reg_rdata[3]) );
+  sky130_fd_sc_hd__a22oi_1 U209 ( .A1(n196), .A2(cc0_qs[4]), .B1(n256), .B2(
+        ip_p_4_qs), .Y(n199) );
+  sky130_fd_sc_hd__a22oi_1 U210 ( .A1(n224), .A2(ie0_e_4_qs), .B1(n253), .B2(
+        le_le_4_qs), .Y(n198) );
+  sky130_fd_sc_hd__nand3_1 U211 ( .A(n199), .B(n198), .C(n197), .Y(
+        reg_rdata[4]) );
+  sky130_fd_sc_hd__a22oi_1 U212 ( .A1(n224), .A2(ie0_e_5_qs), .B1(n253), .B2(
+        le_le_5_qs), .Y(n201) );
+  sky130_fd_sc_hd__a21oi_1 U213 ( .A1(n256), .A2(ip_p_5_qs), .B1(n255), .Y(
+        n200) );
+  sky130_fd_sc_hd__nand2_1 U214 ( .A(n201), .B(n200), .Y(reg_rdata[5]) );
+  sky130_fd_sc_hd__a22oi_1 U215 ( .A1(n224), .A2(ie0_e_6_qs), .B1(n253), .B2(
+        le_le_6_qs), .Y(n203) );
+  sky130_fd_sc_hd__a21oi_1 U216 ( .A1(n256), .A2(ip_p_6_qs), .B1(n255), .Y(
+        n202) );
+  sky130_fd_sc_hd__nand2_1 U217 ( .A(n203), .B(n202), .Y(reg_rdata[6]) );
+  sky130_fd_sc_hd__a22oi_1 U218 ( .A1(n224), .A2(ie0_e_7_qs), .B1(n253), .B2(
+        le_le_7_qs), .Y(n205) );
+  sky130_fd_sc_hd__a21oi_1 U219 ( .A1(n256), .A2(ip_p_7_qs), .B1(n255), .Y(
+        n204) );
+  sky130_fd_sc_hd__nand2_1 U220 ( .A(n205), .B(n204), .Y(reg_rdata[7]) );
+  sky130_fd_sc_hd__a22oi_1 U221 ( .A1(n224), .A2(ie0_e_8_qs), .B1(n253), .B2(
+        le_le_8_qs), .Y(n207) );
+  sky130_fd_sc_hd__a21oi_1 U222 ( .A1(n256), .A2(ip_p_8_qs), .B1(n255), .Y(
+        n206) );
+  sky130_fd_sc_hd__nand2_1 U223 ( .A(n207), .B(n206), .Y(reg_rdata[8]) );
+  sky130_fd_sc_hd__a22oi_1 U224 ( .A1(n254), .A2(ie0_e_9_qs), .B1(n253), .B2(
+        le_le_9_qs), .Y(n209) );
+  sky130_fd_sc_hd__a21oi_1 U225 ( .A1(n256), .A2(ip_p_9_qs), .B1(n255), .Y(
+        n208) );
+  sky130_fd_sc_hd__nand2_1 U226 ( .A(n209), .B(n208), .Y(reg_rdata[9]) );
+  sky130_fd_sc_hd__a22oi_1 U227 ( .A1(n254), .A2(ie0_e_10_qs), .B1(n253), .B2(
+        le_le_10_qs), .Y(n211) );
+  sky130_fd_sc_hd__a21oi_1 U228 ( .A1(n256), .A2(ip_p_10_qs), .B1(n255), .Y(
+        n210) );
+  sky130_fd_sc_hd__nand2_1 U229 ( .A(n211), .B(n210), .Y(reg_rdata[10]) );
+  sky130_fd_sc_hd__a22oi_1 U230 ( .A1(n254), .A2(ie0_e_11_qs), .B1(n253), .B2(
+        le_le_11_qs), .Y(n213) );
+  sky130_fd_sc_hd__a21oi_1 U231 ( .A1(n256), .A2(ip_p_11_qs), .B1(n255), .Y(
+        n212) );
+  sky130_fd_sc_hd__nand2_1 U232 ( .A(n213), .B(n212), .Y(reg_rdata[11]) );
+  sky130_fd_sc_hd__a22oi_1 U233 ( .A1(n254), .A2(ie0_e_12_qs), .B1(n253), .B2(
+        le_le_12_qs), .Y(n215) );
+  sky130_fd_sc_hd__a21oi_1 U234 ( .A1(n256), .A2(ip_p_12_qs), .B1(n255), .Y(
+        n214) );
+  sky130_fd_sc_hd__nand2_1 U235 ( .A(n215), .B(n214), .Y(reg_rdata[12]) );
+  sky130_fd_sc_hd__a22oi_1 U236 ( .A1(n254), .A2(ie0_e_13_qs), .B1(n253), .B2(
+        le_le_13_qs), .Y(n217) );
+  sky130_fd_sc_hd__a21oi_1 U237 ( .A1(n256), .A2(ip_p_13_qs), .B1(n255), .Y(
+        n216) );
+  sky130_fd_sc_hd__nand2_1 U238 ( .A(n217), .B(n216), .Y(reg_rdata[13]) );
+  sky130_fd_sc_hd__a22oi_1 U239 ( .A1(n254), .A2(ie0_e_14_qs), .B1(n253), .B2(
+        le_le_14_qs), .Y(n219) );
+  sky130_fd_sc_hd__a21oi_1 U240 ( .A1(n256), .A2(ip_p_14_qs), .B1(n255), .Y(
+        n218) );
+  sky130_fd_sc_hd__nand2_1 U241 ( .A(n219), .B(n218), .Y(reg_rdata[14]) );
+  sky130_fd_sc_hd__a22oi_1 U242 ( .A1(n254), .A2(ie0_e_15_qs), .B1(n253), .B2(
+        le_le_15_qs), .Y(n221) );
+  sky130_fd_sc_hd__a21oi_1 U243 ( .A1(n256), .A2(ip_p_15_qs), .B1(n255), .Y(
+        n220) );
+  sky130_fd_sc_hd__nand2_1 U244 ( .A(n221), .B(n220), .Y(reg_rdata[15]) );
+  sky130_fd_sc_hd__a22oi_1 U245 ( .A1(n224), .A2(ie0_e_16_qs), .B1(n253), .B2(
+        le_le_16_qs), .Y(n223) );
+  sky130_fd_sc_hd__a21oi_1 U246 ( .A1(n256), .A2(ip_p_16_qs), .B1(n255), .Y(
+        n222) );
+  sky130_fd_sc_hd__nand2_1 U247 ( .A(n223), .B(n222), .Y(reg_rdata[16]) );
+  sky130_fd_sc_hd__a22oi_1 U248 ( .A1(n224), .A2(ie0_e_17_qs), .B1(n253), .B2(
+        le_le_17_qs), .Y(n226) );
+  sky130_fd_sc_hd__a21oi_1 U249 ( .A1(n256), .A2(ip_p_17_qs), .B1(n255), .Y(
+        n225) );
+  sky130_fd_sc_hd__nand2_1 U250 ( .A(n226), .B(n225), .Y(reg_rdata[17]) );
+  sky130_fd_sc_hd__a22oi_1 U251 ( .A1(n254), .A2(ie0_e_18_qs), .B1(n253), .B2(
+        le_le_18_qs), .Y(n228) );
+  sky130_fd_sc_hd__a21oi_1 U252 ( .A1(n256), .A2(ip_p_18_qs), .B1(n255), .Y(
+        n227) );
+  sky130_fd_sc_hd__nand2_1 U253 ( .A(n228), .B(n227), .Y(reg_rdata[18]) );
+  sky130_fd_sc_hd__a22oi_1 U254 ( .A1(n254), .A2(ie0_e_19_qs), .B1(n253), .B2(
+        le_le_19_qs), .Y(n230) );
+  sky130_fd_sc_hd__a21oi_1 U255 ( .A1(n256), .A2(ip_p_19_qs), .B1(n255), .Y(
+        n229) );
+  sky130_fd_sc_hd__nand2_1 U256 ( .A(n230), .B(n229), .Y(reg_rdata[19]) );
+  sky130_fd_sc_hd__a22oi_1 U257 ( .A1(n254), .A2(ie0_e_20_qs), .B1(n253), .B2(
+        le_le_20_qs), .Y(n232) );
+  sky130_fd_sc_hd__a21oi_1 U258 ( .A1(n256), .A2(ip_p_20_qs), .B1(n255), .Y(
+        n231) );
+  sky130_fd_sc_hd__nand2_1 U259 ( .A(n232), .B(n231), .Y(reg_rdata[20]) );
+  sky130_fd_sc_hd__a22oi_1 U260 ( .A1(n254), .A2(ie0_e_21_qs), .B1(n253), .B2(
+        le_le_21_qs), .Y(n234) );
+  sky130_fd_sc_hd__a21oi_1 U261 ( .A1(n256), .A2(ip_p_21_qs), .B1(n255), .Y(
+        n233) );
+  sky130_fd_sc_hd__nand2_1 U262 ( .A(n234), .B(n233), .Y(reg_rdata[21]) );
+  sky130_fd_sc_hd__a22oi_1 U263 ( .A1(n254), .A2(ie0_e_22_qs), .B1(n253), .B2(
+        le_le_22_qs), .Y(n236) );
+  sky130_fd_sc_hd__a21oi_1 U264 ( .A1(n256), .A2(ip_p_22_qs), .B1(n255), .Y(
+        n235) );
+  sky130_fd_sc_hd__nand2_1 U265 ( .A(n236), .B(n235), .Y(reg_rdata[22]) );
+  sky130_fd_sc_hd__a22oi_1 U266 ( .A1(n254), .A2(ie0_e_23_qs), .B1(n253), .B2(
+        le_le_23_qs), .Y(n238) );
+  sky130_fd_sc_hd__a21oi_1 U267 ( .A1(n256), .A2(ip_p_23_qs), .B1(n255), .Y(
+        n237) );
+  sky130_fd_sc_hd__nand2_1 U268 ( .A(n238), .B(n237), .Y(reg_rdata[23]) );
+  sky130_fd_sc_hd__a22oi_1 U269 ( .A1(n254), .A2(ie0_e_24_qs), .B1(n253), .B2(
+        le_le_24_qs), .Y(n240) );
+  sky130_fd_sc_hd__a21oi_1 U270 ( .A1(n256), .A2(ip_p_24_qs), .B1(n255), .Y(
+        n239) );
+  sky130_fd_sc_hd__nand2_1 U271 ( .A(n240), .B(n239), .Y(reg_rdata[24]) );
+  sky130_fd_sc_hd__a22oi_1 U272 ( .A1(n254), .A2(ie0_e_25_qs), .B1(n253), .B2(
+        le_le_25_qs), .Y(n242) );
+  sky130_fd_sc_hd__a21oi_1 U273 ( .A1(n256), .A2(ip_p_25_qs), .B1(n255), .Y(
+        n241) );
+  sky130_fd_sc_hd__nand2_1 U274 ( .A(n242), .B(n241), .Y(reg_rdata[25]) );
+  sky130_fd_sc_hd__a22oi_1 U275 ( .A1(n254), .A2(ie0_e_26_qs), .B1(n253), .B2(
+        le_le_26_qs), .Y(n244) );
+  sky130_fd_sc_hd__a21oi_1 U276 ( .A1(n256), .A2(ip_p_26_qs), .B1(n255), .Y(
+        n243) );
+  sky130_fd_sc_hd__nand2_1 U277 ( .A(n244), .B(n243), .Y(reg_rdata[26]) );
+  sky130_fd_sc_hd__a22oi_1 U278 ( .A1(n254), .A2(ie0_e_27_qs), .B1(n253), .B2(
+        le_le_27_qs), .Y(n246) );
+  sky130_fd_sc_hd__a21oi_1 U279 ( .A1(n256), .A2(ip_p_27_qs), .B1(n255), .Y(
+        n245) );
+  sky130_fd_sc_hd__nand2_1 U280 ( .A(n246), .B(n245), .Y(reg_rdata[27]) );
+  sky130_fd_sc_hd__a22oi_1 U281 ( .A1(n254), .A2(ie0_e_28_qs), .B1(n253), .B2(
+        le_le_28_qs), .Y(n248) );
+  sky130_fd_sc_hd__a21oi_1 U282 ( .A1(n256), .A2(ip_p_28_qs), .B1(n255), .Y(
+        n247) );
+  sky130_fd_sc_hd__nand2_1 U283 ( .A(n248), .B(n247), .Y(reg_rdata[28]) );
+  sky130_fd_sc_hd__a22oi_1 U284 ( .A1(n254), .A2(ie0_e_29_qs), .B1(n253), .B2(
+        le_le_29_qs), .Y(n250) );
+  sky130_fd_sc_hd__a21oi_1 U285 ( .A1(n256), .A2(ip_p_29_qs), .B1(n255), .Y(
+        n249) );
+  sky130_fd_sc_hd__nand2_1 U286 ( .A(n250), .B(n249), .Y(reg_rdata[29]) );
+  sky130_fd_sc_hd__a22oi_1 U287 ( .A1(n254), .A2(ie0_e_30_qs), .B1(n253), .B2(
+        le_le_30_qs), .Y(n252) );
+  sky130_fd_sc_hd__a21oi_1 U288 ( .A1(n256), .A2(ip_p_30_qs), .B1(n255), .Y(
+        n251) );
+  sky130_fd_sc_hd__nand2_1 U289 ( .A(n252), .B(n251), .Y(reg_rdata[30]) );
+  sky130_fd_sc_hd__a22oi_1 U290 ( .A1(n254), .A2(ie0_e_31_qs), .B1(n253), .B2(
+        le_le_31_qs), .Y(n258) );
+  sky130_fd_sc_hd__a21oi_1 U291 ( .A1(n256), .A2(ip_p_31_qs), .B1(n255), .Y(
+        n257) );
+  sky130_fd_sc_hd__nand2_1 U292 ( .A(n258), .B(n257), .Y(reg_rdata[31]) );
+  sky130_fd_sc_hd__and2b_2 U6 ( .B(n253), .A_N(n83), .X(le_le_31_we) );
+endmodule
+
+
+module opentitan_soc_top_rv_plic_0 ( clk_i, rst_ni, tl_i, tl_o, intr_src_i, 
+        irq_o, irq_id_o, msip_o );
+  input [85:0] tl_i;
+  output [51:0] tl_o;
+  input [31:0] intr_src_i;
+  output [0:0] irq_o;
+  output [5:0] irq_id_o;
+  output [0:0] msip_o;
+  input clk_i, rst_ni;
+  wire   claim_re_0_, complete_we_0_, hw2reg_ip__31__d_, hw2reg_ip__30__d_,
+         hw2reg_ip__29__d_, hw2reg_ip__28__d_, hw2reg_ip__27__d_,
+         hw2reg_ip__26__d_, hw2reg_ip__25__d_, hw2reg_ip__24__d_,
+         hw2reg_ip__23__d_, hw2reg_ip__22__d_, hw2reg_ip__21__d_,
+         hw2reg_ip__20__d_, hw2reg_ip__19__d_, hw2reg_ip__18__d_,
+         hw2reg_ip__17__d_, hw2reg_ip__16__d_, hw2reg_ip__15__d_,
+         hw2reg_ip__14__d_, hw2reg_ip__13__d_, hw2reg_ip__12__d_,
+         hw2reg_ip__11__d_, hw2reg_ip__10__d_, hw2reg_ip__9__d_,
+         hw2reg_ip__8__d_, hw2reg_ip__7__d_, hw2reg_ip__6__d_,
+         hw2reg_ip__5__d_, hw2reg_ip__4__d_, hw2reg_ip__3__d_,
+         hw2reg_ip__2__d_, hw2reg_ip__1__d_, le_31_, le_30_, le_29_, le_28_,
+         le_27_, le_26_, le_25_, le_24_, le_23_, le_22_, le_21_, le_20_,
+         le_19_, le_18_, le_17_, le_16_, le_15_, le_14_, le_13_, le_12_,
+         le_11_, le_10_, le_9_, le_8_, le_7_, le_6_, le_5_, le_4_, le_3_,
+         le_2_, le_1_, n15, n16, n17, n18, n19, n20, n21, n22, n23, n24, n25,
+         n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n37, n38, n39,
+         n40, n41, n42, n43, n44, n45, n46, n47, n48, n49, n50, n51, n52, n53,
+         n54, n55, n56, n57, SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27;
+  wire   [4:0] irq_id_o0;
+  wire   [31:1] claim;
+  wire   [31:1] complete;
+  wire   [5:0] complete_id;
+  wire   [89:0] prio;
+  wire   [30:0] ie;
+  wire   [2:0] threshold;
+
+  opentitan_soc_top_rv_plic_gateway_N_SOURCE32_0 u_gateway ( .clk_i(n16), 
+        .rst_ni(n56), .src_i({intr_src_i[31:1], n57}), .le_i({le_31_, le_30_, 
+        le_29_, le_28_, le_27_, le_26_, le_25_, le_24_, le_23_, le_22_, le_21_, 
+        le_20_, le_19_, le_18_, le_17_, le_16_, le_15_, le_14_, le_13_, le_12_, 
+        le_11_, le_10_, le_9_, le_8_, le_7_, le_6_, le_5_, le_4_, le_3_, le_2_, 
+        le_1_, 1'b0}), .claim_i({claim, 1'b0}), .complete_i({complete, 1'b0}), 
+        .ip_o({hw2reg_ip__31__d_, hw2reg_ip__30__d_, hw2reg_ip__29__d_, 
+        hw2reg_ip__28__d_, hw2reg_ip__27__d_, hw2reg_ip__26__d_, 
+        hw2reg_ip__25__d_, hw2reg_ip__24__d_, hw2reg_ip__23__d_, 
+        hw2reg_ip__22__d_, hw2reg_ip__21__d_, hw2reg_ip__20__d_, 
+        hw2reg_ip__19__d_, hw2reg_ip__18__d_, hw2reg_ip__17__d_, 
+        hw2reg_ip__16__d_, hw2reg_ip__15__d_, hw2reg_ip__14__d_, 
+        hw2reg_ip__13__d_, hw2reg_ip__12__d_, hw2reg_ip__11__d_, 
+        hw2reg_ip__10__d_, hw2reg_ip__9__d_, hw2reg_ip__8__d_, 
+        hw2reg_ip__7__d_, hw2reg_ip__6__d_, hw2reg_ip__5__d_, hw2reg_ip__4__d_, 
+        hw2reg_ip__3__d_, hw2reg_ip__2__d_, hw2reg_ip__1__d_, 
+        SYNOPSYS_UNCONNECTED_1}) );
+  opentitan_soc_top_rv_plic_target_N_SOURCE32_MAX_PRIO7_0 gen_target_0__u_target ( 
+        .clk_i(n16), .rst_ni(n56), .ip_i({hw2reg_ip__31__d_, hw2reg_ip__30__d_, 
+        hw2reg_ip__29__d_, hw2reg_ip__28__d_, hw2reg_ip__27__d_, 
+        hw2reg_ip__26__d_, hw2reg_ip__25__d_, hw2reg_ip__24__d_, 
+        hw2reg_ip__23__d_, hw2reg_ip__22__d_, hw2reg_ip__21__d_, 
+        hw2reg_ip__20__d_, hw2reg_ip__19__d_, hw2reg_ip__18__d_, 
+        hw2reg_ip__17__d_, hw2reg_ip__16__d_, hw2reg_ip__15__d_, 
+        hw2reg_ip__14__d_, hw2reg_ip__13__d_, hw2reg_ip__12__d_, 
+        hw2reg_ip__11__d_, hw2reg_ip__10__d_, hw2reg_ip__9__d_, 
+        hw2reg_ip__8__d_, hw2reg_ip__7__d_, hw2reg_ip__6__d_, hw2reg_ip__5__d_, 
+        hw2reg_ip__4__d_, hw2reg_ip__3__d_, hw2reg_ip__2__d_, hw2reg_ip__1__d_, 
+        n57}), .ie_i({ie, 1'b0}), .prio_i({1'b0, 1'b0, 1'b0, prio[89:72], n57, 
+        n57, n57, prio[71:0]}), .threshold_i(threshold), .irq_o(irq_o[0]), 
+        .irq_id_o({SYNOPSYS_UNCONNECTED_2, irq_id_o0}) );
+  opentitan_soc_top_rv_plic_reg_top_0 u_reg ( .clk_i(n16), .rst_ni(n56), 
+        .tl_i({tl_i[85:84], n57, tl_i[82], n57, n57, n57, n15, n57, n57, n57, 
+        n57, n57, n57, n57, n57, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, tl_i[45:39], n57, n57, tl_i[36:1], n15}), 
+        .tl_o({tl_o[51], SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, 
+        tl_o[48], SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, 
+        SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18, tl_o[33:0]}), .reg2hw({le_31_, le_30_, le_29_, 
+        le_28_, le_27_, le_26_, le_25_, le_24_, le_23_, le_22_, le_21_, le_20_, 
+        le_19_, le_18_, le_17_, le_16_, le_15_, le_14_, le_13_, le_12_, le_11_, 
+        le_10_, le_9_, le_8_, le_7_, le_6_, le_5_, le_4_, le_3_, le_2_, le_1_, 
+        SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20, 
+        SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22, prio[89:72], 
+        SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24, 
+        SYNOPSYS_UNCONNECTED_25, prio[71:0], ie, SYNOPSYS_UNCONNECTED_26, 
+        threshold, complete_id, complete_we_0_, claim_re_0_, 
+        SYNOPSYS_UNCONNECTED_27}), .hw2reg({hw2reg_ip__31__d_, n15, 
+        hw2reg_ip__30__d_, n15, hw2reg_ip__29__d_, n15, hw2reg_ip__28__d_, n15, 
+        hw2reg_ip__27__d_, n15, hw2reg_ip__26__d_, n15, hw2reg_ip__25__d_, n15, 
+        hw2reg_ip__24__d_, n15, hw2reg_ip__23__d_, n15, hw2reg_ip__22__d_, n15, 
+        hw2reg_ip__21__d_, n15, hw2reg_ip__20__d_, n15, hw2reg_ip__19__d_, n15, 
+        hw2reg_ip__18__d_, n15, hw2reg_ip__17__d_, n15, hw2reg_ip__16__d_, n15, 
+        hw2reg_ip__15__d_, n15, hw2reg_ip__14__d_, n15, hw2reg_ip__13__d_, n15, 
+        hw2reg_ip__12__d_, n15, hw2reg_ip__11__d_, n15, hw2reg_ip__10__d_, n15, 
+        hw2reg_ip__9__d_, n15, hw2reg_ip__8__d_, n15, hw2reg_ip__7__d_, n15, 
+        hw2reg_ip__6__d_, n15, hw2reg_ip__5__d_, n15, hw2reg_ip__4__d_, n15, 
+        hw2reg_ip__3__d_, n15, hw2reg_ip__2__d_, n15, hw2reg_ip__1__d_, n15, 
+        n57, n15, n57, irq_id_o0}), .devmode_i(n15) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n57), .HI(n15) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(n17), .Y(n16) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(clk_i), .Y(n17) );
+  sky130_fd_sc_hd__inv_2 U6 ( .A(n18), .Y(n56) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(irq_id_o0[4]), .Y(n43) );
+  sky130_fd_sc_hd__clkinv_1 U8 ( .A(rst_ni), .Y(n18) );
+  sky130_fd_sc_hd__nor2_1 U9 ( .A(complete_id[1]), .B(complete_id[0]), .Y(n20)
+         );
+  sky130_fd_sc_hd__clkinv_1 U10 ( .A(complete_id[2]), .Y(n19) );
+  sky130_fd_sc_hd__nand2_1 U11 ( .A(n20), .B(n19), .Y(n29) );
+  sky130_fd_sc_hd__nor2b_1 U12 ( .B_N(complete_we_0_), .A(complete_id[5]), .Y(
+        n28) );
+  sky130_fd_sc_hd__clkinv_1 U13 ( .A(complete_id[4]), .Y(n24) );
+  sky130_fd_sc_hd__clkinv_1 U14 ( .A(complete_id[3]), .Y(n26) );
+  sky130_fd_sc_hd__nand3_1 U15 ( .A(n28), .B(n24), .C(n26), .Y(n23) );
+  sky130_fd_sc_hd__clkinv_1 U17 ( .A(complete_id[1]), .Y(n21) );
+  sky130_fd_sc_hd__nand3_1 U18 ( .A(complete_id[0]), .B(n19), .C(n21), .Y(n30)
+         );
+  sky130_fd_sc_hd__nor2_1 U19 ( .A(n30), .B(n23), .Y(complete[1]) );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(complete_id[0]), .Y(n22) );
+  sky130_fd_sc_hd__nand3_1 U21 ( .A(complete_id[1]), .B(n19), .C(n22), .Y(n31)
+         );
+  sky130_fd_sc_hd__nor2_1 U22 ( .A(n31), .B(n23), .Y(complete[2]) );
+  sky130_fd_sc_hd__nand3_1 U23 ( .A(complete_id[1]), .B(complete_id[0]), .C(
+        n19), .Y(n32) );
+  sky130_fd_sc_hd__nor2_1 U24 ( .A(n32), .B(n23), .Y(complete[3]) );
+  sky130_fd_sc_hd__nand2_1 U25 ( .A(complete_id[2]), .B(n20), .Y(n33) );
+  sky130_fd_sc_hd__nor2_1 U26 ( .A(n33), .B(n23), .Y(complete[4]) );
+  sky130_fd_sc_hd__nand3_1 U27 ( .A(complete_id[2]), .B(complete_id[0]), .C(
+        n21), .Y(n34) );
+  sky130_fd_sc_hd__nor2_1 U28 ( .A(n34), .B(n23), .Y(complete[5]) );
+  sky130_fd_sc_hd__nand3_1 U29 ( .A(complete_id[1]), .B(complete_id[2]), .C(
+        n22), .Y(n35) );
+  sky130_fd_sc_hd__nor2_1 U30 ( .A(n35), .B(n23), .Y(complete[6]) );
+  sky130_fd_sc_hd__nand3_1 U31 ( .A(complete_id[2]), .B(complete_id[1]), .C(
+        complete_id[0]), .Y(n37) );
+  sky130_fd_sc_hd__nor2_1 U32 ( .A(n37), .B(n23), .Y(complete[7]) );
+  sky130_fd_sc_hd__nand3_1 U33 ( .A(n28), .B(complete_id[3]), .C(n24), .Y(n25)
+         );
+  sky130_fd_sc_hd__nor2_1 U34 ( .A(n29), .B(n25), .Y(complete[8]) );
+  sky130_fd_sc_hd__nor2_1 U35 ( .A(n30), .B(n25), .Y(complete[9]) );
+  sky130_fd_sc_hd__nor2_1 U36 ( .A(n31), .B(n25), .Y(complete[10]) );
+  sky130_fd_sc_hd__nor2_1 U37 ( .A(n32), .B(n25), .Y(complete[11]) );
+  sky130_fd_sc_hd__nor2_1 U38 ( .A(n33), .B(n25), .Y(complete[12]) );
+  sky130_fd_sc_hd__nor2_1 U39 ( .A(n34), .B(n25), .Y(complete[13]) );
+  sky130_fd_sc_hd__nor2_1 U40 ( .A(n35), .B(n25), .Y(complete[14]) );
+  sky130_fd_sc_hd__nor2_1 U41 ( .A(n37), .B(n25), .Y(complete[15]) );
+  sky130_fd_sc_hd__nand3_1 U42 ( .A(complete_id[4]), .B(n28), .C(n26), .Y(n27)
+         );
+  sky130_fd_sc_hd__nor2_1 U43 ( .A(n29), .B(n27), .Y(complete[16]) );
+  sky130_fd_sc_hd__nor2_1 U44 ( .A(n27), .B(n30), .Y(complete[17]) );
+  sky130_fd_sc_hd__nor2_1 U45 ( .A(n27), .B(n31), .Y(complete[18]) );
+  sky130_fd_sc_hd__nor2_1 U46 ( .A(n27), .B(n32), .Y(complete[19]) );
+  sky130_fd_sc_hd__nor2_1 U47 ( .A(n27), .B(n33), .Y(complete[20]) );
+  sky130_fd_sc_hd__nor2_1 U48 ( .A(n27), .B(n34), .Y(complete[21]) );
+  sky130_fd_sc_hd__nor2_1 U49 ( .A(n27), .B(n35), .Y(complete[22]) );
+  sky130_fd_sc_hd__nor2_1 U50 ( .A(n27), .B(n37), .Y(complete[23]) );
+  sky130_fd_sc_hd__nand3_1 U51 ( .A(n28), .B(complete_id[4]), .C(
+        complete_id[3]), .Y(n36) );
+  sky130_fd_sc_hd__nor2_1 U52 ( .A(n29), .B(n36), .Y(complete[24]) );
+  sky130_fd_sc_hd__nor2_1 U53 ( .A(n30), .B(n36), .Y(complete[25]) );
+  sky130_fd_sc_hd__nor2_1 U54 ( .A(n31), .B(n36), .Y(complete[26]) );
+  sky130_fd_sc_hd__nor2_1 U55 ( .A(n32), .B(n36), .Y(complete[27]) );
+  sky130_fd_sc_hd__nor2_1 U56 ( .A(n33), .B(n36), .Y(complete[28]) );
+  sky130_fd_sc_hd__nor2_1 U57 ( .A(n34), .B(n36), .Y(complete[29]) );
+  sky130_fd_sc_hd__nor2_1 U58 ( .A(n35), .B(n36), .Y(complete[30]) );
+  sky130_fd_sc_hd__nor2_1 U59 ( .A(n37), .B(n36), .Y(complete[31]) );
+  sky130_fd_sc_hd__nor2_1 U60 ( .A(irq_id_o0[1]), .B(irq_id_o0[0]), .Y(n39) );
+  sky130_fd_sc_hd__clkinv_1 U61 ( .A(irq_id_o0[2]), .Y(n38) );
+  sky130_fd_sc_hd__nand2_1 U62 ( .A(n39), .B(n38), .Y(n47) );
+  sky130_fd_sc_hd__clkinv_1 U63 ( .A(irq_id_o0[3]), .Y(n45) );
+  sky130_fd_sc_hd__nand3_1 U64 ( .A(claim_re_0_), .B(n43), .C(n45), .Y(n42) );
+  sky130_fd_sc_hd__clkinv_1 U66 ( .A(irq_id_o0[1]), .Y(n40) );
+  sky130_fd_sc_hd__nand3_1 U67 ( .A(irq_id_o0[0]), .B(n38), .C(n40), .Y(n48)
+         );
+  sky130_fd_sc_hd__nor2_1 U68 ( .A(n42), .B(n48), .Y(claim[1]) );
+  sky130_fd_sc_hd__clkinv_1 U69 ( .A(irq_id_o0[0]), .Y(n41) );
+  sky130_fd_sc_hd__nand3_1 U70 ( .A(irq_id_o0[1]), .B(n38), .C(n41), .Y(n49)
+         );
+  sky130_fd_sc_hd__nor2_1 U71 ( .A(n42), .B(n49), .Y(claim[2]) );
+  sky130_fd_sc_hd__nand3_1 U72 ( .A(irq_id_o0[1]), .B(irq_id_o0[0]), .C(n38), 
+        .Y(n50) );
+  sky130_fd_sc_hd__nor2_1 U73 ( .A(n42), .B(n50), .Y(claim[3]) );
+  sky130_fd_sc_hd__nand2_1 U74 ( .A(irq_id_o0[2]), .B(n39), .Y(n51) );
+  sky130_fd_sc_hd__nor2_1 U75 ( .A(n42), .B(n51), .Y(claim[4]) );
+  sky130_fd_sc_hd__nand3_1 U76 ( .A(irq_id_o0[2]), .B(irq_id_o0[0]), .C(n40), 
+        .Y(n52) );
+  sky130_fd_sc_hd__nor2_1 U77 ( .A(n42), .B(n52), .Y(claim[5]) );
+  sky130_fd_sc_hd__nand3_1 U78 ( .A(irq_id_o0[1]), .B(irq_id_o0[2]), .C(n41), 
+        .Y(n53) );
+  sky130_fd_sc_hd__nor2_1 U79 ( .A(n42), .B(n53), .Y(claim[6]) );
+  sky130_fd_sc_hd__nand3_1 U80 ( .A(irq_id_o0[2]), .B(irq_id_o0[1]), .C(
+        irq_id_o0[0]), .Y(n55) );
+  sky130_fd_sc_hd__nor2_1 U81 ( .A(n42), .B(n55), .Y(claim[7]) );
+  sky130_fd_sc_hd__nand3_1 U82 ( .A(claim_re_0_), .B(irq_id_o0[3]), .C(n43), 
+        .Y(n44) );
+  sky130_fd_sc_hd__nor2_1 U83 ( .A(n47), .B(n44), .Y(claim[8]) );
+  sky130_fd_sc_hd__nor2_1 U84 ( .A(n48), .B(n44), .Y(claim[9]) );
+  sky130_fd_sc_hd__nor2_1 U85 ( .A(n49), .B(n44), .Y(claim[10]) );
+  sky130_fd_sc_hd__nor2_1 U86 ( .A(n50), .B(n44), .Y(claim[11]) );
+  sky130_fd_sc_hd__nor2_1 U87 ( .A(n51), .B(n44), .Y(claim[12]) );
+  sky130_fd_sc_hd__nor2_1 U88 ( .A(n52), .B(n44), .Y(claim[13]) );
+  sky130_fd_sc_hd__nor2_1 U89 ( .A(n53), .B(n44), .Y(claim[14]) );
+  sky130_fd_sc_hd__nor2_1 U90 ( .A(n55), .B(n44), .Y(claim[15]) );
+  sky130_fd_sc_hd__nand3_1 U91 ( .A(irq_id_o0[4]), .B(claim_re_0_), .C(n45), 
+        .Y(n46) );
+  sky130_fd_sc_hd__nor2_1 U92 ( .A(n47), .B(n46), .Y(claim[16]) );
+  sky130_fd_sc_hd__nor2_1 U93 ( .A(n48), .B(n46), .Y(claim[17]) );
+  sky130_fd_sc_hd__nor2_1 U94 ( .A(n49), .B(n46), .Y(claim[18]) );
+  sky130_fd_sc_hd__nor2_1 U95 ( .A(n50), .B(n46), .Y(claim[19]) );
+  sky130_fd_sc_hd__nor2_1 U96 ( .A(n51), .B(n46), .Y(claim[20]) );
+  sky130_fd_sc_hd__nor2_1 U97 ( .A(n52), .B(n46), .Y(claim[21]) );
+  sky130_fd_sc_hd__nor2_1 U98 ( .A(n53), .B(n46), .Y(claim[22]) );
+  sky130_fd_sc_hd__nor2_1 U99 ( .A(n55), .B(n46), .Y(claim[23]) );
+  sky130_fd_sc_hd__nand3_1 U100 ( .A(claim_re_0_), .B(irq_id_o0[4]), .C(
+        irq_id_o0[3]), .Y(n54) );
+  sky130_fd_sc_hd__nor2_1 U101 ( .A(n47), .B(n54), .Y(claim[24]) );
+  sky130_fd_sc_hd__nor2_1 U102 ( .A(n48), .B(n54), .Y(claim[25]) );
+  sky130_fd_sc_hd__nor2_1 U103 ( .A(n49), .B(n54), .Y(claim[26]) );
+  sky130_fd_sc_hd__nor2_1 U104 ( .A(n50), .B(n54), .Y(claim[27]) );
+  sky130_fd_sc_hd__nor2_1 U105 ( .A(n51), .B(n54), .Y(claim[28]) );
+  sky130_fd_sc_hd__nor2_1 U106 ( .A(n52), .B(n54), .Y(claim[29]) );
+  sky130_fd_sc_hd__nor2_1 U107 ( .A(n53), .B(n54), .Y(claim[30]) );
+  sky130_fd_sc_hd__nor2_1 U108 ( .A(n55), .B(n54), .Y(claim[31]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_0 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+  wire   n1, n2;
+
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(de), .Y(n1) );
+  sky130_fd_sc_hd__nand2b_1 U3 ( .A_N(we), .B(n1), .Y(wr_en) );
+  sky130_fd_sc_hd__o22ai_1 U4 ( .A1(de), .A2(q[0]), .B1(n1), .B2(d[0]), .Y(n2)
+         );
+  sky130_fd_sc_hd__a21oi_1 U5 ( .A1(we), .A2(wd[0]), .B1(n2), .Y(wr_data[0])
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_W1C_0_0 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, wr_data_0_, n3;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_0 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(de), .d(d[0]), .q(q[0]), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n3), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U4 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n3)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_0 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(we), .X(qe) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW2_SWACCESSRW_0 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [1:0] wd;
+  input [1:0] d;
+  input [1:0] q;
+  output [1:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__and2_0 U1 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(we), .X(wr_en) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_2_RW_0_0 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [1:0] wd;
+  input [1:0] d;
+  output [1:0] q;
+  output [1:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n4;
+  wire   [1:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW2_SWACCESSRW_0 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n4), .d({n4, n4}), .q({1'b0, 1'b0}), .wr_en(wr_en), 
+        .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n8), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n4) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__mux2_1 U6 ( .A0(q[0]), .A1(wr_data[0]), .S(wr_en), .X(n8)
+         );
+  sky130_fd_sc_hd__mux2_1 U7 ( .A0(q[1]), .A1(wr_data[1]), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW16_SWACCESSRW_0 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [15:0] wd;
+  input [15:0] d;
+  input [15:0] q;
+  output [15:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wr_en), .B(wd[14]), .X(wr_data[14]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(wr_en), .B(wd[15]), .X(wr_data[15]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(wr_en), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(wr_en), .B(wd[5]), .X(wr_data[5]) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(wr_en), .B(wd[7]), .X(wr_data[7]) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(wr_en), .B(wd[8]), .X(wr_data[8]) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(wr_en), .B(wd[9]), .X(wr_data[9]) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(wr_en), .B(wd[10]), .X(wr_data[10]) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(wr_en), .B(wd[11]), .X(wr_data[11]) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(wr_en), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(wr_en), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(wr_en), .B(wd[3]), .X(wr_data[3]) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(wr_en), .B(wd[12]), .X(wr_data[12]) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(wr_en), .B(wd[6]), .X(wr_data[6]) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(wr_en), .B(wd[4]), .X(wr_data[4]) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(wr_en), .B(wd[13]), .X(wr_data[13]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_16_RW_0000_0 ( clk_i, rst_ni, we, wd, de, 
+        d, qe, q, qs );
+  input [15:0] wd;
+  input [15:0] d;
+  output [15:0] q;
+  output [15:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16, n17, n18,
+         n19, n20, n21, n22;
+  wire   [15:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW16_SWACCESSRW_0 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n21), .d({n21, n21, n21, n21, n21, n21, n21, n21, n21, 
+        n21, n21, n21, n21, n21, n21, n21}), .q({1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0}), 
+        .wr_en(n20), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n19), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_15_ ( .D(n18), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[15]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_14_ ( .D(n17), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[14]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_13_ ( .D(n16), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[13]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_12_ ( .D(n15), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[12]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_11_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[11]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_10_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[10]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_9_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[9]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_8_ ( .D(n11), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[8]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_7_ ( .D(n10), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[7]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_6_ ( .D(n9), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[6]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_5_ ( .D(n8), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_4_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_3_ ( .D(n6), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n4), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n21) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(n20), .Y(n22) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[7]), .X(qs[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[8]), .X(qs[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(q[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(q[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(q[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(q[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(q[9]), .X(qs[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(q[10]), .X(qs[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(q[11]), .X(qs[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(q[12]), .X(qs[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(q[13]), .X(qs[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(qs[14]), .X(q[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(qs[15]), .X(q[15]) );
+  sky130_fd_sc_hd__a22o_1 U21 ( .A1(n20), .A2(wr_data[0]), .B1(n22), .B2(q[0]), 
+        .X(n19) );
+  sky130_fd_sc_hd__a22o_1 U22 ( .A1(n20), .A2(wr_data[15]), .B1(n22), .B2(
+        qs[15]), .X(n18) );
+  sky130_fd_sc_hd__a22o_1 U23 ( .A1(n20), .A2(wr_data[14]), .B1(n22), .B2(
+        qs[14]), .X(n17) );
+  sky130_fd_sc_hd__a22o_1 U24 ( .A1(n20), .A2(wr_data[13]), .B1(n22), .B2(
+        q[13]), .X(n16) );
+  sky130_fd_sc_hd__a22o_1 U25 ( .A1(n20), .A2(wr_data[12]), .B1(n22), .B2(
+        q[12]), .X(n15) );
+  sky130_fd_sc_hd__a22o_1 U26 ( .A1(n20), .A2(wr_data[11]), .B1(n22), .B2(
+        q[11]), .X(n14) );
+  sky130_fd_sc_hd__a22o_1 U27 ( .A1(n20), .A2(wr_data[10]), .B1(n22), .B2(
+        q[10]), .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U28 ( .A1(n20), .A2(wr_data[9]), .B1(n22), .B2(q[9]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U29 ( .A1(n20), .A2(wr_data[8]), .B1(n22), .B2(q[8]), 
+        .X(n11) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(n20), .A2(wr_data[7]), .B1(n22), .B2(q[7]), 
+        .X(n10) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(n20), .A2(wr_data[6]), .B1(n22), .B2(q[6]), 
+        .X(n9) );
+  sky130_fd_sc_hd__a22o_1 U32 ( .A1(n20), .A2(wr_data[5]), .B1(n22), .B2(q[5]), 
+        .X(n8) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(n20), .A2(wr_data[4]), .B1(n22), .B2(q[4]), 
+        .X(n7) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(n20), .A2(wr_data[3]), .B1(n22), .B2(q[3]), 
+        .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(n20), .A2(wr_data[2]), .B1(n22), .B2(q[2]), 
+        .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U36 ( .A1(n20), .A2(wr_data[1]), .B1(n22), .B2(q[1]), 
+        .X(n4) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW8_0 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [7:0] wd;
+  input [7:0] d;
+  output [7:0] q;
+  output [7:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(d[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(d[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(d[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(d[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(d[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(d[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(d[7]), .X(qs[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(re), .X(qre) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW8_SWACCESSWO_0 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [7:0] wd;
+  input [7:0] d;
+  input [7:0] q;
+  output [7:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wr_en), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(wr_en), .B(wd[6]), .X(wr_data[6]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(wr_en), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(wr_en), .B(wd[5]), .X(wr_data[5]) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(wr_en), .B(wd[7]), .X(wr_data[7]) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(wr_en), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(wr_en), .B(wd[3]), .X(wr_data[3]) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(wr_en), .B(wd[4]), .X(wr_data[4]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_8_WO_00_0 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [7:0] wd;
+  input [7:0] d;
+  output [7:0] q;
+  output [7:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n4, n5, n6, n7, n8, n9, n10, n11, n12, n13;
+  wire   [7:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW8_SWACCESSWO_0 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n12), .d({n12, n12, n12, n12, n12, n12, n12, n12}), .q({
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0}), .wr_en(wr_en), 
+        .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 qe_reg ( .D(we), .CLK(clk_i), .RESET_B(rst_ni), .Q(
+        qe) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n11), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_7_ ( .D(n10), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[7]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_6_ ( .D(n9), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[6]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_5_ ( .D(n8), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_4_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_3_ ( .D(n6), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n4), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n12) );
+  sky130_fd_sc_hd__clkinv_1 U12 ( .A(wr_en), .Y(n13) );
+  sky130_fd_sc_hd__a22o_1 U13 ( .A1(wr_en), .A2(wr_data[0]), .B1(n13), .B2(
+        q[0]), .X(n11) );
+  sky130_fd_sc_hd__a22o_1 U14 ( .A1(wr_en), .A2(wr_data[7]), .B1(n13), .B2(
+        q[7]), .X(n10) );
+  sky130_fd_sc_hd__a22o_1 U15 ( .A1(wr_en), .A2(wr_data[6]), .B1(n13), .B2(
+        q[6]), .X(n9) );
+  sky130_fd_sc_hd__a22o_1 U16 ( .A1(wr_en), .A2(wr_data[5]), .B1(n13), .B2(
+        q[5]), .X(n8) );
+  sky130_fd_sc_hd__a22o_1 U17 ( .A1(wr_en), .A2(wr_data[4]), .B1(n13), .B2(
+        q[4]), .X(n7) );
+  sky130_fd_sc_hd__a22o_1 U18 ( .A1(wr_en), .A2(wr_data[3]), .B1(n13), .B2(
+        q[3]), .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U19 ( .A1(wr_en), .A2(wr_data[2]), .B1(n13), .B2(
+        q[2]), .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U20 ( .A1(wr_en), .A2(wr_data[1]), .B1(n13), .B2(
+        q[1]), .X(n4) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSWO_0 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_WO_0_0 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n5;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSWO_0 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 qe_reg ( .D(we), .CLK(clk_i), .RESET_B(rst_ni), .Q(
+        qe) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n5)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW24_SWACCESSRW_0 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [23:0] wd;
+  input [23:0] d;
+  input [23:0] q;
+  output [23:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wr_en), .B(wd[19]), .X(wr_data[19]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(wr_en), .B(wd[20]), .X(wr_data[20]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(wr_en), .B(wd[21]), .X(wr_data[21]) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(wr_en), .B(wd[23]), .X(wr_data[23]) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(wr_en), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(wr_en), .B(wd[22]), .X(wr_data[22]) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(wr_en), .B(wd[17]), .X(wr_data[17]) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(wr_en), .B(wd[15]), .X(wr_data[15]) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(wr_en), .B(wd[14]), .X(wr_data[14]) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(wr_en), .B(wd[18]), .X(wr_data[18]) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(wr_en), .B(wd[16]), .X(wr_data[16]) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(wr_en), .B(wd[13]), .X(wr_data[13]) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(wr_en), .B(wd[6]), .X(wr_data[6]) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(wr_en), .B(wd[9]), .X(wr_data[9]) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(wr_en), .B(wd[8]), .X(wr_data[8]) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(wr_en), .B(wd[5]), .X(wr_data[5]) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(wr_en), .B(wd[7]), .X(wr_data[7]) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(wr_en), .B(wd[10]), .X(wr_data[10]) );
+  sky130_fd_sc_hd__and2_0 U20 ( .A(wr_en), .B(wd[12]), .X(wr_data[12]) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(wr_en), .B(wd[11]), .X(wr_data[11]) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(wr_en), .B(wd[3]), .X(wr_data[3]) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(wr_en), .B(wd[2]), .X(wr_data[2]) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(wr_en), .B(wd[4]), .X(wr_data[4]) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(wr_en), .B(wd[1]), .X(wr_data[1]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_24_RW_000000_0 ( clk_i, rst_ni, we, wd, 
+        de, d, qe, q, qs );
+  input [23:0] wd;
+  input [23:0] d;
+  output [23:0] q;
+  output [23:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n4, n5, n6, n7, n8, n9, n10, n11, n12, n13, n14, n15, n16, n17, n18,
+         n19, n20, n21, n22, n23, n24, n25, n26, n27, n28, n29, n30, n31;
+  wire   [23:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW24_SWACCESSRW_0 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n29), .d({n29, n29, n29, n29, n29, n29, n29, n29, n29, 
+        n29, n29, n29, n29, n29, n29, n29, n29, n29, n29, n29, n29, n29, n29, 
+        n29}), .q({1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0}), .wr_en(n28), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n27), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_23_ ( .D(n26), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[23]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_22_ ( .D(n25), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[22]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_21_ ( .D(n24), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[21]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_20_ ( .D(n23), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[20]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_19_ ( .D(n22), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[19]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_18_ ( .D(n21), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[18]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_17_ ( .D(n20), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[17]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_16_ ( .D(n19), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[16]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_15_ ( .D(n18), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[15]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_14_ ( .D(n17), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[14]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_13_ ( .D(n16), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[13]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_12_ ( .D(n15), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[12]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_11_ ( .D(n14), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[11]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_10_ ( .D(n13), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[10]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_9_ ( .D(n12), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[9]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_8_ ( .D(n11), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[8]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_7_ ( .D(n10), .CLK(n30), .RESET_B(rst_ni), 
+        .Q(q[7]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_6_ ( .D(n9), .CLK(n30), .RESET_B(rst_ni), .Q(
+        q[6]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_5_ ( .D(n8), .CLK(n30), .RESET_B(rst_ni), .Q(
+        q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_4_ ( .D(n7), .CLK(n30), .RESET_B(rst_ni), .Q(
+        q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_3_ ( .D(n6), .CLK(n30), .RESET_B(rst_ni), .Q(
+        q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n5), .CLK(n30), .RESET_B(rst_ni), .Q(
+        q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n4), .CLK(n30), .RESET_B(rst_ni), .Q(
+        q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n29) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(clk_i), .X(n30) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(n28), .Y(n31) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(q[18]), .X(qs[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(q[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(q[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(q[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(q[7]), .X(qs[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(q[8]), .X(qs[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(q[9]), .X(qs[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(q[10]), .X(qs[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(q[11]), .X(qs[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(q[12]), .X(qs[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(q[13]), .X(qs[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(q[14]), .X(qs[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(q[15]), .X(qs[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(q[16]), .X(qs[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(q[17]), .X(qs[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(q[19]), .X(qs[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(q[20]), .X(qs[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(q[21]), .X(qs[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(q[22]), .X(qs[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(q[23]), .X(qs[23]) );
+  sky130_fd_sc_hd__a22o_1 U30 ( .A1(n28), .A2(wr_data[0]), .B1(n31), .B2(q[0]), 
+        .X(n27) );
+  sky130_fd_sc_hd__a22o_1 U31 ( .A1(n28), .A2(wr_data[23]), .B1(n31), .B2(
+        q[23]), .X(n26) );
+  sky130_fd_sc_hd__a22o_1 U32 ( .A1(n28), .A2(wr_data[22]), .B1(n31), .B2(
+        q[22]), .X(n25) );
+  sky130_fd_sc_hd__a22o_1 U33 ( .A1(n28), .A2(wr_data[21]), .B1(n31), .B2(
+        q[21]), .X(n24) );
+  sky130_fd_sc_hd__a22o_1 U34 ( .A1(n28), .A2(wr_data[20]), .B1(n31), .B2(
+        q[20]), .X(n23) );
+  sky130_fd_sc_hd__a22o_1 U35 ( .A1(n28), .A2(wr_data[19]), .B1(n31), .B2(
+        q[19]), .X(n22) );
+  sky130_fd_sc_hd__a22o_1 U36 ( .A1(n28), .A2(wr_data[18]), .B1(n31), .B2(
+        q[18]), .X(n21) );
+  sky130_fd_sc_hd__a22o_1 U37 ( .A1(n28), .A2(wr_data[17]), .B1(n31), .B2(
+        q[17]), .X(n20) );
+  sky130_fd_sc_hd__a22o_1 U38 ( .A1(n28), .A2(wr_data[16]), .B1(n31), .B2(
+        q[16]), .X(n19) );
+  sky130_fd_sc_hd__a22o_1 U39 ( .A1(n28), .A2(wr_data[15]), .B1(n31), .B2(
+        q[15]), .X(n18) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(n28), .A2(wr_data[14]), .B1(n31), .B2(
+        q[14]), .X(n17) );
+  sky130_fd_sc_hd__a22o_1 U41 ( .A1(n28), .A2(wr_data[13]), .B1(n31), .B2(
+        q[13]), .X(n16) );
+  sky130_fd_sc_hd__a22o_1 U42 ( .A1(n28), .A2(wr_data[12]), .B1(n31), .B2(
+        q[12]), .X(n15) );
+  sky130_fd_sc_hd__a22o_1 U43 ( .A1(n28), .A2(wr_data[11]), .B1(n31), .B2(
+        q[11]), .X(n14) );
+  sky130_fd_sc_hd__a22o_1 U44 ( .A1(n28), .A2(wr_data[10]), .B1(n31), .B2(
+        q[10]), .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U45 ( .A1(n28), .A2(wr_data[9]), .B1(n31), .B2(q[9]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U46 ( .A1(n28), .A2(wr_data[8]), .B1(n31), .B2(q[8]), 
+        .X(n11) );
+  sky130_fd_sc_hd__a22o_1 U47 ( .A1(n28), .A2(wr_data[7]), .B1(n31), .B2(q[7]), 
+        .X(n10) );
+  sky130_fd_sc_hd__a22o_1 U48 ( .A1(n28), .A2(wr_data[6]), .B1(n31), .B2(q[6]), 
+        .X(n9) );
+  sky130_fd_sc_hd__a22o_1 U49 ( .A1(n28), .A2(wr_data[5]), .B1(n31), .B2(q[5]), 
+        .X(n8) );
+  sky130_fd_sc_hd__a22o_1 U50 ( .A1(n28), .A2(wr_data[4]), .B1(n31), .B2(q[4]), 
+        .X(n7) );
+  sky130_fd_sc_hd__a22o_1 U51 ( .A1(n28), .A2(wr_data[3]), .B1(n31), .B2(q[3]), 
+        .X(n6) );
+  sky130_fd_sc_hd__a22o_1 U52 ( .A1(n28), .A2(wr_data[2]), .B1(n31), .B2(q[2]), 
+        .X(n5) );
+  sky130_fd_sc_hd__a22o_1 U53 ( .A1(n28), .A2(wr_data[1]), .B1(n31), .B2(q[1]), 
+        .X(n4) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSWO_1 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_WO_0_1 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSWO_1 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 qe_reg ( .D(we), .CLK(clk_i), .RESET_B(rst_ni), .Q(
+        qe) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW16_4 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [15:0] wd;
+  input [15:0] d;
+  output [15:0] q;
+  output [15:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[12]), .X(qs[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(d[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(d[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(d[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(d[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(d[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U8 ( .A(d[5]), .X(qs[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(d[6]), .X(qs[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(d[7]), .X(qs[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(d[8]), .X(qs[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(d[9]), .X(qs[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(d[10]), .X(qs[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(d[11]), .X(qs[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(d[13]), .X(qs[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(d[14]), .X(qs[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(d[15]), .X(qs[15]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW2_SWACCESSRW_1 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [1:0] wd;
+  input [1:0] d;
+  input [1:0] q;
+  output [1:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_2_RW_0_1 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [1:0] wd;
+  input [1:0] d;
+  output [1:0] q;
+  output [1:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n4, n13, n14;
+  wire   [1:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW2_SWACCESSRW_1 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n4), .d({n4, n4}), .q({1'b0, 1'b0}), .wr_en(wr_en), 
+        .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n4) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__mux2_1 U6 ( .A0(q[0]), .A1(wr_data[0]), .S(wr_en), .X(n13)
+         );
+  sky130_fd_sc_hd__mux2_1 U7 ( .A0(q[1]), .A1(wr_data[1]), .S(wr_en), .X(n14)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW6_1 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [5:0] wd;
+  input [5:0] d;
+  output [5:0] q;
+  output [5:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(d[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(d[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(d[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(d[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(d[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(d[5]), .X(qs[5]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW6_2 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [5:0] wd;
+  input [5:0] d;
+  output [5:0] q;
+  output [5:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(d[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(d[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(d[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(d[3]), .X(qs[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(d[4]), .X(qs[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(d[5]), .X(qs[5]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_1 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_1 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_1 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_2 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_2 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_2 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_3 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_3 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_3 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_4 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__and2_0 U1 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(we), .X(wr_en) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_4 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_4 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_5 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_5 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_5 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_6 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_6 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_6 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_7 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_7 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_7 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_8 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_8 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_8 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_9 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_9 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_9 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_10 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_10 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_10 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_11 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_11 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_11 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_12 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_12 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_12 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_13 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_13 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_13 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_14 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_14 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_14 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__conb_1 U4 ( .LO(n2) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_15 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_15 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_15 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_16 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_16 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_16 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_17 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_17 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_17 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_18 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(wd[0]), .B(we), .X(wr_data[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_RW_0_18 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   n2, wr_en, wr_data_0_, n7;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSRW_18 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(n2), .d(n2), .q(1'b0), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n7), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(qs[0]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n2) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(qs[0]), .X(q[0]) );
+  sky130_fd_sc_hd__mux2_1 U5 ( .A0(qs[0]), .A1(wr_data_0_), .S(wr_en), .X(n7)
+         );
+endmodule
+
+
+module opentitan_soc_top_tlul_err_2 ( clk_i, rst_ni, tl_i, err_o );
+  input [85:0] tl_i;
+  input clk_i, rst_ni;
+  output err_o;
+  wire   n6, n7;
+
+  sky130_fd_sc_hd__o311ai_0 U3 ( .A1(n7), .A2(tl_i[82]), .A3(tl_i[84]), .B1(
+        tl_i[85]), .C1(n6), .Y(err_o) );
+  sky130_fd_sc_hd__and4_1 U4 ( .A(tl_i[36]), .B(tl_i[35]), .C(tl_i[34]), .D(
+        tl_i[33]), .X(n7) );
+  sky130_fd_sc_hd__nand2_1 U5 ( .A(tl_i[84]), .B(tl_i[82]), .Y(n6) );
+endmodule
+
+
+module opentitan_soc_top_tlul_adapter_reg_RegAw6_RegDw32_1 ( clk_i, rst_ni, 
+        tl_i, tl_o, re_o, we_o, addr_o, wdata_o, be_o, rdata_i, error_i );
+  input [85:0] tl_i;
+  output [51:0] tl_o;
+  output [5:0] addr_o;
+  output [31:0] wdata_o;
+  output [3:0] be_o;
+  input [31:0] rdata_i;
+  input clk_i, rst_ni, error_i;
+  output re_o, we_o;
+  wire   a_ack, tl_err, n14, n15, n16, n17, n18, n59, n60, n61, n64, n65, n66,
+         n67, n68, n69, n70, n71, n97, n98, n99, n100, n101, n102, n103, n104,
+         n105, n106, n107, n108, n109, n110, n111, n112, n113, n114, n115,
+         n116, n117, n118, n119, n120, n121;
+
+  opentitan_soc_top_tlul_err_2 u_err ( .clk_i(1'b0), .rst_ni(1'b0), .tl_i({
+        tl_i[85:84], n59, tl_i[82], n59, n59, n59, n61, n59, n59, n59, n59, 
+        n59, n59, n59, n59, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, n59, 
+        n59, tl_i[36:33], 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, n61}), .err_o(tl_err) );
+  sky130_fd_sc_hd__dfrtp_1 outstanding_reg ( .D(a_ack), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[51]) );
+  sky130_fd_sc_hd__dfrtp_1 rspop_reg_0_ ( .D(n60), .CLK(n14), .RESET_B(rst_ni), 
+        .Q(tl_o[48]) );
+  sky130_fd_sc_hd__dfrtp_1 error_reg ( .D(n64), .CLK(n14), .RESET_B(rst_ni), 
+        .Q(tl_o[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_31_ ( .D(n65), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[33]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_30_ ( .D(n66), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[32]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_29_ ( .D(n67), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[31]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_28_ ( .D(n68), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[30]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_27_ ( .D(n69), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[29]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_26_ ( .D(n70), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[28]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_25_ ( .D(n71), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[27]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_24_ ( .D(n97), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[26]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_23_ ( .D(n98), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[25]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_22_ ( .D(n99), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[24]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_21_ ( .D(n100), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[23]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_20_ ( .D(n101), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[22]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_19_ ( .D(n102), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[21]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_18_ ( .D(n103), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[20]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_17_ ( .D(n104), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[19]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_16_ ( .D(n105), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[18]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_15_ ( .D(n106), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_14_ ( .D(n107), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_13_ ( .D(n108), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_12_ ( .D(n109), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_11_ ( .D(n110), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_10_ ( .D(n111), .CLK(n14), .RESET_B(
+        rst_ni), .Q(tl_o[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_9_ ( .D(n112), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_8_ ( .D(n113), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_7_ ( .D(n114), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_6_ ( .D(n115), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_5_ ( .D(n116), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_4_ ( .D(n117), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_3_ ( .D(n118), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_2_ ( .D(n119), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_1_ ( .D(n120), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rdata_reg_0_ ( .D(n121), .CLK(n14), .RESET_B(rst_ni), .Q(tl_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(clk_i), .X(n14) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(tl_i[34]), .X(be_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(tl_i[15]), .X(wdata_o[14]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(tl_i[40]), .X(addr_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U7 ( .A(tl_i[31]), .X(wdata_o[30]) );
+  sky130_fd_sc_hd__conb_1 U8 ( .LO(n59), .HI(n61) );
+  sky130_fd_sc_hd__clkbuf_1 U9 ( .A(tl_i[5]), .X(wdata_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U10 ( .A(tl_i[23]), .X(wdata_o[22]) );
+  sky130_fd_sc_hd__clkbuf_1 U11 ( .A(tl_i[1]), .X(wdata_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U12 ( .A(tl_i[2]), .X(wdata_o[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U13 ( .A(tl_i[3]), .X(wdata_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U14 ( .A(tl_i[4]), .X(wdata_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(tl_i[6]), .X(wdata_o[5]) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(tl_i[7]), .X(wdata_o[6]) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(tl_i[8]), .X(wdata_o[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(tl_i[9]), .X(wdata_o[8]) );
+  sky130_fd_sc_hd__clkbuf_1 U19 ( .A(tl_i[10]), .X(wdata_o[9]) );
+  sky130_fd_sc_hd__clkbuf_1 U20 ( .A(tl_i[11]), .X(wdata_o[10]) );
+  sky130_fd_sc_hd__clkbuf_1 U21 ( .A(tl_i[12]), .X(wdata_o[11]) );
+  sky130_fd_sc_hd__clkbuf_1 U22 ( .A(tl_i[13]), .X(wdata_o[12]) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(tl_i[14]), .X(wdata_o[13]) );
+  sky130_fd_sc_hd__clkbuf_1 U24 ( .A(tl_i[16]), .X(wdata_o[15]) );
+  sky130_fd_sc_hd__clkbuf_1 U25 ( .A(tl_i[17]), .X(wdata_o[16]) );
+  sky130_fd_sc_hd__clkbuf_1 U26 ( .A(tl_i[18]), .X(wdata_o[17]) );
+  sky130_fd_sc_hd__clkbuf_1 U27 ( .A(tl_i[19]), .X(wdata_o[18]) );
+  sky130_fd_sc_hd__clkbuf_1 U28 ( .A(tl_i[20]), .X(wdata_o[19]) );
+  sky130_fd_sc_hd__clkbuf_1 U29 ( .A(tl_i[21]), .X(wdata_o[20]) );
+  sky130_fd_sc_hd__clkbuf_1 U30 ( .A(tl_i[22]), .X(wdata_o[21]) );
+  sky130_fd_sc_hd__clkbuf_1 U31 ( .A(tl_i[24]), .X(wdata_o[23]) );
+  sky130_fd_sc_hd__clkbuf_1 U32 ( .A(tl_i[25]), .X(wdata_o[24]) );
+  sky130_fd_sc_hd__clkbuf_1 U33 ( .A(tl_i[26]), .X(wdata_o[25]) );
+  sky130_fd_sc_hd__clkbuf_1 U34 ( .A(tl_i[27]), .X(wdata_o[26]) );
+  sky130_fd_sc_hd__clkbuf_1 U35 ( .A(tl_i[28]), .X(wdata_o[27]) );
+  sky130_fd_sc_hd__clkbuf_1 U36 ( .A(tl_i[29]), .X(wdata_o[28]) );
+  sky130_fd_sc_hd__clkbuf_1 U37 ( .A(tl_i[30]), .X(wdata_o[29]) );
+  sky130_fd_sc_hd__clkbuf_1 U38 ( .A(tl_i[32]), .X(wdata_o[31]) );
+  sky130_fd_sc_hd__clkbuf_1 U39 ( .A(tl_i[33]), .X(be_o[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U40 ( .A(tl_i[35]), .X(be_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U41 ( .A(tl_i[36]), .X(be_o[3]) );
+  sky130_fd_sc_hd__clkbuf_1 U42 ( .A(tl_i[39]), .X(addr_o[2]) );
+  sky130_fd_sc_hd__clkbuf_1 U43 ( .A(tl_i[41]), .X(addr_o[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(tl_i[42]), .X(addr_o[5]) );
+  sky130_fd_sc_hd__clkinv_1 U45 ( .A(tl_o[51]), .Y(tl_o[0]) );
+  sky130_fd_sc_hd__nand2_1 U46 ( .A(tl_o[0]), .B(tl_i[85]), .Y(n17) );
+  sky130_fd_sc_hd__clkinv_1 U47 ( .A(n17), .Y(a_ack) );
+  sky130_fd_sc_hd__nand2b_1 U48 ( .A_N(tl_err), .B(a_ack), .Y(n18) );
+  sky130_fd_sc_hd__nor2_1 U49 ( .A(tl_i[84]), .B(n18), .Y(we_o) );
+  sky130_fd_sc_hd__nand3b_1 U50 ( .A_N(tl_i[82]), .B(tl_i[84]), .C(a_ack), .Y(
+        n15) );
+  sky130_fd_sc_hd__nor2_1 U51 ( .A(tl_err), .B(n15), .Y(re_o) );
+  sky130_fd_sc_hd__clkinv_1 U52 ( .A(tl_o[48]), .Y(n16) );
+  sky130_fd_sc_hd__o21ai_1 U53 ( .A1(a_ack), .A2(n16), .B1(n15), .Y(n60) );
+  sky130_fd_sc_hd__o22a_1 U56 ( .A1(a_ack), .A2(tl_o[1]), .B1(error_i), .B2(
+        n18), .X(n64) );
+  sky130_fd_sc_hd__o22a_1 U57 ( .A1(a_ack), .A2(tl_o[33]), .B1(rdata_i[31]), 
+        .B2(n18), .X(n65) );
+  sky130_fd_sc_hd__o22a_1 U58 ( .A1(a_ack), .A2(tl_o[32]), .B1(rdata_i[30]), 
+        .B2(n18), .X(n66) );
+  sky130_fd_sc_hd__o22a_1 U59 ( .A1(a_ack), .A2(tl_o[31]), .B1(rdata_i[29]), 
+        .B2(n18), .X(n67) );
+  sky130_fd_sc_hd__o22a_1 U60 ( .A1(a_ack), .A2(tl_o[30]), .B1(rdata_i[28]), 
+        .B2(n18), .X(n68) );
+  sky130_fd_sc_hd__o22a_1 U61 ( .A1(a_ack), .A2(tl_o[29]), .B1(rdata_i[27]), 
+        .B2(n18), .X(n69) );
+  sky130_fd_sc_hd__o22a_1 U62 ( .A1(a_ack), .A2(tl_o[28]), .B1(rdata_i[26]), 
+        .B2(n18), .X(n70) );
+  sky130_fd_sc_hd__o22a_1 U63 ( .A1(a_ack), .A2(tl_o[27]), .B1(rdata_i[25]), 
+        .B2(n18), .X(n71) );
+  sky130_fd_sc_hd__o22a_1 U64 ( .A1(a_ack), .A2(tl_o[26]), .B1(rdata_i[24]), 
+        .B2(n18), .X(n97) );
+  sky130_fd_sc_hd__o22a_1 U65 ( .A1(a_ack), .A2(tl_o[25]), .B1(rdata_i[23]), 
+        .B2(n18), .X(n98) );
+  sky130_fd_sc_hd__o22a_1 U66 ( .A1(a_ack), .A2(tl_o[24]), .B1(rdata_i[22]), 
+        .B2(n18), .X(n99) );
+  sky130_fd_sc_hd__o22a_1 U67 ( .A1(a_ack), .A2(tl_o[23]), .B1(rdata_i[21]), 
+        .B2(n18), .X(n100) );
+  sky130_fd_sc_hd__o22a_1 U68 ( .A1(a_ack), .A2(tl_o[22]), .B1(rdata_i[20]), 
+        .B2(n18), .X(n101) );
+  sky130_fd_sc_hd__o22a_1 U69 ( .A1(a_ack), .A2(tl_o[21]), .B1(rdata_i[19]), 
+        .B2(n18), .X(n102) );
+  sky130_fd_sc_hd__o22a_1 U70 ( .A1(a_ack), .A2(tl_o[20]), .B1(rdata_i[18]), 
+        .B2(n18), .X(n103) );
+  sky130_fd_sc_hd__o22a_1 U71 ( .A1(a_ack), .A2(tl_o[19]), .B1(rdata_i[17]), 
+        .B2(n18), .X(n104) );
+  sky130_fd_sc_hd__o22a_1 U72 ( .A1(a_ack), .A2(tl_o[18]), .B1(rdata_i[16]), 
+        .B2(n18), .X(n105) );
+  sky130_fd_sc_hd__o22a_1 U73 ( .A1(a_ack), .A2(tl_o[17]), .B1(rdata_i[15]), 
+        .B2(n18), .X(n106) );
+  sky130_fd_sc_hd__o22a_1 U74 ( .A1(a_ack), .A2(tl_o[16]), .B1(rdata_i[14]), 
+        .B2(n18), .X(n107) );
+  sky130_fd_sc_hd__o22a_1 U75 ( .A1(a_ack), .A2(tl_o[15]), .B1(rdata_i[13]), 
+        .B2(n18), .X(n108) );
+  sky130_fd_sc_hd__o22a_1 U76 ( .A1(a_ack), .A2(tl_o[14]), .B1(rdata_i[12]), 
+        .B2(n18), .X(n109) );
+  sky130_fd_sc_hd__o22a_1 U77 ( .A1(a_ack), .A2(tl_o[13]), .B1(rdata_i[11]), 
+        .B2(n18), .X(n110) );
+  sky130_fd_sc_hd__o22a_1 U78 ( .A1(a_ack), .A2(tl_o[12]), .B1(rdata_i[10]), 
+        .B2(n18), .X(n111) );
+  sky130_fd_sc_hd__o22a_1 U79 ( .A1(a_ack), .A2(tl_o[11]), .B1(rdata_i[9]), 
+        .B2(n18), .X(n112) );
+  sky130_fd_sc_hd__o22a_1 U80 ( .A1(a_ack), .A2(tl_o[10]), .B1(rdata_i[8]), 
+        .B2(n18), .X(n113) );
+  sky130_fd_sc_hd__o22a_1 U81 ( .A1(a_ack), .A2(tl_o[9]), .B1(rdata_i[7]), 
+        .B2(n18), .X(n114) );
+  sky130_fd_sc_hd__o22a_1 U82 ( .A1(a_ack), .A2(tl_o[8]), .B1(rdata_i[6]), 
+        .B2(n18), .X(n115) );
+  sky130_fd_sc_hd__o22a_1 U83 ( .A1(a_ack), .A2(tl_o[7]), .B1(rdata_i[5]), 
+        .B2(n18), .X(n116) );
+  sky130_fd_sc_hd__o22a_1 U84 ( .A1(a_ack), .A2(tl_o[6]), .B1(rdata_i[4]), 
+        .B2(n18), .X(n117) );
+  sky130_fd_sc_hd__o22a_1 U85 ( .A1(a_ack), .A2(tl_o[5]), .B1(rdata_i[3]), 
+        .B2(n18), .X(n118) );
+  sky130_fd_sc_hd__o22a_1 U86 ( .A1(a_ack), .A2(tl_o[4]), .B1(rdata_i[2]), 
+        .B2(n18), .X(n119) );
+  sky130_fd_sc_hd__o22a_1 U87 ( .A1(a_ack), .A2(tl_o[3]), .B1(rdata_i[1]), 
+        .B2(n18), .X(n120) );
+  sky130_fd_sc_hd__o22a_1 U88 ( .A1(a_ack), .A2(tl_o[2]), .B1(rdata_i[0]), 
+        .B2(n18), .X(n121) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_1 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+  wire   n1, n2;
+
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(de), .Y(n1) );
+  sky130_fd_sc_hd__nand2b_1 U3 ( .A_N(we), .B(n1), .Y(wr_en) );
+  sky130_fd_sc_hd__o22ai_1 U4 ( .A1(de), .A2(q[0]), .B1(n1), .B2(d[0]), .Y(n2)
+         );
+  sky130_fd_sc_hd__a21oi_1 U5 ( .A1(we), .A2(wd[0]), .B1(n2), .Y(wr_data[0])
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_W1C_0_1 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, wr_data_0_, n5;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_1 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(de), .d(d[0]), .q(q[0]), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U4 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n5)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_2 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+  wire   n1, n2;
+
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(de), .Y(n1) );
+  sky130_fd_sc_hd__nand2b_1 U3 ( .A_N(we), .B(n1), .Y(wr_en) );
+  sky130_fd_sc_hd__o22ai_1 U4 ( .A1(de), .A2(q[0]), .B1(n1), .B2(d[0]), .Y(n2)
+         );
+  sky130_fd_sc_hd__a21oi_1 U5 ( .A1(we), .A2(wd[0]), .B1(n2), .Y(wr_data[0])
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_W1C_0_2 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, wr_data_0_, n5;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_2 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(de), .d(d[0]), .q(q[0]), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U4 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n5)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_3 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+  wire   n1, n2;
+
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(de), .Y(n1) );
+  sky130_fd_sc_hd__nand2b_1 U3 ( .A_N(we), .B(n1), .Y(wr_en) );
+  sky130_fd_sc_hd__o22ai_1 U4 ( .A1(de), .A2(q[0]), .B1(n1), .B2(d[0]), .Y(n2)
+         );
+  sky130_fd_sc_hd__a21oi_1 U5 ( .A1(we), .A2(wd[0]), .B1(n2), .Y(wr_data[0])
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_W1C_0_3 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, wr_data_0_, n5;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_3 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(de), .d(d[0]), .q(q[0]), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U4 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n5)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_4 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+  wire   n1, n2;
+
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(de), .Y(n1) );
+  sky130_fd_sc_hd__nand2b_1 U3 ( .A_N(we), .B(n1), .Y(wr_en) );
+  sky130_fd_sc_hd__o22ai_1 U4 ( .A1(de), .A2(q[0]), .B1(n1), .B2(d[0]), .Y(n2)
+         );
+  sky130_fd_sc_hd__a21oi_1 U5 ( .A1(we), .A2(wd[0]), .B1(n2), .Y(wr_data[0])
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_W1C_0_4 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, wr_data_0_, n5;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_4 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(de), .d(d[0]), .q(q[0]), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U4 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n5)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_5 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+  wire   n1, n2;
+
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(de), .Y(n1) );
+  sky130_fd_sc_hd__nand2b_1 U3 ( .A_N(we), .B(n1), .Y(wr_en) );
+  sky130_fd_sc_hd__o22ai_1 U4 ( .A1(de), .A2(q[0]), .B1(n1), .B2(d[0]), .Y(n2)
+         );
+  sky130_fd_sc_hd__a21oi_1 U5 ( .A1(we), .A2(wd[0]), .B1(n2), .Y(wr_data[0])
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_W1C_0_5 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, wr_data_0_, n5;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_5 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(de), .d(d[0]), .q(q[0]), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U4 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n5)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_6 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+  wire   n1, n2;
+
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(de), .Y(n1) );
+  sky130_fd_sc_hd__nand2b_1 U3 ( .A_N(we), .B(n1), .Y(wr_en) );
+  sky130_fd_sc_hd__o22ai_1 U4 ( .A1(de), .A2(q[0]), .B1(n1), .B2(d[0]), .Y(n2)
+         );
+  sky130_fd_sc_hd__a21oi_1 U5 ( .A1(we), .A2(wd[0]), .B1(n2), .Y(wr_data[0])
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_W1C_0_6 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, wr_data_0_, n5;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_6 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(de), .d(d[0]), .q(q[0]), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U4 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n5)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_7 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [0:0] wd;
+  input [0:0] d;
+  input [0:0] q;
+  output [0:0] wr_data;
+  input we, de;
+  output wr_en;
+  wire   n1, n2;
+
+  sky130_fd_sc_hd__clkinv_1 U2 ( .A(de), .Y(n1) );
+  sky130_fd_sc_hd__nand2b_1 U3 ( .A_N(we), .B(n1), .Y(wr_en) );
+  sky130_fd_sc_hd__o22ai_1 U4 ( .A1(de), .A2(q[0]), .B1(n1), .B2(d[0]), .Y(n2)
+         );
+  sky130_fd_sc_hd__a21oi_1 U5 ( .A1(we), .A2(wd[0]), .B1(n2), .Y(wr_data[0])
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_1_W1C_0_7 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, wr_data_0_, n5;
+
+  opentitan_soc_top_prim_subreg_arb_DW1_SWACCESSW1C_7 wr_en_data_arb ( .we(we), 
+        .wd(wd[0]), .de(de), .d(d[0]), .q(q[0]), .wr_en(wr_en), .wr_data(
+        wr_data_0_) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n5), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U3 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__mux2_1 U4 ( .A0(q[0]), .A1(wr_data_0_), .S(wr_en), .X(n5)
+         );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_1 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(qs[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_2 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(qs[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_3 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(qs[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_4 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(qs[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_5 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(d[0]), .X(qs[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_6 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(d[0]), .X(qs[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_7 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(wd[0]), .X(q[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(we), .X(qe) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_8 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wd[0]), .X(q[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_9 ( re, we, wd, d, qe, qre, q, qs
+ );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wd[0]), .X(q[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_10 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wd[0]), .X(q[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_11 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wd[0]), .X(q[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_12 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wd[0]), .X(q[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_ext_DW1_13 ( re, we, wd, d, qe, qre, q, 
+        qs );
+  input [0:0] wd;
+  input [0:0] d;
+  output [0:0] q;
+  output [0:0] qs;
+  input re, we;
+  output qe, qre;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(qe) );
+  sky130_fd_sc_hd__clkbuf_1 U2 ( .A(wd[0]), .X(q[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_1 ( we, wd, de, d, q, 
+        wr_en, wr_data );
+  input [2:0] wd;
+  input [2:0] d;
+  input [2:0] q;
+  output [2:0] wr_data;
+  input we, de;
+  output wr_en;
+
+
+  sky130_fd_sc_hd__clkbuf_1 U1 ( .A(we), .X(wr_en) );
+  sky130_fd_sc_hd__and2_0 U2 ( .A(we), .B(wd[1]), .X(wr_data[1]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(we), .B(wd[0]), .X(wr_data[0]) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(we), .B(wd[2]), .X(wr_data[2]) );
+endmodule
+
+
+module opentitan_soc_top_prim_subreg_3_RW_0_1 ( clk_i, rst_ni, we, wd, de, d, 
+        qe, q, qs );
+  input [2:0] wd;
+  input [2:0] d;
+  output [2:0] q;
+  output [2:0] qs;
+  input clk_i, rst_ni, we, de;
+  output qe;
+  wire   wr_en, n7, n8, n12, n13, n14;
+  wire   [2:0] wr_data;
+
+  opentitan_soc_top_prim_subreg_arb_DW3_SWACCESSRW_1 wr_en_data_arb ( .we(we), 
+        .wd(wd), .de(n7), .d({n7, n7, n7}), .q({1'b0, 1'b0, 1'b0}), .wr_en(
+        wr_en), .wr_data(wr_data) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_0_ ( .D(n12), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_2_ ( .D(n13), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 q_reg_1_ ( .D(n14), .CLK(clk_i), .RESET_B(rst_ni), 
+        .Q(q[1]) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n7) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(q[0]), .X(qs[0]) );
+  sky130_fd_sc_hd__clkbuf_1 U5 ( .A(q[1]), .X(qs[1]) );
+  sky130_fd_sc_hd__clkbuf_1 U6 ( .A(q[2]), .X(qs[2]) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(wr_en), .Y(n8) );
+  sky130_fd_sc_hd__a22o_1 U8 ( .A1(wr_en), .A2(wr_data[0]), .B1(n8), .B2(q[0]), 
+        .X(n12) );
+  sky130_fd_sc_hd__a22o_1 U9 ( .A1(wr_en), .A2(wr_data[2]), .B1(n8), .B2(q[2]), 
+        .X(n13) );
+  sky130_fd_sc_hd__a22o_1 U10 ( .A1(wr_en), .A2(wr_data[1]), .B1(n8), .B2(q[1]), .X(n14) );
+endmodule
+
+
+module opentitan_soc_top_uart_reg_top_0 ( clk_i, rst_ni, tl_i, tl_o, reg2hw, 
+        hw2reg, devmode_i );
+  input [85:0] tl_i;
+  output [51:0] tl_o;
+  output [124:0] reg2hw;
+  input [64:0] hw2reg;
+  input clk_i, rst_ni, devmode_i;
+  wire   reg_we, reg_re, reg_error, intr_state_tx_watermark_qs,
+         intr_state_rx_watermark_qs, intr_state_tx_empty_qs,
+         intr_state_rx_overflow_qs, intr_state_rx_frame_err_qs,
+         intr_state_rx_break_err_qs, intr_state_rx_timeout_qs,
+         intr_state_rx_parity_err_qs, intr_enable_tx_watermark_qs,
+         intr_enable_rx_watermark_qs, intr_enable_tx_empty_qs,
+         intr_enable_rx_overflow_qs, intr_enable_rx_frame_err_qs,
+         intr_enable_rx_break_err_qs, intr_enable_rx_timeout_qs,
+         intr_enable_rx_parity_err_qs, intr_test_rx_parity_err_we, ctrl_tx_qs,
+         ctrl_rx_qs, ctrl_nf_qs, ctrl_slpbk_qs, ctrl_llpbk_qs,
+         ctrl_parity_en_qs, ctrl_parity_odd_qs, ctrl_nco_we, status_txfull_qs,
+         status_rxfull_qs, status_txempty_qs, status_txidle_qs,
+         status_rxidle_qs, status_rxempty_qs, rdata_re, wdata_we,
+         fifo_ctrl_txilvl_we, ovrd_txen_qs, ovrd_txval_we, ovrd_txval_qs,
+         timeout_ctrl_en_we, timeout_ctrl_en_qs, n22, n23, n24, n25, n26, n27,
+         n28, n29, n30, n31, n32, n33, n34, n35, n36, n37, n38, n39, n40, n41,
+         n42, n43, n44, n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56,
+         n57, n58, n59, n60, n61, n62, n63, n64, n65, n66, n67, n68, n69, n70,
+         n71, n72, n73, n74, n75, n76, n77, n78, n79, n80, n81, n82, n83, n84,
+         n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96, n97, n98,
+         n99, n100, n101, n102, n103, n104, n105, n106, n107, n108, n109, n110,
+         n111, n112, n113, n114, n115, n116, n117, n118, n119, n120, n121,
+         n122, n123, n124, n125, n126, n127, n128, n129, n130, n131,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56,
+         SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58,
+         SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60,
+         SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62,
+         SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64,
+         SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66,
+         SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68,
+         SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70,
+         SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72,
+         SYNOPSYS_UNCONNECTED_73, SYNOPSYS_UNCONNECTED_74,
+         SYNOPSYS_UNCONNECTED_75, SYNOPSYS_UNCONNECTED_76,
+         SYNOPSYS_UNCONNECTED_77, SYNOPSYS_UNCONNECTED_78;
+  wire   [5:2] reg_addr;
+  wire   [31:0] reg_wdata;
+  wire   [3:0] reg_be;
+  wire   [31:0] reg_rdata;
+  wire   [1:0] ctrl_rxblvl_qs;
+  wire   [15:0] ctrl_nco_qs;
+  wire   [7:0] rdata_qs;
+  wire   [2:0] fifo_ctrl_rxilvl_qs;
+  wire   [1:0] fifo_ctrl_txilvl_qs;
+  wire   [5:0] fifo_status_txlvl_qs;
+  wire   [5:0] fifo_status_rxlvl_qs;
+  wire   [15:0] val_qs;
+  wire   [23:0] timeout_ctrl_val_qs;
+
+  opentitan_soc_top_tlul_adapter_reg_RegAw6_RegDw32_1 u_reg_if ( .clk_i(n23), 
+        .rst_ni(n130), .tl_i({tl_i[85:84], n131, tl_i[82], n131, n131, n131, 
+        n22, n131, n131, n131, n131, n131, n131, n131, n131, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        tl_i[42:39], n131, n131, tl_i[36:1], n22}), .tl_o({tl_o[51], 
+        SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2, tl_o[48], 
+        SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, 
+        SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, 
+        SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10, 
+        SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12, 
+        SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14, 
+        SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16, tl_o[33:0]}), .re_o(
+        reg_re), .we_o(reg_we), .addr_o({reg_addr, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18}), .wdata_o(reg_wdata), .be_o(reg_be), 
+        .rdata_i(reg_rdata), .error_i(reg_error) );
+  opentitan_soc_top_prim_subreg_1_W1C_0_0 u_intr_state_tx_watermark ( .clk_i(
+        n23), .rst_ni(n130), .we(n128), .wd(reg_wdata[0]), .de(hw2reg[63]), 
+        .d(hw2reg[64]), .q(reg2hw[124]), .qs(intr_state_tx_watermark_qs) );
+  opentitan_soc_top_prim_subreg_1_W1C_0_7 u_intr_state_rx_watermark ( .clk_i(
+        n23), .rst_ni(n130), .we(n128), .wd(reg_wdata[1]), .de(hw2reg[61]), 
+        .d(hw2reg[62]), .q(reg2hw[123]), .qs(intr_state_rx_watermark_qs) );
+  opentitan_soc_top_prim_subreg_1_W1C_0_6 u_intr_state_tx_empty ( .clk_i(n23), 
+        .rst_ni(n130), .we(n128), .wd(reg_wdata[2]), .de(hw2reg[59]), .d(
+        hw2reg[60]), .q(reg2hw[122]), .qs(intr_state_tx_empty_qs) );
+  opentitan_soc_top_prim_subreg_1_W1C_0_5 u_intr_state_rx_overflow ( .clk_i(
+        n23), .rst_ni(n130), .we(n128), .wd(reg_wdata[3]), .de(hw2reg[57]), 
+        .d(hw2reg[58]), .q(reg2hw[121]), .qs(intr_state_rx_overflow_qs) );
+  opentitan_soc_top_prim_subreg_1_W1C_0_4 u_intr_state_rx_frame_err ( .clk_i(
+        n23), .rst_ni(n130), .we(n128), .wd(reg_wdata[4]), .de(hw2reg[55]), 
+        .d(hw2reg[56]), .q(reg2hw[120]), .qs(intr_state_rx_frame_err_qs) );
+  opentitan_soc_top_prim_subreg_1_W1C_0_3 u_intr_state_rx_break_err ( .clk_i(
+        n23), .rst_ni(n130), .we(n128), .wd(reg_wdata[5]), .de(hw2reg[53]), 
+        .d(hw2reg[54]), .q(reg2hw[119]), .qs(intr_state_rx_break_err_qs) );
+  opentitan_soc_top_prim_subreg_1_W1C_0_2 u_intr_state_rx_timeout ( .clk_i(n23), .rst_ni(n130), .we(n128), .wd(reg_wdata[6]), .de(hw2reg[51]), .d(hw2reg[52]), 
+        .q(reg2hw[118]), .qs(intr_state_rx_timeout_qs) );
+  opentitan_soc_top_prim_subreg_1_W1C_0_1 u_intr_state_rx_parity_err ( .clk_i(
+        n23), .rst_ni(n130), .we(n128), .wd(reg_wdata[7]), .de(hw2reg[49]), 
+        .d(hw2reg[50]), .q(reg2hw[117]), .qs(intr_state_rx_parity_err_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_18 u_intr_enable_tx_watermark ( .clk_i(
+        n23), .rst_ni(n130), .we(n129), .wd(reg_wdata[0]), .de(n131), .d(n131), 
+        .q(reg2hw[116]), .qs(intr_enable_tx_watermark_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_17 u_intr_enable_rx_watermark ( .clk_i(
+        n23), .rst_ni(n130), .we(n129), .wd(reg_wdata[1]), .de(n131), .d(n131), 
+        .q(reg2hw[115]), .qs(intr_enable_rx_watermark_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_16 u_intr_enable_tx_empty ( .clk_i(n23), 
+        .rst_ni(n130), .we(n129), .wd(reg_wdata[2]), .de(n131), .d(n131), .q(
+        reg2hw[114]), .qs(intr_enable_tx_empty_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_15 u_intr_enable_rx_overflow ( .clk_i(
+        n23), .rst_ni(n130), .we(n129), .wd(reg_wdata[3]), .de(n131), .d(n131), 
+        .q(reg2hw[113]), .qs(intr_enable_rx_overflow_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_14 u_intr_enable_rx_frame_err ( .clk_i(
+        n23), .rst_ni(n130), .we(n129), .wd(reg_wdata[4]), .de(n131), .d(n131), 
+        .q(reg2hw[112]), .qs(intr_enable_rx_frame_err_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_13 u_intr_enable_rx_break_err ( .clk_i(
+        n23), .rst_ni(n130), .we(n129), .wd(reg_wdata[5]), .de(n131), .d(n131), 
+        .q(reg2hw[111]), .qs(intr_enable_rx_break_err_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_12 u_intr_enable_rx_timeout ( .clk_i(
+        n23), .rst_ni(n130), .we(n129), .wd(reg_wdata[6]), .de(n131), .d(n131), 
+        .q(reg2hw[110]), .qs(intr_enable_rx_timeout_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_11 u_intr_enable_rx_parity_err ( 
+        .clk_i(n23), .rst_ni(n130), .we(n129), .wd(reg_wdata[7]), .de(n131), 
+        .d(n131), .q(reg2hw[109]), .qs(intr_enable_rx_parity_err_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW1_0 u_intr_test_tx_watermark ( .re(n131), 
+        .we(intr_test_rx_parity_err_we), .wd(reg_wdata[0]), .d(n131), .qe(
+        reg2hw[107]), .q(reg2hw[108]), .qs(SYNOPSYS_UNCONNECTED_19) );
+  opentitan_soc_top_prim_subreg_ext_DW1_13 u_intr_test_rx_watermark ( .re(n131), .we(intr_test_rx_parity_err_we), .wd(reg_wdata[1]), .d(n131), .qe(
+        reg2hw[105]), .q(reg2hw[106]), .qs(SYNOPSYS_UNCONNECTED_20) );
+  opentitan_soc_top_prim_subreg_ext_DW1_12 u_intr_test_tx_empty ( .re(n131), 
+        .we(intr_test_rx_parity_err_we), .wd(reg_wdata[2]), .d(n131), .qe(
+        reg2hw[103]), .q(reg2hw[104]), .qs(SYNOPSYS_UNCONNECTED_21) );
+  opentitan_soc_top_prim_subreg_ext_DW1_11 u_intr_test_rx_overflow ( .re(n131), 
+        .we(intr_test_rx_parity_err_we), .wd(reg_wdata[3]), .d(n131), .qe(
+        reg2hw[101]), .q(reg2hw[102]), .qs(SYNOPSYS_UNCONNECTED_22) );
+  opentitan_soc_top_prim_subreg_ext_DW1_10 u_intr_test_rx_frame_err ( .re(n131), .we(intr_test_rx_parity_err_we), .wd(reg_wdata[4]), .d(n131), .qe(reg2hw[99]), .q(reg2hw[100]), .qs(SYNOPSYS_UNCONNECTED_23) );
+  opentitan_soc_top_prim_subreg_ext_DW1_9 u_intr_test_rx_break_err ( .re(n131), 
+        .we(intr_test_rx_parity_err_we), .wd(reg_wdata[5]), .d(n131), .qe(
+        reg2hw[97]), .q(reg2hw[98]), .qs(SYNOPSYS_UNCONNECTED_24) );
+  opentitan_soc_top_prim_subreg_ext_DW1_8 u_intr_test_rx_timeout ( .re(n131), 
+        .we(intr_test_rx_parity_err_we), .wd(reg_wdata[6]), .d(n131), .qe(
+        reg2hw[95]), .q(reg2hw[96]), .qs(SYNOPSYS_UNCONNECTED_25) );
+  opentitan_soc_top_prim_subreg_ext_DW1_7 u_intr_test_rx_parity_err ( .re(n131), .we(intr_test_rx_parity_err_we), .wd(reg_wdata[7]), .d(n131), .qe(reg2hw[93]), .q(reg2hw[94]), .qs(SYNOPSYS_UNCONNECTED_26) );
+  opentitan_soc_top_prim_subreg_1_RW_0_10 u_ctrl_tx ( .clk_i(n23), .rst_ni(
+        n130), .we(ctrl_nco_we), .wd(reg_wdata[0]), .de(n131), .d(n131), .q(
+        reg2hw[92]), .qs(ctrl_tx_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_9 u_ctrl_rx ( .clk_i(n23), .rst_ni(n130), .we(ctrl_nco_we), .wd(reg_wdata[1]), .de(n131), .d(n131), .q(reg2hw[91]), 
+        .qs(ctrl_rx_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_8 u_ctrl_nf ( .clk_i(n23), .rst_ni(n130), .we(ctrl_nco_we), .wd(reg_wdata[2]), .de(n131), .d(n131), .q(reg2hw[90]), 
+        .qs(ctrl_nf_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_7 u_ctrl_slpbk ( .clk_i(n23), .rst_ni(
+        n130), .we(ctrl_nco_we), .wd(reg_wdata[4]), .de(n131), .d(n131), .q(
+        reg2hw[89]), .qs(ctrl_slpbk_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_6 u_ctrl_llpbk ( .clk_i(n23), .rst_ni(
+        n130), .we(ctrl_nco_we), .wd(reg_wdata[5]), .de(n131), .d(n131), .q(
+        reg2hw[88]), .qs(ctrl_llpbk_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_5 u_ctrl_parity_en ( .clk_i(n23), 
+        .rst_ni(n130), .we(ctrl_nco_we), .wd(reg_wdata[6]), .de(n131), .d(n131), .q(reg2hw[87]), .qs(ctrl_parity_en_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_4 u_ctrl_parity_odd ( .clk_i(n23), 
+        .rst_ni(n130), .we(ctrl_nco_we), .wd(reg_wdata[7]), .de(n131), .d(n131), .q(reg2hw[86]), .qs(ctrl_parity_odd_qs) );
+  opentitan_soc_top_prim_subreg_2_RW_0_0 u_ctrl_rxblvl ( .clk_i(n23), .rst_ni(
+        n130), .we(ctrl_nco_we), .wd(reg_wdata[9:8]), .de(n131), .d({n131, 
+        n131}), .q(reg2hw[85:84]), .qs(ctrl_rxblvl_qs) );
+  opentitan_soc_top_prim_subreg_16_RW_0000_0 u_ctrl_nco ( .clk_i(n23), 
+        .rst_ni(n130), .we(ctrl_nco_we), .wd(reg_wdata[31:16]), .de(n131), .d(
+        {n131, n131, n131, n131, n131, n131, n131, n131, n131, n131, n131, 
+        n131, n131, n131, n131, n131}), .q(reg2hw[83:68]), .qs(ctrl_nco_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW1_6 u_status_txfull ( .re(1'b0), .we(
+        n131), .wd(n131), .d(hw2reg[48]), .q(SYNOPSYS_UNCONNECTED_27), .qs(
+        status_txfull_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW1_5 u_status_rxfull ( .re(1'b0), .we(
+        n131), .wd(n131), .d(hw2reg[47]), .q(SYNOPSYS_UNCONNECTED_28), .qs(
+        status_rxfull_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW1_4 u_status_txempty ( .re(1'b0), .we(
+        n131), .wd(n131), .d(hw2reg[46]), .q(SYNOPSYS_UNCONNECTED_29), .qs(
+        status_txempty_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW1_3 u_status_txidle ( .re(1'b0), .we(
+        n131), .wd(n131), .d(hw2reg[45]), .q(SYNOPSYS_UNCONNECTED_30), .qs(
+        status_txidle_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW1_2 u_status_rxidle ( .re(1'b0), .we(
+        n131), .wd(n131), .d(hw2reg[44]), .q(SYNOPSYS_UNCONNECTED_31), .qs(
+        status_rxidle_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW1_1 u_status_rxempty ( .re(1'b0), .we(
+        n131), .wd(n131), .d(hw2reg[43]), .q(SYNOPSYS_UNCONNECTED_32), .qs(
+        status_rxempty_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW8_0 u_rdata ( .re(rdata_re), .we(n131), 
+        .wd({n131, n131, n131, n131, n131, n131, n131, n131}), .d(
+        hw2reg[42:35]), .qre(reg2hw[47]), .q({SYNOPSYS_UNCONNECTED_33, 
+        SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35, 
+        SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37, 
+        SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39, 
+        SYNOPSYS_UNCONNECTED_40}), .qs(rdata_qs) );
+  opentitan_soc_top_prim_subreg_8_WO_00_0 u_wdata ( .clk_i(n23), .rst_ni(n130), 
+        .we(wdata_we), .wd(reg_wdata[7:0]), .de(n131), .d({n131, n131, n131, 
+        n131, n131, n131, n131, n131}), .qe(reg2hw[38]), .q(reg2hw[46:39]), 
+        .qs({SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42, 
+        SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44, 
+        SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46, 
+        SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48}) );
+  opentitan_soc_top_prim_subreg_1_WO_0_0 u_fifo_ctrl_rxrst ( .clk_i(n23), 
+        .rst_ni(n130), .we(fifo_ctrl_txilvl_we), .wd(reg_wdata[0]), .de(n131), 
+        .d(n131), .qe(reg2hw[36]), .q(reg2hw[37]), .qs(SYNOPSYS_UNCONNECTED_49) );
+  opentitan_soc_top_prim_subreg_1_WO_0_1 u_fifo_ctrl_txrst ( .clk_i(n23), 
+        .rst_ni(n130), .we(fifo_ctrl_txilvl_we), .wd(reg_wdata[1]), .de(n131), 
+        .d(n131), .qe(reg2hw[34]), .q(reg2hw[35]), .qs(SYNOPSYS_UNCONNECTED_50) );
+  opentitan_soc_top_prim_subreg_3_RW_0_1 u_fifo_ctrl_rxilvl ( .clk_i(n23), 
+        .rst_ni(n130), .we(fifo_ctrl_txilvl_we), .wd(reg_wdata[4:2]), .de(n131), .d({n131, n131, n131}), .q(reg2hw[33:31]), .qs(fifo_ctrl_rxilvl_qs) );
+  opentitan_soc_top_prim_subreg_2_RW_0_1 u_fifo_ctrl_txilvl ( .clk_i(n23), 
+        .rst_ni(n130), .we(fifo_ctrl_txilvl_we), .wd(reg_wdata[6:5]), .de(n131), .d({n131, n131}), .q(reg2hw[29:28]), .qs(fifo_ctrl_txilvl_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW6_2 u_fifo_status_txlvl ( .re(1'b0), 
+        .we(n131), .wd({n131, n131, n131, n131, n131, n131}), .d(hw2reg[27:22]), .q({SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52, 
+        SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54, 
+        SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56}), .qs(
+        fifo_status_txlvl_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW6_1 u_fifo_status_rxlvl ( .re(1'b0), 
+        .we(n131), .wd({n131, n131, n131, n131, n131, n131}), .d(hw2reg[21:16]), .q({SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58, 
+        SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60, 
+        SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62}), .qs(
+        fifo_status_rxlvl_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_3 u_ovrd_txen ( .clk_i(n23), .rst_ni(
+        n130), .we(ovrd_txval_we), .wd(reg_wdata[0]), .de(n131), .d(n131), .q(
+        reg2hw[26]), .qs(ovrd_txen_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_2 u_ovrd_txval ( .clk_i(n23), .rst_ni(
+        n130), .we(ovrd_txval_we), .wd(reg_wdata[1]), .de(n131), .d(n131), .q(
+        reg2hw[25]), .qs(ovrd_txval_qs) );
+  opentitan_soc_top_prim_subreg_ext_DW16_4 u_val ( .re(1'b0), .we(n131), .wd({
+        n131, n131, n131, n131, n131, n131, n131, n131, n131, n131, n131, n131, 
+        n131, n131, n131, n131}), .d(hw2reg[15:0]), .q({
+        SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64, 
+        SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66, 
+        SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68, 
+        SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70, 
+        SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72, 
+        SYNOPSYS_UNCONNECTED_73, SYNOPSYS_UNCONNECTED_74, 
+        SYNOPSYS_UNCONNECTED_75, SYNOPSYS_UNCONNECTED_76, 
+        SYNOPSYS_UNCONNECTED_77, SYNOPSYS_UNCONNECTED_78}), .qs(val_qs) );
+  opentitan_soc_top_prim_subreg_24_RW_000000_0 u_timeout_ctrl_val ( .clk_i(n23), .rst_ni(n130), .we(timeout_ctrl_en_we), .wd(reg_wdata[23:0]), .de(n131), .d(
+        {n131, n131, n131, n131, n131, n131, n131, n131, n131, n131, n131, 
+        n131, n131, n131, n131, n131, n131, n131, n131, n131, n131, n131, n131, 
+        n131}), .q(reg2hw[24:1]), .qs(timeout_ctrl_val_qs) );
+  opentitan_soc_top_prim_subreg_1_RW_0_1 u_timeout_ctrl_en ( .clk_i(n23), 
+        .rst_ni(n130), .we(timeout_ctrl_en_we), .wd(reg_wdata[31]), .de(n131), 
+        .d(n131), .q(reg2hw[0]), .qs(timeout_ctrl_en_qs) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(n131), .HI(n22) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(n24), .Y(n23) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(clk_i), .Y(n24) );
+  sky130_fd_sc_hd__inv_4 U6 ( .A(n32), .Y(n130) );
+  sky130_fd_sc_hd__a31oi_1 U7 ( .A1(reg_be[2]), .A2(reg_be[1]), .A3(reg_be[3]), 
+        .B1(reg_addr[4]), .Y(n27) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(reg_be[2]), .B(reg_be[1]), .X(n25) );
+  sky130_fd_sc_hd__clkinv_1 U9 ( .A(reg_addr[5]), .Y(n41) );
+  sky130_fd_sc_hd__nor2_1 U10 ( .A(reg_addr[4]), .B(n41), .Y(n33) );
+  sky130_fd_sc_hd__nor2_1 U11 ( .A(reg_addr[3]), .B(reg_addr[2]), .Y(n31) );
+  sky130_fd_sc_hd__nand2_1 U12 ( .A(n33), .B(n31), .Y(n49) );
+  sky130_fd_sc_hd__clkinv_1 U13 ( .A(reg_addr[2]), .Y(n40) );
+  sky130_fd_sc_hd__nand3_1 U14 ( .A(reg_addr[3]), .B(n33), .C(n40), .Y(n46) );
+  sky130_fd_sc_hd__o22ai_1 U15 ( .A1(n25), .A2(n49), .B1(reg_be[1]), .B2(n46), 
+        .Y(n26) );
+  sky130_fd_sc_hd__a31oi_1 U16 ( .A1(reg_addr[3]), .A2(reg_addr[2]), .A3(n27), 
+        .B1(n26), .Y(n28) );
+  sky130_fd_sc_hd__clkinv_1 U17 ( .A(reg_we), .Y(n39) );
+  sky130_fd_sc_hd__a21oi_1 U18 ( .A1(reg_be[0]), .A2(n28), .B1(n39), .Y(n37)
+         );
+  sky130_fd_sc_hd__nor2_1 U19 ( .A(n37), .B(n39), .Y(n42) );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(n42), .Y(n34) );
+  sky130_fd_sc_hd__clkinv_1 U21 ( .A(reg_addr[3]), .Y(n35) );
+  sky130_fd_sc_hd__nor2_1 U22 ( .A(n35), .B(n40), .Y(n30) );
+  sky130_fd_sc_hd__and2_0 U23 ( .A(n30), .B(n41), .X(n29) );
+  sky130_fd_sc_hd__clkinv_1 U24 ( .A(reg_addr[4]), .Y(n44) );
+  sky130_fd_sc_hd__nand2_1 U25 ( .A(n29), .B(n44), .Y(n48) );
+  sky130_fd_sc_hd__nor2_1 U26 ( .A(n34), .B(n48), .Y(ctrl_nco_we) );
+  sky130_fd_sc_hd__nor4_1 U27 ( .A(n40), .B(reg_addr[4]), .C(reg_addr[5]), .D(
+        reg_addr[3]), .Y(n90) );
+  sky130_fd_sc_hd__and2_0 U28 ( .A(n90), .B(n42), .X(n129) );
+  sky130_fd_sc_hd__nand2_1 U29 ( .A(reg_addr[4]), .B(n29), .Y(n63) );
+  sky130_fd_sc_hd__nor2_1 U30 ( .A(n34), .B(n63), .Y(fifo_ctrl_txilvl_we) );
+  sky130_fd_sc_hd__nand2_1 U31 ( .A(n30), .B(n33), .Y(n51) );
+  sky130_fd_sc_hd__nor2_1 U32 ( .A(n34), .B(n51), .Y(timeout_ctrl_en_we) );
+  sky130_fd_sc_hd__nand3_1 U33 ( .A(n31), .B(n44), .C(n41), .Y(n47) );
+  sky130_fd_sc_hd__nor2_1 U34 ( .A(n34), .B(n47), .Y(n128) );
+  sky130_fd_sc_hd__clkinv_1 U35 ( .A(rst_ni), .Y(n32) );
+  sky130_fd_sc_hd__nand3_1 U36 ( .A(reg_addr[2]), .B(n33), .C(n35), .Y(n50) );
+  sky130_fd_sc_hd__nor2_1 U37 ( .A(n34), .B(n50), .Y(ovrd_txval_we) );
+  sky130_fd_sc_hd__nand3_1 U38 ( .A(reg_addr[4]), .B(n41), .C(n35), .Y(n36) );
+  sky130_fd_sc_hd__nor2_1 U39 ( .A(n40), .B(n36), .Y(n92) );
+  sky130_fd_sc_hd__and2_0 U40 ( .A(n92), .B(reg_re), .X(rdata_re) );
+  sky130_fd_sc_hd__nor2_1 U41 ( .A(reg_addr[2]), .B(n36), .Y(n79) );
+  sky130_fd_sc_hd__nand2_1 U43 ( .A(reg_addr[4]), .B(reg_addr[5]), .Y(n126) );
+  sky130_fd_sc_hd__clkinv_1 U44 ( .A(n126), .Y(n123) );
+  sky130_fd_sc_hd__a21oi_1 U45 ( .A1(reg_re), .A2(n123), .B1(n37), .Y(n38) );
+  sky130_fd_sc_hd__o21ai_1 U46 ( .A1(n39), .A2(n126), .B1(n38), .Y(reg_error)
+         );
+  sky130_fd_sc_hd__nand4_1 U47 ( .A(reg_addr[3]), .B(n42), .C(n41), .D(n40), 
+        .Y(n43) );
+  sky130_fd_sc_hd__nor2_1 U48 ( .A(reg_addr[4]), .B(n43), .Y(
+        intr_test_rx_parity_err_we) );
+  sky130_fd_sc_hd__nor2_1 U49 ( .A(n44), .B(n43), .Y(wdata_we) );
+  sky130_fd_sc_hd__clkinv_1 U53 ( .A(n46), .Y(n106) );
+  sky130_fd_sc_hd__clkinv_1 U54 ( .A(n47), .Y(n91) );
+  sky130_fd_sc_hd__a22oi_1 U55 ( .A1(n106), .A2(val_qs[0]), .B1(n91), .B2(
+        intr_state_tx_watermark_qs), .Y(n56) );
+  sky130_fd_sc_hd__a22oi_1 U56 ( .A1(n90), .A2(intr_enable_tx_watermark_qs), 
+        .B1(n92), .B2(rdata_qs[0]), .Y(n55) );
+  sky130_fd_sc_hd__clkinv_1 U57 ( .A(n48), .Y(n125) );
+  sky130_fd_sc_hd__a22oi_1 U58 ( .A1(n125), .A2(ctrl_tx_qs), .B1(n79), .B2(
+        status_txfull_qs), .Y(n54) );
+  sky130_fd_sc_hd__clkinv_1 U59 ( .A(n49), .Y(n118) );
+  sky130_fd_sc_hd__clkinv_1 U60 ( .A(n50), .Y(n57) );
+  sky130_fd_sc_hd__clkinv_1 U61 ( .A(n51), .Y(n124) );
+  sky130_fd_sc_hd__a22o_1 U62 ( .A1(n57), .A2(ovrd_txen_qs), .B1(n124), .B2(
+        timeout_ctrl_val_qs[0]), .X(n52) );
+  sky130_fd_sc_hd__a211oi_1 U63 ( .A1(n118), .A2(fifo_status_txlvl_qs[0]), 
+        .B1(n123), .C1(n52), .Y(n53) );
+  sky130_fd_sc_hd__nand4_1 U64 ( .A(n56), .B(n55), .C(n54), .D(n53), .Y(
+        reg_rdata[0]) );
+  sky130_fd_sc_hd__a22oi_1 U65 ( .A1(n106), .A2(val_qs[1]), .B1(n91), .B2(
+        intr_state_rx_watermark_qs), .Y(n62) );
+  sky130_fd_sc_hd__a22oi_1 U66 ( .A1(n90), .A2(intr_enable_rx_watermark_qs), 
+        .B1(n92), .B2(rdata_qs[1]), .Y(n61) );
+  sky130_fd_sc_hd__a22oi_1 U67 ( .A1(n125), .A2(ctrl_rx_qs), .B1(n79), .B2(
+        status_rxfull_qs), .Y(n60) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(n57), .A2(ovrd_txval_qs), .B1(n124), .B2(
+        timeout_ctrl_val_qs[1]), .X(n58) );
+  sky130_fd_sc_hd__a211oi_1 U69 ( .A1(n118), .A2(fifo_status_txlvl_qs[1]), 
+        .B1(n123), .C1(n58), .Y(n59) );
+  sky130_fd_sc_hd__nand4_1 U70 ( .A(n62), .B(n61), .C(n60), .D(n59), .Y(
+        reg_rdata[1]) );
+  sky130_fd_sc_hd__a22oi_1 U71 ( .A1(n91), .A2(intr_state_tx_empty_qs), .B1(
+        n90), .B2(intr_enable_tx_empty_qs), .Y(n68) );
+  sky130_fd_sc_hd__clkinv_1 U72 ( .A(n63), .Y(n85) );
+  sky130_fd_sc_hd__a22oi_1 U73 ( .A1(n85), .A2(fifo_ctrl_rxilvl_qs[0]), .B1(
+        n92), .B2(rdata_qs[2]), .Y(n67) );
+  sky130_fd_sc_hd__a22oi_1 U74 ( .A1(n125), .A2(ctrl_nf_qs), .B1(n79), .B2(
+        status_txempty_qs), .Y(n66) );
+  sky130_fd_sc_hd__a22o_1 U75 ( .A1(n106), .A2(val_qs[2]), .B1(n124), .B2(
+        timeout_ctrl_val_qs[2]), .X(n64) );
+  sky130_fd_sc_hd__a211oi_1 U76 ( .A1(n118), .A2(fifo_status_txlvl_qs[2]), 
+        .B1(n123), .C1(n64), .Y(n65) );
+  sky130_fd_sc_hd__nand4_1 U77 ( .A(n68), .B(n67), .C(n66), .D(n65), .Y(
+        reg_rdata[2]) );
+  sky130_fd_sc_hd__a22oi_1 U78 ( .A1(n118), .A2(fifo_status_txlvl_qs[3]), .B1(
+        n91), .B2(intr_state_rx_overflow_qs), .Y(n73) );
+  sky130_fd_sc_hd__a22oi_1 U79 ( .A1(n106), .A2(val_qs[3]), .B1(n124), .B2(
+        timeout_ctrl_val_qs[3]), .Y(n72) );
+  sky130_fd_sc_hd__a22oi_1 U80 ( .A1(n85), .A2(fifo_ctrl_rxilvl_qs[1]), .B1(
+        n92), .B2(rdata_qs[3]), .Y(n70) );
+  sky130_fd_sc_hd__a22oi_1 U81 ( .A1(n90), .A2(intr_enable_rx_overflow_qs), 
+        .B1(n79), .B2(status_txidle_qs), .Y(n69) );
+  sky130_fd_sc_hd__and3_1 U82 ( .A(n70), .B(n69), .C(n126), .X(n71) );
+  sky130_fd_sc_hd__nand3_1 U83 ( .A(n73), .B(n72), .C(n71), .Y(reg_rdata[3])
+         );
+  sky130_fd_sc_hd__a22oi_1 U84 ( .A1(n91), .A2(intr_state_rx_frame_err_qs), 
+        .B1(n90), .B2(intr_enable_rx_frame_err_qs), .Y(n78) );
+  sky130_fd_sc_hd__a22oi_1 U85 ( .A1(n85), .A2(fifo_ctrl_rxilvl_qs[2]), .B1(
+        n92), .B2(rdata_qs[4]), .Y(n77) );
+  sky130_fd_sc_hd__a22oi_1 U86 ( .A1(n125), .A2(ctrl_slpbk_qs), .B1(n79), .B2(
+        status_rxidle_qs), .Y(n76) );
+  sky130_fd_sc_hd__a22o_1 U87 ( .A1(n106), .A2(val_qs[4]), .B1(n124), .B2(
+        timeout_ctrl_val_qs[4]), .X(n74) );
+  sky130_fd_sc_hd__a211oi_1 U88 ( .A1(n118), .A2(fifo_status_txlvl_qs[4]), 
+        .B1(n123), .C1(n74), .Y(n75) );
+  sky130_fd_sc_hd__nand4_1 U89 ( .A(n78), .B(n77), .C(n76), .D(n75), .Y(
+        reg_rdata[4]) );
+  sky130_fd_sc_hd__a22oi_1 U90 ( .A1(n91), .A2(intr_state_rx_break_err_qs), 
+        .B1(n90), .B2(intr_enable_rx_break_err_qs), .Y(n84) );
+  sky130_fd_sc_hd__a22oi_1 U91 ( .A1(n85), .A2(fifo_ctrl_txilvl_qs[0]), .B1(
+        n92), .B2(rdata_qs[5]), .Y(n83) );
+  sky130_fd_sc_hd__a22oi_1 U92 ( .A1(n125), .A2(ctrl_llpbk_qs), .B1(n79), .B2(
+        status_rxempty_qs), .Y(n82) );
+  sky130_fd_sc_hd__a22o_1 U93 ( .A1(n106), .A2(val_qs[5]), .B1(n124), .B2(
+        timeout_ctrl_val_qs[5]), .X(n80) );
+  sky130_fd_sc_hd__a211oi_1 U94 ( .A1(n118), .A2(fifo_status_txlvl_qs[5]), 
+        .B1(n123), .C1(n80), .Y(n81) );
+  sky130_fd_sc_hd__nand4_1 U95 ( .A(n84), .B(n83), .C(n82), .D(n81), .Y(
+        reg_rdata[5]) );
+  sky130_fd_sc_hd__a21oi_1 U96 ( .A1(n124), .A2(timeout_ctrl_val_qs[6]), .B1(
+        n123), .Y(n89) );
+  sky130_fd_sc_hd__a22oi_1 U97 ( .A1(n91), .A2(intr_state_rx_timeout_qs), .B1(
+        n90), .B2(intr_enable_rx_timeout_qs), .Y(n88) );
+  sky130_fd_sc_hd__a22oi_1 U98 ( .A1(n106), .A2(val_qs[6]), .B1(n85), .B2(
+        fifo_ctrl_txilvl_qs[1]), .Y(n87) );
+  sky130_fd_sc_hd__a22oi_1 U99 ( .A1(n125), .A2(ctrl_parity_en_qs), .B1(n92), 
+        .B2(rdata_qs[6]), .Y(n86) );
+  sky130_fd_sc_hd__nand4_1 U100 ( .A(n89), .B(n88), .C(n87), .D(n86), .Y(
+        reg_rdata[6]) );
+  sky130_fd_sc_hd__a21oi_1 U101 ( .A1(n106), .A2(val_qs[7]), .B1(n123), .Y(n96) );
+  sky130_fd_sc_hd__a22oi_1 U102 ( .A1(n91), .A2(intr_state_rx_parity_err_qs), 
+        .B1(n90), .B2(intr_enable_rx_parity_err_qs), .Y(n95) );
+  sky130_fd_sc_hd__a22oi_1 U103 ( .A1(n125), .A2(ctrl_parity_odd_qs), .B1(n124), .B2(timeout_ctrl_val_qs[7]), .Y(n94) );
+  sky130_fd_sc_hd__nand2_1 U104 ( .A(n92), .B(rdata_qs[7]), .Y(n93) );
+  sky130_fd_sc_hd__nand4_1 U105 ( .A(n96), .B(n95), .C(n94), .D(n93), .Y(
+        reg_rdata[7]) );
+  sky130_fd_sc_hd__a22oi_1 U106 ( .A1(n106), .A2(val_qs[8]), .B1(n124), .B2(
+        timeout_ctrl_val_qs[8]), .Y(n98) );
+  sky130_fd_sc_hd__a21oi_1 U107 ( .A1(n125), .A2(ctrl_rxblvl_qs[0]), .B1(n123), 
+        .Y(n97) );
+  sky130_fd_sc_hd__nand2_1 U108 ( .A(n98), .B(n97), .Y(reg_rdata[8]) );
+  sky130_fd_sc_hd__a22oi_1 U109 ( .A1(n106), .A2(val_qs[9]), .B1(n124), .B2(
+        timeout_ctrl_val_qs[9]), .Y(n100) );
+  sky130_fd_sc_hd__a21oi_1 U110 ( .A1(n125), .A2(ctrl_rxblvl_qs[1]), .B1(n123), 
+        .Y(n99) );
+  sky130_fd_sc_hd__nand2_1 U111 ( .A(n100), .B(n99), .Y(reg_rdata[9]) );
+  sky130_fd_sc_hd__a22oi_1 U112 ( .A1(n106), .A2(val_qs[10]), .B1(n124), .B2(
+        timeout_ctrl_val_qs[10]), .Y(n101) );
+  sky130_fd_sc_hd__nand2_1 U113 ( .A(n101), .B(n126), .Y(reg_rdata[10]) );
+  sky130_fd_sc_hd__a22oi_1 U114 ( .A1(n106), .A2(val_qs[11]), .B1(n124), .B2(
+        timeout_ctrl_val_qs[11]), .Y(n102) );
+  sky130_fd_sc_hd__nand2_1 U115 ( .A(n102), .B(n126), .Y(reg_rdata[11]) );
+  sky130_fd_sc_hd__a22oi_1 U116 ( .A1(n106), .A2(val_qs[12]), .B1(n124), .B2(
+        timeout_ctrl_val_qs[12]), .Y(n103) );
+  sky130_fd_sc_hd__nand2_1 U117 ( .A(n103), .B(n126), .Y(reg_rdata[12]) );
+  sky130_fd_sc_hd__a22oi_1 U118 ( .A1(n106), .A2(val_qs[13]), .B1(n124), .B2(
+        timeout_ctrl_val_qs[13]), .Y(n104) );
+  sky130_fd_sc_hd__nand2_1 U119 ( .A(n104), .B(n126), .Y(reg_rdata[13]) );
+  sky130_fd_sc_hd__a22oi_1 U120 ( .A1(n106), .A2(val_qs[14]), .B1(n124), .B2(
+        timeout_ctrl_val_qs[14]), .Y(n105) );
+  sky130_fd_sc_hd__nand2_1 U121 ( .A(n105), .B(n126), .Y(reg_rdata[14]) );
+  sky130_fd_sc_hd__a22oi_1 U122 ( .A1(n106), .A2(val_qs[15]), .B1(n124), .B2(
+        timeout_ctrl_val_qs[15]), .Y(n107) );
+  sky130_fd_sc_hd__nand2_1 U123 ( .A(n107), .B(n126), .Y(reg_rdata[15]) );
+  sky130_fd_sc_hd__a22oi_1 U124 ( .A1(n118), .A2(fifo_status_rxlvl_qs[0]), 
+        .B1(n124), .B2(timeout_ctrl_val_qs[16]), .Y(n109) );
+  sky130_fd_sc_hd__a21oi_1 U125 ( .A1(n125), .A2(ctrl_nco_qs[0]), .B1(n123), 
+        .Y(n108) );
+  sky130_fd_sc_hd__nand2_1 U126 ( .A(n109), .B(n108), .Y(reg_rdata[16]) );
+  sky130_fd_sc_hd__a22oi_1 U127 ( .A1(n118), .A2(fifo_status_rxlvl_qs[1]), 
+        .B1(n124), .B2(timeout_ctrl_val_qs[17]), .Y(n111) );
+  sky130_fd_sc_hd__a21oi_1 U128 ( .A1(n125), .A2(ctrl_nco_qs[1]), .B1(n123), 
+        .Y(n110) );
+  sky130_fd_sc_hd__nand2_1 U129 ( .A(n111), .B(n110), .Y(reg_rdata[17]) );
+  sky130_fd_sc_hd__a22oi_1 U130 ( .A1(n118), .A2(fifo_status_rxlvl_qs[2]), 
+        .B1(n124), .B2(timeout_ctrl_val_qs[18]), .Y(n113) );
+  sky130_fd_sc_hd__a21oi_1 U131 ( .A1(n125), .A2(ctrl_nco_qs[2]), .B1(n123), 
+        .Y(n112) );
+  sky130_fd_sc_hd__nand2_1 U132 ( .A(n113), .B(n112), .Y(reg_rdata[18]) );
+  sky130_fd_sc_hd__a22oi_1 U133 ( .A1(n118), .A2(fifo_status_rxlvl_qs[3]), 
+        .B1(n124), .B2(timeout_ctrl_val_qs[19]), .Y(n115) );
+  sky130_fd_sc_hd__a21oi_1 U134 ( .A1(n125), .A2(ctrl_nco_qs[3]), .B1(n123), 
+        .Y(n114) );
+  sky130_fd_sc_hd__nand2_1 U135 ( .A(n115), .B(n114), .Y(reg_rdata[19]) );
+  sky130_fd_sc_hd__a22oi_1 U136 ( .A1(n118), .A2(fifo_status_rxlvl_qs[4]), 
+        .B1(n124), .B2(timeout_ctrl_val_qs[20]), .Y(n117) );
+  sky130_fd_sc_hd__a21oi_1 U137 ( .A1(n125), .A2(ctrl_nco_qs[4]), .B1(n123), 
+        .Y(n116) );
+  sky130_fd_sc_hd__nand2_1 U138 ( .A(n117), .B(n116), .Y(reg_rdata[20]) );
+  sky130_fd_sc_hd__a22oi_1 U139 ( .A1(n118), .A2(fifo_status_rxlvl_qs[5]), 
+        .B1(n124), .B2(timeout_ctrl_val_qs[21]), .Y(n120) );
+  sky130_fd_sc_hd__a21oi_1 U140 ( .A1(n125), .A2(ctrl_nco_qs[5]), .B1(n123), 
+        .Y(n119) );
+  sky130_fd_sc_hd__nand2_1 U141 ( .A(n120), .B(n119), .Y(reg_rdata[21]) );
+  sky130_fd_sc_hd__a22oi_1 U142 ( .A1(n125), .A2(ctrl_nco_qs[6]), .B1(n124), 
+        .B2(timeout_ctrl_val_qs[22]), .Y(n121) );
+  sky130_fd_sc_hd__nand2_1 U143 ( .A(n121), .B(n126), .Y(reg_rdata[22]) );
+  sky130_fd_sc_hd__a22oi_1 U144 ( .A1(n125), .A2(ctrl_nco_qs[7]), .B1(n124), 
+        .B2(timeout_ctrl_val_qs[23]), .Y(n122) );
+  sky130_fd_sc_hd__nand2_1 U145 ( .A(n122), .B(n126), .Y(reg_rdata[23]) );
+  sky130_fd_sc_hd__a21o_1 U146 ( .A1(n125), .A2(ctrl_nco_qs[8]), .B1(n123), 
+        .X(reg_rdata[24]) );
+  sky130_fd_sc_hd__a21o_1 U147 ( .A1(n125), .A2(ctrl_nco_qs[9]), .B1(n123), 
+        .X(reg_rdata[25]) );
+  sky130_fd_sc_hd__a21o_1 U148 ( .A1(n125), .A2(ctrl_nco_qs[10]), .B1(n123), 
+        .X(reg_rdata[26]) );
+  sky130_fd_sc_hd__a21o_1 U149 ( .A1(n125), .A2(ctrl_nco_qs[11]), .B1(n123), 
+        .X(reg_rdata[27]) );
+  sky130_fd_sc_hd__a21o_1 U150 ( .A1(n125), .A2(ctrl_nco_qs[12]), .B1(n123), 
+        .X(reg_rdata[28]) );
+  sky130_fd_sc_hd__a21o_1 U151 ( .A1(n125), .A2(ctrl_nco_qs[13]), .B1(n123), 
+        .X(reg_rdata[29]) );
+  sky130_fd_sc_hd__a21o_1 U152 ( .A1(n125), .A2(ctrl_nco_qs[14]), .B1(n123), 
+        .X(reg_rdata[30]) );
+  sky130_fd_sc_hd__a22oi_1 U153 ( .A1(n125), .A2(ctrl_nco_qs[15]), .B1(n124), 
+        .B2(timeout_ctrl_en_qs), .Y(n127) );
+  sky130_fd_sc_hd__nand2_1 U154 ( .A(n127), .B(n126), .Y(reg_rdata[31]) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_8_0_32_0 ( clk_i, rst_ni, clr_i, wvalid_i, 
+        wready_o, wdata_i, rvalid_o, rready_i, rdata_o, depth_o );
+  input [7:0] wdata_i;
+  output [7:0] rdata_o;
+  output [5:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+  wire   gen_normal_fifo_fifo_wptr_5_, gen_normal_fifo_fifo_rptr_5_, n1, n2,
+         n3, n4, n5, n6, n7, n8, n9, n10, n11, n12, n18, n20, n21, n23, n24,
+         n26, n27, n29, n30, n31, n32, n33, n34, n35, n36, n38, n66, n67, n68,
+         n69, n70, n71, n72, n73, n108, n109, n110, n111, n112, n113, n114,
+         n115, n120, n121, n122, n123, n124, n125, n126, n127, n149, n150,
+         n151, n152, n153, n154, n155, n156, n161, n162, n163, n164, n165,
+         n166, n167, n168, n188, n189, n190, n191, n192, n193, n194, n195,
+         n200, n201, n202, n203, n204, n205, n206, n207, n227, n228, n229,
+         n230, n231, n232, n233, n234, n239, n240, n241, n242, n243, n244,
+         n245, n246, n266, n267, n268, n269, n270, n271, n272, n273, n278,
+         n279, n280, n281, n282, n283, n284, n285, n305, n306, n307, n308,
+         n309, n310, n311, n312, n317, n318, n319, n320, n321, n322, n323,
+         n324, n344, n345, n346, n347, n348, n349, n350, n351, n356, n357,
+         n358, n359, n360, n361, n362, n363, n393, n394, n395, n396, n397,
+         n398, n399, n400, n406, n408, n410, n412, n414, n416, n418, n420,
+         n434, n435, n436, n437, n438, n439, n440, n441, n442, n443, n444,
+         n445, n446, n447, n448, n449, n450, n451, n452, n453, n454, n455,
+         n456, n457, n458, n459, n460, n461, n462, n463, n464, n465, n466,
+         n467, n468, n469, n470, n471, n472, n473, n474, n475, n476, n477,
+         n478, n479, n480, n481, n482, n483, n484, n485, n486, n487, n488,
+         n489, n490, n491, n492, n493, n494, n495, n496, n497, n498, n499,
+         n500, n501, n502, n503, n504, n505, n506, n507, n508, n509, n510,
+         n511, n512, n513, n514, n515, n516, n517, n518, n519, n520, n521,
+         n522, n523, n524, n525, n526, n527, n528, n529, n530, n531, n532,
+         n533, n534, n535, n536, n537, n538, n539, n540, n541, n542, n543,
+         n544, n545, n546, n547, n548, n549, n550, n551, n552, n553, n554,
+         n555, n556, n557, n558, n559, n560, n561, n562, n563, n564, n565,
+         n566, n567, n568, n569, n570, n571, n572, n573, n13, n14, n15, n16,
+         n17, n19, n22, n25, n28, n37, n39, n40, n41, n43, n44, n45, n46, n47,
+         n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58, n59, n60, n61,
+         n62, n63, n64, n65, n74, n75, n76, n77, n78, n79, n80, n81, n82, n83,
+         n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, n96, n97,
+         n98, n99, n100, n101, n102, n103, n104, n105, n106, n107, n116, n117,
+         n118, n119, n128, n129, n130, n131, n132, n133, n134, n135, n136,
+         n137, n138, n139, n140, n141, n142, n143, n144, n145, n146, n147,
+         n148, n157, n158, n159, n160, n169, n170, n171, n172, n173, n174,
+         n175, n176, n177, n178, n179, n180, n181, n182, n183, n184, n185,
+         n186, n187, n196, n197, n198, n199, n208, n209, n210, n211, n212,
+         n213, n214, n215, n216, n217, n218, n219, n220, n221, n222, n223,
+         n224, n225, n226, n235, n236, n237, n238, n247, n248, n249, n250,
+         n251, n252, n253, n254, n255, n256, n257, n258, n259, n260, n261,
+         n262, n263, n264, n265, n274, n275, n276, n277, n286, n287, n288,
+         n289, n290, n291, n292, n293, n294, n295, n296, n297, n298, n299,
+         n300, n301, n302, n303, n304, n313, n314, n315, n316, n325, n326,
+         n327, n328, n329, n330, n331, n332, n333, n334, n335, n336, n337,
+         n338, n339, n340, n341, n342, n343, n352, n353, n354, n355, n364,
+         n365, n366, n367, n368, n369, n370, n371, n372, n373, n374, n375,
+         n376, n377, n378, n379, n380, n381, n382, n383, n384, n385, n386,
+         n387, n388, n389, n390, n391, n392, n401, n402, n403, n404, n405,
+         n407, n409, n411, n413, n415, n417, n419, n421, n422, n423, n424,
+         n425, n426, n427, n428, n429, n430, n431, n432, n433, n574, n575,
+         n576, n577, n578, n579, n580, n581, n582, n583, n584, n585, n586,
+         n587, n588, n589, n590, n591, n592, n593, n594, n595, n596, n597,
+         n598, n599, n600, n601, n602, n603, n604, n605, n606, n607, n608,
+         n609, n610, n611, n612, n613, n614, n615, n616, n617, n618, n619,
+         n620, n621, n622, n623, n624, n625, n626, n627, n628, n629, n630,
+         n631, n632, n633, n634, n635, n636, n637, n638, n639, n640, n641,
+         n642, n643, n644, n645, n646, n647, n648, n649, n650, n651, n652,
+         n653, n654, n655;
+  wire   [4:0] gen_normal_fifo_wptr_value;
+  wire   [4:0] gen_normal_fifo_rptr_value;
+  wire   [127:0] gen_normal_fifo_storage;
+
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_0_ ( .D(n12), .CLK(
+        n14), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[0]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_0_ ( .D(n11), .CLK(
+        n15), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[0]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_1_ ( .D(n10), .CLK(
+        n15), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[1]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_2_ ( .D(n9), .CLK(n14), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[2]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_3_ ( .D(n8), .CLK(n16), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[3]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_4_ ( .D(n7), .CLK(n15), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[4]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_5_ ( .D(n6), .CLK(n14), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_wptr_5_) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_1_ ( .D(n5), .CLK(n16), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[1]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_2_ ( .D(n4), .CLK(n13), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[2]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_4_ ( .D(n2), .CLK(n14), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[4]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_5_ ( .D(n1), .CLK(n13), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_rptr_5_) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__7_ ( .D(n561), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[127]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__6_ ( .D(n560), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[126]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__5_ ( .D(n559), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[125]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__4_ ( .D(n558), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[124]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__3_ ( .D(n557), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[123]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__2_ ( .D(n556), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[122]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__1_ ( .D(n555), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[121]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__0_ ( .D(n554), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[120]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__7_ ( .D(n553), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[119]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__6_ ( .D(n552), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[118]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__5_ ( .D(n551), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[117]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__4_ ( .D(n550), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[116]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__3_ ( .D(n549), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[115]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__2_ ( .D(n548), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[114]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__1_ ( .D(n547), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[113]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__0_ ( .D(n546), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[112]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__7_ ( .D(n545), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[111]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__6_ ( .D(n544), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[110]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__5_ ( .D(n543), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[109]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__4_ ( .D(n542), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[108]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__3_ ( .D(n541), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[107]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__2_ ( .D(n540), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[106]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__1_ ( .D(n539), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[105]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__0_ ( .D(n538), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[104]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__7_ ( .D(n537), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[103]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__6_ ( .D(n536), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[102]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__5_ ( .D(n535), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[101]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__4_ ( .D(n534), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[100]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__3_ ( .D(n533), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[99]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__2_ ( .D(n532), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[98]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__1_ ( .D(n531), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[97]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__0_ ( .D(n530), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[96]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__7_ ( .D(n529), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[95]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__6_ ( .D(n528), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[94]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__5_ ( .D(n527), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[93]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__4_ ( .D(n526), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[92]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__3_ ( .D(n525), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[91]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__2_ ( .D(n524), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[90]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__1_ ( .D(n523), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[89]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__0_ ( .D(n522), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[88]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__7_ ( .D(n521), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[87]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__6_ ( .D(n520), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[86]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__5_ ( .D(n519), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[85]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__4_ ( .D(n518), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[84]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__3_ ( .D(n517), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[83]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__2_ ( .D(n516), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[82]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__1_ ( .D(n515), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[81]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__0_ ( .D(n514), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[80]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__7_ ( .D(n513), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[79]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__6_ ( .D(n512), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[78]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__5_ ( .D(n511), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[77]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__4_ ( .D(n510), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[76]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__3_ ( .D(n509), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[75]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__2_ ( .D(n508), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[74]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__1_ ( .D(n507), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[73]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__0_ ( .D(n506), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[72]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__7_ ( .D(n505), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[71]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__6_ ( .D(n504), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[70]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__5_ ( .D(n503), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[69]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__4_ ( .D(n502), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[68]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__3_ ( .D(n501), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[67]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__2_ ( .D(n500), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[66]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__1_ ( .D(n499), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[65]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__0_ ( .D(n498), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[64]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__7_ ( .D(n497), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[63]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__6_ ( .D(n496), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[62]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__5_ ( .D(n495), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[61]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__4_ ( .D(n494), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[60]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__3_ ( .D(n493), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[59]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__2_ ( .D(n492), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[58]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__1_ ( .D(n491), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[57]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__0_ ( .D(n490), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[56]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__7_ ( .D(n489), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[55]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__6_ ( .D(n488), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[54]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__5_ ( .D(n487), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[53]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__4_ ( .D(n486), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[52]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__3_ ( .D(n485), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[51]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__2_ ( .D(n484), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[50]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__1_ ( .D(n483), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[49]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__0_ ( .D(n482), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[48]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__7_ ( .D(n481), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[47]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__6_ ( .D(n480), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[46]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__5_ ( .D(n479), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[45]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__4_ ( .D(n478), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[44]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__3_ ( .D(n477), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[43]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__2_ ( .D(n476), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[42]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__1_ ( .D(n475), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[41]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__0_ ( .D(n474), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[40]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__7_ ( .D(n473), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[39]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__6_ ( .D(n472), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[38]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__5_ ( .D(n471), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[37]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__4_ ( .D(n470), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[36]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__3_ ( .D(n469), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[35]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__2_ ( .D(n468), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[34]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__1_ ( .D(n467), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[33]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__0_ ( .D(n466), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[32]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__7_ ( .D(n465), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[31]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__6_ ( .D(n464), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[30]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__5_ ( .D(n463), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[29]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__4_ ( .D(n462), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[28]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__3_ ( .D(n461), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[27]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__2_ ( .D(n460), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[26]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__1_ ( .D(n459), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[25]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__0_ ( .D(n458), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[24]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__7_ ( .D(n457), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[23]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__6_ ( .D(n456), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[22]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__5_ ( .D(n455), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[21]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__4_ ( .D(n454), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[20]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__3_ ( .D(n453), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[19]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__2_ ( .D(n452), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[18]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__1_ ( .D(n451), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[17]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__0_ ( .D(n450), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[16]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__7_ ( .D(n449), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[15]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__6_ ( .D(n448), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[14]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__5_ ( .D(n447), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[13]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__4_ ( .D(n446), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[12]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__3_ ( .D(n445), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[11]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__2_ ( .D(n444), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[10]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__1_ ( .D(n443), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[9]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__0_ ( .D(n442), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[8]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__7_ ( .D(n441), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[7]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__6_ ( .D(n440), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[6]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__5_ ( .D(n439), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[5]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__4_ ( .D(n438), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[4]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__3_ ( .D(n437), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[3]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__2_ ( .D(n436), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[2]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__1_ ( .D(n435), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[1]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__0_ ( .D(n434), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[0]) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__7_ ( .D(n73), .DE(
+        n38), .CLK(n15), .Q(n108) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__6_ ( .D(n72), .DE(
+        n38), .CLK(n13), .Q(n149) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__5_ ( .D(n71), .DE(
+        n38), .CLK(n13), .Q(n188) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__4_ ( .D(n70), .DE(
+        n38), .CLK(n14), .Q(n227) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__3_ ( .D(n69), .DE(
+        n38), .CLK(n13), .Q(n266) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__2_ ( .D(n68), .DE(
+        n38), .CLK(n13), .Q(n305) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__1_ ( .D(n67), .DE(
+        n38), .CLK(n15), .Q(n344) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__0_ ( .D(n66), .DE(
+        n38), .CLK(n15), .Q(n393) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__7_ ( .D(n73), .DE(
+        n36), .CLK(n15), .Q(n110) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__6_ ( .D(n72), .DE(
+        n36), .CLK(n14), .Q(n151) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__5_ ( .D(n71), .DE(
+        n36), .CLK(n14), .Q(n190) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__4_ ( .D(n70), .DE(
+        n36), .CLK(n13), .Q(n229) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__3_ ( .D(n69), .DE(
+        n36), .CLK(n13), .Q(n268) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__2_ ( .D(n68), .DE(
+        n36), .CLK(n13), .Q(n307) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__1_ ( .D(n67), .DE(
+        n36), .CLK(n16), .Q(n346) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__0_ ( .D(n66), .DE(
+        n36), .CLK(n16), .Q(n395) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__7_ ( .D(n73), .DE(
+        n35), .CLK(n15), .Q(n109) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__6_ ( .D(n72), .DE(
+        n35), .CLK(n13), .Q(n150) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__5_ ( .D(n71), .DE(
+        n35), .CLK(n15), .Q(n189) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__4_ ( .D(n70), .DE(
+        n35), .CLK(n14), .Q(n228) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__3_ ( .D(n69), .DE(
+        n35), .CLK(n16), .Q(n267) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__2_ ( .D(n68), .DE(
+        n35), .CLK(n15), .Q(n306) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__1_ ( .D(n67), .DE(
+        n35), .CLK(n15), .Q(n345) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__0_ ( .D(n66), .DE(
+        n35), .CLK(n14), .Q(n394) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__7_ ( .D(n73), .DE(
+        n34), .CLK(n13), .Q(n111) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__6_ ( .D(n72), .DE(
+        n34), .CLK(n14), .Q(n152) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__5_ ( .D(n71), .DE(
+        n34), .CLK(n16), .Q(n191) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__4_ ( .D(n70), .DE(
+        n34), .CLK(n15), .Q(n230) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__3_ ( .D(n69), .DE(
+        n34), .CLK(n15), .Q(n269) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__2_ ( .D(n68), .DE(
+        n34), .CLK(n14), .Q(n308) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__1_ ( .D(n67), .DE(
+        n34), .CLK(n15), .Q(n347) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__0_ ( .D(n66), .DE(
+        n34), .CLK(n15), .Q(n396) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__7_ ( .D(n73), .DE(
+        n33), .CLK(n16), .Q(n112) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__6_ ( .D(n72), .DE(
+        n33), .CLK(n15), .Q(n153) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__5_ ( .D(n71), .DE(
+        n33), .CLK(n14), .Q(n192) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__4_ ( .D(n70), .DE(
+        n33), .CLK(n14), .Q(n231) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__3_ ( .D(n69), .DE(
+        n33), .CLK(n16), .Q(n270) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__2_ ( .D(n68), .DE(
+        n33), .CLK(n14), .Q(n309) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__1_ ( .D(n67), .DE(
+        n33), .CLK(n15), .Q(n348) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__0_ ( .D(n66), .DE(
+        n33), .CLK(n13), .Q(n397) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__7_ ( .D(n73), .DE(
+        n32), .CLK(n15), .Q(n114) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__6_ ( .D(n72), .DE(
+        n32), .CLK(n15), .Q(n155) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__5_ ( .D(n71), .DE(
+        n32), .CLK(n14), .Q(n194) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__4_ ( .D(n70), .DE(
+        n32), .CLK(n16), .Q(n233) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__3_ ( .D(n69), .DE(
+        n32), .CLK(n13), .Q(n272) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__2_ ( .D(n68), .DE(
+        n32), .CLK(n13), .Q(n311) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__1_ ( .D(n67), .DE(
+        n32), .CLK(n15), .Q(n350) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__0_ ( .D(n66), .DE(
+        n32), .CLK(n15), .Q(n399) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__7_ ( .D(n73), .DE(
+        n31), .CLK(n14), .Q(n113) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__6_ ( .D(n72), .DE(
+        n31), .CLK(n16), .Q(n154) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__5_ ( .D(n71), .DE(
+        n31), .CLK(n13), .Q(n193) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__4_ ( .D(n70), .DE(
+        n31), .CLK(n15), .Q(n232) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__3_ ( .D(n69), .DE(
+        n31), .CLK(n14), .Q(n271) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__2_ ( .D(n68), .DE(
+        n31), .CLK(n14), .Q(n310) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__1_ ( .D(n67), .DE(
+        n31), .CLK(n15), .Q(n349) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__0_ ( .D(n66), .DE(
+        n31), .CLK(n15), .Q(n398) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__7_ ( .D(n73), .DE(
+        n30), .CLK(n14), .Q(n115) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__6_ ( .D(n72), .DE(
+        n30), .CLK(n13), .Q(n156) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__5_ ( .D(n71), .DE(
+        n30), .CLK(n14), .Q(n195) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__4_ ( .D(n70), .DE(
+        n30), .CLK(n16), .Q(n234) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__3_ ( .D(n69), .DE(
+        n30), .CLK(n13), .Q(n273) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__2_ ( .D(n68), .DE(
+        n30), .CLK(n13), .Q(n312) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__1_ ( .D(n67), .DE(
+        n30), .CLK(n13), .Q(n351) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__0_ ( .D(n66), .DE(
+        n30), .CLK(n16), .Q(n400) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__7_ ( .D(n73), .DE(
+        n29), .CLK(n15), .Q(n120) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__6_ ( .D(n72), .DE(
+        n29), .CLK(n14), .Q(n161) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__5_ ( .D(n71), .DE(
+        n29), .CLK(n14), .Q(n200) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__4_ ( .D(n70), .DE(
+        n29), .CLK(n16), .Q(n239) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__3_ ( .D(n69), .DE(
+        n29), .CLK(n14), .Q(n278) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__2_ ( .D(n68), .DE(
+        n29), .CLK(n13), .Q(n317) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__1_ ( .D(n67), .DE(
+        n29), .CLK(n14), .Q(n356) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__0_ ( .D(n66), .DE(
+        n29), .CLK(n15), .Q(n406) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__7_ ( .D(n73), .DE(
+        n27), .CLK(n13), .Q(n122) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__6_ ( .D(n72), .DE(
+        n27), .CLK(n16), .Q(n163) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__5_ ( .D(n71), .DE(
+        n27), .CLK(n16), .Q(n202) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__4_ ( .D(n70), .DE(
+        n27), .CLK(n16), .Q(n241) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__3_ ( .D(n69), .DE(
+        n27), .CLK(n13), .Q(n280) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__2_ ( .D(n68), .DE(
+        n27), .CLK(n14), .Q(n319) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__1_ ( .D(n67), .DE(
+        n27), .CLK(n15), .Q(n358) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__0_ ( .D(n66), .DE(
+        n27), .CLK(n13), .Q(n410) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__7_ ( .D(n73), .DE(
+        n26), .CLK(n14), .Q(n121) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__6_ ( .D(n72), .DE(
+        n26), .CLK(n16), .Q(n162) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__5_ ( .D(n71), .DE(
+        n26), .CLK(n15), .Q(n201) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__4_ ( .D(n70), .DE(
+        n26), .CLK(n13), .Q(n240) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__3_ ( .D(n69), .DE(
+        n26), .CLK(n16), .Q(n279) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__2_ ( .D(n68), .DE(
+        n26), .CLK(n14), .Q(n318) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__1_ ( .D(n67), .DE(
+        n26), .CLK(n14), .Q(n357) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__0_ ( .D(n66), .DE(
+        n26), .CLK(n15), .Q(n408) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__7_ ( .D(n73), .DE(
+        n24), .CLK(n16), .Q(n123) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__6_ ( .D(n72), .DE(
+        n24), .CLK(n16), .Q(n164) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__5_ ( .D(n71), .DE(
+        n24), .CLK(n14), .Q(n203) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__4_ ( .D(n70), .DE(
+        n24), .CLK(n14), .Q(n242) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__3_ ( .D(n69), .DE(
+        n24), .CLK(n14), .Q(n281) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__2_ ( .D(n68), .DE(
+        n24), .CLK(n15), .Q(n320) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__1_ ( .D(n67), .DE(
+        n24), .CLK(n14), .Q(n359) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__0_ ( .D(n66), .DE(
+        n24), .CLK(n15), .Q(n412) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__7_ ( .D(n73), .DE(
+        n23), .CLK(n13), .Q(n124) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__6_ ( .D(n72), .DE(
+        n23), .CLK(n15), .Q(n165) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__5_ ( .D(n71), .DE(
+        n23), .CLK(n16), .Q(n204) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__4_ ( .D(n70), .DE(
+        n23), .CLK(n16), .Q(n243) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__3_ ( .D(n69), .DE(
+        n23), .CLK(n15), .Q(n282) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__2_ ( .D(n68), .DE(
+        n23), .CLK(n16), .Q(n321) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__1_ ( .D(n67), .DE(
+        n23), .CLK(n13), .Q(n360) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__0_ ( .D(n66), .DE(
+        n23), .CLK(n15), .Q(n414) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__7_ ( .D(n73), .DE(
+        n21), .CLK(n16), .Q(n126) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__6_ ( .D(n72), .DE(
+        n21), .CLK(n15), .Q(n167) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__5_ ( .D(n71), .DE(
+        n21), .CLK(n16), .Q(n206) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__4_ ( .D(n70), .DE(
+        n21), .CLK(n13), .Q(n245) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__3_ ( .D(n69), .DE(
+        n21), .CLK(n15), .Q(n284) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__2_ ( .D(n68), .DE(
+        n21), .CLK(n15), .Q(n323) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__1_ ( .D(n67), .DE(
+        n21), .CLK(n14), .Q(n362) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__0_ ( .D(n66), .DE(
+        n21), .CLK(n13), .Q(n418) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__7_ ( .D(n73), .DE(
+        n20), .CLK(n13), .Q(n125) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__6_ ( .D(n72), .DE(
+        n20), .CLK(n14), .Q(n166) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__5_ ( .D(n71), .DE(
+        n20), .CLK(n13), .Q(n205) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__4_ ( .D(n70), .DE(
+        n20), .CLK(n15), .Q(n244) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__3_ ( .D(n69), .DE(
+        n20), .CLK(n16), .Q(n283) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__2_ ( .D(n68), .DE(
+        n20), .CLK(n16), .Q(n322) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__1_ ( .D(n67), .DE(
+        n20), .CLK(n14), .Q(n361) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__0_ ( .D(n66), .DE(
+        n20), .CLK(n14), .Q(n416) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__7_ ( .D(n73), .DE(
+        n18), .CLK(n14), .Q(n127) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__6_ ( .D(n72), .DE(
+        n18), .CLK(n15), .Q(n168) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__5_ ( .D(n71), .DE(
+        n18), .CLK(n16), .Q(n207) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__4_ ( .D(n70), .DE(
+        n18), .CLK(n14), .Q(n246) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__3_ ( .D(n69), .DE(
+        n18), .CLK(n13), .Q(n285) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__2_ ( .D(n68), .DE(
+        n18), .CLK(n14), .Q(n324) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__1_ ( .D(n67), .DE(
+        n18), .CLK(n13), .Q(n363) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__0_ ( .D(n66), .DE(
+        n18), .CLK(n16), .Q(n420) );
+  sky130_fd_sc_hd__nor2b_1 U12 ( .B_N(n571), .A(clr_i), .Y(n10) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_3_ ( .D(n3), .CLK(n15), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[3]) );
+  sky130_fd_sc_hd__nor2b_1 U8 ( .B_N(n567), .A(clr_i), .Y(n6) );
+  sky130_fd_sc_hd__nor2b_1 U6 ( .B_N(n565), .A(clr_i), .Y(n4) );
+  sky130_fd_sc_hd__nor2b_1 U3 ( .B_N(n562), .A(clr_i), .Y(n1) );
+  sky130_fd_sc_hd__nor2b_1 U7 ( .B_N(n566), .A(clr_i), .Y(n5) );
+  sky130_fd_sc_hd__nor2b_1 U4 ( .B_N(n563), .A(clr_i), .Y(n2) );
+  sky130_fd_sc_hd__nor2b_1 U9 ( .B_N(n568), .A(clr_i), .Y(n7) );
+  sky130_fd_sc_hd__nor2b_1 U14 ( .B_N(n573), .A(clr_i), .Y(n12) );
+  sky130_fd_sc_hd__nor2b_1 U5 ( .B_N(n564), .A(clr_i), .Y(n3) );
+  sky130_fd_sc_hd__nor2b_1 U10 ( .B_N(n569), .A(clr_i), .Y(n8) );
+  sky130_fd_sc_hd__nor2b_1 U11 ( .B_N(n570), .A(clr_i), .Y(n9) );
+  sky130_fd_sc_hd__nor2b_1 U13 ( .B_N(n572), .A(clr_i), .Y(n11) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(n14), .X(n13) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(clk_i), .X(n14) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(n13), .X(n15) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(n13), .X(n16) );
+  sky130_fd_sc_hd__clkinv_1 U19 ( .A(gen_normal_fifo_wptr_value[4]), .Y(n377)
+         );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(wdata_i[2]), .Y(n68) );
+  sky130_fd_sc_hd__clkinv_1 U21 ( .A(wdata_i[4]), .Y(n70) );
+  sky130_fd_sc_hd__clkinv_1 U22 ( .A(wdata_i[5]), .Y(n71) );
+  sky130_fd_sc_hd__clkinv_1 U23 ( .A(wdata_i[1]), .Y(n67) );
+  sky130_fd_sc_hd__clkinv_1 U24 ( .A(wdata_i[0]), .Y(n66) );
+  sky130_fd_sc_hd__clkinv_1 U25 ( .A(wdata_i[7]), .Y(n73) );
+  sky130_fd_sc_hd__clkinv_1 U26 ( .A(wdata_i[3]), .Y(n69) );
+  sky130_fd_sc_hd__clkinv_1 U27 ( .A(wdata_i[6]), .Y(n72) );
+  sky130_fd_sc_hd__clkinv_1 U28 ( .A(gen_normal_fifo_wptr_value[0]), .Y(n380)
+         );
+  sky130_fd_sc_hd__nand2_1 U29 ( .A(gen_normal_fifo_rptr_value[0]), .B(n380), 
+        .Y(n354) );
+  sky130_fd_sc_hd__o21ai_1 U30 ( .A1(gen_normal_fifo_rptr_value[0]), .A2(n380), 
+        .B1(n354), .Y(depth_o[0]) );
+  sky130_fd_sc_hd__xor2_1 U31 ( .A(gen_normal_fifo_fifo_rptr_5_), .B(
+        gen_normal_fifo_fifo_wptr_5_), .X(n375) );
+  sky130_fd_sc_hd__clkinv_1 U32 ( .A(gen_normal_fifo_rptr_value[3]), .Y(n62)
+         );
+  sky130_fd_sc_hd__clkinv_1 U33 ( .A(gen_normal_fifo_wptr_value[3]), .Y(n645)
+         );
+  sky130_fd_sc_hd__o22ai_1 U34 ( .A1(gen_normal_fifo_wptr_value[3]), .A2(n62), 
+        .B1(n645), .B2(gen_normal_fifo_rptr_value[3]), .Y(n367) );
+  sky130_fd_sc_hd__clkinv_1 U35 ( .A(gen_normal_fifo_wptr_value[2]), .Y(n382)
+         );
+  sky130_fd_sc_hd__clkinv_1 U36 ( .A(gen_normal_fifo_rptr_value[2]), .Y(n391)
+         );
+  sky130_fd_sc_hd__o22ai_1 U37 ( .A1(gen_normal_fifo_wptr_value[2]), .A2(
+        gen_normal_fifo_rptr_value[2]), .B1(n382), .B2(n391), .Y(n364) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(gen_normal_fifo_wptr_value[1]), .Y(n379)
+         );
+  sky130_fd_sc_hd__clkinv_1 U39 ( .A(gen_normal_fifo_rptr_value[1]), .Y(n390)
+         );
+  sky130_fd_sc_hd__o22ai_1 U40 ( .A1(gen_normal_fifo_wptr_value[1]), .A2(
+        gen_normal_fifo_rptr_value[1]), .B1(n379), .B2(n390), .Y(n352) );
+  sky130_fd_sc_hd__clkinv_1 U41 ( .A(gen_normal_fifo_rptr_value[4]), .Y(n417)
+         );
+  sky130_fd_sc_hd__o22ai_1 U42 ( .A1(gen_normal_fifo_wptr_value[4]), .A2(
+        gen_normal_fifo_rptr_value[4]), .B1(n377), .B2(n417), .Y(n371) );
+  sky130_fd_sc_hd__nand3_1 U43 ( .A(n364), .B(n352), .C(n371), .Y(n17) );
+  sky130_fd_sc_hd__nand2_1 U45 ( .A(n375), .B(n43), .Y(wready_o) );
+  sky130_fd_sc_hd__nand3_1 U46 ( .A(n382), .B(n380), .C(n379), .Y(n655) );
+  sky130_fd_sc_hd__nand2_1 U47 ( .A(wvalid_i), .B(wready_o), .Y(n381) );
+  sky130_fd_sc_hd__clkinv_1 U48 ( .A(n381), .Y(n646) );
+  sky130_fd_sc_hd__nand3_1 U49 ( .A(gen_normal_fifo_wptr_value[4]), .B(
+        gen_normal_fifo_wptr_value[3]), .C(n646), .Y(n41) );
+  sky130_fd_sc_hd__or2_0 U50 ( .A(n655), .B(n41), .X(n28) );
+  sky130_fd_sc_hd__o2bb2ai_1 U51 ( .B1(n28), .B2(n68), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[66]), .Y(n500) );
+  sky130_fd_sc_hd__o2bb2ai_1 U52 ( .B1(n28), .B2(n72), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[70]), .Y(n504) );
+  sky130_fd_sc_hd__o2bb2ai_1 U53 ( .B1(n28), .B2(n69), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[67]), .Y(n501) );
+  sky130_fd_sc_hd__nand3_1 U54 ( .A(gen_normal_fifo_wptr_value[0]), .B(n382), 
+        .C(n379), .Y(n653) );
+  sky130_fd_sc_hd__or2_0 U55 ( .A(n653), .B(n41), .X(n25) );
+  sky130_fd_sc_hd__o2bb2ai_1 U56 ( .B1(n25), .B2(n66), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[72]), .Y(n506) );
+  sky130_fd_sc_hd__o2bb2ai_1 U57 ( .B1(n25), .B2(n67), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[73]), .Y(n507) );
+  sky130_fd_sc_hd__o2bb2ai_1 U58 ( .B1(n25), .B2(n68), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[74]), .Y(n508) );
+  sky130_fd_sc_hd__o2bb2ai_1 U59 ( .B1(n25), .B2(n69), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[75]), .Y(n509) );
+  sky130_fd_sc_hd__o2bb2ai_1 U60 ( .B1(n25), .B2(n70), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[76]), .Y(n510) );
+  sky130_fd_sc_hd__o2bb2ai_1 U61 ( .B1(n28), .B2(n73), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[71]), .Y(n505) );
+  sky130_fd_sc_hd__o2bb2ai_1 U62 ( .B1(n25), .B2(n71), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[77]), .Y(n511) );
+  sky130_fd_sc_hd__nand3_1 U63 ( .A(gen_normal_fifo_wptr_value[0]), .B(
+        gen_normal_fifo_wptr_value[1]), .C(n382), .Y(n651) );
+  sky130_fd_sc_hd__or2_0 U64 ( .A(n651), .B(n41), .X(n40) );
+  sky130_fd_sc_hd__o2bb2ai_1 U65 ( .B1(n40), .B2(n70), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[92]), .Y(n526) );
+  sky130_fd_sc_hd__o2bb2ai_1 U66 ( .B1(n25), .B2(n73), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[79]), .Y(n513) );
+  sky130_fd_sc_hd__o2bb2ai_1 U67 ( .B1(n40), .B2(n71), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[93]), .Y(n527) );
+  sky130_fd_sc_hd__o2bb2ai_1 U68 ( .B1(n28), .B2(n70), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[68]), .Y(n502) );
+  sky130_fd_sc_hd__nand3_1 U69 ( .A(gen_normal_fifo_wptr_value[1]), .B(n382), 
+        .C(n380), .Y(n652) );
+  sky130_fd_sc_hd__or2_0 U70 ( .A(n652), .B(n41), .X(n39) );
+  sky130_fd_sc_hd__o2bb2ai_1 U71 ( .B1(n39), .B2(n68), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[82]), .Y(n516) );
+  sky130_fd_sc_hd__o2bb2ai_1 U72 ( .B1(n39), .B2(n69), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[83]), .Y(n517) );
+  sky130_fd_sc_hd__o2bb2ai_1 U73 ( .B1(n40), .B2(n68), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[90]), .Y(n524) );
+  sky130_fd_sc_hd__o2bb2ai_1 U74 ( .B1(n28), .B2(n67), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[65]), .Y(n499) );
+  sky130_fd_sc_hd__nand3_1 U75 ( .A(gen_normal_fifo_wptr_value[2]), .B(n380), 
+        .C(n379), .Y(n650) );
+  sky130_fd_sc_hd__or2_0 U76 ( .A(n650), .B(n41), .X(n19) );
+  sky130_fd_sc_hd__o2bb2ai_1 U77 ( .B1(n19), .B2(n68), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[98]), .Y(n532) );
+  sky130_fd_sc_hd__o2bb2ai_1 U78 ( .B1(n19), .B2(n69), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[99]), .Y(n533) );
+  sky130_fd_sc_hd__o2bb2ai_1 U79 ( .B1(n28), .B2(n71), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[69]), .Y(n503) );
+  sky130_fd_sc_hd__o2bb2ai_1 U80 ( .B1(n19), .B2(n71), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[101]), .Y(n535) );
+  sky130_fd_sc_hd__o2bb2ai_1 U81 ( .B1(n39), .B2(n67), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[81]), .Y(n515) );
+  sky130_fd_sc_hd__nand3_1 U82 ( .A(gen_normal_fifo_wptr_value[0]), .B(
+        gen_normal_fifo_wptr_value[2]), .C(n379), .Y(n649) );
+  sky130_fd_sc_hd__or2_0 U83 ( .A(n649), .B(n41), .X(n22) );
+  sky130_fd_sc_hd__o2bb2ai_1 U84 ( .B1(n22), .B2(n68), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[106]), .Y(n540) );
+  sky130_fd_sc_hd__o2bb2ai_1 U85 ( .B1(n19), .B2(n66), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[96]), .Y(n530) );
+  sky130_fd_sc_hd__o2bb2ai_1 U86 ( .B1(n19), .B2(n70), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[100]), .Y(n534) );
+  sky130_fd_sc_hd__o2bb2ai_1 U87 ( .B1(n22), .B2(n66), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[104]), .Y(n538) );
+  sky130_fd_sc_hd__o2bb2ai_1 U88 ( .B1(n40), .B2(n72), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[94]), .Y(n528) );
+  sky130_fd_sc_hd__o2bb2ai_1 U89 ( .B1(n19), .B2(n67), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[97]), .Y(n531) );
+  sky130_fd_sc_hd__o2bb2ai_1 U90 ( .B1(n40), .B2(n73), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[95]), .Y(n529) );
+  sky130_fd_sc_hd__o2bb2ai_1 U91 ( .B1(n19), .B2(n72), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[102]), .Y(n536) );
+  sky130_fd_sc_hd__o2bb2ai_1 U92 ( .B1(n40), .B2(n69), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[91]), .Y(n525) );
+  sky130_fd_sc_hd__o2bb2ai_1 U93 ( .B1(n22), .B2(n70), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[108]), .Y(n542) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94 ( .B1(n22), .B2(n67), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[105]), .Y(n539) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95 ( .B1(n39), .B2(n66), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[80]), .Y(n514) );
+  sky130_fd_sc_hd__nand3_1 U96 ( .A(gen_normal_fifo_wptr_value[2]), .B(
+        gen_normal_fifo_wptr_value[1]), .C(n380), .Y(n648) );
+  sky130_fd_sc_hd__or2_0 U97 ( .A(n648), .B(n41), .X(n37) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98 ( .B1(n37), .B2(n67), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[113]), .Y(n547) );
+  sky130_fd_sc_hd__o2bb2ai_1 U99 ( .B1(n22), .B2(n71), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[109]), .Y(n543) );
+  sky130_fd_sc_hd__o2bb2ai_1 U100 ( .B1(n37), .B2(n68), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[114]), .Y(n548) );
+  sky130_fd_sc_hd__o2bb2ai_1 U101 ( .B1(n37), .B2(n69), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[115]), .Y(n549) );
+  sky130_fd_sc_hd__o2bb2ai_1 U102 ( .B1(n37), .B2(n66), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[112]), .Y(n546) );
+  sky130_fd_sc_hd__o2bb2ai_1 U103 ( .B1(n22), .B2(n72), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[110]), .Y(n544) );
+  sky130_fd_sc_hd__o2bb2ai_1 U104 ( .B1(n22), .B2(n69), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[107]), .Y(n541) );
+  sky130_fd_sc_hd__o2bb2ai_1 U105 ( .B1(n19), .B2(n73), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[103]), .Y(n537) );
+  sky130_fd_sc_hd__o2bb2ai_1 U106 ( .B1(n22), .B2(n73), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[111]), .Y(n545) );
+  sky130_fd_sc_hd__o2bb2ai_1 U107 ( .B1(n39), .B2(n73), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[87]), .Y(n521) );
+  sky130_fd_sc_hd__o2bb2ai_1 U108 ( .B1(n39), .B2(n71), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[85]), .Y(n519) );
+  sky130_fd_sc_hd__o2bb2ai_1 U109 ( .B1(n25), .B2(n72), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[78]), .Y(n512) );
+  sky130_fd_sc_hd__o2bb2ai_1 U110 ( .B1(n28), .B2(n66), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[64]), .Y(n498) );
+  sky130_fd_sc_hd__o2bb2ai_1 U111 ( .B1(n37), .B2(n73), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[119]), .Y(n553) );
+  sky130_fd_sc_hd__o2bb2ai_1 U112 ( .B1(n37), .B2(n72), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[118]), .Y(n552) );
+  sky130_fd_sc_hd__o2bb2ai_1 U113 ( .B1(n39), .B2(n70), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[84]), .Y(n518) );
+  sky130_fd_sc_hd__o2bb2ai_1 U114 ( .B1(n37), .B2(n71), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[117]), .Y(n551) );
+  sky130_fd_sc_hd__o2bb2ai_1 U115 ( .B1(n40), .B2(n66), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[88]), .Y(n522) );
+  sky130_fd_sc_hd__o2bb2ai_1 U116 ( .B1(n37), .B2(n70), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[116]), .Y(n550) );
+  sky130_fd_sc_hd__o2bb2ai_1 U117 ( .B1(n39), .B2(n72), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[86]), .Y(n520) );
+  sky130_fd_sc_hd__o2bb2ai_1 U118 ( .B1(n40), .B2(n67), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[89]), .Y(n523) );
+  sky130_fd_sc_hd__nand3_1 U119 ( .A(gen_normal_fifo_wptr_value[2]), .B(
+        gen_normal_fifo_wptr_value[0]), .C(gen_normal_fifo_wptr_value[1]), .Y(
+        n647) );
+  sky130_fd_sc_hd__nor2_1 U120 ( .A(n647), .B(n41), .Y(n422) );
+  sky130_fd_sc_hd__clkinv_1 U121 ( .A(n422), .Y(n421) );
+  sky130_fd_sc_hd__o2bb2ai_1 U122 ( .B1(gen_normal_fifo_fifo_wptr_5_), .B2(
+        n421), .A1_N(gen_normal_fifo_fifo_wptr_5_), .A2_N(n421), .Y(n567) );
+  sky130_fd_sc_hd__clkinv_1 U125 ( .A(gen_normal_fifo_rptr_value[0]), .Y(n376)
+         );
+  sky130_fd_sc_hd__nor3_1 U126 ( .A(n391), .B(n390), .C(n376), .Y(n411) );
+  sky130_fd_sc_hd__nand3_1 U127 ( .A(gen_normal_fifo_rptr_value[4]), .B(
+        gen_normal_fifo_rptr_value[3]), .C(n411), .Y(n409) );
+  sky130_fd_sc_hd__nor2b_1 U128 ( .B_N(rvalid_o), .A(n409), .Y(n343) );
+  sky130_fd_sc_hd__nor3_1 U129 ( .A(gen_normal_fifo_rptr_value[0]), .B(n390), 
+        .C(n391), .Y(n297) );
+  sky130_fd_sc_hd__nor3_1 U130 ( .A(gen_normal_fifo_rptr_value[2]), .B(
+        gen_normal_fifo_rptr_value[1]), .C(gen_normal_fifo_rptr_value[0]), .Y(
+        n288) );
+  sky130_fd_sc_hd__nor3_1 U131 ( .A(gen_normal_fifo_rptr_value[2]), .B(
+        gen_normal_fifo_rptr_value[0]), .C(n390), .Y(n287) );
+  sky130_fd_sc_hd__a22oi_1 U132 ( .A1(n288), .A2(gen_normal_fifo_storage[64]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[80]), .Y(n46) );
+  sky130_fd_sc_hd__nand2_1 U133 ( .A(gen_normal_fifo_rptr_value[0]), .B(n390), 
+        .Y(n389) );
+  sky130_fd_sc_hd__nor2_1 U134 ( .A(gen_normal_fifo_rptr_value[2]), .B(n389), 
+        .Y(n290) );
+  sky130_fd_sc_hd__nor3_1 U135 ( .A(gen_normal_fifo_rptr_value[2]), .B(n390), 
+        .C(n376), .Y(n289) );
+  sky130_fd_sc_hd__a22oi_1 U136 ( .A1(n290), .A2(gen_normal_fifo_storage[72]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[88]), .Y(n45) );
+  sky130_fd_sc_hd__nor3_1 U137 ( .A(gen_normal_fifo_rptr_value[1]), .B(
+        gen_normal_fifo_rptr_value[0]), .C(n391), .Y(n292) );
+  sky130_fd_sc_hd__nor2_1 U138 ( .A(n391), .B(n389), .Y(n291) );
+  sky130_fd_sc_hd__a22oi_1 U139 ( .A1(n292), .A2(gen_normal_fifo_storage[96]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[104]), .Y(n44) );
+  sky130_fd_sc_hd__nand3_1 U140 ( .A(n46), .B(n45), .C(n44), .Y(n47) );
+  sky130_fd_sc_hd__a21oi_1 U141 ( .A1(n297), .A2(gen_normal_fifo_storage[112]), 
+        .B1(n47), .Y(n53) );
+  sky130_fd_sc_hd__nand3_1 U142 ( .A(gen_normal_fifo_rptr_value[3]), .B(
+        gen_normal_fifo_rptr_value[4]), .C(rvalid_o), .Y(n304) );
+  sky130_fd_sc_hd__clkinv_1 U143 ( .A(n288), .Y(n326) );
+  sky130_fd_sc_hd__clkinv_1 U144 ( .A(n287), .Y(n327) );
+  sky130_fd_sc_hd__o22ai_1 U145 ( .A1(n400), .A2(n326), .B1(n399), .B2(n327), 
+        .Y(n51) );
+  sky130_fd_sc_hd__clkinv_1 U146 ( .A(n290), .Y(n328) );
+  sky130_fd_sc_hd__clkinv_1 U147 ( .A(n289), .Y(n402) );
+  sky130_fd_sc_hd__o22ai_1 U148 ( .A1(n398), .A2(n328), .B1(n397), .B2(n402), 
+        .Y(n50) );
+  sky130_fd_sc_hd__clkinv_1 U149 ( .A(n292), .Y(n329) );
+  sky130_fd_sc_hd__clkinv_1 U150 ( .A(n297), .Y(n330) );
+  sky130_fd_sc_hd__o22ai_1 U151 ( .A1(n396), .A2(n329), .B1(n395), .B2(n330), 
+        .Y(n49) );
+  sky130_fd_sc_hd__clkinv_1 U152 ( .A(n291), .Y(n331) );
+  sky130_fd_sc_hd__clkinv_1 U153 ( .A(n411), .Y(n332) );
+  sky130_fd_sc_hd__o22ai_1 U154 ( .A1(n394), .A2(n331), .B1(n393), .B2(n332), 
+        .Y(n48) );
+  sky130_fd_sc_hd__nor4_1 U155 ( .A(n51), .B(n50), .C(n49), .D(n48), .Y(n52)
+         );
+  sky130_fd_sc_hd__nand3_1 U156 ( .A(gen_normal_fifo_rptr_value[3]), .B(n417), 
+        .C(rvalid_o), .Y(n302) );
+  sky130_fd_sc_hd__o22ai_1 U157 ( .A1(n53), .A2(n304), .B1(n52), .B2(n302), 
+        .Y(n74) );
+  sky130_fd_sc_hd__o22ai_1 U158 ( .A1(n420), .A2(n326), .B1(n418), .B2(n327), 
+        .Y(n57) );
+  sky130_fd_sc_hd__o22ai_1 U159 ( .A1(n416), .A2(n328), .B1(n414), .B2(n402), 
+        .Y(n56) );
+  sky130_fd_sc_hd__o22ai_1 U160 ( .A1(n412), .A2(n329), .B1(n410), .B2(n330), 
+        .Y(n55) );
+  sky130_fd_sc_hd__o22ai_1 U161 ( .A1(n408), .A2(n331), .B1(n406), .B2(n332), 
+        .Y(n54) );
+  sky130_fd_sc_hd__nor4_1 U162 ( .A(n57), .B(n56), .C(n55), .D(n54), .Y(n64)
+         );
+  sky130_fd_sc_hd__nand3_1 U163 ( .A(n417), .B(n62), .C(rvalid_o), .Y(n339) );
+  sky130_fd_sc_hd__clkinv_1 U164 ( .A(gen_normal_fifo_storage[16]), .Y(n621)
+         );
+  sky130_fd_sc_hd__clkinv_1 U165 ( .A(gen_normal_fifo_storage[0]), .Y(n642) );
+  sky130_fd_sc_hd__o22ai_1 U166 ( .A1(n621), .A2(n327), .B1(n642), .B2(n326), 
+        .Y(n61) );
+  sky130_fd_sc_hd__clkinv_1 U167 ( .A(gen_normal_fifo_storage[24]), .Y(n611)
+         );
+  sky130_fd_sc_hd__clkinv_1 U168 ( .A(gen_normal_fifo_storage[8]), .Y(n631) );
+  sky130_fd_sc_hd__o22ai_1 U169 ( .A1(n611), .A2(n402), .B1(n631), .B2(n328), 
+        .Y(n60) );
+  sky130_fd_sc_hd__clkinv_1 U170 ( .A(gen_normal_fifo_storage[48]), .Y(n581)
+         );
+  sky130_fd_sc_hd__clkinv_1 U171 ( .A(gen_normal_fifo_storage[32]), .Y(n601)
+         );
+  sky130_fd_sc_hd__o22ai_1 U172 ( .A1(n581), .A2(n330), .B1(n601), .B2(n329), 
+        .Y(n59) );
+  sky130_fd_sc_hd__clkinv_1 U173 ( .A(gen_normal_fifo_storage[56]), .Y(n431)
+         );
+  sky130_fd_sc_hd__clkinv_1 U174 ( .A(gen_normal_fifo_storage[40]), .Y(n591)
+         );
+  sky130_fd_sc_hd__o22ai_1 U175 ( .A1(n431), .A2(n332), .B1(n591), .B2(n331), 
+        .Y(n58) );
+  sky130_fd_sc_hd__nor4_1 U176 ( .A(n61), .B(n60), .C(n59), .D(n58), .Y(n63)
+         );
+  sky130_fd_sc_hd__nand3_1 U177 ( .A(gen_normal_fifo_rptr_value[4]), .B(n62), 
+        .C(rvalid_o), .Y(n337) );
+  sky130_fd_sc_hd__o22ai_1 U178 ( .A1(n64), .A2(n339), .B1(n63), .B2(n337), 
+        .Y(n65) );
+  sky130_fd_sc_hd__a211o_1 U179 ( .A1(gen_normal_fifo_storage[120]), .A2(n343), 
+        .B1(n74), .C1(n65), .X(rdata_o[0]) );
+  sky130_fd_sc_hd__a22oi_1 U180 ( .A1(n288), .A2(gen_normal_fifo_storage[65]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[81]), .Y(n77) );
+  sky130_fd_sc_hd__a22oi_1 U181 ( .A1(n290), .A2(gen_normal_fifo_storage[73]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[89]), .Y(n76) );
+  sky130_fd_sc_hd__a22oi_1 U182 ( .A1(n292), .A2(gen_normal_fifo_storage[97]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[105]), .Y(n75) );
+  sky130_fd_sc_hd__nand3_1 U183 ( .A(n77), .B(n76), .C(n75), .Y(n78) );
+  sky130_fd_sc_hd__a21oi_1 U184 ( .A1(n297), .A2(gen_normal_fifo_storage[113]), 
+        .B1(n78), .Y(n84) );
+  sky130_fd_sc_hd__o22ai_1 U185 ( .A1(n351), .A2(n326), .B1(n350), .B2(n327), 
+        .Y(n82) );
+  sky130_fd_sc_hd__o22ai_1 U186 ( .A1(n349), .A2(n328), .B1(n348), .B2(n402), 
+        .Y(n81) );
+  sky130_fd_sc_hd__o22ai_1 U187 ( .A1(n347), .A2(n329), .B1(n346), .B2(n330), 
+        .Y(n80) );
+  sky130_fd_sc_hd__o22ai_1 U188 ( .A1(n345), .A2(n331), .B1(n344), .B2(n332), 
+        .Y(n79) );
+  sky130_fd_sc_hd__nor4_1 U189 ( .A(n82), .B(n81), .C(n80), .D(n79), .Y(n83)
+         );
+  sky130_fd_sc_hd__o22ai_1 U190 ( .A1(n84), .A2(n304), .B1(n83), .B2(n302), 
+        .Y(n96) );
+  sky130_fd_sc_hd__o22ai_1 U191 ( .A1(n363), .A2(n326), .B1(n362), .B2(n327), 
+        .Y(n88) );
+  sky130_fd_sc_hd__o22ai_1 U192 ( .A1(n361), .A2(n328), .B1(n360), .B2(n402), 
+        .Y(n87) );
+  sky130_fd_sc_hd__o22ai_1 U193 ( .A1(n359), .A2(n329), .B1(n358), .B2(n330), 
+        .Y(n86) );
+  sky130_fd_sc_hd__o22ai_1 U194 ( .A1(n357), .A2(n331), .B1(n356), .B2(n332), 
+        .Y(n85) );
+  sky130_fd_sc_hd__nor4_1 U195 ( .A(n88), .B(n87), .C(n86), .D(n85), .Y(n94)
+         );
+  sky130_fd_sc_hd__clkinv_1 U196 ( .A(gen_normal_fifo_storage[17]), .Y(n619)
+         );
+  sky130_fd_sc_hd__clkinv_1 U197 ( .A(gen_normal_fifo_storage[1]), .Y(n640) );
+  sky130_fd_sc_hd__o22ai_1 U198 ( .A1(n619), .A2(n327), .B1(n640), .B2(n326), 
+        .Y(n92) );
+  sky130_fd_sc_hd__clkinv_1 U199 ( .A(gen_normal_fifo_storage[25]), .Y(n609)
+         );
+  sky130_fd_sc_hd__clkinv_1 U200 ( .A(gen_normal_fifo_storage[9]), .Y(n629) );
+  sky130_fd_sc_hd__o22ai_1 U201 ( .A1(n609), .A2(n402), .B1(n629), .B2(n328), 
+        .Y(n91) );
+  sky130_fd_sc_hd__clkinv_1 U202 ( .A(gen_normal_fifo_storage[49]), .Y(n579)
+         );
+  sky130_fd_sc_hd__clkinv_1 U203 ( .A(gen_normal_fifo_storage[33]), .Y(n599)
+         );
+  sky130_fd_sc_hd__o22ai_1 U204 ( .A1(n579), .A2(n330), .B1(n599), .B2(n329), 
+        .Y(n90) );
+  sky130_fd_sc_hd__clkinv_1 U205 ( .A(gen_normal_fifo_storage[57]), .Y(n429)
+         );
+  sky130_fd_sc_hd__clkinv_1 U206 ( .A(gen_normal_fifo_storage[41]), .Y(n589)
+         );
+  sky130_fd_sc_hd__o22ai_1 U207 ( .A1(n429), .A2(n332), .B1(n589), .B2(n331), 
+        .Y(n89) );
+  sky130_fd_sc_hd__nor4_1 U208 ( .A(n92), .B(n91), .C(n90), .D(n89), .Y(n93)
+         );
+  sky130_fd_sc_hd__o22ai_1 U209 ( .A1(n94), .A2(n339), .B1(n93), .B2(n337), 
+        .Y(n95) );
+  sky130_fd_sc_hd__a211o_1 U210 ( .A1(n343), .A2(gen_normal_fifo_storage[121]), 
+        .B1(n96), .C1(n95), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__a22oi_1 U211 ( .A1(n288), .A2(gen_normal_fifo_storage[66]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[82]), .Y(n99) );
+  sky130_fd_sc_hd__a22oi_1 U212 ( .A1(n290), .A2(gen_normal_fifo_storage[74]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[90]), .Y(n98) );
+  sky130_fd_sc_hd__a22oi_1 U213 ( .A1(n292), .A2(gen_normal_fifo_storage[98]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[106]), .Y(n97) );
+  sky130_fd_sc_hd__nand3_1 U214 ( .A(n99), .B(n98), .C(n97), .Y(n100) );
+  sky130_fd_sc_hd__a21oi_1 U215 ( .A1(n297), .A2(gen_normal_fifo_storage[114]), 
+        .B1(n100), .Y(n106) );
+  sky130_fd_sc_hd__o22ai_1 U216 ( .A1(n312), .A2(n326), .B1(n311), .B2(n327), 
+        .Y(n104) );
+  sky130_fd_sc_hd__o22ai_1 U217 ( .A1(n310), .A2(n328), .B1(n309), .B2(n402), 
+        .Y(n103) );
+  sky130_fd_sc_hd__o22ai_1 U218 ( .A1(n308), .A2(n329), .B1(n307), .B2(n330), 
+        .Y(n102) );
+  sky130_fd_sc_hd__o22ai_1 U219 ( .A1(n306), .A2(n331), .B1(n305), .B2(n332), 
+        .Y(n101) );
+  sky130_fd_sc_hd__nor4_1 U220 ( .A(n104), .B(n103), .C(n102), .D(n101), .Y(
+        n105) );
+  sky130_fd_sc_hd__o22ai_1 U221 ( .A1(n106), .A2(n304), .B1(n105), .B2(n302), 
+        .Y(n134) );
+  sky130_fd_sc_hd__o22ai_1 U222 ( .A1(n324), .A2(n326), .B1(n323), .B2(n327), 
+        .Y(n118) );
+  sky130_fd_sc_hd__o22ai_1 U223 ( .A1(n322), .A2(n328), .B1(n321), .B2(n402), 
+        .Y(n117) );
+  sky130_fd_sc_hd__o22ai_1 U224 ( .A1(n320), .A2(n329), .B1(n319), .B2(n330), 
+        .Y(n116) );
+  sky130_fd_sc_hd__o22ai_1 U225 ( .A1(n318), .A2(n331), .B1(n317), .B2(n332), 
+        .Y(n107) );
+  sky130_fd_sc_hd__nor4_1 U226 ( .A(n118), .B(n117), .C(n116), .D(n107), .Y(
+        n132) );
+  sky130_fd_sc_hd__clkinv_1 U227 ( .A(gen_normal_fifo_storage[18]), .Y(n618)
+         );
+  sky130_fd_sc_hd__clkinv_1 U228 ( .A(gen_normal_fifo_storage[2]), .Y(n639) );
+  sky130_fd_sc_hd__o22ai_1 U229 ( .A1(n618), .A2(n327), .B1(n639), .B2(n326), 
+        .Y(n130) );
+  sky130_fd_sc_hd__clkinv_1 U230 ( .A(gen_normal_fifo_storage[26]), .Y(n608)
+         );
+  sky130_fd_sc_hd__clkinv_1 U231 ( .A(gen_normal_fifo_storage[10]), .Y(n628)
+         );
+  sky130_fd_sc_hd__o22ai_1 U232 ( .A1(n608), .A2(n402), .B1(n628), .B2(n328), 
+        .Y(n129) );
+  sky130_fd_sc_hd__clkinv_1 U233 ( .A(gen_normal_fifo_storage[50]), .Y(n578)
+         );
+  sky130_fd_sc_hd__clkinv_1 U234 ( .A(gen_normal_fifo_storage[34]), .Y(n598)
+         );
+  sky130_fd_sc_hd__o22ai_1 U235 ( .A1(n578), .A2(n330), .B1(n598), .B2(n329), 
+        .Y(n128) );
+  sky130_fd_sc_hd__clkinv_1 U236 ( .A(gen_normal_fifo_storage[58]), .Y(n428)
+         );
+  sky130_fd_sc_hd__clkinv_1 U237 ( .A(gen_normal_fifo_storage[42]), .Y(n588)
+         );
+  sky130_fd_sc_hd__o22ai_1 U238 ( .A1(n428), .A2(n332), .B1(n588), .B2(n331), 
+        .Y(n119) );
+  sky130_fd_sc_hd__nor4_1 U239 ( .A(n130), .B(n129), .C(n128), .D(n119), .Y(
+        n131) );
+  sky130_fd_sc_hd__o22ai_1 U240 ( .A1(n132), .A2(n339), .B1(n131), .B2(n337), 
+        .Y(n133) );
+  sky130_fd_sc_hd__a211o_1 U241 ( .A1(n343), .A2(gen_normal_fifo_storage[122]), 
+        .B1(n134), .C1(n133), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__a22oi_1 U242 ( .A1(n288), .A2(gen_normal_fifo_storage[67]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[83]), .Y(n137) );
+  sky130_fd_sc_hd__a22oi_1 U243 ( .A1(n290), .A2(gen_normal_fifo_storage[75]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[91]), .Y(n136) );
+  sky130_fd_sc_hd__a22oi_1 U244 ( .A1(n292), .A2(gen_normal_fifo_storage[99]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[107]), .Y(n135) );
+  sky130_fd_sc_hd__nand3_1 U245 ( .A(n137), .B(n136), .C(n135), .Y(n138) );
+  sky130_fd_sc_hd__a21oi_1 U246 ( .A1(n297), .A2(gen_normal_fifo_storage[115]), 
+        .B1(n138), .Y(n144) );
+  sky130_fd_sc_hd__o22ai_1 U247 ( .A1(n273), .A2(n326), .B1(n272), .B2(n327), 
+        .Y(n142) );
+  sky130_fd_sc_hd__o22ai_1 U248 ( .A1(n271), .A2(n328), .B1(n270), .B2(n402), 
+        .Y(n141) );
+  sky130_fd_sc_hd__o22ai_1 U249 ( .A1(n269), .A2(n329), .B1(n268), .B2(n330), 
+        .Y(n140) );
+  sky130_fd_sc_hd__o22ai_1 U250 ( .A1(n267), .A2(n331), .B1(n266), .B2(n332), 
+        .Y(n139) );
+  sky130_fd_sc_hd__nor4_1 U251 ( .A(n142), .B(n141), .C(n140), .D(n139), .Y(
+        n143) );
+  sky130_fd_sc_hd__o22ai_1 U252 ( .A1(n144), .A2(n304), .B1(n143), .B2(n302), 
+        .Y(n172) );
+  sky130_fd_sc_hd__o22ai_1 U253 ( .A1(n285), .A2(n326), .B1(n284), .B2(n327), 
+        .Y(n148) );
+  sky130_fd_sc_hd__o22ai_1 U254 ( .A1(n283), .A2(n328), .B1(n282), .B2(n402), 
+        .Y(n147) );
+  sky130_fd_sc_hd__o22ai_1 U255 ( .A1(n281), .A2(n329), .B1(n280), .B2(n330), 
+        .Y(n146) );
+  sky130_fd_sc_hd__o22ai_1 U256 ( .A1(n279), .A2(n331), .B1(n278), .B2(n332), 
+        .Y(n145) );
+  sky130_fd_sc_hd__nor4_1 U257 ( .A(n148), .B(n147), .C(n146), .D(n145), .Y(
+        n170) );
+  sky130_fd_sc_hd__clkinv_1 U258 ( .A(gen_normal_fifo_storage[19]), .Y(n617)
+         );
+  sky130_fd_sc_hd__clkinv_1 U259 ( .A(gen_normal_fifo_storage[3]), .Y(n638) );
+  sky130_fd_sc_hd__o22ai_1 U260 ( .A1(n617), .A2(n327), .B1(n638), .B2(n326), 
+        .Y(n160) );
+  sky130_fd_sc_hd__clkinv_1 U261 ( .A(gen_normal_fifo_storage[27]), .Y(n607)
+         );
+  sky130_fd_sc_hd__clkinv_1 U262 ( .A(gen_normal_fifo_storage[11]), .Y(n627)
+         );
+  sky130_fd_sc_hd__o22ai_1 U263 ( .A1(n607), .A2(n402), .B1(n627), .B2(n328), 
+        .Y(n159) );
+  sky130_fd_sc_hd__clkinv_1 U264 ( .A(gen_normal_fifo_storage[51]), .Y(n577)
+         );
+  sky130_fd_sc_hd__clkinv_1 U265 ( .A(gen_normal_fifo_storage[35]), .Y(n597)
+         );
+  sky130_fd_sc_hd__o22ai_1 U266 ( .A1(n577), .A2(n330), .B1(n597), .B2(n329), 
+        .Y(n158) );
+  sky130_fd_sc_hd__clkinv_1 U267 ( .A(gen_normal_fifo_storage[59]), .Y(n427)
+         );
+  sky130_fd_sc_hd__clkinv_1 U268 ( .A(gen_normal_fifo_storage[43]), .Y(n587)
+         );
+  sky130_fd_sc_hd__o22ai_1 U269 ( .A1(n427), .A2(n332), .B1(n587), .B2(n331), 
+        .Y(n157) );
+  sky130_fd_sc_hd__nor4_1 U270 ( .A(n160), .B(n159), .C(n158), .D(n157), .Y(
+        n169) );
+  sky130_fd_sc_hd__o22ai_1 U271 ( .A1(n170), .A2(n339), .B1(n169), .B2(n337), 
+        .Y(n171) );
+  sky130_fd_sc_hd__a211o_1 U272 ( .A1(n343), .A2(gen_normal_fifo_storage[123]), 
+        .B1(n172), .C1(n171), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__a22oi_1 U273 ( .A1(n288), .A2(gen_normal_fifo_storage[68]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[84]), .Y(n175) );
+  sky130_fd_sc_hd__a22oi_1 U274 ( .A1(n290), .A2(gen_normal_fifo_storage[76]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[92]), .Y(n174) );
+  sky130_fd_sc_hd__a22oi_1 U275 ( .A1(n292), .A2(gen_normal_fifo_storage[100]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[108]), .Y(n173) );
+  sky130_fd_sc_hd__nand3_1 U276 ( .A(n175), .B(n174), .C(n173), .Y(n176) );
+  sky130_fd_sc_hd__a21oi_1 U277 ( .A1(n297), .A2(gen_normal_fifo_storage[116]), 
+        .B1(n176), .Y(n182) );
+  sky130_fd_sc_hd__o22ai_1 U278 ( .A1(n234), .A2(n326), .B1(n233), .B2(n327), 
+        .Y(n180) );
+  sky130_fd_sc_hd__o22ai_1 U279 ( .A1(n232), .A2(n328), .B1(n231), .B2(n402), 
+        .Y(n179) );
+  sky130_fd_sc_hd__o22ai_1 U280 ( .A1(n230), .A2(n329), .B1(n229), .B2(n330), 
+        .Y(n178) );
+  sky130_fd_sc_hd__o22ai_1 U281 ( .A1(n228), .A2(n331), .B1(n227), .B2(n332), 
+        .Y(n177) );
+  sky130_fd_sc_hd__nor4_1 U282 ( .A(n180), .B(n179), .C(n178), .D(n177), .Y(
+        n181) );
+  sky130_fd_sc_hd__o22ai_1 U283 ( .A1(n182), .A2(n304), .B1(n181), .B2(n302), 
+        .Y(n210) );
+  sky130_fd_sc_hd__o22ai_1 U284 ( .A1(n246), .A2(n326), .B1(n245), .B2(n327), 
+        .Y(n186) );
+  sky130_fd_sc_hd__o22ai_1 U285 ( .A1(n244), .A2(n328), .B1(n243), .B2(n402), 
+        .Y(n185) );
+  sky130_fd_sc_hd__o22ai_1 U286 ( .A1(n242), .A2(n329), .B1(n241), .B2(n330), 
+        .Y(n184) );
+  sky130_fd_sc_hd__o22ai_1 U287 ( .A1(n240), .A2(n331), .B1(n239), .B2(n332), 
+        .Y(n183) );
+  sky130_fd_sc_hd__nor4_1 U288 ( .A(n186), .B(n185), .C(n184), .D(n183), .Y(
+        n208) );
+  sky130_fd_sc_hd__clkinv_1 U289 ( .A(gen_normal_fifo_storage[20]), .Y(n616)
+         );
+  sky130_fd_sc_hd__clkinv_1 U290 ( .A(gen_normal_fifo_storage[4]), .Y(n637) );
+  sky130_fd_sc_hd__o22ai_1 U291 ( .A1(n616), .A2(n327), .B1(n637), .B2(n326), 
+        .Y(n198) );
+  sky130_fd_sc_hd__clkinv_1 U292 ( .A(gen_normal_fifo_storage[28]), .Y(n606)
+         );
+  sky130_fd_sc_hd__clkinv_1 U293 ( .A(gen_normal_fifo_storage[12]), .Y(n626)
+         );
+  sky130_fd_sc_hd__o22ai_1 U294 ( .A1(n606), .A2(n402), .B1(n626), .B2(n328), 
+        .Y(n197) );
+  sky130_fd_sc_hd__clkinv_1 U295 ( .A(gen_normal_fifo_storage[52]), .Y(n576)
+         );
+  sky130_fd_sc_hd__clkinv_1 U296 ( .A(gen_normal_fifo_storage[36]), .Y(n596)
+         );
+  sky130_fd_sc_hd__o22ai_1 U297 ( .A1(n576), .A2(n330), .B1(n596), .B2(n329), 
+        .Y(n196) );
+  sky130_fd_sc_hd__clkinv_1 U298 ( .A(gen_normal_fifo_storage[60]), .Y(n426)
+         );
+  sky130_fd_sc_hd__clkinv_1 U299 ( .A(gen_normal_fifo_storage[44]), .Y(n586)
+         );
+  sky130_fd_sc_hd__o22ai_1 U300 ( .A1(n426), .A2(n332), .B1(n586), .B2(n331), 
+        .Y(n187) );
+  sky130_fd_sc_hd__nor4_1 U301 ( .A(n198), .B(n197), .C(n196), .D(n187), .Y(
+        n199) );
+  sky130_fd_sc_hd__o22ai_1 U302 ( .A1(n208), .A2(n339), .B1(n199), .B2(n337), 
+        .Y(n209) );
+  sky130_fd_sc_hd__a211o_1 U303 ( .A1(n343), .A2(gen_normal_fifo_storage[124]), 
+        .B1(n210), .C1(n209), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__a22oi_1 U304 ( .A1(n288), .A2(gen_normal_fifo_storage[69]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[85]), .Y(n213) );
+  sky130_fd_sc_hd__a22oi_1 U305 ( .A1(n290), .A2(gen_normal_fifo_storage[77]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[93]), .Y(n212) );
+  sky130_fd_sc_hd__a22oi_1 U306 ( .A1(n292), .A2(gen_normal_fifo_storage[101]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[109]), .Y(n211) );
+  sky130_fd_sc_hd__nand3_1 U307 ( .A(n213), .B(n212), .C(n211), .Y(n214) );
+  sky130_fd_sc_hd__a21oi_1 U308 ( .A1(n297), .A2(gen_normal_fifo_storage[117]), 
+        .B1(n214), .Y(n220) );
+  sky130_fd_sc_hd__o22ai_1 U309 ( .A1(n195), .A2(n326), .B1(n194), .B2(n327), 
+        .Y(n218) );
+  sky130_fd_sc_hd__o22ai_1 U310 ( .A1(n193), .A2(n328), .B1(n192), .B2(n402), 
+        .Y(n217) );
+  sky130_fd_sc_hd__o22ai_1 U311 ( .A1(n191), .A2(n329), .B1(n190), .B2(n330), 
+        .Y(n216) );
+  sky130_fd_sc_hd__o22ai_1 U312 ( .A1(n189), .A2(n331), .B1(n188), .B2(n332), 
+        .Y(n215) );
+  sky130_fd_sc_hd__nor4_1 U313 ( .A(n218), .B(n217), .C(n216), .D(n215), .Y(
+        n219) );
+  sky130_fd_sc_hd__o22ai_1 U314 ( .A1(n220), .A2(n304), .B1(n219), .B2(n302), 
+        .Y(n248) );
+  sky130_fd_sc_hd__o22ai_1 U315 ( .A1(n207), .A2(n326), .B1(n206), .B2(n327), 
+        .Y(n224) );
+  sky130_fd_sc_hd__o22ai_1 U316 ( .A1(n205), .A2(n328), .B1(n204), .B2(n402), 
+        .Y(n223) );
+  sky130_fd_sc_hd__o22ai_1 U317 ( .A1(n203), .A2(n329), .B1(n202), .B2(n330), 
+        .Y(n222) );
+  sky130_fd_sc_hd__o22ai_1 U318 ( .A1(n201), .A2(n331), .B1(n200), .B2(n332), 
+        .Y(n221) );
+  sky130_fd_sc_hd__nor4_1 U319 ( .A(n224), .B(n223), .C(n222), .D(n221), .Y(
+        n238) );
+  sky130_fd_sc_hd__clkinv_1 U320 ( .A(gen_normal_fifo_storage[21]), .Y(n615)
+         );
+  sky130_fd_sc_hd__clkinv_1 U321 ( .A(gen_normal_fifo_storage[5]), .Y(n636) );
+  sky130_fd_sc_hd__o22ai_1 U322 ( .A1(n615), .A2(n327), .B1(n636), .B2(n326), 
+        .Y(n236) );
+  sky130_fd_sc_hd__clkinv_1 U323 ( .A(gen_normal_fifo_storage[29]), .Y(n605)
+         );
+  sky130_fd_sc_hd__clkinv_1 U324 ( .A(gen_normal_fifo_storage[13]), .Y(n625)
+         );
+  sky130_fd_sc_hd__o22ai_1 U325 ( .A1(n605), .A2(n402), .B1(n625), .B2(n328), 
+        .Y(n235) );
+  sky130_fd_sc_hd__clkinv_1 U326 ( .A(gen_normal_fifo_storage[53]), .Y(n575)
+         );
+  sky130_fd_sc_hd__clkinv_1 U327 ( .A(gen_normal_fifo_storage[37]), .Y(n595)
+         );
+  sky130_fd_sc_hd__o22ai_1 U328 ( .A1(n575), .A2(n330), .B1(n595), .B2(n329), 
+        .Y(n226) );
+  sky130_fd_sc_hd__clkinv_1 U329 ( .A(gen_normal_fifo_storage[61]), .Y(n425)
+         );
+  sky130_fd_sc_hd__clkinv_1 U330 ( .A(gen_normal_fifo_storage[45]), .Y(n585)
+         );
+  sky130_fd_sc_hd__o22ai_1 U331 ( .A1(n425), .A2(n332), .B1(n585), .B2(n331), 
+        .Y(n225) );
+  sky130_fd_sc_hd__nor4_1 U332 ( .A(n236), .B(n235), .C(n226), .D(n225), .Y(
+        n237) );
+  sky130_fd_sc_hd__o22ai_1 U333 ( .A1(n238), .A2(n339), .B1(n237), .B2(n337), 
+        .Y(n247) );
+  sky130_fd_sc_hd__a211o_1 U334 ( .A1(n343), .A2(gen_normal_fifo_storage[125]), 
+        .B1(n248), .C1(n247), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__a22oi_1 U335 ( .A1(n288), .A2(gen_normal_fifo_storage[70]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[86]), .Y(n251) );
+  sky130_fd_sc_hd__a22oi_1 U336 ( .A1(n290), .A2(gen_normal_fifo_storage[78]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[94]), .Y(n250) );
+  sky130_fd_sc_hd__a22oi_1 U337 ( .A1(n292), .A2(gen_normal_fifo_storage[102]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[110]), .Y(n249) );
+  sky130_fd_sc_hd__nand3_1 U338 ( .A(n251), .B(n250), .C(n249), .Y(n252) );
+  sky130_fd_sc_hd__a21oi_1 U339 ( .A1(n297), .A2(gen_normal_fifo_storage[118]), 
+        .B1(n252), .Y(n258) );
+  sky130_fd_sc_hd__o22ai_1 U340 ( .A1(n156), .A2(n326), .B1(n155), .B2(n327), 
+        .Y(n256) );
+  sky130_fd_sc_hd__o22ai_1 U341 ( .A1(n154), .A2(n328), .B1(n153), .B2(n402), 
+        .Y(n255) );
+  sky130_fd_sc_hd__o22ai_1 U342 ( .A1(n152), .A2(n329), .B1(n151), .B2(n330), 
+        .Y(n254) );
+  sky130_fd_sc_hd__o22ai_1 U343 ( .A1(n150), .A2(n331), .B1(n149), .B2(n332), 
+        .Y(n253) );
+  sky130_fd_sc_hd__nor4_1 U344 ( .A(n256), .B(n255), .C(n254), .D(n253), .Y(
+        n257) );
+  sky130_fd_sc_hd__o22ai_1 U345 ( .A1(n258), .A2(n304), .B1(n257), .B2(n302), 
+        .Y(n286) );
+  sky130_fd_sc_hd__o22ai_1 U346 ( .A1(n168), .A2(n326), .B1(n167), .B2(n327), 
+        .Y(n262) );
+  sky130_fd_sc_hd__o22ai_1 U347 ( .A1(n166), .A2(n328), .B1(n165), .B2(n402), 
+        .Y(n261) );
+  sky130_fd_sc_hd__o22ai_1 U348 ( .A1(n164), .A2(n329), .B1(n163), .B2(n330), 
+        .Y(n260) );
+  sky130_fd_sc_hd__o22ai_1 U349 ( .A1(n162), .A2(n331), .B1(n161), .B2(n332), 
+        .Y(n259) );
+  sky130_fd_sc_hd__nor4_1 U350 ( .A(n262), .B(n261), .C(n260), .D(n259), .Y(
+        n276) );
+  sky130_fd_sc_hd__clkinv_1 U351 ( .A(gen_normal_fifo_storage[22]), .Y(n614)
+         );
+  sky130_fd_sc_hd__clkinv_1 U352 ( .A(gen_normal_fifo_storage[6]), .Y(n635) );
+  sky130_fd_sc_hd__o22ai_1 U353 ( .A1(n614), .A2(n327), .B1(n635), .B2(n326), 
+        .Y(n274) );
+  sky130_fd_sc_hd__clkinv_1 U354 ( .A(gen_normal_fifo_storage[30]), .Y(n604)
+         );
+  sky130_fd_sc_hd__clkinv_1 U355 ( .A(gen_normal_fifo_storage[14]), .Y(n624)
+         );
+  sky130_fd_sc_hd__o22ai_1 U356 ( .A1(n604), .A2(n402), .B1(n624), .B2(n328), 
+        .Y(n265) );
+  sky130_fd_sc_hd__clkinv_1 U357 ( .A(gen_normal_fifo_storage[54]), .Y(n574)
+         );
+  sky130_fd_sc_hd__clkinv_1 U358 ( .A(gen_normal_fifo_storage[38]), .Y(n594)
+         );
+  sky130_fd_sc_hd__o22ai_1 U359 ( .A1(n574), .A2(n330), .B1(n594), .B2(n329), 
+        .Y(n264) );
+  sky130_fd_sc_hd__clkinv_1 U360 ( .A(gen_normal_fifo_storage[62]), .Y(n424)
+         );
+  sky130_fd_sc_hd__clkinv_1 U361 ( .A(gen_normal_fifo_storage[46]), .Y(n584)
+         );
+  sky130_fd_sc_hd__o22ai_1 U362 ( .A1(n424), .A2(n332), .B1(n584), .B2(n331), 
+        .Y(n263) );
+  sky130_fd_sc_hd__nor4_1 U363 ( .A(n274), .B(n265), .C(n264), .D(n263), .Y(
+        n275) );
+  sky130_fd_sc_hd__o22ai_1 U364 ( .A1(n276), .A2(n339), .B1(n275), .B2(n337), 
+        .Y(n277) );
+  sky130_fd_sc_hd__a211o_1 U365 ( .A1(n343), .A2(gen_normal_fifo_storage[126]), 
+        .B1(n286), .C1(n277), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__a22oi_1 U366 ( .A1(n288), .A2(gen_normal_fifo_storage[71]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[87]), .Y(n295) );
+  sky130_fd_sc_hd__a22oi_1 U367 ( .A1(n290), .A2(gen_normal_fifo_storage[79]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[95]), .Y(n294) );
+  sky130_fd_sc_hd__a22oi_1 U368 ( .A1(n292), .A2(gen_normal_fifo_storage[103]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[111]), .Y(n293) );
+  sky130_fd_sc_hd__nand3_1 U369 ( .A(n295), .B(n294), .C(n293), .Y(n296) );
+  sky130_fd_sc_hd__a21oi_1 U370 ( .A1(n297), .A2(gen_normal_fifo_storage[119]), 
+        .B1(n296), .Y(n313) );
+  sky130_fd_sc_hd__o22ai_1 U371 ( .A1(n115), .A2(n326), .B1(n114), .B2(n327), 
+        .Y(n301) );
+  sky130_fd_sc_hd__o22ai_1 U372 ( .A1(n113), .A2(n328), .B1(n112), .B2(n402), 
+        .Y(n300) );
+  sky130_fd_sc_hd__o22ai_1 U373 ( .A1(n111), .A2(n329), .B1(n110), .B2(n330), 
+        .Y(n299) );
+  sky130_fd_sc_hd__o22ai_1 U374 ( .A1(n109), .A2(n331), .B1(n108), .B2(n332), 
+        .Y(n298) );
+  sky130_fd_sc_hd__nor4_1 U375 ( .A(n301), .B(n300), .C(n299), .D(n298), .Y(
+        n303) );
+  sky130_fd_sc_hd__o22ai_1 U376 ( .A1(n313), .A2(n304), .B1(n303), .B2(n302), 
+        .Y(n342) );
+  sky130_fd_sc_hd__o22ai_1 U377 ( .A1(n127), .A2(n326), .B1(n126), .B2(n327), 
+        .Y(n325) );
+  sky130_fd_sc_hd__o22ai_1 U378 ( .A1(n125), .A2(n328), .B1(n124), .B2(n402), 
+        .Y(n316) );
+  sky130_fd_sc_hd__o22ai_1 U379 ( .A1(n123), .A2(n329), .B1(n122), .B2(n330), 
+        .Y(n315) );
+  sky130_fd_sc_hd__o22ai_1 U380 ( .A1(n121), .A2(n331), .B1(n120), .B2(n332), 
+        .Y(n314) );
+  sky130_fd_sc_hd__nor4_1 U381 ( .A(n325), .B(n316), .C(n315), .D(n314), .Y(
+        n340) );
+  sky130_fd_sc_hd__clkinv_1 U382 ( .A(gen_normal_fifo_storage[23]), .Y(n613)
+         );
+  sky130_fd_sc_hd__clkinv_1 U383 ( .A(gen_normal_fifo_storage[7]), .Y(n634) );
+  sky130_fd_sc_hd__o22ai_1 U384 ( .A1(n613), .A2(n327), .B1(n634), .B2(n326), 
+        .Y(n336) );
+  sky130_fd_sc_hd__clkinv_1 U385 ( .A(gen_normal_fifo_storage[31]), .Y(n603)
+         );
+  sky130_fd_sc_hd__clkinv_1 U386 ( .A(gen_normal_fifo_storage[15]), .Y(n623)
+         );
+  sky130_fd_sc_hd__o22ai_1 U387 ( .A1(n603), .A2(n402), .B1(n623), .B2(n328), 
+        .Y(n335) );
+  sky130_fd_sc_hd__clkinv_1 U388 ( .A(gen_normal_fifo_storage[55]), .Y(n433)
+         );
+  sky130_fd_sc_hd__clkinv_1 U389 ( .A(gen_normal_fifo_storage[39]), .Y(n593)
+         );
+  sky130_fd_sc_hd__o22ai_1 U390 ( .A1(n433), .A2(n330), .B1(n593), .B2(n329), 
+        .Y(n334) );
+  sky130_fd_sc_hd__clkinv_1 U391 ( .A(gen_normal_fifo_storage[63]), .Y(n423)
+         );
+  sky130_fd_sc_hd__clkinv_1 U392 ( .A(gen_normal_fifo_storage[47]), .Y(n583)
+         );
+  sky130_fd_sc_hd__o22ai_1 U393 ( .A1(n423), .A2(n332), .B1(n583), .B2(n331), 
+        .Y(n333) );
+  sky130_fd_sc_hd__nor4_1 U394 ( .A(n336), .B(n335), .C(n334), .D(n333), .Y(
+        n338) );
+  sky130_fd_sc_hd__o22ai_1 U395 ( .A1(n340), .A2(n339), .B1(n338), .B2(n337), 
+        .Y(n341) );
+  sky130_fd_sc_hd__a211o_1 U396 ( .A1(n343), .A2(gen_normal_fifo_storage[127]), 
+        .B1(n342), .C1(n341), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__xor2_1 U397 ( .A(n352), .B(n354), .X(depth_o[1]) );
+  sky130_fd_sc_hd__nand2_1 U398 ( .A(gen_normal_fifo_rptr_value[1]), .B(n379), 
+        .Y(n355) );
+  sky130_fd_sc_hd__nor2_1 U399 ( .A(gen_normal_fifo_rptr_value[1]), .B(n379), 
+        .Y(n353) );
+  sky130_fd_sc_hd__a21oi_1 U400 ( .A1(n355), .A2(n354), .B1(n353), .Y(n365) );
+  sky130_fd_sc_hd__xnor2_1 U401 ( .A(n364), .B(n365), .Y(depth_o[2]) );
+  sky130_fd_sc_hd__nor2_1 U402 ( .A(gen_normal_fifo_wptr_value[2]), .B(n391), 
+        .Y(n366) );
+  sky130_fd_sc_hd__o22ai_1 U403 ( .A1(gen_normal_fifo_rptr_value[2]), .A2(n382), .B1(n366), .B2(n365), .Y(n369) );
+  sky130_fd_sc_hd__xnor2_1 U404 ( .A(n367), .B(n369), .Y(depth_o[3]) );
+  sky130_fd_sc_hd__nand2_1 U405 ( .A(gen_normal_fifo_rptr_value[3]), .B(n645), 
+        .Y(n370) );
+  sky130_fd_sc_hd__nor2_1 U406 ( .A(gen_normal_fifo_rptr_value[3]), .B(n645), 
+        .Y(n368) );
+  sky130_fd_sc_hd__a21oi_1 U407 ( .A1(n370), .A2(n369), .B1(n368), .Y(n372) );
+  sky130_fd_sc_hd__xnor2_1 U408 ( .A(n371), .B(n372), .Y(depth_o[4]) );
+  sky130_fd_sc_hd__nor2_1 U409 ( .A(gen_normal_fifo_wptr_value[4]), .B(n417), 
+        .Y(n373) );
+  sky130_fd_sc_hd__o22ai_1 U410 ( .A1(gen_normal_fifo_rptr_value[4]), .A2(n377), .B1(n373), .B2(n372), .Y(n374) );
+  sky130_fd_sc_hd__xnor2_1 U411 ( .A(n375), .B(n374), .Y(depth_o[5]) );
+  sky130_fd_sc_hd__nand2_1 U412 ( .A(rready_i), .B(rvalid_o), .Y(n407) );
+  sky130_fd_sc_hd__nor2_1 U413 ( .A(n376), .B(n407), .Y(n392) );
+  sky130_fd_sc_hd__a21oi_1 U414 ( .A1(n376), .A2(n407), .B1(n392), .Y(n573) );
+  sky130_fd_sc_hd__nor2_1 U415 ( .A(n380), .B(n381), .Y(n378) );
+  sky130_fd_sc_hd__a21oi_1 U416 ( .A1(n380), .A2(n381), .B1(n378), .Y(n572) );
+  sky130_fd_sc_hd__o21ai_1 U417 ( .A1(n647), .A2(n377), .B1(n646), .Y(n388) );
+  sky130_fd_sc_hd__o32ai_1 U418 ( .A1(gen_normal_fifo_wptr_value[1]), .A2(n380), .A3(n388), .B1(n378), .B2(n379), .Y(n571) );
+  sky130_fd_sc_hd__nor3_1 U419 ( .A(n380), .B(n379), .C(n381), .Y(n383) );
+  sky130_fd_sc_hd__o22ai_1 U420 ( .A1(n383), .A2(n382), .B1(n381), .B2(n651), 
+        .Y(n570) );
+  sky130_fd_sc_hd__clkinv_1 U421 ( .A(n647), .Y(n386) );
+  sky130_fd_sc_hd__nand2_1 U422 ( .A(n646), .B(n386), .Y(n385) );
+  sky130_fd_sc_hd__nand2_1 U423 ( .A(n385), .B(gen_normal_fifo_wptr_value[3]), 
+        .Y(n384) );
+  sky130_fd_sc_hd__o21ai_1 U424 ( .A1(gen_normal_fifo_wptr_value[3]), .A2(n385), .B1(n384), .Y(n569) );
+  sky130_fd_sc_hd__nand2_1 U425 ( .A(n386), .B(gen_normal_fifo_wptr_value[3]), 
+        .Y(n387) );
+  sky130_fd_sc_hd__o22ai_1 U426 ( .A1(n422), .A2(n377), .B1(n388), .B2(n387), 
+        .Y(n568) );
+  sky130_fd_sc_hd__clkinv_1 U427 ( .A(n407), .Y(n403) );
+  sky130_fd_sc_hd__nand2_1 U428 ( .A(n403), .B(n409), .Y(n415) );
+  sky130_fd_sc_hd__o22ai_1 U429 ( .A1(n392), .A2(n390), .B1(n389), .B2(n415), 
+        .Y(n566) );
+  sky130_fd_sc_hd__a21o_1 U430 ( .A1(gen_normal_fifo_rptr_value[1]), .A2(n392), 
+        .B1(n391), .X(n401) );
+  sky130_fd_sc_hd__o21ai_1 U431 ( .A1(n407), .A2(n402), .B1(n401), .Y(n565) );
+  sky130_fd_sc_hd__nand2_1 U432 ( .A(n403), .B(n411), .Y(n405) );
+  sky130_fd_sc_hd__nand2_1 U433 ( .A(n405), .B(gen_normal_fifo_rptr_value[3]), 
+        .Y(n404) );
+  sky130_fd_sc_hd__o21ai_1 U434 ( .A1(gen_normal_fifo_rptr_value[3]), .A2(n405), .B1(n404), .Y(n564) );
+  sky130_fd_sc_hd__nor2_1 U435 ( .A(n409), .B(n407), .Y(n419) );
+  sky130_fd_sc_hd__nand2_1 U436 ( .A(gen_normal_fifo_rptr_value[3]), .B(n411), 
+        .Y(n413) );
+  sky130_fd_sc_hd__o22ai_1 U437 ( .A1(n419), .A2(n417), .B1(n415), .B2(n413), 
+        .Y(n563) );
+  sky130_fd_sc_hd__xor2_1 U438 ( .A(gen_normal_fifo_fifo_rptr_5_), .B(n419), 
+        .X(n562) );
+  sky130_fd_sc_hd__a22o_1 U439 ( .A1(n422), .A2(wdata_i[7]), .B1(n421), .B2(
+        gen_normal_fifo_storage[127]), .X(n561) );
+  sky130_fd_sc_hd__a22o_1 U440 ( .A1(n422), .A2(wdata_i[6]), .B1(n421), .B2(
+        gen_normal_fifo_storage[126]), .X(n560) );
+  sky130_fd_sc_hd__a22o_1 U441 ( .A1(n422), .A2(wdata_i[5]), .B1(n421), .B2(
+        gen_normal_fifo_storage[125]), .X(n559) );
+  sky130_fd_sc_hd__a22o_1 U442 ( .A1(n422), .A2(wdata_i[4]), .B1(n421), .B2(
+        gen_normal_fifo_storage[124]), .X(n558) );
+  sky130_fd_sc_hd__a22o_1 U443 ( .A1(n422), .A2(wdata_i[3]), .B1(n421), .B2(
+        gen_normal_fifo_storage[123]), .X(n557) );
+  sky130_fd_sc_hd__a22o_1 U444 ( .A1(n422), .A2(wdata_i[2]), .B1(n421), .B2(
+        gen_normal_fifo_storage[122]), .X(n556) );
+  sky130_fd_sc_hd__a22o_1 U445 ( .A1(n422), .A2(wdata_i[1]), .B1(n421), .B2(
+        gen_normal_fifo_storage[121]), .X(n555) );
+  sky130_fd_sc_hd__a22o_1 U446 ( .A1(n422), .A2(wdata_i[0]), .B1(n421), .B2(
+        gen_normal_fifo_storage[120]), .X(n554) );
+  sky130_fd_sc_hd__nand3_1 U447 ( .A(gen_normal_fifo_wptr_value[4]), .B(n646), 
+        .C(n645), .Y(n633) );
+  sky130_fd_sc_hd__nor2_1 U448 ( .A(n647), .B(n633), .Y(n432) );
+  sky130_fd_sc_hd__clkinv_1 U449 ( .A(n432), .Y(n430) );
+  sky130_fd_sc_hd__o22ai_1 U450 ( .A1(n432), .A2(n423), .B1(n430), .B2(n73), 
+        .Y(n497) );
+  sky130_fd_sc_hd__o22ai_1 U451 ( .A1(n432), .A2(n424), .B1(n430), .B2(n72), 
+        .Y(n496) );
+  sky130_fd_sc_hd__o22ai_1 U452 ( .A1(n432), .A2(n425), .B1(n430), .B2(n71), 
+        .Y(n495) );
+  sky130_fd_sc_hd__o22ai_1 U453 ( .A1(n432), .A2(n426), .B1(n430), .B2(n70), 
+        .Y(n494) );
+  sky130_fd_sc_hd__o22ai_1 U454 ( .A1(n432), .A2(n427), .B1(n430), .B2(n69), 
+        .Y(n493) );
+  sky130_fd_sc_hd__o22ai_1 U455 ( .A1(n432), .A2(n428), .B1(n430), .B2(n68), 
+        .Y(n492) );
+  sky130_fd_sc_hd__o22ai_1 U456 ( .A1(n432), .A2(n429), .B1(n430), .B2(n67), 
+        .Y(n491) );
+  sky130_fd_sc_hd__o22ai_1 U457 ( .A1(n432), .A2(n431), .B1(n430), .B2(n66), 
+        .Y(n490) );
+  sky130_fd_sc_hd__nor2_1 U458 ( .A(n633), .B(n648), .Y(n582) );
+  sky130_fd_sc_hd__clkinv_1 U459 ( .A(n582), .Y(n580) );
+  sky130_fd_sc_hd__o22ai_1 U460 ( .A1(n582), .A2(n433), .B1(n580), .B2(n73), 
+        .Y(n489) );
+  sky130_fd_sc_hd__o22ai_1 U461 ( .A1(n582), .A2(n574), .B1(n580), .B2(n72), 
+        .Y(n488) );
+  sky130_fd_sc_hd__o22ai_1 U462 ( .A1(n582), .A2(n575), .B1(n580), .B2(n71), 
+        .Y(n487) );
+  sky130_fd_sc_hd__o22ai_1 U463 ( .A1(n582), .A2(n576), .B1(n580), .B2(n70), 
+        .Y(n486) );
+  sky130_fd_sc_hd__o22ai_1 U464 ( .A1(n582), .A2(n577), .B1(n580), .B2(n69), 
+        .Y(n485) );
+  sky130_fd_sc_hd__o22ai_1 U465 ( .A1(n582), .A2(n578), .B1(n580), .B2(n68), 
+        .Y(n484) );
+  sky130_fd_sc_hd__o22ai_1 U466 ( .A1(n582), .A2(n579), .B1(n580), .B2(n67), 
+        .Y(n483) );
+  sky130_fd_sc_hd__o22ai_1 U467 ( .A1(n582), .A2(n581), .B1(n580), .B2(n66), 
+        .Y(n482) );
+  sky130_fd_sc_hd__nor2_1 U468 ( .A(n633), .B(n649), .Y(n592) );
+  sky130_fd_sc_hd__clkinv_1 U469 ( .A(n592), .Y(n590) );
+  sky130_fd_sc_hd__o22ai_1 U470 ( .A1(n592), .A2(n583), .B1(n590), .B2(n73), 
+        .Y(n481) );
+  sky130_fd_sc_hd__o22ai_1 U471 ( .A1(n592), .A2(n584), .B1(n590), .B2(n72), 
+        .Y(n480) );
+  sky130_fd_sc_hd__o22ai_1 U472 ( .A1(n592), .A2(n585), .B1(n590), .B2(n71), 
+        .Y(n479) );
+  sky130_fd_sc_hd__o22ai_1 U473 ( .A1(n592), .A2(n586), .B1(n590), .B2(n70), 
+        .Y(n478) );
+  sky130_fd_sc_hd__o22ai_1 U474 ( .A1(n592), .A2(n587), .B1(n590), .B2(n69), 
+        .Y(n477) );
+  sky130_fd_sc_hd__o22ai_1 U475 ( .A1(n592), .A2(n588), .B1(n590), .B2(n68), 
+        .Y(n476) );
+  sky130_fd_sc_hd__o22ai_1 U476 ( .A1(n592), .A2(n589), .B1(n590), .B2(n67), 
+        .Y(n475) );
+  sky130_fd_sc_hd__o22ai_1 U477 ( .A1(n592), .A2(n591), .B1(n590), .B2(n66), 
+        .Y(n474) );
+  sky130_fd_sc_hd__nor2_1 U478 ( .A(n633), .B(n650), .Y(n602) );
+  sky130_fd_sc_hd__clkinv_1 U479 ( .A(n602), .Y(n600) );
+  sky130_fd_sc_hd__o22ai_1 U480 ( .A1(n602), .A2(n593), .B1(n600), .B2(n73), 
+        .Y(n473) );
+  sky130_fd_sc_hd__o22ai_1 U481 ( .A1(n602), .A2(n594), .B1(n600), .B2(n72), 
+        .Y(n472) );
+  sky130_fd_sc_hd__o22ai_1 U482 ( .A1(n602), .A2(n595), .B1(n600), .B2(n71), 
+        .Y(n471) );
+  sky130_fd_sc_hd__o22ai_1 U483 ( .A1(n602), .A2(n596), .B1(n600), .B2(n70), 
+        .Y(n470) );
+  sky130_fd_sc_hd__o22ai_1 U484 ( .A1(n602), .A2(n597), .B1(n600), .B2(n69), 
+        .Y(n469) );
+  sky130_fd_sc_hd__o22ai_1 U485 ( .A1(n602), .A2(n598), .B1(n600), .B2(n68), 
+        .Y(n468) );
+  sky130_fd_sc_hd__o22ai_1 U486 ( .A1(n602), .A2(n599), .B1(n600), .B2(n67), 
+        .Y(n467) );
+  sky130_fd_sc_hd__o22ai_1 U487 ( .A1(n602), .A2(n601), .B1(n600), .B2(n66), 
+        .Y(n466) );
+  sky130_fd_sc_hd__nor2_1 U488 ( .A(n633), .B(n651), .Y(n612) );
+  sky130_fd_sc_hd__clkinv_1 U489 ( .A(n612), .Y(n610) );
+  sky130_fd_sc_hd__o22ai_1 U490 ( .A1(n612), .A2(n603), .B1(n610), .B2(n73), 
+        .Y(n465) );
+  sky130_fd_sc_hd__o22ai_1 U491 ( .A1(n612), .A2(n604), .B1(n610), .B2(n72), 
+        .Y(n464) );
+  sky130_fd_sc_hd__o22ai_1 U492 ( .A1(n612), .A2(n605), .B1(n610), .B2(n71), 
+        .Y(n463) );
+  sky130_fd_sc_hd__o22ai_1 U493 ( .A1(n612), .A2(n606), .B1(n610), .B2(n70), 
+        .Y(n462) );
+  sky130_fd_sc_hd__o22ai_1 U494 ( .A1(n612), .A2(n607), .B1(n610), .B2(n69), 
+        .Y(n461) );
+  sky130_fd_sc_hd__o22ai_1 U495 ( .A1(n612), .A2(n608), .B1(n610), .B2(n68), 
+        .Y(n460) );
+  sky130_fd_sc_hd__o22ai_1 U496 ( .A1(n612), .A2(n609), .B1(n610), .B2(n67), 
+        .Y(n459) );
+  sky130_fd_sc_hd__o22ai_1 U497 ( .A1(n612), .A2(n611), .B1(n610), .B2(n66), 
+        .Y(n458) );
+  sky130_fd_sc_hd__nor2_1 U498 ( .A(n633), .B(n652), .Y(n622) );
+  sky130_fd_sc_hd__clkinv_1 U499 ( .A(n622), .Y(n620) );
+  sky130_fd_sc_hd__o22ai_1 U500 ( .A1(n622), .A2(n613), .B1(n620), .B2(n73), 
+        .Y(n457) );
+  sky130_fd_sc_hd__o22ai_1 U501 ( .A1(n622), .A2(n614), .B1(n620), .B2(n72), 
+        .Y(n456) );
+  sky130_fd_sc_hd__o22ai_1 U502 ( .A1(n622), .A2(n615), .B1(n620), .B2(n71), 
+        .Y(n455) );
+  sky130_fd_sc_hd__o22ai_1 U503 ( .A1(n622), .A2(n616), .B1(n620), .B2(n70), 
+        .Y(n454) );
+  sky130_fd_sc_hd__o22ai_1 U504 ( .A1(n622), .A2(n617), .B1(n620), .B2(n69), 
+        .Y(n453) );
+  sky130_fd_sc_hd__o22ai_1 U505 ( .A1(n622), .A2(n618), .B1(n620), .B2(n68), 
+        .Y(n452) );
+  sky130_fd_sc_hd__o22ai_1 U506 ( .A1(n622), .A2(n619), .B1(n620), .B2(n67), 
+        .Y(n451) );
+  sky130_fd_sc_hd__o22ai_1 U507 ( .A1(n622), .A2(n621), .B1(n620), .B2(n66), 
+        .Y(n450) );
+  sky130_fd_sc_hd__nor2_1 U508 ( .A(n633), .B(n653), .Y(n632) );
+  sky130_fd_sc_hd__clkinv_1 U509 ( .A(n632), .Y(n630) );
+  sky130_fd_sc_hd__o22ai_1 U510 ( .A1(n632), .A2(n623), .B1(n630), .B2(n73), 
+        .Y(n449) );
+  sky130_fd_sc_hd__o22ai_1 U511 ( .A1(n632), .A2(n624), .B1(n630), .B2(n72), 
+        .Y(n448) );
+  sky130_fd_sc_hd__o22ai_1 U512 ( .A1(n632), .A2(n625), .B1(n630), .B2(n71), 
+        .Y(n447) );
+  sky130_fd_sc_hd__o22ai_1 U513 ( .A1(n632), .A2(n626), .B1(n630), .B2(n70), 
+        .Y(n446) );
+  sky130_fd_sc_hd__o22ai_1 U514 ( .A1(n632), .A2(n627), .B1(n630), .B2(n69), 
+        .Y(n445) );
+  sky130_fd_sc_hd__o22ai_1 U515 ( .A1(n632), .A2(n628), .B1(n630), .B2(n68), 
+        .Y(n444) );
+  sky130_fd_sc_hd__o22ai_1 U516 ( .A1(n632), .A2(n629), .B1(n630), .B2(n67), 
+        .Y(n443) );
+  sky130_fd_sc_hd__o22ai_1 U517 ( .A1(n632), .A2(n631), .B1(n630), .B2(n66), 
+        .Y(n442) );
+  sky130_fd_sc_hd__nor2_1 U518 ( .A(n633), .B(n655), .Y(n643) );
+  sky130_fd_sc_hd__clkinv_1 U519 ( .A(n643), .Y(n641) );
+  sky130_fd_sc_hd__o22ai_1 U520 ( .A1(n643), .A2(n634), .B1(n641), .B2(n73), 
+        .Y(n441) );
+  sky130_fd_sc_hd__o22ai_1 U521 ( .A1(n643), .A2(n635), .B1(n641), .B2(n72), 
+        .Y(n440) );
+  sky130_fd_sc_hd__o22ai_1 U522 ( .A1(n643), .A2(n636), .B1(n641), .B2(n71), 
+        .Y(n439) );
+  sky130_fd_sc_hd__o22ai_1 U523 ( .A1(n643), .A2(n637), .B1(n641), .B2(n70), 
+        .Y(n438) );
+  sky130_fd_sc_hd__o22ai_1 U524 ( .A1(n643), .A2(n638), .B1(n641), .B2(n69), 
+        .Y(n437) );
+  sky130_fd_sc_hd__o22ai_1 U525 ( .A1(n643), .A2(n639), .B1(n641), .B2(n68), 
+        .Y(n436) );
+  sky130_fd_sc_hd__o22ai_1 U526 ( .A1(n643), .A2(n640), .B1(n641), .B2(n67), 
+        .Y(n435) );
+  sky130_fd_sc_hd__o22ai_1 U527 ( .A1(n643), .A2(n642), .B1(n641), .B2(n66), 
+        .Y(n434) );
+  sky130_fd_sc_hd__nand3_1 U528 ( .A(gen_normal_fifo_wptr_value[3]), .B(n646), 
+        .C(n377), .Y(n644) );
+  sky130_fd_sc_hd__nor2_1 U529 ( .A(n647), .B(n644), .Y(n38) );
+  sky130_fd_sc_hd__nor2_1 U530 ( .A(n648), .B(n644), .Y(n36) );
+  sky130_fd_sc_hd__nor2_1 U531 ( .A(n649), .B(n644), .Y(n35) );
+  sky130_fd_sc_hd__nor2_1 U532 ( .A(n650), .B(n644), .Y(n34) );
+  sky130_fd_sc_hd__nor2_1 U533 ( .A(n651), .B(n644), .Y(n33) );
+  sky130_fd_sc_hd__nor2_1 U534 ( .A(n652), .B(n644), .Y(n32) );
+  sky130_fd_sc_hd__nor2_1 U535 ( .A(n653), .B(n644), .Y(n31) );
+  sky130_fd_sc_hd__nor2_1 U536 ( .A(n655), .B(n644), .Y(n30) );
+  sky130_fd_sc_hd__nand3_1 U537 ( .A(n646), .B(n377), .C(n645), .Y(n654) );
+  sky130_fd_sc_hd__nor2_1 U538 ( .A(n647), .B(n654), .Y(n29) );
+  sky130_fd_sc_hd__nor2_1 U539 ( .A(n648), .B(n654), .Y(n27) );
+  sky130_fd_sc_hd__nor2_1 U540 ( .A(n649), .B(n654), .Y(n26) );
+  sky130_fd_sc_hd__nor2_1 U541 ( .A(n650), .B(n654), .Y(n24) );
+  sky130_fd_sc_hd__nor2_1 U542 ( .A(n651), .B(n654), .Y(n23) );
+  sky130_fd_sc_hd__nor2_1 U543 ( .A(n652), .B(n654), .Y(n21) );
+  sky130_fd_sc_hd__nor2_1 U544 ( .A(n653), .B(n654), .Y(n20) );
+  sky130_fd_sc_hd__nor2_1 U545 ( .A(n655), .B(n654), .Y(n18) );
+  sky130_fd_sc_hd__nand2b_1 U44 ( .A_N(n375), .B(n43), .Y(rvalid_o) );
+  sky130_fd_sc_hd__nor3_1 U123 ( .A(n17), .B(depth_o[0]), .C(n367), .Y(n43) );
+endmodule
+
+
+module opentitan_soc_top_uart_tx_0 ( clk_i, rst_ni, tx_enable, tick_baud_x16, 
+        parity_enable, wr, wr_parity, wr_data, idle, tx );
+  input [7:0] wr_data;
+  input clk_i, rst_ni, tx_enable, tick_baud_x16, parity_enable, wr, wr_parity;
+  output idle, tx;
+  wire   tick_baud_q, N14, N25, N37, N38, N39, N44, n2, n4, n5, n6, n7, n8, n9,
+         n10, n11, n12, n13, n140, n15, n16, n17, n18, n19, n20, n21, n22, n47,
+         n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n1, n23, n24, n250,
+         n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36, n370, n380,
+         n390, n40, n41, n42, n43, n440, n45, n46, n58;
+  wire   [3:0] baud_div_q;
+  wire   [3:0] bit_cnt_q;
+  wire   [9:0] sreg_q;
+
+  sky130_fd_sc_hd__dfrtp_1 tick_baud_q_reg ( .D(n22), .CLK(n1), .RESET_B(
+        rst_ni), .Q(tick_baud_q) );
+  sky130_fd_sc_hd__dfrtp_1 baud_div_q_reg_3_ ( .D(n21), .CLK(n1), .RESET_B(
+        rst_ni), .Q(baud_div_q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 baud_div_q_reg_2_ ( .D(n20), .CLK(n1), .RESET_B(
+        rst_ni), .Q(baud_div_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 baud_div_q_reg_1_ ( .D(n19), .CLK(n1), .RESET_B(
+        rst_ni), .Q(baud_div_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 baud_div_q_reg_0_ ( .D(n18), .CLK(n1), .RESET_B(
+        rst_ni), .Q(baud_div_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 bit_cnt_q_reg_0_ ( .D(n17), .CLK(n1), .RESET_B(
+        rst_ni), .Q(bit_cnt_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 bit_cnt_q_reg_1_ ( .D(n16), .CLK(n1), .RESET_B(
+        rst_ni), .Q(bit_cnt_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 bit_cnt_q_reg_2_ ( .D(n15), .CLK(n1), .RESET_B(
+        rst_ni), .Q(bit_cnt_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 bit_cnt_q_reg_3_ ( .D(n140), .CLK(n1), .RESET_B(
+        rst_ni), .Q(bit_cnt_q[3]) );
+  sky130_fd_sc_hd__dfstp_1 sreg_q_reg_9_ ( .D(n13), .CLK(n1), .SET_B(rst_ni), 
+        .Q(sreg_q[9]) );
+  sky130_fd_sc_hd__dfstp_1 sreg_q_reg_8_ ( .D(n12), .CLK(n1), .SET_B(rst_ni), 
+        .Q(sreg_q[8]) );
+  sky130_fd_sc_hd__dfstp_1 sreg_q_reg_7_ ( .D(n11), .CLK(n1), .SET_B(rst_ni), 
+        .Q(sreg_q[7]) );
+  sky130_fd_sc_hd__dfstp_1 sreg_q_reg_6_ ( .D(n10), .CLK(n1), .SET_B(rst_ni), 
+        .Q(sreg_q[6]) );
+  sky130_fd_sc_hd__dfstp_1 sreg_q_reg_5_ ( .D(n9), .CLK(n1), .SET_B(rst_ni), 
+        .Q(sreg_q[5]) );
+  sky130_fd_sc_hd__dfstp_1 sreg_q_reg_4_ ( .D(n8), .CLK(n1), .SET_B(rst_ni), 
+        .Q(sreg_q[4]) );
+  sky130_fd_sc_hd__dfstp_1 sreg_q_reg_3_ ( .D(n7), .CLK(n1), .SET_B(rst_ni), 
+        .Q(sreg_q[3]) );
+  sky130_fd_sc_hd__dfstp_1 sreg_q_reg_2_ ( .D(n6), .CLK(n1), .SET_B(rst_ni), 
+        .Q(sreg_q[2]) );
+  sky130_fd_sc_hd__dfstp_1 sreg_q_reg_1_ ( .D(n5), .CLK(n1), .SET_B(rst_ni), 
+        .Q(sreg_q[1]) );
+  sky130_fd_sc_hd__dfstp_1 sreg_q_reg_0_ ( .D(n4), .CLK(n1), .SET_B(rst_ni), 
+        .Q(sreg_q[0]) );
+  sky130_fd_sc_hd__dfstp_1 tx_q_reg ( .D(n2), .CLK(n1), .SET_B(rst_ni), .Q(tx)
+         );
+  sky130_fd_sc_hd__o21ai_1 U9 ( .A1(wr), .A2(N25), .B1(tx_enable), .Y(n4) );
+  sky130_fd_sc_hd__o21a_1 U21 ( .A1(wr), .A2(N39), .B1(tx_enable), .X(n140) );
+  sky130_fd_sc_hd__nor2b_1 U22 ( .B_N(N38), .A(N44), .Y(n15) );
+  sky130_fd_sc_hd__o21a_1 U23 ( .A1(wr), .A2(N37), .B1(tx_enable), .X(n16) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(n57), .B(tx_enable), .X(n17) );
+  sky130_fd_sc_hd__and2_0 U25 ( .A(N14), .B(tick_baud_x16), .X(n22) );
+  sky130_fd_sc_hd__nand2b_1 U19 ( .A_N(n47), .B(tx_enable), .Y(n13) );
+  sky130_fd_sc_hd__nand2b_1 U13 ( .A_N(n53), .B(tx_enable), .Y(n7) );
+  sky130_fd_sc_hd__nand2b_1 U12 ( .A_N(n54), .B(tx_enable), .Y(n6) );
+  sky130_fd_sc_hd__nand2b_1 U11 ( .A_N(n55), .B(tx_enable), .Y(n5) );
+  sky130_fd_sc_hd__nand2b_1 U14 ( .A_N(n52), .B(tx_enable), .Y(n8) );
+  sky130_fd_sc_hd__nand2b_1 U15 ( .A_N(n51), .B(tx_enable), .Y(n9) );
+  sky130_fd_sc_hd__nand2b_1 U18 ( .A_N(n48), .B(tx_enable), .Y(n12) );
+  sky130_fd_sc_hd__nand2b_1 U16 ( .A_N(n50), .B(tx_enable), .Y(n10) );
+  sky130_fd_sc_hd__nand2b_1 U17 ( .A_N(n49), .B(tx_enable), .Y(n11) );
+  sky130_fd_sc_hd__nand2b_1 U8 ( .A_N(n56), .B(tx_enable), .Y(n2) );
+  sky130_fd_sc_hd__a22oi_1 U3 ( .A1(n27), .A2(sreg_q[1]), .B1(n250), .B2(
+        sreg_q[0]), .Y(N25) );
+  sky130_fd_sc_hd__clkbuf_1 U4 ( .A(clk_i), .X(n1) );
+  sky130_fd_sc_hd__nand2b_1 U7 ( .A_N(wr), .B(tx_enable), .Y(N44) );
+  sky130_fd_sc_hd__or4_1 U10 ( .A(bit_cnt_q[2]), .B(bit_cnt_q[1]), .C(
+        bit_cnt_q[0]), .D(bit_cnt_q[3]), .X(n26) );
+  sky130_fd_sc_hd__nand2_1 U20 ( .A(tick_baud_q), .B(n26), .Y(n250) );
+  sky130_fd_sc_hd__o21ai_1 U26 ( .A1(n250), .A2(bit_cnt_q[0]), .B1(
+        bit_cnt_q[1]), .Y(n23) );
+  sky130_fd_sc_hd__or3_1 U27 ( .A(bit_cnt_q[1]), .B(bit_cnt_q[0]), .C(n250), 
+        .X(n24) );
+  sky130_fd_sc_hd__nand2_1 U28 ( .A(n23), .B(n24), .Y(N37) );
+  sky130_fd_sc_hd__xnor2_1 U29 ( .A(bit_cnt_q[2]), .B(n24), .Y(N38) );
+  sky130_fd_sc_hd__o21a_1 U30 ( .A1(n24), .A2(bit_cnt_q[2]), .B1(bit_cnt_q[3]), 
+        .X(N39) );
+  sky130_fd_sc_hd__clkinv_1 U31 ( .A(n250), .Y(n27) );
+  sky130_fd_sc_hd__nand2_1 U32 ( .A(tx_enable), .B(n26), .Y(idle) );
+  sky130_fd_sc_hd__nor2_1 U33 ( .A(n27), .B(wr), .Y(n380) );
+  sky130_fd_sc_hd__nor2_1 U34 ( .A(wr), .B(n380), .Y(n370) );
+  sky130_fd_sc_hd__clkinv_1 U35 ( .A(n370), .Y(n29) );
+  sky130_fd_sc_hd__clkinv_1 U36 ( .A(bit_cnt_q[0]), .Y(n28) );
+  sky130_fd_sc_hd__clkinv_1 U37 ( .A(n380), .Y(n43) );
+  sky130_fd_sc_hd__nand2_1 U38 ( .A(wr), .B(parity_enable), .Y(n40) );
+  sky130_fd_sc_hd__o221ai_1 U39 ( .A1(bit_cnt_q[0]), .A2(n29), .B1(n28), .B2(
+        n43), .C1(n40), .Y(n57) );
+  sky130_fd_sc_hd__a22o_1 U40 ( .A1(n370), .A2(sreg_q[0]), .B1(n29), .B2(tx), 
+        .X(n56) );
+  sky130_fd_sc_hd__a222oi_1 U41 ( .A1(wr), .A2(wr_data[0]), .B1(n380), .B2(
+        sreg_q[1]), .C1(n370), .C2(sreg_q[2]), .Y(n30) );
+  sky130_fd_sc_hd__clkinv_1 U42 ( .A(n30), .Y(n55) );
+  sky130_fd_sc_hd__a222oi_1 U43 ( .A1(wr), .A2(wr_data[1]), .B1(n380), .B2(
+        sreg_q[2]), .C1(n370), .C2(sreg_q[3]), .Y(n31) );
+  sky130_fd_sc_hd__clkinv_1 U44 ( .A(n31), .Y(n54) );
+  sky130_fd_sc_hd__a222oi_1 U45 ( .A1(wr), .A2(wr_data[2]), .B1(n380), .B2(
+        sreg_q[3]), .C1(n370), .C2(sreg_q[4]), .Y(n32) );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(n32), .Y(n53) );
+  sky130_fd_sc_hd__a222oi_1 U47 ( .A1(wr), .A2(wr_data[3]), .B1(n380), .B2(
+        sreg_q[4]), .C1(n370), .C2(sreg_q[5]), .Y(n33) );
+  sky130_fd_sc_hd__clkinv_1 U48 ( .A(n33), .Y(n52) );
+  sky130_fd_sc_hd__a222oi_1 U49 ( .A1(wr), .A2(wr_data[4]), .B1(n380), .B2(
+        sreg_q[5]), .C1(n370), .C2(sreg_q[6]), .Y(n34) );
+  sky130_fd_sc_hd__clkinv_1 U50 ( .A(n34), .Y(n51) );
+  sky130_fd_sc_hd__a222oi_1 U51 ( .A1(wr), .A2(wr_data[5]), .B1(n380), .B2(
+        sreg_q[6]), .C1(n370), .C2(sreg_q[7]), .Y(n35) );
+  sky130_fd_sc_hd__clkinv_1 U52 ( .A(n35), .Y(n50) );
+  sky130_fd_sc_hd__a222oi_1 U53 ( .A1(wr), .A2(wr_data[6]), .B1(n380), .B2(
+        sreg_q[7]), .C1(n370), .C2(sreg_q[8]), .Y(n36) );
+  sky130_fd_sc_hd__clkinv_1 U54 ( .A(n36), .Y(n49) );
+  sky130_fd_sc_hd__a222oi_1 U55 ( .A1(wr), .A2(wr_data[7]), .B1(n380), .B2(
+        sreg_q[8]), .C1(n370), .C2(sreg_q[9]), .Y(n390) );
+  sky130_fd_sc_hd__clkinv_1 U56 ( .A(n390), .Y(n48) );
+  sky130_fd_sc_hd__clkinv_1 U57 ( .A(sreg_q[9]), .Y(n42) );
+  sky130_fd_sc_hd__o21ai_1 U58 ( .A1(n40), .A2(wr_parity), .B1(n43), .Y(n41)
+         );
+  sky130_fd_sc_hd__o21ai_1 U59 ( .A1(n43), .A2(n42), .B1(n41), .Y(n47) );
+  sky130_fd_sc_hd__nand2_1 U60 ( .A(baud_div_q[0]), .B(tick_baud_x16), .Y(n440) );
+  sky130_fd_sc_hd__o21a_1 U61 ( .A1(baud_div_q[0]), .A2(tick_baud_x16), .B1(
+        n440), .X(n18) );
+  sky130_fd_sc_hd__clkinv_1 U62 ( .A(baud_div_q[1]), .Y(n45) );
+  sky130_fd_sc_hd__nor2_1 U63 ( .A(n45), .B(n440), .Y(n46) );
+  sky130_fd_sc_hd__a21oi_1 U64 ( .A1(n45), .A2(n440), .B1(n46), .Y(n19) );
+  sky130_fd_sc_hd__nand4_1 U65 ( .A(baud_div_q[2]), .B(baud_div_q[1]), .C(
+        baud_div_q[0]), .D(tick_baud_x16), .Y(n58) );
+  sky130_fd_sc_hd__o21a_1 U66 ( .A1(baud_div_q[2]), .A2(n46), .B1(n58), .X(n20) );
+  sky130_fd_sc_hd__xnor2_1 U67 ( .A(baud_div_q[3]), .B(n58), .Y(n21) );
+  sky130_fd_sc_hd__and4_1 U5 ( .A(baud_div_q[0]), .B(baud_div_q[1]), .C(
+        baud_div_q[2]), .D(baud_div_q[3]), .X(N14) );
+endmodule
+
+
+module opentitan_soc_top_prim_generic_flop_1_1_0 ( clk_i, rst_ni, d_i, q_o );
+  input [0:0] d_i;
+  output [0:0] q_o;
+  input clk_i, rst_ni;
+
+
+  sky130_fd_sc_hd__dfstp_1 q_o_reg_0_ ( .D(d_i[0]), .CLK(clk_i), .SET_B(rst_ni), .Q(q_o[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_generic_flop_1_1_1 ( clk_i, rst_ni, d_i, q_o );
+  input [0:0] d_i;
+  output [0:0] q_o;
+  input clk_i, rst_ni;
+
+
+  sky130_fd_sc_hd__dfstp_1 q_o_reg_0_ ( .D(d_i[0]), .CLK(clk_i), .SET_B(rst_ni), .Q(q_o[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_generic_flop_2sync_1_1_0 ( clk_i, rst_ni, d_i, 
+        q_o );
+  input [0:0] d_i;
+  output [0:0] q_o;
+  input clk_i, rst_ni;
+  wire   intq_0_;
+
+  opentitan_soc_top_prim_generic_flop_1_1_0 u_sync_1 ( .clk_i(clk_i), .rst_ni(
+        rst_ni), .d_i(d_i[0]), .q_o(intq_0_) );
+  opentitan_soc_top_prim_generic_flop_1_1_1 u_sync_2 ( .clk_i(clk_i), .rst_ni(
+        rst_ni), .d_i(intq_0_), .q_o(q_o[0]) );
+endmodule
+
+
+module opentitan_soc_top_uart_rx_0 ( clk_i, rst_ni, rx_enable, tick_baud_x16, 
+        parity_enable, parity_odd, tick_baud, rx_valid, rx_data, idle, 
+        frame_err, rx_parity_err, rx );
+  output [7:0] rx_data;
+  input clk_i, rst_ni, rx_enable, tick_baud_x16, parity_enable, parity_odd, rx;
+  output tick_baud, rx_valid, idle, frame_err, rx_parity_err;
+  wire   N40, N41, N42, N43, N44, N60, n1, n2, n3, n4, n5, n6, n7, n8, n9, n10,
+         n11, n12, n13, n14, n15, n16, n17, n18, n19, n20, n410, n70, n71, n72,
+         n73, n74, n75, n76, n77, n78, n79, n80, n81, n82, n83, n84, n21, n22,
+         n23, n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34, n35, n36,
+         n37, n38, n39, n400, n420, n430, n440, n45, n46, n47, n48, n49, n50,
+         n52, n53, n55, n56, n57, n58, n59, n600, n61, n62, n63, n64, n65, n66,
+         n67, n68, n69, n85, n86, n87, n88, n89, n90, n91, n92, n93;
+  wire   [10:1] sreg_q;
+  wire   [3:0] bit_cnt_q;
+  wire   [3:0] baud_div_q;
+
+  sky130_fd_sc_hd__dfrtp_1 tick_baud_q_reg ( .D(n20), .CLK(n21), .RESET_B(n93), 
+        .Q(tick_baud) );
+  sky130_fd_sc_hd__dfrtp_1 bit_cnt_q_reg_3_ ( .D(n19), .CLK(n21), .RESET_B(n93), .Q(bit_cnt_q[3]) );
+  sky130_fd_sc_hd__dfsbp_1 idle_q_reg ( .D(n18), .CLK(n21), .SET_B(n93), .Q(
+        idle), .Q_N(n410) );
+  sky130_fd_sc_hd__dfrtp_1 baud_div_q_reg_3_ ( .D(n17), .CLK(n21), .RESET_B(
+        n93), .Q(baud_div_q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 baud_div_q_reg_0_ ( .D(n16), .CLK(n21), .RESET_B(
+        n93), .Q(baud_div_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 baud_div_q_reg_1_ ( .D(n15), .CLK(n21), .RESET_B(
+        n93), .Q(baud_div_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 baud_div_q_reg_2_ ( .D(n14), .CLK(n21), .RESET_B(
+        n93), .Q(baud_div_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 bit_cnt_q_reg_0_ ( .D(n13), .CLK(n21), .RESET_B(n93), .Q(bit_cnt_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 bit_cnt_q_reg_1_ ( .D(n12), .CLK(n21), .RESET_B(n93), .Q(bit_cnt_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 bit_cnt_q_reg_2_ ( .D(n11), .CLK(n21), .RESET_B(n93), .Q(bit_cnt_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 sreg_q_reg_10_ ( .D(n10), .CLK(n21), .RESET_B(n93), 
+        .Q(sreg_q[10]) );
+  sky130_fd_sc_hd__dfrtp_1 sreg_q_reg_9_ ( .D(n9), .CLK(n21), .RESET_B(n93), 
+        .Q(sreg_q[9]) );
+  sky130_fd_sc_hd__dfrtp_1 sreg_q_reg_8_ ( .D(n8), .CLK(n21), .RESET_B(n93), 
+        .Q(sreg_q[8]) );
+  sky130_fd_sc_hd__dfrtp_1 sreg_q_reg_7_ ( .D(n7), .CLK(n21), .RESET_B(n93), 
+        .Q(sreg_q[7]) );
+  sky130_fd_sc_hd__dfrtp_1 sreg_q_reg_6_ ( .D(n6), .CLK(n21), .RESET_B(n93), 
+        .Q(sreg_q[6]) );
+  sky130_fd_sc_hd__dfrtp_1 sreg_q_reg_5_ ( .D(n5), .CLK(n21), .RESET_B(n93), 
+        .Q(sreg_q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 sreg_q_reg_4_ ( .D(n4), .CLK(n21), .RESET_B(n93), 
+        .Q(sreg_q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 sreg_q_reg_3_ ( .D(n3), .CLK(n21), .RESET_B(n93), 
+        .Q(sreg_q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 sreg_q_reg_2_ ( .D(n2), .CLK(n21), .RESET_B(n93), 
+        .Q(sreg_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 sreg_q_reg_1_ ( .D(n1), .CLK(n21), .RESET_B(n93), 
+        .Q(sreg_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_valid_q_reg ( .D(N60), .CLK(n21), .RESET_B(n93), 
+        .Q(rx_valid) );
+  sky130_fd_sc_hd__and2_0 U21 ( .A(n80), .B(rx_enable), .X(n19) );
+  sky130_fd_sc_hd__and2_0 U15 ( .A(n83), .B(rx_enable), .X(n13) );
+  sky130_fd_sc_hd__and2_0 U14 ( .A(n82), .B(rx_enable), .X(n12) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(n81), .B(rx_enable), .X(n11) );
+  sky130_fd_sc_hd__and2_0 U6 ( .A(n75), .B(rx_enable), .X(n4) );
+  sky130_fd_sc_hd__and2_0 U7 ( .A(n74), .B(rx_enable), .X(n5) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(n78), .B(rx_enable), .X(n1) );
+  sky130_fd_sc_hd__and2_0 U8 ( .A(n73), .B(rx_enable), .X(n6) );
+  sky130_fd_sc_hd__and2_0 U4 ( .A(n77), .B(rx_enable), .X(n2) );
+  sky130_fd_sc_hd__and2_0 U5 ( .A(n76), .B(rx_enable), .X(n3) );
+  sky130_fd_sc_hd__and2_0 U10 ( .A(n71), .B(rx_enable), .X(n8) );
+  sky130_fd_sc_hd__and2_0 U11 ( .A(n70), .B(rx_enable), .X(n9) );
+  sky130_fd_sc_hd__and2_0 U9 ( .A(n72), .B(rx_enable), .X(n7) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(n79), .B(rx_enable), .X(n10) );
+  sky130_fd_sc_hd__and2_0 U16 ( .A(N42), .B(rx_enable), .X(n14) );
+  sky130_fd_sc_hd__and2_0 U17 ( .A(N41), .B(rx_enable), .X(n15) );
+  sky130_fd_sc_hd__and2_0 U22 ( .A(N44), .B(rx_enable), .X(n20) );
+  sky130_fd_sc_hd__and2_0 U18 ( .A(N40), .B(rx_enable), .X(n16) );
+  sky130_fd_sc_hd__and2_0 U19 ( .A(N43), .B(rx_enable), .X(n17) );
+  sky130_fd_sc_hd__nand2b_1 U20 ( .A_N(n84), .B(rx_enable), .Y(n18) );
+  sky130_fd_sc_hd__clkbuf_1 U23 ( .A(clk_i), .X(n21) );
+  sky130_fd_sc_hd__clkinv_1 U24 ( .A(sreg_q[8]), .Y(n88) );
+  sky130_fd_sc_hd__clkinv_1 U25 ( .A(parity_enable), .Y(n49) );
+  sky130_fd_sc_hd__clkinv_1 U26 ( .A(sreg_q[7]), .Y(n87) );
+  sky130_fd_sc_hd__o22ai_1 U27 ( .A1(parity_enable), .A2(n88), .B1(n49), .B2(
+        n87), .Y(rx_data[6]) );
+  sky130_fd_sc_hd__clkinv_1 U28 ( .A(sreg_q[6]), .Y(n86) );
+  sky130_fd_sc_hd__o22ai_1 U29 ( .A1(parity_enable), .A2(n87), .B1(n49), .B2(
+        n86), .Y(rx_data[5]) );
+  sky130_fd_sc_hd__clkinv_1 U30 ( .A(sreg_q[5]), .Y(n85) );
+  sky130_fd_sc_hd__clkinv_1 U31 ( .A(sreg_q[4]), .Y(n69) );
+  sky130_fd_sc_hd__o22ai_1 U32 ( .A1(parity_enable), .A2(n85), .B1(n49), .B2(
+        n69), .Y(rx_data[3]) );
+  sky130_fd_sc_hd__clkinv_1 U33 ( .A(sreg_q[3]), .Y(n68) );
+  sky130_fd_sc_hd__o22ai_1 U34 ( .A1(parity_enable), .A2(n69), .B1(n49), .B2(
+        n68), .Y(rx_data[2]) );
+  sky130_fd_sc_hd__o22ai_1 U35 ( .A1(parity_enable), .A2(n86), .B1(n49), .B2(
+        n85), .Y(rx_data[4]) );
+  sky130_fd_sc_hd__clkinv_1 U36 ( .A(sreg_q[2]), .Y(n67) );
+  sky130_fd_sc_hd__o22ai_1 U37 ( .A1(parity_enable), .A2(n68), .B1(n49), .B2(
+        n67), .Y(rx_data[1]) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(sreg_q[10]), .Y(n89) );
+  sky130_fd_sc_hd__and2_0 U39 ( .A(rx_valid), .B(n89), .X(frame_err) );
+  sky130_fd_sc_hd__clkinv_1 U40 ( .A(sreg_q[1]), .Y(n66) );
+  sky130_fd_sc_hd__o22ai_1 U41 ( .A1(parity_enable), .A2(n67), .B1(n49), .B2(
+        n66), .Y(rx_data[0]) );
+  sky130_fd_sc_hd__clkinv_1 U42 ( .A(sreg_q[9]), .Y(n91) );
+  sky130_fd_sc_hd__o22ai_1 U43 ( .A1(parity_enable), .A2(n91), .B1(n49), .B2(
+        n88), .Y(rx_data[7]) );
+  sky130_fd_sc_hd__clkbuf_1 U44 ( .A(rst_ni), .X(n93) );
+  sky130_fd_sc_hd__clkinv_1 U45 ( .A(bit_cnt_q[0]), .Y(n50) );
+  sky130_fd_sc_hd__nor4_1 U46 ( .A(bit_cnt_q[3]), .B(bit_cnt_q[1]), .C(
+        bit_cnt_q[2]), .D(n50), .Y(n430) );
+  sky130_fd_sc_hd__and2_0 U47 ( .A(tick_baud), .B(n430), .X(N60) );
+  sky130_fd_sc_hd__xor2_1 U48 ( .A(n66), .B(parity_odd), .X(n25) );
+  sky130_fd_sc_hd__o22ai_1 U49 ( .A1(sreg_q[7]), .A2(n86), .B1(n87), .B2(
+        sreg_q[6]), .Y(n23) );
+  sky130_fd_sc_hd__o22ai_1 U50 ( .A1(sreg_q[9]), .A2(n88), .B1(n91), .B2(
+        sreg_q[8]), .Y(n22) );
+  sky130_fd_sc_hd__xor2_1 U51 ( .A(n23), .B(n22), .X(n24) );
+  sky130_fd_sc_hd__xnor2_1 U52 ( .A(n25), .B(n24), .Y(n27) );
+  sky130_fd_sc_hd__o22ai_1 U53 ( .A1(sreg_q[3]), .A2(sreg_q[2]), .B1(n68), 
+        .B2(n67), .Y(n26) );
+  sky130_fd_sc_hd__xnor2_1 U54 ( .A(n27), .B(n26), .Y(n30) );
+  sky130_fd_sc_hd__o22ai_1 U55 ( .A1(sreg_q[5]), .A2(n69), .B1(n85), .B2(
+        sreg_q[4]), .Y(n29) );
+  sky130_fd_sc_hd__o211ai_1 U56 ( .A1(n30), .A2(n29), .B1(rx_valid), .C1(
+        parity_enable), .Y(n28) );
+  sky130_fd_sc_hd__a21oi_1 U57 ( .A1(n30), .A2(n29), .B1(n28), .Y(
+        rx_parity_err) );
+  sky130_fd_sc_hd__nand2_1 U58 ( .A(baud_div_q[1]), .B(baud_div_q[0]), .Y(n35)
+         );
+  sky130_fd_sc_hd__nor2b_1 U59 ( .B_N(baud_div_q[2]), .A(n35), .Y(n37) );
+  sky130_fd_sc_hd__nor2_1 U60 ( .A(rx), .B(n410), .Y(n47) );
+  sky130_fd_sc_hd__clkinv_1 U61 ( .A(n47), .Y(n61) );
+  sky130_fd_sc_hd__nand2_1 U62 ( .A(tick_baud_x16), .B(n61), .Y(n36) );
+  sky130_fd_sc_hd__and3b_1 U63 ( .B(n37), .C(baud_div_q[3]), .A_N(n36), .X(N44) );
+  sky130_fd_sc_hd__clkinv_1 U64 ( .A(baud_div_q[0]), .Y(n31) );
+  sky130_fd_sc_hd__o32ai_1 U65 ( .A1(n31), .A2(n47), .A3(tick_baud_x16), .B1(
+        baud_div_q[0]), .B2(n36), .Y(N40) );
+  sky130_fd_sc_hd__a21oi_1 U66 ( .A1(tick_baud_x16), .A2(baud_div_q[0]), .B1(
+        n47), .Y(n32) );
+  sky130_fd_sc_hd__nor2_1 U67 ( .A(baud_div_q[1]), .B(n36), .Y(n33) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(baud_div_q[1]), .A2(n32), .B1(n33), .B2(
+        baud_div_q[0]), .X(N41) );
+  sky130_fd_sc_hd__o21ai_1 U69 ( .A1(n33), .A2(n32), .B1(baud_div_q[2]), .Y(
+        n34) );
+  sky130_fd_sc_hd__o31ai_1 U70 ( .A1(baud_div_q[2]), .A2(n36), .A3(n35), .B1(
+        n34), .Y(N42) );
+  sky130_fd_sc_hd__nand2_1 U71 ( .A(tick_baud_x16), .B(n37), .Y(n39) );
+  sky130_fd_sc_hd__a21oi_1 U72 ( .A1(baud_div_q[3]), .A2(n39), .B1(n47), .Y(
+        n38) );
+  sky130_fd_sc_hd__o21ai_1 U73 ( .A1(baud_div_q[3]), .A2(n39), .B1(n38), .Y(
+        N43) );
+  sky130_fd_sc_hd__clkinv_1 U74 ( .A(rx), .Y(n65) );
+  sky130_fd_sc_hd__nand4_1 U76 ( .A(bit_cnt_q[3]), .B(rx), .C(bit_cnt_q[1]), 
+        .D(n55), .Y(n400) );
+  sky130_fd_sc_hd__a21oi_1 U77 ( .A1(bit_cnt_q[0]), .A2(n49), .B1(n400), .Y(
+        n420) );
+  sky130_fd_sc_hd__o21ai_1 U78 ( .A1(bit_cnt_q[0]), .A2(n49), .B1(n420), .Y(
+        n46) );
+  sky130_fd_sc_hd__clkinv_1 U79 ( .A(n46), .Y(n63) );
+  sky130_fd_sc_hd__nor2_1 U80 ( .A(n63), .B(n430), .Y(n45) );
+  sky130_fd_sc_hd__nand2_1 U81 ( .A(n410), .B(tick_baud), .Y(n440) );
+  sky130_fd_sc_hd__o22ai_1 U82 ( .A1(n410), .A2(n65), .B1(n45), .B2(n440), .Y(
+        n84) );
+  sky130_fd_sc_hd__nand3_1 U83 ( .A(tick_baud), .B(n410), .C(n46), .Y(n90) );
+  sky130_fd_sc_hd__clkinv_1 U84 ( .A(n90), .Y(n57) );
+  sky130_fd_sc_hd__a21oi_1 U85 ( .A1(n410), .A2(tick_baud), .B1(n47), .Y(n64)
+         );
+  sky130_fd_sc_hd__o22ai_1 U86 ( .A1(bit_cnt_q[0]), .A2(n57), .B1(n50), .B2(
+        n64), .Y(n48) );
+  sky130_fd_sc_hd__o21ai_1 U87 ( .A1(n61), .A2(n49), .B1(n48), .Y(n83) );
+  sky130_fd_sc_hd__clkinv_1 U88 ( .A(bit_cnt_q[1]), .Y(n53) );
+  sky130_fd_sc_hd__a21oi_1 U89 ( .A1(bit_cnt_q[0]), .A2(n57), .B1(n64), .Y(n52) );
+  sky130_fd_sc_hd__nand2_1 U90 ( .A(n53), .B(n50), .Y(n58) );
+  sky130_fd_sc_hd__a21oi_1 U91 ( .A1(n58), .A2(n410), .B1(n64), .Y(n56) );
+  sky130_fd_sc_hd__o21ai_1 U96 ( .A1(n56), .A2(n55), .B1(n62), .Y(n81) );
+  sky130_fd_sc_hd__o21a_1 U97 ( .A1(n58), .A2(bit_cnt_q[2]), .B1(n57), .X(n59)
+         );
+  sky130_fd_sc_hd__o21ai_1 U98 ( .A1(n64), .A2(n59), .B1(bit_cnt_q[3]), .Y(
+        n600) );
+  sky130_fd_sc_hd__o211ai_1 U99 ( .A1(bit_cnt_q[3]), .A2(n62), .B1(n61), .C1(
+        n600), .Y(n80) );
+  sky130_fd_sc_hd__nor2_1 U100 ( .A(n64), .B(n63), .Y(n92) );
+  sky130_fd_sc_hd__o22ai_1 U101 ( .A1(n92), .A2(n89), .B1(n65), .B2(n90), .Y(
+        n79) );
+  sky130_fd_sc_hd__o22ai_1 U102 ( .A1(n92), .A2(n66), .B1(n90), .B2(n67), .Y(
+        n78) );
+  sky130_fd_sc_hd__o22ai_1 U103 ( .A1(n92), .A2(n67), .B1(n90), .B2(n68), .Y(
+        n77) );
+  sky130_fd_sc_hd__o22ai_1 U104 ( .A1(n92), .A2(n68), .B1(n90), .B2(n69), .Y(
+        n76) );
+  sky130_fd_sc_hd__o22ai_1 U105 ( .A1(n92), .A2(n69), .B1(n90), .B2(n85), .Y(
+        n75) );
+  sky130_fd_sc_hd__o22ai_1 U106 ( .A1(n92), .A2(n85), .B1(n90), .B2(n86), .Y(
+        n74) );
+  sky130_fd_sc_hd__o22ai_1 U107 ( .A1(n92), .A2(n86), .B1(n90), .B2(n87), .Y(
+        n73) );
+  sky130_fd_sc_hd__o22ai_1 U108 ( .A1(n92), .A2(n87), .B1(n90), .B2(n88), .Y(
+        n72) );
+  sky130_fd_sc_hd__o22ai_1 U109 ( .A1(n92), .A2(n88), .B1(n90), .B2(n91), .Y(
+        n71) );
+  sky130_fd_sc_hd__o22ai_1 U110 ( .A1(n92), .A2(n91), .B1(n90), .B2(n89), .Y(
+        n70) );
+  sky130_fd_sc_hd__o21bai_1 U75 ( .A1(n53), .A2(n52), .B1_N(n56), .Y(n82) );
+  sky130_fd_sc_hd__clkinv_1 U92 ( .A(bit_cnt_q[2]), .Y(n55) );
+  sky130_fd_sc_hd__or4_1 U93 ( .A(n90), .B(bit_cnt_q[1]), .C(bit_cnt_q[0]), 
+        .D(bit_cnt_q[2]), .X(n62) );
+endmodule
+
+
+module opentitan_soc_top_prim_intr_hw_Width1_0 ( clk_i, rst_ni, event_intr_i, 
+        reg2hw_intr_enable_q_i, reg2hw_intr_test_q_i, reg2hw_intr_test_qe_i, 
+        reg2hw_intr_state_q_i, hw2reg_intr_state_de_o, hw2reg_intr_state_d_o, 
+        intr_o );
+  input [0:0] event_intr_i;
+  input [0:0] reg2hw_intr_enable_q_i;
+  input [0:0] reg2hw_intr_test_q_i;
+  input [0:0] reg2hw_intr_state_q_i;
+  output [0:0] hw2reg_intr_state_d_o;
+  output [0:0] intr_o;
+  input clk_i, rst_ni, reg2hw_intr_test_qe_i;
+  output hw2reg_intr_state_de_o;
+  wire   N1;
+
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_0_ ( .D(N1), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(intr_o[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        reg2hw_intr_enable_q_i[0]), .X(N1) );
+  sky130_fd_sc_hd__a21o_1 U4 ( .A1(reg2hw_intr_test_q_i[0]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[0]), .X(
+        hw2reg_intr_state_de_o) );
+  sky130_fd_sc_hd__or2_0 U5 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        hw2reg_intr_state_de_o), .X(hw2reg_intr_state_d_o[0]) );
+endmodule
+
+
+module opentitan_soc_top_uart_core_DW01_inc_J24_0_0 ( A, SUM );
+  input [23:0] A;
+  output [23:0] SUM;
+  wire   n75, n76, n77, n78, n79, n80, n81, n82, n83, n84, n85, n86, n87, n88,
+         n89, n90, n91, n92, n93, n94, n95, n96;
+
+  sky130_fd_sc_hd__xor2_1 U27 ( .A(n75), .B(A[23]), .X(SUM[23]) );
+  sky130_fd_sc_hd__ha_1 U28 ( .A(A[1]), .B(A[0]), .COUT(n76), .SUM(SUM[1]) );
+  sky130_fd_sc_hd__ha_1 U29 ( .A(A[2]), .B(n76), .COUT(n77), .SUM(SUM[2]) );
+  sky130_fd_sc_hd__ha_1 U30 ( .A(A[3]), .B(n77), .COUT(n78), .SUM(SUM[3]) );
+  sky130_fd_sc_hd__ha_1 U31 ( .A(A[4]), .B(n78), .COUT(n79), .SUM(SUM[4]) );
+  sky130_fd_sc_hd__ha_1 U32 ( .A(A[5]), .B(n79), .COUT(n80), .SUM(SUM[5]) );
+  sky130_fd_sc_hd__ha_1 U33 ( .A(A[6]), .B(n80), .COUT(n81), .SUM(SUM[6]) );
+  sky130_fd_sc_hd__ha_1 U34 ( .A(A[7]), .B(n81), .COUT(n82), .SUM(SUM[7]) );
+  sky130_fd_sc_hd__ha_1 U35 ( .A(A[8]), .B(n82), .COUT(n83), .SUM(SUM[8]) );
+  sky130_fd_sc_hd__ha_1 U36 ( .A(A[9]), .B(n83), .COUT(n84), .SUM(SUM[9]) );
+  sky130_fd_sc_hd__ha_1 U37 ( .A(A[10]), .B(n84), .COUT(n85), .SUM(SUM[10]) );
+  sky130_fd_sc_hd__ha_1 U38 ( .A(A[11]), .B(n85), .COUT(n86), .SUM(SUM[11]) );
+  sky130_fd_sc_hd__ha_1 U39 ( .A(A[12]), .B(n86), .COUT(n87), .SUM(SUM[12]) );
+  sky130_fd_sc_hd__ha_1 U40 ( .A(A[13]), .B(n87), .COUT(n88), .SUM(SUM[13]) );
+  sky130_fd_sc_hd__ha_1 U41 ( .A(A[14]), .B(n88), .COUT(n89), .SUM(SUM[14]) );
+  sky130_fd_sc_hd__ha_1 U42 ( .A(A[15]), .B(n89), .COUT(n90), .SUM(SUM[15]) );
+  sky130_fd_sc_hd__ha_1 U43 ( .A(A[16]), .B(n90), .COUT(n91), .SUM(SUM[16]) );
+  sky130_fd_sc_hd__ha_1 U44 ( .A(A[17]), .B(n91), .COUT(n92), .SUM(SUM[17]) );
+  sky130_fd_sc_hd__ha_1 U45 ( .A(A[18]), .B(n92), .COUT(n93), .SUM(SUM[18]) );
+  sky130_fd_sc_hd__ha_1 U46 ( .A(A[19]), .B(n93), .COUT(n94), .SUM(SUM[19]) );
+  sky130_fd_sc_hd__ha_1 U47 ( .A(A[20]), .B(n94), .COUT(n95), .SUM(SUM[20]) );
+  sky130_fd_sc_hd__ha_1 U48 ( .A(A[21]), .B(n95), .COUT(n96), .SUM(SUM[21]) );
+  sky130_fd_sc_hd__ha_1 U49 ( .A(A[22]), .B(n96), .COUT(n75), .SUM(SUM[22]) );
+endmodule
+
+
+module opentitan_soc_top_uart_core_DW01_add_J24_0_0 ( A, B, CI, SUM, CO );
+  input [16:0] A;
+  input [16:0] B;
+  output [16:0] SUM;
+  input CI;
+  output CO;
+  wire   n74, n75, n76, n77, n78, n79, n80, n81, n82, n83, n84, n85, n86, n87,
+         n88;
+
+  sky130_fd_sc_hd__ha_1 U21 ( .A(B[0]), .B(A[0]), .COUT(n74), .SUM(SUM[0]) );
+  sky130_fd_sc_hd__fa_1 U22 ( .A(B[1]), .B(A[1]), .CIN(n74), .COUT(n75), .SUM(
+        SUM[1]) );
+  sky130_fd_sc_hd__fa_1 U23 ( .A(B[2]), .B(A[2]), .CIN(n75), .COUT(n76), .SUM(
+        SUM[2]) );
+  sky130_fd_sc_hd__fa_1 U24 ( .A(B[3]), .B(A[3]), .CIN(n76), .COUT(n77), .SUM(
+        SUM[3]) );
+  sky130_fd_sc_hd__fa_1 U25 ( .A(B[4]), .B(A[4]), .CIN(n77), .COUT(n78), .SUM(
+        SUM[4]) );
+  sky130_fd_sc_hd__fa_1 U26 ( .A(B[5]), .B(A[5]), .CIN(n78), .COUT(n79), .SUM(
+        SUM[5]) );
+  sky130_fd_sc_hd__fa_1 U27 ( .A(B[6]), .B(A[6]), .CIN(n79), .COUT(n80), .SUM(
+        SUM[6]) );
+  sky130_fd_sc_hd__fa_1 U28 ( .A(B[7]), .B(A[7]), .CIN(n80), .COUT(n81), .SUM(
+        SUM[7]) );
+  sky130_fd_sc_hd__fa_1 U29 ( .A(B[8]), .B(A[8]), .CIN(n81), .COUT(n82), .SUM(
+        SUM[8]) );
+  sky130_fd_sc_hd__fa_1 U30 ( .A(B[9]), .B(A[9]), .CIN(n82), .COUT(n83), .SUM(
+        SUM[9]) );
+  sky130_fd_sc_hd__fa_1 U31 ( .A(B[10]), .B(A[10]), .CIN(n83), .COUT(n84), 
+        .SUM(SUM[10]) );
+  sky130_fd_sc_hd__fa_1 U32 ( .A(B[11]), .B(A[11]), .CIN(n84), .COUT(n85), 
+        .SUM(SUM[11]) );
+  sky130_fd_sc_hd__fa_1 U33 ( .A(B[12]), .B(A[12]), .CIN(n85), .COUT(n86), 
+        .SUM(SUM[12]) );
+  sky130_fd_sc_hd__fa_1 U34 ( .A(B[13]), .B(A[13]), .CIN(n86), .COUT(n87), 
+        .SUM(SUM[13]) );
+  sky130_fd_sc_hd__fa_1 U35 ( .A(B[14]), .B(A[14]), .CIN(n87), .COUT(n88), 
+        .SUM(SUM[14]) );
+  sky130_fd_sc_hd__fa_1 U36 ( .A(B[15]), .B(A[15]), .CIN(n88), .COUT(SUM[16]), 
+        .SUM(SUM[15]) );
+endmodule
+
+
+module opentitan_soc_top_prim_intr_hw_Width1_1 ( clk_i, rst_ni, event_intr_i, 
+        reg2hw_intr_enable_q_i, reg2hw_intr_test_q_i, reg2hw_intr_test_qe_i, 
+        reg2hw_intr_state_q_i, hw2reg_intr_state_de_o, hw2reg_intr_state_d_o, 
+        intr_o );
+  input [0:0] event_intr_i;
+  input [0:0] reg2hw_intr_enable_q_i;
+  input [0:0] reg2hw_intr_test_q_i;
+  input [0:0] reg2hw_intr_state_q_i;
+  output [0:0] hw2reg_intr_state_d_o;
+  output [0:0] intr_o;
+  input clk_i, rst_ni, reg2hw_intr_test_qe_i;
+  output hw2reg_intr_state_de_o;
+  wire   N1;
+
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_0_ ( .D(N1), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(intr_o[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        reg2hw_intr_enable_q_i[0]), .X(N1) );
+  sky130_fd_sc_hd__a21o_1 U4 ( .A1(reg2hw_intr_test_q_i[0]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[0]), .X(
+        hw2reg_intr_state_de_o) );
+  sky130_fd_sc_hd__or2_0 U5 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        hw2reg_intr_state_de_o), .X(hw2reg_intr_state_d_o[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_intr_hw_Width1_2 ( clk_i, rst_ni, event_intr_i, 
+        reg2hw_intr_enable_q_i, reg2hw_intr_test_q_i, reg2hw_intr_test_qe_i, 
+        reg2hw_intr_state_q_i, hw2reg_intr_state_de_o, hw2reg_intr_state_d_o, 
+        intr_o );
+  input [0:0] event_intr_i;
+  input [0:0] reg2hw_intr_enable_q_i;
+  input [0:0] reg2hw_intr_test_q_i;
+  input [0:0] reg2hw_intr_state_q_i;
+  output [0:0] hw2reg_intr_state_d_o;
+  output [0:0] intr_o;
+  input clk_i, rst_ni, reg2hw_intr_test_qe_i;
+  output hw2reg_intr_state_de_o;
+  wire   N1;
+
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_0_ ( .D(N1), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(intr_o[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        reg2hw_intr_enable_q_i[0]), .X(N1) );
+  sky130_fd_sc_hd__a21o_1 U4 ( .A1(reg2hw_intr_test_q_i[0]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[0]), .X(
+        hw2reg_intr_state_de_o) );
+  sky130_fd_sc_hd__or2_0 U5 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        hw2reg_intr_state_de_o), .X(hw2reg_intr_state_d_o[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_intr_hw_Width1_3 ( clk_i, rst_ni, event_intr_i, 
+        reg2hw_intr_enable_q_i, reg2hw_intr_test_q_i, reg2hw_intr_test_qe_i, 
+        reg2hw_intr_state_q_i, hw2reg_intr_state_de_o, hw2reg_intr_state_d_o, 
+        intr_o );
+  input [0:0] event_intr_i;
+  input [0:0] reg2hw_intr_enable_q_i;
+  input [0:0] reg2hw_intr_test_q_i;
+  input [0:0] reg2hw_intr_state_q_i;
+  output [0:0] hw2reg_intr_state_d_o;
+  output [0:0] intr_o;
+  input clk_i, rst_ni, reg2hw_intr_test_qe_i;
+  output hw2reg_intr_state_de_o;
+  wire   N1;
+
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_0_ ( .D(N1), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(intr_o[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        reg2hw_intr_enable_q_i[0]), .X(N1) );
+  sky130_fd_sc_hd__a21o_1 U4 ( .A1(reg2hw_intr_test_q_i[0]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[0]), .X(
+        hw2reg_intr_state_de_o) );
+  sky130_fd_sc_hd__or2_0 U5 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        hw2reg_intr_state_de_o), .X(hw2reg_intr_state_d_o[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_intr_hw_Width1_4 ( clk_i, rst_ni, event_intr_i, 
+        reg2hw_intr_enable_q_i, reg2hw_intr_test_q_i, reg2hw_intr_test_qe_i, 
+        reg2hw_intr_state_q_i, hw2reg_intr_state_de_o, hw2reg_intr_state_d_o, 
+        intr_o );
+  input [0:0] event_intr_i;
+  input [0:0] reg2hw_intr_enable_q_i;
+  input [0:0] reg2hw_intr_test_q_i;
+  input [0:0] reg2hw_intr_state_q_i;
+  output [0:0] hw2reg_intr_state_d_o;
+  output [0:0] intr_o;
+  input clk_i, rst_ni, reg2hw_intr_test_qe_i;
+  output hw2reg_intr_state_de_o;
+  wire   N1;
+
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_0_ ( .D(N1), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(intr_o[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        reg2hw_intr_enable_q_i[0]), .X(N1) );
+  sky130_fd_sc_hd__a21o_1 U4 ( .A1(reg2hw_intr_test_q_i[0]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[0]), .X(
+        hw2reg_intr_state_de_o) );
+  sky130_fd_sc_hd__or2_0 U5 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        hw2reg_intr_state_de_o), .X(hw2reg_intr_state_d_o[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_intr_hw_Width1_5 ( clk_i, rst_ni, event_intr_i, 
+        reg2hw_intr_enable_q_i, reg2hw_intr_test_q_i, reg2hw_intr_test_qe_i, 
+        reg2hw_intr_state_q_i, hw2reg_intr_state_de_o, hw2reg_intr_state_d_o, 
+        intr_o );
+  input [0:0] event_intr_i;
+  input [0:0] reg2hw_intr_enable_q_i;
+  input [0:0] reg2hw_intr_test_q_i;
+  input [0:0] reg2hw_intr_state_q_i;
+  output [0:0] hw2reg_intr_state_d_o;
+  output [0:0] intr_o;
+  input clk_i, rst_ni, reg2hw_intr_test_qe_i;
+  output hw2reg_intr_state_de_o;
+  wire   N1;
+
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_0_ ( .D(N1), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(intr_o[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        reg2hw_intr_enable_q_i[0]), .X(N1) );
+  sky130_fd_sc_hd__a21o_1 U4 ( .A1(reg2hw_intr_test_q_i[0]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[0]), .X(
+        hw2reg_intr_state_de_o) );
+  sky130_fd_sc_hd__or2_0 U5 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        hw2reg_intr_state_de_o), .X(hw2reg_intr_state_d_o[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_intr_hw_Width1_6 ( clk_i, rst_ni, event_intr_i, 
+        reg2hw_intr_enable_q_i, reg2hw_intr_test_q_i, reg2hw_intr_test_qe_i, 
+        reg2hw_intr_state_q_i, hw2reg_intr_state_de_o, hw2reg_intr_state_d_o, 
+        intr_o );
+  input [0:0] event_intr_i;
+  input [0:0] reg2hw_intr_enable_q_i;
+  input [0:0] reg2hw_intr_test_q_i;
+  input [0:0] reg2hw_intr_state_q_i;
+  output [0:0] hw2reg_intr_state_d_o;
+  output [0:0] intr_o;
+  input clk_i, rst_ni, reg2hw_intr_test_qe_i;
+  output hw2reg_intr_state_de_o;
+  wire   N1;
+
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_0_ ( .D(N1), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(intr_o[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        reg2hw_intr_enable_q_i[0]), .X(N1) );
+  sky130_fd_sc_hd__a21o_1 U4 ( .A1(reg2hw_intr_test_q_i[0]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[0]), .X(
+        hw2reg_intr_state_de_o) );
+  sky130_fd_sc_hd__or2_0 U5 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        hw2reg_intr_state_de_o), .X(hw2reg_intr_state_d_o[0]) );
+endmodule
+
+
+module opentitan_soc_top_prim_intr_hw_Width1_7 ( clk_i, rst_ni, event_intr_i, 
+        reg2hw_intr_enable_q_i, reg2hw_intr_test_q_i, reg2hw_intr_test_qe_i, 
+        reg2hw_intr_state_q_i, hw2reg_intr_state_de_o, hw2reg_intr_state_d_o, 
+        intr_o );
+  input [0:0] event_intr_i;
+  input [0:0] reg2hw_intr_enable_q_i;
+  input [0:0] reg2hw_intr_test_q_i;
+  input [0:0] reg2hw_intr_state_q_i;
+  output [0:0] hw2reg_intr_state_d_o;
+  output [0:0] intr_o;
+  input clk_i, rst_ni, reg2hw_intr_test_qe_i;
+  output hw2reg_intr_state_de_o;
+  wire   N1;
+
+  sky130_fd_sc_hd__dfrtp_1 intr_o_reg_0_ ( .D(N1), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(intr_o[0]) );
+  sky130_fd_sc_hd__and2_0 U3 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        reg2hw_intr_enable_q_i[0]), .X(N1) );
+  sky130_fd_sc_hd__a21o_1 U4 ( .A1(reg2hw_intr_test_q_i[0]), .A2(
+        reg2hw_intr_test_qe_i), .B1(event_intr_i[0]), .X(
+        hw2reg_intr_state_de_o) );
+  sky130_fd_sc_hd__or2_0 U5 ( .A(reg2hw_intr_state_q_i[0]), .B(
+        hw2reg_intr_state_de_o), .X(hw2reg_intr_state_d_o[0]) );
+endmodule
+
+
+module opentitan_soc_top_fifo_sync_8_0_32_1 ( clk_i, rst_ni, clr_i, wvalid_i, 
+        wready_o, wdata_i, rvalid_o, rready_i, rdata_o, depth_o );
+  input [7:0] wdata_i;
+  output [7:0] rdata_o;
+  output [5:0] depth_o;
+  input clk_i, rst_ni, clr_i, wvalid_i, rready_i;
+  output wready_o, rvalid_o;
+  wire   gen_normal_fifo_fifo_wptr_5_, gen_normal_fifo_fifo_rptr_5_, n13, n14,
+         n15, n16, n17, n19, n22, n25, n28, n37, n39, n40, n41, n43, n44, n45,
+         n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n58, n59,
+         n60, n61, n62, n63, n64, n65, n74, n75, n76, n77, n78, n79, n80, n81,
+         n82, n83, n84, n85, n86, n87, n88, n89, n90, n91, n92, n93, n94, n95,
+         n96, n97, n98, n99, n100, n101, n102, n103, n104, n105, n106, n107,
+         n116, n117, n118, n119, n128, n129, n130, n131, n132, n133, n134,
+         n135, n136, n137, n138, n139, n140, n141, n142, n143, n144, n145,
+         n146, n147, n148, n157, n158, n159, n160, n169, n170, n171, n172,
+         n173, n174, n175, n176, n177, n178, n179, n180, n181, n182, n183,
+         n184, n185, n186, n187, n196, n197, n198, n199, n208, n209, n210,
+         n211, n212, n213, n214, n215, n216, n217, n218, n219, n220, n221,
+         n222, n223, n224, n225, n226, n235, n236, n237, n238, n247, n248,
+         n249, n250, n251, n252, n253, n254, n255, n256, n257, n258, n259,
+         n260, n261, n262, n263, n264, n265, n274, n275, n276, n277, n286,
+         n287, n288, n289, n290, n291, n292, n293, n294, n295, n296, n297,
+         n298, n299, n300, n301, n302, n303, n304, n313, n314, n315, n316,
+         n325, n326, n327, n328, n329, n330, n331, n332, n333, n334, n335,
+         n336, n337, n338, n339, n340, n341, n342, n343, n352, n353, n354,
+         n355, n364, n365, n366, n367, n368, n369, n370, n371, n372, n373,
+         n374, n375, n376, n377, n378, n379, n380, n381, n382, n383, n384,
+         n385, n386, n387, n388, n389, n390, n391, n392, n401, n402, n403,
+         n404, n405, n407, n409, n411, n413, n415, n417, n419, n421, n422,
+         n423, n424, n425, n426, n427, n428, n429, n430, n431, n432, n433,
+         n574, n575, n576, n577, n578, n579, n580, n581, n582, n583, n584,
+         n585, n586, n587, n588, n589, n590, n591, n592, n593, n594, n595,
+         n596, n597, n598, n599, n600, n601, n602, n603, n604, n605, n606,
+         n607, n608, n609, n610, n611, n612, n613, n614, n615, n616, n617,
+         n618, n619, n620, n621, n622, n623, n624, n625, n626, n627, n628,
+         n629, n630, n631, n632, n633, n634, n635, n636, n637, n638, n639,
+         n640, n641, n642, n643, n644, n645, n646, n647, n648, n649, n650,
+         n651, n652, n653, n654, n655, n656, n657, n658, n659, n660, n661,
+         n662, n663, n664, n665, n666, n667, n668, n669, n670, n671, n672,
+         n673, n674, n675, n676, n677, n678, n679, n680, n681, n682, n683,
+         n684, n685, n686, n687, n688, n689, n690, n691, n692, n693, n694,
+         n695, n696, n697, n698, n699, n700, n701, n702, n703, n704, n705,
+         n706, n707, n708, n709, n710, n711, n712, n713, n714, n715, n716,
+         n717, n718, n719, n720, n721, n722, n723, n724, n725, n726, n727,
+         n728, n729, n730, n731, n732, n733, n734, n735, n736, n737, n738,
+         n739, n740, n741, n742, n743, n744, n745, n746, n747, n748, n749,
+         n750, n751, n752, n753, n754, n755, n756, n757, n758, n759, n760,
+         n761, n762, n763, n764, n765, n766, n767, n768, n769, n770, n771,
+         n772, n773, n774, n775, n776, n777, n778, n779, n780, n781, n782,
+         n783, n784, n785, n786, n787, n788, n789, n790, n791, n792, n793,
+         n794, n795, n796, n797, n798, n799, n800, n801, n802, n803, n804,
+         n805, n806, n807, n808, n809, n810, n811, n812, n813, n814, n815,
+         n816, n817, n818, n819, n820, n821, n822, n823, n824, n825, n826,
+         n827, n828, n829, n830, n831, n832, n833, n834, n835, n836, n837,
+         n838, n839, n840, n841, n842, n843, n844, n845, n846, n847, n848,
+         n849, n850, n851, n852, n853, n854, n855, n856, n857, n858, n859,
+         n860, n861, n862, n863, n864, n865, n866, n867, n868, n869, n870,
+         n871, n872, n873, n874, n875, n876, n877, n878, n879, n880, n881,
+         n882, n883, n884, n885, n886, n887, n888, n889, n890, n891, n892,
+         n893, n894, n895, n896, n897, n898, n899, n900, n901, n902, n903,
+         n904, n905, n906, n907, n908, n909, n910, n911, n912, n913, n914,
+         n915, n916, n917, n918, n919, n920, n921, n922, n923, n924, n925,
+         n926, n927, n928, n929, n930, n931, n932, n933, n934, n935, n936,
+         n937, n938, n939, n940, n941, n942, n943, n944, n945, n946, n947,
+         n948, n949, n950, n951, n952, n953, n954, n955, n956, n957, n958,
+         n959;
+  wire   [4:0] gen_normal_fifo_wptr_value;
+  wire   [4:0] gen_normal_fifo_rptr_value;
+  wire   [127:0] gen_normal_fifo_storage;
+
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_0_ ( .D(n948), .CLK(
+        n16), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[0]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_0_ ( .D(n949), .CLK(
+        n15), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[0]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_1_ ( .D(n950), .CLK(
+        n15), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[1]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_2_ ( .D(n951), .CLK(
+        n15), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[2]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_3_ ( .D(n952), .CLK(
+        n14), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[3]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_5_ ( .D(n954), .CLK(
+        n15), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_wptr_5_) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_1_ ( .D(n955), .CLK(
+        n13), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[1]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_2_ ( .D(n956), .CLK(
+        n14), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[2]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_4_ ( .D(n958), .CLK(
+        n16), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[4]) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_5_ ( .D(n959), .CLK(
+        n14), .RESET_B(rst_ni), .Q(gen_normal_fifo_fifo_rptr_5_) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__7_ ( .D(n668), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[127]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__6_ ( .D(n669), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[126]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__5_ ( .D(n670), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[125]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__4_ ( .D(n671), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[124]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__3_ ( .D(n672), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[123]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__2_ ( .D(n673), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[122]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__1_ ( .D(n674), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[121]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_31__0_ ( .D(n675), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[120]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__7_ ( .D(n676), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[119]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__6_ ( .D(n677), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[118]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__5_ ( .D(n678), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[117]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__4_ ( .D(n679), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[116]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__3_ ( .D(n680), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[115]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__2_ ( .D(n681), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[114]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__1_ ( .D(n682), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[113]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_30__0_ ( .D(n683), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[112]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__7_ ( .D(n684), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[111]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__6_ ( .D(n685), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[110]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__5_ ( .D(n686), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[109]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__4_ ( .D(n687), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[108]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__3_ ( .D(n688), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[107]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__2_ ( .D(n689), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[106]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__1_ ( .D(n690), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[105]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_29__0_ ( .D(n691), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[104]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__7_ ( .D(n692), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[103]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__6_ ( .D(n693), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[102]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__5_ ( .D(n694), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[101]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__4_ ( .D(n695), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[100]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__3_ ( .D(n696), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[99]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__2_ ( .D(n697), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[98]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__1_ ( .D(n698), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[97]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_28__0_ ( .D(n699), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[96]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__7_ ( .D(n700), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[95]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__6_ ( .D(n701), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[94]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__5_ ( .D(n702), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[93]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__4_ ( .D(n703), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[92]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__3_ ( .D(n704), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[91]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__2_ ( .D(n705), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[90]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__1_ ( .D(n706), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[89]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_27__0_ ( .D(n707), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[88]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__7_ ( .D(n708), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[87]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__6_ ( .D(n709), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[86]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__5_ ( .D(n710), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[85]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__4_ ( .D(n711), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[84]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__3_ ( .D(n712), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[83]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__2_ ( .D(n713), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[82]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__1_ ( .D(n714), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[81]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_26__0_ ( .D(n715), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[80]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__7_ ( .D(n716), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[79]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__6_ ( .D(n717), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[78]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__5_ ( .D(n718), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[77]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__4_ ( .D(n719), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[76]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__3_ ( .D(n720), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[75]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__2_ ( .D(n721), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[74]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__1_ ( .D(n722), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[73]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_25__0_ ( .D(n723), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[72]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__7_ ( .D(n724), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[71]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__6_ ( .D(n725), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[70]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__5_ ( .D(n726), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[69]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__4_ ( .D(n727), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[68]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__3_ ( .D(n728), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[67]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__2_ ( .D(n729), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[66]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__1_ ( .D(n730), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[65]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_24__0_ ( .D(n731), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[64]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__7_ ( .D(n732), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[63]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__6_ ( .D(n733), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[62]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__5_ ( .D(n734), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[61]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__4_ ( .D(n735), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[60]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__3_ ( .D(n736), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[59]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__2_ ( .D(n737), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[58]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__1_ ( .D(n738), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[57]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_23__0_ ( .D(n739), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[56]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__7_ ( .D(n740), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[55]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__6_ ( .D(n741), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[54]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__5_ ( .D(n742), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[53]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__4_ ( .D(n743), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[52]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__3_ ( .D(n744), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[51]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__2_ ( .D(n745), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[50]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__1_ ( .D(n746), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[49]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_22__0_ ( .D(n747), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[48]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__7_ ( .D(n748), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[47]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__6_ ( .D(n749), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[46]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__5_ ( .D(n750), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[45]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__4_ ( .D(n751), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[44]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__3_ ( .D(n752), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[43]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__2_ ( .D(n753), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[42]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__1_ ( .D(n754), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[41]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_21__0_ ( .D(n755), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[40]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__7_ ( .D(n756), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[39]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__6_ ( .D(n757), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[38]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__5_ ( .D(n758), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[37]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__4_ ( .D(n759), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[36]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__3_ ( .D(n760), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[35]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__2_ ( .D(n761), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[34]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__1_ ( .D(n762), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[33]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_20__0_ ( .D(n763), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[32]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__7_ ( .D(n764), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[31]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__6_ ( .D(n765), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[30]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__5_ ( .D(n766), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[29]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__4_ ( .D(n767), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[28]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__3_ ( .D(n768), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[27]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__2_ ( .D(n769), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[26]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__1_ ( .D(n770), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[25]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_19__0_ ( .D(n771), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[24]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__7_ ( .D(n772), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[23]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__6_ ( .D(n773), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[22]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__5_ ( .D(n774), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[21]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__4_ ( .D(n775), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[20]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__3_ ( .D(n776), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[19]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__2_ ( .D(n777), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[18]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__1_ ( .D(n778), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[17]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_18__0_ ( .D(n779), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[16]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__7_ ( .D(n780), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[15]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__6_ ( .D(n781), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[14]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__5_ ( .D(n782), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[13]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__4_ ( .D(n783), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[12]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__3_ ( .D(n784), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[11]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__2_ ( .D(n785), 
+        .CLK(n14), .Q(gen_normal_fifo_storage[10]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__1_ ( .D(n786), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[9]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_17__0_ ( .D(n787), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[8]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__7_ ( .D(n788), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[7]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__6_ ( .D(n789), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[6]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__5_ ( .D(n790), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[5]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__4_ ( .D(n791), 
+        .CLK(n15), .Q(gen_normal_fifo_storage[4]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__3_ ( .D(n792), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[3]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__2_ ( .D(n793), 
+        .CLK(n13), .Q(gen_normal_fifo_storage[2]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__1_ ( .D(n794), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[1]) );
+  sky130_fd_sc_hd__dfxtp_1 gen_normal_fifo_storage_reg_16__0_ ( .D(n795), 
+        .CLK(n16), .Q(gen_normal_fifo_storage[0]) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__7_ ( .D(n924), 
+        .DE(n932), .CLK(n13), .Q(n923) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__6_ ( .D(n925), 
+        .DE(n932), .CLK(n16), .Q(n907) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__5_ ( .D(n926), 
+        .DE(n932), .CLK(n13), .Q(n891) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__4_ ( .D(n927), 
+        .DE(n932), .CLK(n16), .Q(n875) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__3_ ( .D(n928), 
+        .DE(n932), .CLK(n13), .Q(n859) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__2_ ( .D(n929), 
+        .DE(n932), .CLK(n14), .Q(n843) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__1_ ( .D(n930), 
+        .DE(n932), .CLK(n16), .Q(n827) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_15__0_ ( .D(n931), 
+        .DE(n932), .CLK(n13), .Q(n811) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__7_ ( .D(n924), 
+        .DE(n933), .CLK(n15), .Q(n921) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__6_ ( .D(n925), 
+        .DE(n933), .CLK(n15), .Q(n905) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__5_ ( .D(n926), 
+        .DE(n933), .CLK(n14), .Q(n889) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__4_ ( .D(n927), 
+        .DE(n933), .CLK(n15), .Q(n873) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__3_ ( .D(n928), 
+        .DE(n933), .CLK(n14), .Q(n857) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__2_ ( .D(n929), 
+        .DE(n933), .CLK(n14), .Q(n841) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__1_ ( .D(n930), 
+        .DE(n933), .CLK(n15), .Q(n825) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_14__0_ ( .D(n931), 
+        .DE(n933), .CLK(n14), .Q(n809) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__7_ ( .D(n924), 
+        .DE(n934), .CLK(n13), .Q(n922) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__6_ ( .D(n925), 
+        .DE(n934), .CLK(n13), .Q(n906) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__5_ ( .D(n926), 
+        .DE(n934), .CLK(n14), .Q(n890) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__4_ ( .D(n927), 
+        .DE(n934), .CLK(n14), .Q(n874) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__3_ ( .D(n928), 
+        .DE(n934), .CLK(n15), .Q(n858) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__2_ ( .D(n929), 
+        .DE(n934), .CLK(n14), .Q(n842) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__1_ ( .D(n930), 
+        .DE(n934), .CLK(n16), .Q(n826) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_13__0_ ( .D(n931), 
+        .DE(n934), .CLK(n16), .Q(n810) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__7_ ( .D(n924), 
+        .DE(n935), .CLK(n16), .Q(n920) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__6_ ( .D(n925), 
+        .DE(n935), .CLK(n15), .Q(n904) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__5_ ( .D(n926), 
+        .DE(n935), .CLK(n16), .Q(n888) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__4_ ( .D(n927), 
+        .DE(n935), .CLK(n16), .Q(n872) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__3_ ( .D(n928), 
+        .DE(n935), .CLK(n13), .Q(n856) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__2_ ( .D(n929), 
+        .DE(n935), .CLK(n13), .Q(n840) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__1_ ( .D(n930), 
+        .DE(n935), .CLK(n16), .Q(n824) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_12__0_ ( .D(n931), 
+        .DE(n935), .CLK(n14), .Q(n808) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__7_ ( .D(n924), 
+        .DE(n936), .CLK(n15), .Q(n919) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__6_ ( .D(n925), 
+        .DE(n936), .CLK(n15), .Q(n903) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__5_ ( .D(n926), 
+        .DE(n936), .CLK(n16), .Q(n887) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__4_ ( .D(n927), 
+        .DE(n936), .CLK(n13), .Q(n871) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__3_ ( .D(n928), 
+        .DE(n936), .CLK(n15), .Q(n855) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__2_ ( .D(n929), 
+        .DE(n936), .CLK(n14), .Q(n839) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__1_ ( .D(n930), 
+        .DE(n936), .CLK(n14), .Q(n823) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_11__0_ ( .D(n931), 
+        .DE(n936), .CLK(n15), .Q(n807) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__7_ ( .D(n924), 
+        .DE(n937), .CLK(n13), .Q(n917) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__6_ ( .D(n925), 
+        .DE(n937), .CLK(n13), .Q(n901) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__5_ ( .D(n926), 
+        .DE(n937), .CLK(n16), .Q(n885) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__4_ ( .D(n927), 
+        .DE(n937), .CLK(n15), .Q(n869) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__3_ ( .D(n928), 
+        .DE(n937), .CLK(n15), .Q(n853) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__2_ ( .D(n929), 
+        .DE(n937), .CLK(n15), .Q(n837) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__1_ ( .D(n930), 
+        .DE(n937), .CLK(n14), .Q(n821) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_10__0_ ( .D(n931), 
+        .DE(n937), .CLK(n15), .Q(n805) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__7_ ( .D(n924), .DE(
+        n938), .CLK(n15), .Q(n918) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__6_ ( .D(n925), .DE(
+        n938), .CLK(n14), .Q(n902) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__5_ ( .D(n926), .DE(
+        n938), .CLK(n16), .Q(n886) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__4_ ( .D(n927), .DE(
+        n938), .CLK(n15), .Q(n870) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__3_ ( .D(n928), .DE(
+        n938), .CLK(n14), .Q(n854) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__2_ ( .D(n929), .DE(
+        n938), .CLK(n13), .Q(n838) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__1_ ( .D(n930), .DE(
+        n938), .CLK(n15), .Q(n822) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_9__0_ ( .D(n931), .DE(
+        n938), .CLK(n16), .Q(n806) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__7_ ( .D(n924), .DE(
+        n939), .CLK(n16), .Q(n916) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__6_ ( .D(n925), .DE(
+        n939), .CLK(n15), .Q(n900) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__5_ ( .D(n926), .DE(
+        n939), .CLK(n16), .Q(n884) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__4_ ( .D(n927), .DE(
+        n939), .CLK(n14), .Q(n868) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__3_ ( .D(n928), .DE(
+        n939), .CLK(n14), .Q(n852) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__2_ ( .D(n929), .DE(
+        n939), .CLK(n15), .Q(n836) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__1_ ( .D(n930), .DE(
+        n939), .CLK(n14), .Q(n820) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_8__0_ ( .D(n931), .DE(
+        n939), .CLK(n15), .Q(n804) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__7_ ( .D(n924), .DE(
+        n940), .CLK(n15), .Q(n915) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__6_ ( .D(n925), .DE(
+        n940), .CLK(n16), .Q(n899) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__5_ ( .D(n926), .DE(
+        n940), .CLK(n13), .Q(n883) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__4_ ( .D(n927), .DE(
+        n940), .CLK(n14), .Q(n867) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__3_ ( .D(n928), .DE(
+        n940), .CLK(n15), .Q(n851) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__2_ ( .D(n929), .DE(
+        n940), .CLK(n14), .Q(n835) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__1_ ( .D(n930), .DE(
+        n940), .CLK(n14), .Q(n819) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_7__0_ ( .D(n931), .DE(
+        n940), .CLK(n16), .Q(n803) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__7_ ( .D(n924), .DE(
+        n941), .CLK(n16), .Q(n913) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__6_ ( .D(n925), .DE(
+        n941), .CLK(n14), .Q(n897) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__5_ ( .D(n926), .DE(
+        n941), .CLK(n16), .Q(n881) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__4_ ( .D(n927), .DE(
+        n941), .CLK(n16), .Q(n865) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__3_ ( .D(n928), .DE(
+        n941), .CLK(n15), .Q(n849) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__2_ ( .D(n929), .DE(
+        n941), .CLK(n15), .Q(n833) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__1_ ( .D(n930), .DE(
+        n941), .CLK(n16), .Q(n817) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_6__0_ ( .D(n931), .DE(
+        n941), .CLK(n14), .Q(n801) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__7_ ( .D(n924), .DE(
+        n942), .CLK(n13), .Q(n914) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__6_ ( .D(n925), .DE(
+        n942), .CLK(n14), .Q(n898) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__5_ ( .D(n926), .DE(
+        n942), .CLK(n13), .Q(n882) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__4_ ( .D(n927), .DE(
+        n942), .CLK(n13), .Q(n866) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__3_ ( .D(n928), .DE(
+        n942), .CLK(n13), .Q(n850) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__2_ ( .D(n929), .DE(
+        n942), .CLK(n16), .Q(n834) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__1_ ( .D(n930), .DE(
+        n942), .CLK(n16), .Q(n818) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_5__0_ ( .D(n931), .DE(
+        n942), .CLK(n13), .Q(n802) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__7_ ( .D(n924), .DE(
+        n943), .CLK(n16), .Q(n912) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__6_ ( .D(n925), .DE(
+        n943), .CLK(n14), .Q(n896) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__5_ ( .D(n926), .DE(
+        n943), .CLK(n15), .Q(n880) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__4_ ( .D(n927), .DE(
+        n943), .CLK(n14), .Q(n864) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__3_ ( .D(n928), .DE(
+        n943), .CLK(n16), .Q(n848) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__2_ ( .D(n929), .DE(
+        n943), .CLK(n16), .Q(n832) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__1_ ( .D(n930), .DE(
+        n943), .CLK(n15), .Q(n816) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_4__0_ ( .D(n931), .DE(
+        n943), .CLK(n14), .Q(n800) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__7_ ( .D(n924), .DE(
+        n944), .CLK(n15), .Q(n911) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__6_ ( .D(n925), .DE(
+        n944), .CLK(n16), .Q(n895) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__5_ ( .D(n926), .DE(
+        n944), .CLK(n16), .Q(n879) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__4_ ( .D(n927), .DE(
+        n944), .CLK(n14), .Q(n863) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__3_ ( .D(n928), .DE(
+        n944), .CLK(n14), .Q(n847) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__2_ ( .D(n929), .DE(
+        n944), .CLK(n14), .Q(n831) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__1_ ( .D(n930), .DE(
+        n944), .CLK(n16), .Q(n815) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_3__0_ ( .D(n931), .DE(
+        n944), .CLK(n15), .Q(n799) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__7_ ( .D(n924), .DE(
+        n945), .CLK(n14), .Q(n909) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__6_ ( .D(n925), .DE(
+        n945), .CLK(n15), .Q(n893) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__5_ ( .D(n926), .DE(
+        n945), .CLK(n13), .Q(n877) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__4_ ( .D(n927), .DE(
+        n945), .CLK(n16), .Q(n861) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__3_ ( .D(n928), .DE(
+        n945), .CLK(n14), .Q(n845) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__2_ ( .D(n929), .DE(
+        n945), .CLK(n13), .Q(n829) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__1_ ( .D(n930), .DE(
+        n945), .CLK(n16), .Q(n813) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_2__0_ ( .D(n931), .DE(
+        n945), .CLK(n14), .Q(n797) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__7_ ( .D(n924), .DE(
+        n946), .CLK(n14), .Q(n910) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__6_ ( .D(n925), .DE(
+        n946), .CLK(n15), .Q(n894) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__5_ ( .D(n926), .DE(
+        n946), .CLK(n14), .Q(n878) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__4_ ( .D(n927), .DE(
+        n946), .CLK(n15), .Q(n862) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__3_ ( .D(n928), .DE(
+        n946), .CLK(n14), .Q(n846) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__2_ ( .D(n929), .DE(
+        n946), .CLK(n15), .Q(n830) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__1_ ( .D(n930), .DE(
+        n946), .CLK(n15), .Q(n814) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_1__0_ ( .D(n931), .DE(
+        n946), .CLK(n13), .Q(n798) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__7_ ( .D(n924), .DE(
+        n947), .CLK(n15), .Q(n908) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__6_ ( .D(n925), .DE(
+        n947), .CLK(n13), .Q(n892) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__5_ ( .D(n926), .DE(
+        n947), .CLK(n15), .Q(n876) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__4_ ( .D(n927), .DE(
+        n947), .CLK(n16), .Q(n860) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__3_ ( .D(n928), .DE(
+        n947), .CLK(n14), .Q(n844) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__2_ ( .D(n929), .DE(
+        n947), .CLK(n16), .Q(n828) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__1_ ( .D(n930), .DE(
+        n947), .CLK(n15), .Q(n812) );
+  sky130_fd_sc_hd__edfxtp_1 gen_normal_fifo_storage_reg_0__0_ ( .D(n931), .DE(
+        n947), .CLK(n14), .Q(n796) );
+  sky130_fd_sc_hd__nor2b_1 U12 ( .B_N(n658), .A(clr_i), .Y(n950) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_rptr_reg_3_ ( .D(n957), .CLK(
+        n14), .RESET_B(rst_ni), .Q(gen_normal_fifo_rptr_value[3]) );
+  sky130_fd_sc_hd__nor2b_1 U6 ( .B_N(n664), .A(clr_i), .Y(n956) );
+  sky130_fd_sc_hd__nor2b_1 U3 ( .B_N(n667), .A(clr_i), .Y(n959) );
+  sky130_fd_sc_hd__nor2b_1 U8 ( .B_N(n662), .A(clr_i), .Y(n954) );
+  sky130_fd_sc_hd__nor2b_1 U13 ( .B_N(n657), .A(clr_i), .Y(n949) );
+  sky130_fd_sc_hd__nor2b_1 U5 ( .B_N(n665), .A(clr_i), .Y(n957) );
+  sky130_fd_sc_hd__nor2b_1 U4 ( .B_N(n666), .A(clr_i), .Y(n958) );
+  sky130_fd_sc_hd__nor2b_1 U7 ( .B_N(n663), .A(clr_i), .Y(n955) );
+  sky130_fd_sc_hd__nor2b_1 U14 ( .B_N(n656), .A(clr_i), .Y(n948) );
+  sky130_fd_sc_hd__nor2b_1 U9 ( .B_N(n661), .A(clr_i), .Y(n953) );
+  sky130_fd_sc_hd__nor2b_1 U10 ( .B_N(n660), .A(clr_i), .Y(n952) );
+  sky130_fd_sc_hd__nor2b_1 U11 ( .B_N(n659), .A(clr_i), .Y(n951) );
+  sky130_fd_sc_hd__dfrtp_1 gen_normal_fifo_fifo_wptr_reg_4_ ( .D(n953), .CLK(
+        n16), .RESET_B(rst_ni), .Q(gen_normal_fifo_wptr_value[4]) );
+  sky130_fd_sc_hd__clkbuf_1 U15 ( .A(n15), .X(n13) );
+  sky130_fd_sc_hd__clkbuf_1 U16 ( .A(n14), .X(n16) );
+  sky130_fd_sc_hd__clkbuf_1 U17 ( .A(n15), .X(n14) );
+  sky130_fd_sc_hd__clkbuf_1 U18 ( .A(clk_i), .X(n15) );
+  sky130_fd_sc_hd__clkinv_1 U19 ( .A(gen_normal_fifo_wptr_value[4]), .Y(n645)
+         );
+  sky130_fd_sc_hd__clkinv_1 U20 ( .A(wdata_i[2]), .Y(n929) );
+  sky130_fd_sc_hd__clkinv_1 U21 ( .A(wdata_i[0]), .Y(n931) );
+  sky130_fd_sc_hd__clkinv_1 U22 ( .A(wdata_i[6]), .Y(n925) );
+  sky130_fd_sc_hd__clkinv_1 U23 ( .A(wdata_i[5]), .Y(n926) );
+  sky130_fd_sc_hd__clkinv_1 U24 ( .A(wdata_i[1]), .Y(n930) );
+  sky130_fd_sc_hd__clkinv_1 U25 ( .A(wdata_i[3]), .Y(n928) );
+  sky130_fd_sc_hd__clkinv_1 U26 ( .A(wdata_i[4]), .Y(n927) );
+  sky130_fd_sc_hd__clkinv_1 U27 ( .A(wdata_i[7]), .Y(n924) );
+  sky130_fd_sc_hd__clkinv_1 U28 ( .A(gen_normal_fifo_wptr_value[0]), .Y(n379)
+         );
+  sky130_fd_sc_hd__nand2_1 U29 ( .A(gen_normal_fifo_rptr_value[0]), .B(n379), 
+        .Y(n354) );
+  sky130_fd_sc_hd__o21ai_1 U30 ( .A1(gen_normal_fifo_rptr_value[0]), .A2(n379), 
+        .B1(n354), .Y(depth_o[0]) );
+  sky130_fd_sc_hd__xor2_1 U31 ( .A(gen_normal_fifo_fifo_rptr_5_), .B(
+        gen_normal_fifo_fifo_wptr_5_), .X(n375) );
+  sky130_fd_sc_hd__clkinv_1 U32 ( .A(gen_normal_fifo_rptr_value[3]), .Y(n62)
+         );
+  sky130_fd_sc_hd__clkinv_1 U33 ( .A(gen_normal_fifo_wptr_value[3]), .Y(n644)
+         );
+  sky130_fd_sc_hd__o22ai_1 U34 ( .A1(gen_normal_fifo_wptr_value[3]), .A2(n62), 
+        .B1(n644), .B2(gen_normal_fifo_rptr_value[3]), .Y(n367) );
+  sky130_fd_sc_hd__clkinv_1 U35 ( .A(gen_normal_fifo_wptr_value[2]), .Y(n381)
+         );
+  sky130_fd_sc_hd__clkinv_1 U36 ( .A(gen_normal_fifo_rptr_value[2]), .Y(n390)
+         );
+  sky130_fd_sc_hd__o22ai_1 U37 ( .A1(gen_normal_fifo_wptr_value[2]), .A2(
+        gen_normal_fifo_rptr_value[2]), .B1(n381), .B2(n390), .Y(n364) );
+  sky130_fd_sc_hd__clkinv_1 U38 ( .A(gen_normal_fifo_wptr_value[1]), .Y(n378)
+         );
+  sky130_fd_sc_hd__clkinv_1 U39 ( .A(gen_normal_fifo_rptr_value[1]), .Y(n389)
+         );
+  sky130_fd_sc_hd__o22ai_1 U40 ( .A1(gen_normal_fifo_wptr_value[1]), .A2(
+        gen_normal_fifo_rptr_value[1]), .B1(n378), .B2(n389), .Y(n352) );
+  sky130_fd_sc_hd__clkinv_1 U41 ( .A(gen_normal_fifo_rptr_value[4]), .Y(n415)
+         );
+  sky130_fd_sc_hd__o22ai_1 U42 ( .A1(gen_normal_fifo_wptr_value[4]), .A2(
+        gen_normal_fifo_rptr_value[4]), .B1(n645), .B2(n415), .Y(n371) );
+  sky130_fd_sc_hd__nand3_1 U43 ( .A(n364), .B(n352), .C(n371), .Y(n17) );
+  sky130_fd_sc_hd__nand2_1 U45 ( .A(n375), .B(n43), .Y(wready_o) );
+  sky130_fd_sc_hd__nand3_1 U46 ( .A(gen_normal_fifo_wptr_value[1]), .B(n381), 
+        .C(n379), .Y(n652) );
+  sky130_fd_sc_hd__nand2_1 U47 ( .A(wvalid_i), .B(wready_o), .Y(n380) );
+  sky130_fd_sc_hd__clkinv_1 U48 ( .A(n380), .Y(n646) );
+  sky130_fd_sc_hd__nand3_1 U49 ( .A(gen_normal_fifo_wptr_value[4]), .B(
+        gen_normal_fifo_wptr_value[3]), .C(n646), .Y(n41) );
+  sky130_fd_sc_hd__or2_0 U50 ( .A(n652), .B(n41), .X(n39) );
+  sky130_fd_sc_hd__o2bb2ai_1 U51 ( .B1(n39), .B2(n928), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[83]), .Y(n712) );
+  sky130_fd_sc_hd__nand3_1 U52 ( .A(gen_normal_fifo_wptr_value[0]), .B(
+        gen_normal_fifo_wptr_value[1]), .C(n381), .Y(n651) );
+  sky130_fd_sc_hd__or2_0 U53 ( .A(n651), .B(n41), .X(n40) );
+  sky130_fd_sc_hd__o2bb2ai_1 U54 ( .B1(n40), .B2(n929), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[90]), .Y(n705) );
+  sky130_fd_sc_hd__o2bb2ai_1 U55 ( .B1(n40), .B2(n925), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[94]), .Y(n701) );
+  sky130_fd_sc_hd__nand3_1 U56 ( .A(gen_normal_fifo_wptr_value[2]), .B(n379), 
+        .C(n378), .Y(n650) );
+  sky130_fd_sc_hd__or2_0 U57 ( .A(n650), .B(n41), .X(n19) );
+  sky130_fd_sc_hd__o2bb2ai_1 U58 ( .B1(n19), .B2(n927), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[100]), .Y(n695) );
+  sky130_fd_sc_hd__o2bb2ai_1 U59 ( .B1(n40), .B2(n926), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[93]), .Y(n702) );
+  sky130_fd_sc_hd__nand3_1 U60 ( .A(n381), .B(n379), .C(n378), .Y(n655) );
+  sky130_fd_sc_hd__or2_0 U61 ( .A(n655), .B(n41), .X(n28) );
+  sky130_fd_sc_hd__o2bb2ai_1 U62 ( .B1(n28), .B2(n928), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[67]), .Y(n728) );
+  sky130_fd_sc_hd__o2bb2ai_1 U63 ( .B1(n40), .B2(n927), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[92]), .Y(n703) );
+  sky130_fd_sc_hd__nand3_1 U64 ( .A(gen_normal_fifo_wptr_value[0]), .B(n381), 
+        .C(n378), .Y(n653) );
+  sky130_fd_sc_hd__or2_0 U65 ( .A(n653), .B(n41), .X(n25) );
+  sky130_fd_sc_hd__o2bb2ai_1 U66 ( .B1(n25), .B2(n927), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[76]), .Y(n719) );
+  sky130_fd_sc_hd__o2bb2ai_1 U67 ( .B1(n19), .B2(n928), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[99]), .Y(n696) );
+  sky130_fd_sc_hd__o2bb2ai_1 U68 ( .B1(n28), .B2(n926), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[69]), .Y(n726) );
+  sky130_fd_sc_hd__o2bb2ai_1 U69 ( .B1(n39), .B2(n929), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[82]), .Y(n713) );
+  sky130_fd_sc_hd__o2bb2ai_1 U70 ( .B1(n25), .B2(n924), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[79]), .Y(n716) );
+  sky130_fd_sc_hd__o2bb2ai_1 U71 ( .B1(n40), .B2(n924), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[95]), .Y(n700) );
+  sky130_fd_sc_hd__o2bb2ai_1 U72 ( .B1(n28), .B2(n930), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[65]), .Y(n730) );
+  sky130_fd_sc_hd__o2bb2ai_1 U73 ( .B1(n25), .B2(n926), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[77]), .Y(n718) );
+  sky130_fd_sc_hd__o2bb2ai_1 U74 ( .B1(n25), .B2(n929), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[74]), .Y(n721) );
+  sky130_fd_sc_hd__o2bb2ai_1 U75 ( .B1(n28), .B2(n929), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[66]), .Y(n729) );
+  sky130_fd_sc_hd__o2bb2ai_1 U76 ( .B1(n25), .B2(n931), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[72]), .Y(n723) );
+  sky130_fd_sc_hd__o2bb2ai_1 U77 ( .B1(n19), .B2(n926), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[101]), .Y(n694) );
+  sky130_fd_sc_hd__o2bb2ai_1 U78 ( .B1(n19), .B2(n925), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[102]), .Y(n693) );
+  sky130_fd_sc_hd__nand3_1 U79 ( .A(gen_normal_fifo_wptr_value[0]), .B(
+        gen_normal_fifo_wptr_value[2]), .C(n378), .Y(n649) );
+  sky130_fd_sc_hd__or2_0 U80 ( .A(n649), .B(n41), .X(n22) );
+  sky130_fd_sc_hd__o2bb2ai_1 U81 ( .B1(n22), .B2(n931), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[104]), .Y(n691) );
+  sky130_fd_sc_hd__o2bb2ai_1 U82 ( .B1(n39), .B2(n930), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[81]), .Y(n714) );
+  sky130_fd_sc_hd__o2bb2ai_1 U83 ( .B1(n28), .B2(n927), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[68]), .Y(n727) );
+  sky130_fd_sc_hd__o2bb2ai_1 U84 ( .B1(n19), .B2(n930), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[97]), .Y(n698) );
+  sky130_fd_sc_hd__o2bb2ai_1 U85 ( .B1(n22), .B2(n929), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[106]), .Y(n689) );
+  sky130_fd_sc_hd__o2bb2ai_1 U86 ( .B1(n19), .B2(n929), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[98]), .Y(n697) );
+  sky130_fd_sc_hd__o2bb2ai_1 U87 ( .B1(n25), .B2(n928), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[75]), .Y(n720) );
+  sky130_fd_sc_hd__o2bb2ai_1 U88 ( .B1(n19), .B2(n931), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[96]), .Y(n699) );
+  sky130_fd_sc_hd__o2bb2ai_1 U89 ( .B1(n28), .B2(n925), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[70]), .Y(n725) );
+  sky130_fd_sc_hd__o2bb2ai_1 U90 ( .B1(n28), .B2(n924), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[71]), .Y(n724) );
+  sky130_fd_sc_hd__o2bb2ai_1 U91 ( .B1(n25), .B2(n930), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[73]), .Y(n722) );
+  sky130_fd_sc_hd__nand3_1 U92 ( .A(gen_normal_fifo_wptr_value[2]), .B(
+        gen_normal_fifo_wptr_value[1]), .C(n379), .Y(n648) );
+  sky130_fd_sc_hd__or2_0 U93 ( .A(n648), .B(n41), .X(n37) );
+  sky130_fd_sc_hd__o2bb2ai_1 U94 ( .B1(n37), .B2(n928), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[115]), .Y(n680) );
+  sky130_fd_sc_hd__o2bb2ai_1 U95 ( .B1(n37), .B2(n929), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[114]), .Y(n681) );
+  sky130_fd_sc_hd__o2bb2ai_1 U96 ( .B1(n39), .B2(n931), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[80]), .Y(n715) );
+  sky130_fd_sc_hd__o2bb2ai_1 U97 ( .B1(n22), .B2(n927), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[108]), .Y(n687) );
+  sky130_fd_sc_hd__o2bb2ai_1 U98 ( .B1(n22), .B2(n926), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[109]), .Y(n686) );
+  sky130_fd_sc_hd__o2bb2ai_1 U99 ( .B1(n37), .B2(n931), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[112]), .Y(n683) );
+  sky130_fd_sc_hd__o2bb2ai_1 U100 ( .B1(n19), .B2(n924), .A1_N(n19), .A2_N(
+        gen_normal_fifo_storage[103]), .Y(n692) );
+  sky130_fd_sc_hd__o2bb2ai_1 U101 ( .B1(n22), .B2(n930), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[105]), .Y(n690) );
+  sky130_fd_sc_hd__o2bb2ai_1 U102 ( .B1(n22), .B2(n928), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[107]), .Y(n688) );
+  sky130_fd_sc_hd__o2bb2ai_1 U103 ( .B1(n22), .B2(n925), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[110]), .Y(n685) );
+  sky130_fd_sc_hd__o2bb2ai_1 U104 ( .B1(n40), .B2(n928), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[91]), .Y(n704) );
+  sky130_fd_sc_hd__o2bb2ai_1 U105 ( .B1(n37), .B2(n930), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[113]), .Y(n682) );
+  sky130_fd_sc_hd__o2bb2ai_1 U106 ( .B1(n22), .B2(n924), .A1_N(n22), .A2_N(
+        gen_normal_fifo_storage[111]), .Y(n684) );
+  sky130_fd_sc_hd__o2bb2ai_1 U107 ( .B1(n37), .B2(n924), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[119]), .Y(n676) );
+  sky130_fd_sc_hd__o2bb2ai_1 U108 ( .B1(n25), .B2(n925), .A1_N(n25), .A2_N(
+        gen_normal_fifo_storage[78]), .Y(n717) );
+  sky130_fd_sc_hd__o2bb2ai_1 U109 ( .B1(n37), .B2(n925), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[118]), .Y(n677) );
+  sky130_fd_sc_hd__o2bb2ai_1 U110 ( .B1(n39), .B2(n927), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[84]), .Y(n711) );
+  sky130_fd_sc_hd__o2bb2ai_1 U111 ( .B1(n37), .B2(n927), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[116]), .Y(n679) );
+  sky130_fd_sc_hd__o2bb2ai_1 U112 ( .B1(n28), .B2(n931), .A1_N(n28), .A2_N(
+        gen_normal_fifo_storage[64]), .Y(n731) );
+  sky130_fd_sc_hd__o2bb2ai_1 U113 ( .B1(n39), .B2(n926), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[85]), .Y(n710) );
+  sky130_fd_sc_hd__o2bb2ai_1 U114 ( .B1(n37), .B2(n926), .A1_N(n37), .A2_N(
+        gen_normal_fifo_storage[117]), .Y(n678) );
+  sky130_fd_sc_hd__o2bb2ai_1 U115 ( .B1(n39), .B2(n925), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[86]), .Y(n709) );
+  sky130_fd_sc_hd__o2bb2ai_1 U116 ( .B1(n39), .B2(n924), .A1_N(n39), .A2_N(
+        gen_normal_fifo_storage[87]), .Y(n708) );
+  sky130_fd_sc_hd__o2bb2ai_1 U117 ( .B1(n40), .B2(n931), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[88]), .Y(n707) );
+  sky130_fd_sc_hd__o2bb2ai_1 U118 ( .B1(n40), .B2(n930), .A1_N(n40), .A2_N(
+        gen_normal_fifo_storage[89]), .Y(n706) );
+  sky130_fd_sc_hd__nand3_1 U119 ( .A(gen_normal_fifo_wptr_value[2]), .B(
+        gen_normal_fifo_wptr_value[0]), .C(gen_normal_fifo_wptr_value[1]), .Y(
+        n647) );
+  sky130_fd_sc_hd__nor2_1 U120 ( .A(n647), .B(n41), .Y(n421) );
+  sky130_fd_sc_hd__clkinv_1 U121 ( .A(n421), .Y(n419) );
+  sky130_fd_sc_hd__o2bb2ai_1 U122 ( .B1(gen_normal_fifo_fifo_wptr_5_), .B2(
+        n419), .A1_N(gen_normal_fifo_fifo_wptr_5_), .A2_N(n419), .Y(n662) );
+  sky130_fd_sc_hd__clkinv_1 U125 ( .A(gen_normal_fifo_rptr_value[0]), .Y(n376)
+         );
+  sky130_fd_sc_hd__nor3_1 U126 ( .A(n390), .B(n389), .C(n376), .Y(n409) );
+  sky130_fd_sc_hd__nand3_1 U127 ( .A(gen_normal_fifo_rptr_value[4]), .B(
+        gen_normal_fifo_rptr_value[3]), .C(n409), .Y(n407) );
+  sky130_fd_sc_hd__nor2b_1 U128 ( .B_N(rvalid_o), .A(n407), .Y(n343) );
+  sky130_fd_sc_hd__nor3_1 U129 ( .A(gen_normal_fifo_rptr_value[0]), .B(n389), 
+        .C(n390), .Y(n297) );
+  sky130_fd_sc_hd__nor3_1 U130 ( .A(gen_normal_fifo_rptr_value[2]), .B(
+        gen_normal_fifo_rptr_value[1]), .C(gen_normal_fifo_rptr_value[0]), .Y(
+        n288) );
+  sky130_fd_sc_hd__nor3_1 U131 ( .A(gen_normal_fifo_rptr_value[2]), .B(
+        gen_normal_fifo_rptr_value[0]), .C(n389), .Y(n287) );
+  sky130_fd_sc_hd__a22oi_1 U132 ( .A1(n288), .A2(gen_normal_fifo_storage[64]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[80]), .Y(n46) );
+  sky130_fd_sc_hd__nand2_1 U133 ( .A(gen_normal_fifo_rptr_value[0]), .B(n389), 
+        .Y(n388) );
+  sky130_fd_sc_hd__nor2_1 U134 ( .A(gen_normal_fifo_rptr_value[2]), .B(n388), 
+        .Y(n290) );
+  sky130_fd_sc_hd__nor3_1 U135 ( .A(gen_normal_fifo_rptr_value[2]), .B(n389), 
+        .C(n376), .Y(n289) );
+  sky130_fd_sc_hd__a22oi_1 U136 ( .A1(n290), .A2(gen_normal_fifo_storage[72]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[88]), .Y(n45) );
+  sky130_fd_sc_hd__nor3_1 U137 ( .A(gen_normal_fifo_rptr_value[1]), .B(
+        gen_normal_fifo_rptr_value[0]), .C(n390), .Y(n292) );
+  sky130_fd_sc_hd__nor2_1 U138 ( .A(n390), .B(n388), .Y(n291) );
+  sky130_fd_sc_hd__a22oi_1 U139 ( .A1(n292), .A2(gen_normal_fifo_storage[96]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[104]), .Y(n44) );
+  sky130_fd_sc_hd__nand3_1 U140 ( .A(n46), .B(n45), .C(n44), .Y(n47) );
+  sky130_fd_sc_hd__a21oi_1 U141 ( .A1(n297), .A2(gen_normal_fifo_storage[112]), 
+        .B1(n47), .Y(n53) );
+  sky130_fd_sc_hd__nand3_1 U142 ( .A(gen_normal_fifo_rptr_value[3]), .B(
+        gen_normal_fifo_rptr_value[4]), .C(rvalid_o), .Y(n304) );
+  sky130_fd_sc_hd__clkinv_1 U143 ( .A(n288), .Y(n326) );
+  sky130_fd_sc_hd__clkinv_1 U144 ( .A(n287), .Y(n327) );
+  sky130_fd_sc_hd__o22ai_1 U145 ( .A1(n804), .A2(n326), .B1(n805), .B2(n327), 
+        .Y(n51) );
+  sky130_fd_sc_hd__clkinv_1 U146 ( .A(n290), .Y(n328) );
+  sky130_fd_sc_hd__clkinv_1 U147 ( .A(n289), .Y(n401) );
+  sky130_fd_sc_hd__o22ai_1 U148 ( .A1(n806), .A2(n328), .B1(n807), .B2(n401), 
+        .Y(n50) );
+  sky130_fd_sc_hd__clkinv_1 U149 ( .A(n292), .Y(n329) );
+  sky130_fd_sc_hd__clkinv_1 U150 ( .A(n297), .Y(n330) );
+  sky130_fd_sc_hd__o22ai_1 U151 ( .A1(n808), .A2(n329), .B1(n809), .B2(n330), 
+        .Y(n49) );
+  sky130_fd_sc_hd__clkinv_1 U152 ( .A(n291), .Y(n331) );
+  sky130_fd_sc_hd__clkinv_1 U153 ( .A(n409), .Y(n332) );
+  sky130_fd_sc_hd__o22ai_1 U154 ( .A1(n810), .A2(n331), .B1(n811), .B2(n332), 
+        .Y(n48) );
+  sky130_fd_sc_hd__nor4_1 U155 ( .A(n51), .B(n50), .C(n49), .D(n48), .Y(n52)
+         );
+  sky130_fd_sc_hd__nand3_1 U156 ( .A(gen_normal_fifo_rptr_value[3]), .B(n415), 
+        .C(rvalid_o), .Y(n302) );
+  sky130_fd_sc_hd__o22ai_1 U157 ( .A1(n53), .A2(n304), .B1(n52), .B2(n302), 
+        .Y(n74) );
+  sky130_fd_sc_hd__o22ai_1 U158 ( .A1(n796), .A2(n326), .B1(n797), .B2(n327), 
+        .Y(n57) );
+  sky130_fd_sc_hd__o22ai_1 U159 ( .A1(n798), .A2(n328), .B1(n799), .B2(n401), 
+        .Y(n56) );
+  sky130_fd_sc_hd__o22ai_1 U160 ( .A1(n800), .A2(n329), .B1(n801), .B2(n330), 
+        .Y(n55) );
+  sky130_fd_sc_hd__o22ai_1 U161 ( .A1(n802), .A2(n331), .B1(n803), .B2(n332), 
+        .Y(n54) );
+  sky130_fd_sc_hd__nor4_1 U162 ( .A(n57), .B(n56), .C(n55), .D(n54), .Y(n64)
+         );
+  sky130_fd_sc_hd__nand3_1 U163 ( .A(n415), .B(n62), .C(rvalid_o), .Y(n339) );
+  sky130_fd_sc_hd__clkinv_1 U164 ( .A(gen_normal_fifo_storage[16]), .Y(n620)
+         );
+  sky130_fd_sc_hd__clkinv_1 U165 ( .A(gen_normal_fifo_storage[0]), .Y(n641) );
+  sky130_fd_sc_hd__o22ai_1 U166 ( .A1(n620), .A2(n327), .B1(n641), .B2(n326), 
+        .Y(n61) );
+  sky130_fd_sc_hd__clkinv_1 U167 ( .A(gen_normal_fifo_storage[24]), .Y(n610)
+         );
+  sky130_fd_sc_hd__clkinv_1 U168 ( .A(gen_normal_fifo_storage[8]), .Y(n630) );
+  sky130_fd_sc_hd__o22ai_1 U169 ( .A1(n610), .A2(n401), .B1(n630), .B2(n328), 
+        .Y(n60) );
+  sky130_fd_sc_hd__clkinv_1 U170 ( .A(gen_normal_fifo_storage[48]), .Y(n580)
+         );
+  sky130_fd_sc_hd__clkinv_1 U171 ( .A(gen_normal_fifo_storage[32]), .Y(n600)
+         );
+  sky130_fd_sc_hd__o22ai_1 U172 ( .A1(n580), .A2(n330), .B1(n600), .B2(n329), 
+        .Y(n59) );
+  sky130_fd_sc_hd__clkinv_1 U173 ( .A(gen_normal_fifo_storage[56]), .Y(n430)
+         );
+  sky130_fd_sc_hd__clkinv_1 U174 ( .A(gen_normal_fifo_storage[40]), .Y(n590)
+         );
+  sky130_fd_sc_hd__o22ai_1 U175 ( .A1(n430), .A2(n332), .B1(n590), .B2(n331), 
+        .Y(n58) );
+  sky130_fd_sc_hd__nor4_1 U176 ( .A(n61), .B(n60), .C(n59), .D(n58), .Y(n63)
+         );
+  sky130_fd_sc_hd__nand3_1 U177 ( .A(gen_normal_fifo_rptr_value[4]), .B(n62), 
+        .C(rvalid_o), .Y(n337) );
+  sky130_fd_sc_hd__o22ai_1 U178 ( .A1(n64), .A2(n339), .B1(n63), .B2(n337), 
+        .Y(n65) );
+  sky130_fd_sc_hd__a211o_1 U179 ( .A1(gen_normal_fifo_storage[120]), .A2(n343), 
+        .B1(n74), .C1(n65), .X(rdata_o[0]) );
+  sky130_fd_sc_hd__a22oi_1 U180 ( .A1(n288), .A2(gen_normal_fifo_storage[65]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[81]), .Y(n77) );
+  sky130_fd_sc_hd__a22oi_1 U181 ( .A1(n290), .A2(gen_normal_fifo_storage[73]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[89]), .Y(n76) );
+  sky130_fd_sc_hd__a22oi_1 U182 ( .A1(n292), .A2(gen_normal_fifo_storage[97]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[105]), .Y(n75) );
+  sky130_fd_sc_hd__nand3_1 U183 ( .A(n77), .B(n76), .C(n75), .Y(n78) );
+  sky130_fd_sc_hd__a21oi_1 U184 ( .A1(n297), .A2(gen_normal_fifo_storage[113]), 
+        .B1(n78), .Y(n84) );
+  sky130_fd_sc_hd__o22ai_1 U185 ( .A1(n820), .A2(n326), .B1(n821), .B2(n327), 
+        .Y(n82) );
+  sky130_fd_sc_hd__o22ai_1 U186 ( .A1(n822), .A2(n328), .B1(n823), .B2(n401), 
+        .Y(n81) );
+  sky130_fd_sc_hd__o22ai_1 U187 ( .A1(n824), .A2(n329), .B1(n825), .B2(n330), 
+        .Y(n80) );
+  sky130_fd_sc_hd__o22ai_1 U188 ( .A1(n826), .A2(n331), .B1(n827), .B2(n332), 
+        .Y(n79) );
+  sky130_fd_sc_hd__nor4_1 U189 ( .A(n82), .B(n81), .C(n80), .D(n79), .Y(n83)
+         );
+  sky130_fd_sc_hd__o22ai_1 U190 ( .A1(n84), .A2(n304), .B1(n83), .B2(n302), 
+        .Y(n96) );
+  sky130_fd_sc_hd__o22ai_1 U191 ( .A1(n812), .A2(n326), .B1(n813), .B2(n327), 
+        .Y(n88) );
+  sky130_fd_sc_hd__o22ai_1 U192 ( .A1(n814), .A2(n328), .B1(n815), .B2(n401), 
+        .Y(n87) );
+  sky130_fd_sc_hd__o22ai_1 U193 ( .A1(n816), .A2(n329), .B1(n817), .B2(n330), 
+        .Y(n86) );
+  sky130_fd_sc_hd__o22ai_1 U194 ( .A1(n818), .A2(n331), .B1(n819), .B2(n332), 
+        .Y(n85) );
+  sky130_fd_sc_hd__nor4_1 U195 ( .A(n88), .B(n87), .C(n86), .D(n85), .Y(n94)
+         );
+  sky130_fd_sc_hd__clkinv_1 U196 ( .A(gen_normal_fifo_storage[17]), .Y(n618)
+         );
+  sky130_fd_sc_hd__clkinv_1 U197 ( .A(gen_normal_fifo_storage[1]), .Y(n639) );
+  sky130_fd_sc_hd__o22ai_1 U198 ( .A1(n618), .A2(n327), .B1(n639), .B2(n326), 
+        .Y(n92) );
+  sky130_fd_sc_hd__clkinv_1 U199 ( .A(gen_normal_fifo_storage[25]), .Y(n608)
+         );
+  sky130_fd_sc_hd__clkinv_1 U200 ( .A(gen_normal_fifo_storage[9]), .Y(n628) );
+  sky130_fd_sc_hd__o22ai_1 U201 ( .A1(n608), .A2(n401), .B1(n628), .B2(n328), 
+        .Y(n91) );
+  sky130_fd_sc_hd__clkinv_1 U202 ( .A(gen_normal_fifo_storage[49]), .Y(n578)
+         );
+  sky130_fd_sc_hd__clkinv_1 U203 ( .A(gen_normal_fifo_storage[33]), .Y(n598)
+         );
+  sky130_fd_sc_hd__o22ai_1 U204 ( .A1(n578), .A2(n330), .B1(n598), .B2(n329), 
+        .Y(n90) );
+  sky130_fd_sc_hd__clkinv_1 U205 ( .A(gen_normal_fifo_storage[57]), .Y(n428)
+         );
+  sky130_fd_sc_hd__clkinv_1 U206 ( .A(gen_normal_fifo_storage[41]), .Y(n588)
+         );
+  sky130_fd_sc_hd__o22ai_1 U207 ( .A1(n428), .A2(n332), .B1(n588), .B2(n331), 
+        .Y(n89) );
+  sky130_fd_sc_hd__nor4_1 U208 ( .A(n92), .B(n91), .C(n90), .D(n89), .Y(n93)
+         );
+  sky130_fd_sc_hd__o22ai_1 U209 ( .A1(n94), .A2(n339), .B1(n93), .B2(n337), 
+        .Y(n95) );
+  sky130_fd_sc_hd__a211o_1 U210 ( .A1(n343), .A2(gen_normal_fifo_storage[121]), 
+        .B1(n96), .C1(n95), .X(rdata_o[1]) );
+  sky130_fd_sc_hd__a22oi_1 U211 ( .A1(n288), .A2(gen_normal_fifo_storage[66]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[82]), .Y(n99) );
+  sky130_fd_sc_hd__a22oi_1 U212 ( .A1(n290), .A2(gen_normal_fifo_storage[74]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[90]), .Y(n98) );
+  sky130_fd_sc_hd__a22oi_1 U213 ( .A1(n292), .A2(gen_normal_fifo_storage[98]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[106]), .Y(n97) );
+  sky130_fd_sc_hd__nand3_1 U214 ( .A(n99), .B(n98), .C(n97), .Y(n100) );
+  sky130_fd_sc_hd__a21oi_1 U215 ( .A1(n297), .A2(gen_normal_fifo_storage[114]), 
+        .B1(n100), .Y(n106) );
+  sky130_fd_sc_hd__o22ai_1 U216 ( .A1(n836), .A2(n326), .B1(n837), .B2(n327), 
+        .Y(n104) );
+  sky130_fd_sc_hd__o22ai_1 U217 ( .A1(n838), .A2(n328), .B1(n839), .B2(n401), 
+        .Y(n103) );
+  sky130_fd_sc_hd__o22ai_1 U218 ( .A1(n840), .A2(n329), .B1(n841), .B2(n330), 
+        .Y(n102) );
+  sky130_fd_sc_hd__o22ai_1 U219 ( .A1(n842), .A2(n331), .B1(n843), .B2(n332), 
+        .Y(n101) );
+  sky130_fd_sc_hd__nor4_1 U220 ( .A(n104), .B(n103), .C(n102), .D(n101), .Y(
+        n105) );
+  sky130_fd_sc_hd__o22ai_1 U221 ( .A1(n106), .A2(n304), .B1(n105), .B2(n302), 
+        .Y(n134) );
+  sky130_fd_sc_hd__o22ai_1 U222 ( .A1(n828), .A2(n326), .B1(n829), .B2(n327), 
+        .Y(n118) );
+  sky130_fd_sc_hd__o22ai_1 U223 ( .A1(n830), .A2(n328), .B1(n831), .B2(n401), 
+        .Y(n117) );
+  sky130_fd_sc_hd__o22ai_1 U224 ( .A1(n832), .A2(n329), .B1(n833), .B2(n330), 
+        .Y(n116) );
+  sky130_fd_sc_hd__o22ai_1 U225 ( .A1(n834), .A2(n331), .B1(n835), .B2(n332), 
+        .Y(n107) );
+  sky130_fd_sc_hd__nor4_1 U226 ( .A(n118), .B(n117), .C(n116), .D(n107), .Y(
+        n132) );
+  sky130_fd_sc_hd__clkinv_1 U227 ( .A(gen_normal_fifo_storage[18]), .Y(n617)
+         );
+  sky130_fd_sc_hd__clkinv_1 U228 ( .A(gen_normal_fifo_storage[2]), .Y(n638) );
+  sky130_fd_sc_hd__o22ai_1 U229 ( .A1(n617), .A2(n327), .B1(n638), .B2(n326), 
+        .Y(n130) );
+  sky130_fd_sc_hd__clkinv_1 U230 ( .A(gen_normal_fifo_storage[26]), .Y(n607)
+         );
+  sky130_fd_sc_hd__clkinv_1 U231 ( .A(gen_normal_fifo_storage[10]), .Y(n627)
+         );
+  sky130_fd_sc_hd__o22ai_1 U232 ( .A1(n607), .A2(n401), .B1(n627), .B2(n328), 
+        .Y(n129) );
+  sky130_fd_sc_hd__clkinv_1 U233 ( .A(gen_normal_fifo_storage[50]), .Y(n577)
+         );
+  sky130_fd_sc_hd__clkinv_1 U234 ( .A(gen_normal_fifo_storage[34]), .Y(n597)
+         );
+  sky130_fd_sc_hd__o22ai_1 U235 ( .A1(n577), .A2(n330), .B1(n597), .B2(n329), 
+        .Y(n128) );
+  sky130_fd_sc_hd__clkinv_1 U236 ( .A(gen_normal_fifo_storage[58]), .Y(n427)
+         );
+  sky130_fd_sc_hd__clkinv_1 U237 ( .A(gen_normal_fifo_storage[42]), .Y(n587)
+         );
+  sky130_fd_sc_hd__o22ai_1 U238 ( .A1(n427), .A2(n332), .B1(n587), .B2(n331), 
+        .Y(n119) );
+  sky130_fd_sc_hd__nor4_1 U239 ( .A(n130), .B(n129), .C(n128), .D(n119), .Y(
+        n131) );
+  sky130_fd_sc_hd__o22ai_1 U240 ( .A1(n132), .A2(n339), .B1(n131), .B2(n337), 
+        .Y(n133) );
+  sky130_fd_sc_hd__a211o_1 U241 ( .A1(n343), .A2(gen_normal_fifo_storage[122]), 
+        .B1(n134), .C1(n133), .X(rdata_o[2]) );
+  sky130_fd_sc_hd__a22oi_1 U242 ( .A1(n288), .A2(gen_normal_fifo_storage[67]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[83]), .Y(n137) );
+  sky130_fd_sc_hd__a22oi_1 U243 ( .A1(n290), .A2(gen_normal_fifo_storage[75]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[91]), .Y(n136) );
+  sky130_fd_sc_hd__a22oi_1 U244 ( .A1(n292), .A2(gen_normal_fifo_storage[99]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[107]), .Y(n135) );
+  sky130_fd_sc_hd__nand3_1 U245 ( .A(n137), .B(n136), .C(n135), .Y(n138) );
+  sky130_fd_sc_hd__a21oi_1 U246 ( .A1(n297), .A2(gen_normal_fifo_storage[115]), 
+        .B1(n138), .Y(n144) );
+  sky130_fd_sc_hd__o22ai_1 U247 ( .A1(n852), .A2(n326), .B1(n853), .B2(n327), 
+        .Y(n142) );
+  sky130_fd_sc_hd__o22ai_1 U248 ( .A1(n854), .A2(n328), .B1(n855), .B2(n401), 
+        .Y(n141) );
+  sky130_fd_sc_hd__o22ai_1 U249 ( .A1(n856), .A2(n329), .B1(n857), .B2(n330), 
+        .Y(n140) );
+  sky130_fd_sc_hd__o22ai_1 U250 ( .A1(n858), .A2(n331), .B1(n859), .B2(n332), 
+        .Y(n139) );
+  sky130_fd_sc_hd__nor4_1 U251 ( .A(n142), .B(n141), .C(n140), .D(n139), .Y(
+        n143) );
+  sky130_fd_sc_hd__o22ai_1 U252 ( .A1(n144), .A2(n304), .B1(n143), .B2(n302), 
+        .Y(n172) );
+  sky130_fd_sc_hd__o22ai_1 U253 ( .A1(n844), .A2(n326), .B1(n845), .B2(n327), 
+        .Y(n148) );
+  sky130_fd_sc_hd__o22ai_1 U254 ( .A1(n846), .A2(n328), .B1(n847), .B2(n401), 
+        .Y(n147) );
+  sky130_fd_sc_hd__o22ai_1 U255 ( .A1(n848), .A2(n329), .B1(n849), .B2(n330), 
+        .Y(n146) );
+  sky130_fd_sc_hd__o22ai_1 U256 ( .A1(n850), .A2(n331), .B1(n851), .B2(n332), 
+        .Y(n145) );
+  sky130_fd_sc_hd__nor4_1 U257 ( .A(n148), .B(n147), .C(n146), .D(n145), .Y(
+        n170) );
+  sky130_fd_sc_hd__clkinv_1 U258 ( .A(gen_normal_fifo_storage[19]), .Y(n616)
+         );
+  sky130_fd_sc_hd__clkinv_1 U259 ( .A(gen_normal_fifo_storage[3]), .Y(n637) );
+  sky130_fd_sc_hd__o22ai_1 U260 ( .A1(n616), .A2(n327), .B1(n637), .B2(n326), 
+        .Y(n160) );
+  sky130_fd_sc_hd__clkinv_1 U261 ( .A(gen_normal_fifo_storage[27]), .Y(n606)
+         );
+  sky130_fd_sc_hd__clkinv_1 U262 ( .A(gen_normal_fifo_storage[11]), .Y(n626)
+         );
+  sky130_fd_sc_hd__o22ai_1 U263 ( .A1(n606), .A2(n401), .B1(n626), .B2(n328), 
+        .Y(n159) );
+  sky130_fd_sc_hd__clkinv_1 U264 ( .A(gen_normal_fifo_storage[51]), .Y(n576)
+         );
+  sky130_fd_sc_hd__clkinv_1 U265 ( .A(gen_normal_fifo_storage[35]), .Y(n596)
+         );
+  sky130_fd_sc_hd__o22ai_1 U266 ( .A1(n576), .A2(n330), .B1(n596), .B2(n329), 
+        .Y(n158) );
+  sky130_fd_sc_hd__clkinv_1 U267 ( .A(gen_normal_fifo_storage[59]), .Y(n426)
+         );
+  sky130_fd_sc_hd__clkinv_1 U268 ( .A(gen_normal_fifo_storage[43]), .Y(n586)
+         );
+  sky130_fd_sc_hd__o22ai_1 U269 ( .A1(n426), .A2(n332), .B1(n586), .B2(n331), 
+        .Y(n157) );
+  sky130_fd_sc_hd__nor4_1 U270 ( .A(n160), .B(n159), .C(n158), .D(n157), .Y(
+        n169) );
+  sky130_fd_sc_hd__o22ai_1 U271 ( .A1(n170), .A2(n339), .B1(n169), .B2(n337), 
+        .Y(n171) );
+  sky130_fd_sc_hd__a211o_1 U272 ( .A1(n343), .A2(gen_normal_fifo_storage[123]), 
+        .B1(n172), .C1(n171), .X(rdata_o[3]) );
+  sky130_fd_sc_hd__a22oi_1 U273 ( .A1(n288), .A2(gen_normal_fifo_storage[68]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[84]), .Y(n175) );
+  sky130_fd_sc_hd__a22oi_1 U274 ( .A1(n290), .A2(gen_normal_fifo_storage[76]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[92]), .Y(n174) );
+  sky130_fd_sc_hd__a22oi_1 U275 ( .A1(n292), .A2(gen_normal_fifo_storage[100]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[108]), .Y(n173) );
+  sky130_fd_sc_hd__nand3_1 U276 ( .A(n175), .B(n174), .C(n173), .Y(n176) );
+  sky130_fd_sc_hd__a21oi_1 U277 ( .A1(n297), .A2(gen_normal_fifo_storage[116]), 
+        .B1(n176), .Y(n182) );
+  sky130_fd_sc_hd__o22ai_1 U278 ( .A1(n868), .A2(n326), .B1(n869), .B2(n327), 
+        .Y(n180) );
+  sky130_fd_sc_hd__o22ai_1 U279 ( .A1(n870), .A2(n328), .B1(n871), .B2(n401), 
+        .Y(n179) );
+  sky130_fd_sc_hd__o22ai_1 U280 ( .A1(n872), .A2(n329), .B1(n873), .B2(n330), 
+        .Y(n178) );
+  sky130_fd_sc_hd__o22ai_1 U281 ( .A1(n874), .A2(n331), .B1(n875), .B2(n332), 
+        .Y(n177) );
+  sky130_fd_sc_hd__nor4_1 U282 ( .A(n180), .B(n179), .C(n178), .D(n177), .Y(
+        n181) );
+  sky130_fd_sc_hd__o22ai_1 U283 ( .A1(n182), .A2(n304), .B1(n181), .B2(n302), 
+        .Y(n210) );
+  sky130_fd_sc_hd__o22ai_1 U284 ( .A1(n860), .A2(n326), .B1(n861), .B2(n327), 
+        .Y(n186) );
+  sky130_fd_sc_hd__o22ai_1 U285 ( .A1(n862), .A2(n328), .B1(n863), .B2(n401), 
+        .Y(n185) );
+  sky130_fd_sc_hd__o22ai_1 U286 ( .A1(n864), .A2(n329), .B1(n865), .B2(n330), 
+        .Y(n184) );
+  sky130_fd_sc_hd__o22ai_1 U287 ( .A1(n866), .A2(n331), .B1(n867), .B2(n332), 
+        .Y(n183) );
+  sky130_fd_sc_hd__nor4_1 U288 ( .A(n186), .B(n185), .C(n184), .D(n183), .Y(
+        n208) );
+  sky130_fd_sc_hd__clkinv_1 U289 ( .A(gen_normal_fifo_storage[20]), .Y(n615)
+         );
+  sky130_fd_sc_hd__clkinv_1 U290 ( .A(gen_normal_fifo_storage[4]), .Y(n636) );
+  sky130_fd_sc_hd__o22ai_1 U291 ( .A1(n615), .A2(n327), .B1(n636), .B2(n326), 
+        .Y(n198) );
+  sky130_fd_sc_hd__clkinv_1 U292 ( .A(gen_normal_fifo_storage[28]), .Y(n605)
+         );
+  sky130_fd_sc_hd__clkinv_1 U293 ( .A(gen_normal_fifo_storage[12]), .Y(n625)
+         );
+  sky130_fd_sc_hd__o22ai_1 U294 ( .A1(n605), .A2(n401), .B1(n625), .B2(n328), 
+        .Y(n197) );
+  sky130_fd_sc_hd__clkinv_1 U295 ( .A(gen_normal_fifo_storage[52]), .Y(n575)
+         );
+  sky130_fd_sc_hd__clkinv_1 U296 ( .A(gen_normal_fifo_storage[36]), .Y(n595)
+         );
+  sky130_fd_sc_hd__o22ai_1 U297 ( .A1(n575), .A2(n330), .B1(n595), .B2(n329), 
+        .Y(n196) );
+  sky130_fd_sc_hd__clkinv_1 U298 ( .A(gen_normal_fifo_storage[60]), .Y(n425)
+         );
+  sky130_fd_sc_hd__clkinv_1 U299 ( .A(gen_normal_fifo_storage[44]), .Y(n585)
+         );
+  sky130_fd_sc_hd__o22ai_1 U300 ( .A1(n425), .A2(n332), .B1(n585), .B2(n331), 
+        .Y(n187) );
+  sky130_fd_sc_hd__nor4_1 U301 ( .A(n198), .B(n197), .C(n196), .D(n187), .Y(
+        n199) );
+  sky130_fd_sc_hd__o22ai_1 U302 ( .A1(n208), .A2(n339), .B1(n199), .B2(n337), 
+        .Y(n209) );
+  sky130_fd_sc_hd__a211o_1 U303 ( .A1(n343), .A2(gen_normal_fifo_storage[124]), 
+        .B1(n210), .C1(n209), .X(rdata_o[4]) );
+  sky130_fd_sc_hd__a22oi_1 U304 ( .A1(n288), .A2(gen_normal_fifo_storage[69]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[85]), .Y(n213) );
+  sky130_fd_sc_hd__a22oi_1 U305 ( .A1(n290), .A2(gen_normal_fifo_storage[77]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[93]), .Y(n212) );
+  sky130_fd_sc_hd__a22oi_1 U306 ( .A1(n292), .A2(gen_normal_fifo_storage[101]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[109]), .Y(n211) );
+  sky130_fd_sc_hd__nand3_1 U307 ( .A(n213), .B(n212), .C(n211), .Y(n214) );
+  sky130_fd_sc_hd__a21oi_1 U308 ( .A1(n297), .A2(gen_normal_fifo_storage[117]), 
+        .B1(n214), .Y(n220) );
+  sky130_fd_sc_hd__o22ai_1 U309 ( .A1(n884), .A2(n326), .B1(n885), .B2(n327), 
+        .Y(n218) );
+  sky130_fd_sc_hd__o22ai_1 U310 ( .A1(n886), .A2(n328), .B1(n887), .B2(n401), 
+        .Y(n217) );
+  sky130_fd_sc_hd__o22ai_1 U311 ( .A1(n888), .A2(n329), .B1(n889), .B2(n330), 
+        .Y(n216) );
+  sky130_fd_sc_hd__o22ai_1 U312 ( .A1(n890), .A2(n331), .B1(n891), .B2(n332), 
+        .Y(n215) );
+  sky130_fd_sc_hd__nor4_1 U313 ( .A(n218), .B(n217), .C(n216), .D(n215), .Y(
+        n219) );
+  sky130_fd_sc_hd__o22ai_1 U314 ( .A1(n220), .A2(n304), .B1(n219), .B2(n302), 
+        .Y(n248) );
+  sky130_fd_sc_hd__o22ai_1 U315 ( .A1(n876), .A2(n326), .B1(n877), .B2(n327), 
+        .Y(n224) );
+  sky130_fd_sc_hd__o22ai_1 U316 ( .A1(n878), .A2(n328), .B1(n879), .B2(n401), 
+        .Y(n223) );
+  sky130_fd_sc_hd__o22ai_1 U317 ( .A1(n880), .A2(n329), .B1(n881), .B2(n330), 
+        .Y(n222) );
+  sky130_fd_sc_hd__o22ai_1 U318 ( .A1(n882), .A2(n331), .B1(n883), .B2(n332), 
+        .Y(n221) );
+  sky130_fd_sc_hd__nor4_1 U319 ( .A(n224), .B(n223), .C(n222), .D(n221), .Y(
+        n238) );
+  sky130_fd_sc_hd__clkinv_1 U320 ( .A(gen_normal_fifo_storage[21]), .Y(n614)
+         );
+  sky130_fd_sc_hd__clkinv_1 U321 ( .A(gen_normal_fifo_storage[5]), .Y(n635) );
+  sky130_fd_sc_hd__o22ai_1 U322 ( .A1(n614), .A2(n327), .B1(n635), .B2(n326), 
+        .Y(n236) );
+  sky130_fd_sc_hd__clkinv_1 U323 ( .A(gen_normal_fifo_storage[29]), .Y(n604)
+         );
+  sky130_fd_sc_hd__clkinv_1 U324 ( .A(gen_normal_fifo_storage[13]), .Y(n624)
+         );
+  sky130_fd_sc_hd__o22ai_1 U325 ( .A1(n604), .A2(n401), .B1(n624), .B2(n328), 
+        .Y(n235) );
+  sky130_fd_sc_hd__clkinv_1 U326 ( .A(gen_normal_fifo_storage[53]), .Y(n574)
+         );
+  sky130_fd_sc_hd__clkinv_1 U327 ( .A(gen_normal_fifo_storage[37]), .Y(n594)
+         );
+  sky130_fd_sc_hd__o22ai_1 U328 ( .A1(n574), .A2(n330), .B1(n594), .B2(n329), 
+        .Y(n226) );
+  sky130_fd_sc_hd__clkinv_1 U329 ( .A(gen_normal_fifo_storage[61]), .Y(n424)
+         );
+  sky130_fd_sc_hd__clkinv_1 U330 ( .A(gen_normal_fifo_storage[45]), .Y(n584)
+         );
+  sky130_fd_sc_hd__o22ai_1 U331 ( .A1(n424), .A2(n332), .B1(n584), .B2(n331), 
+        .Y(n225) );
+  sky130_fd_sc_hd__nor4_1 U332 ( .A(n236), .B(n235), .C(n226), .D(n225), .Y(
+        n237) );
+  sky130_fd_sc_hd__o22ai_1 U333 ( .A1(n238), .A2(n339), .B1(n237), .B2(n337), 
+        .Y(n247) );
+  sky130_fd_sc_hd__a211o_1 U334 ( .A1(n343), .A2(gen_normal_fifo_storage[125]), 
+        .B1(n248), .C1(n247), .X(rdata_o[5]) );
+  sky130_fd_sc_hd__a22oi_1 U335 ( .A1(n288), .A2(gen_normal_fifo_storage[70]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[86]), .Y(n251) );
+  sky130_fd_sc_hd__a22oi_1 U336 ( .A1(n290), .A2(gen_normal_fifo_storage[78]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[94]), .Y(n250) );
+  sky130_fd_sc_hd__a22oi_1 U337 ( .A1(n292), .A2(gen_normal_fifo_storage[102]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[110]), .Y(n249) );
+  sky130_fd_sc_hd__nand3_1 U338 ( .A(n251), .B(n250), .C(n249), .Y(n252) );
+  sky130_fd_sc_hd__a21oi_1 U339 ( .A1(n297), .A2(gen_normal_fifo_storage[118]), 
+        .B1(n252), .Y(n258) );
+  sky130_fd_sc_hd__o22ai_1 U340 ( .A1(n900), .A2(n326), .B1(n901), .B2(n327), 
+        .Y(n256) );
+  sky130_fd_sc_hd__o22ai_1 U341 ( .A1(n902), .A2(n328), .B1(n903), .B2(n401), 
+        .Y(n255) );
+  sky130_fd_sc_hd__o22ai_1 U342 ( .A1(n904), .A2(n329), .B1(n905), .B2(n330), 
+        .Y(n254) );
+  sky130_fd_sc_hd__o22ai_1 U343 ( .A1(n906), .A2(n331), .B1(n907), .B2(n332), 
+        .Y(n253) );
+  sky130_fd_sc_hd__nor4_1 U344 ( .A(n256), .B(n255), .C(n254), .D(n253), .Y(
+        n257) );
+  sky130_fd_sc_hd__o22ai_1 U345 ( .A1(n258), .A2(n304), .B1(n257), .B2(n302), 
+        .Y(n286) );
+  sky130_fd_sc_hd__o22ai_1 U346 ( .A1(n892), .A2(n326), .B1(n893), .B2(n327), 
+        .Y(n262) );
+  sky130_fd_sc_hd__o22ai_1 U347 ( .A1(n894), .A2(n328), .B1(n895), .B2(n401), 
+        .Y(n261) );
+  sky130_fd_sc_hd__o22ai_1 U348 ( .A1(n896), .A2(n329), .B1(n897), .B2(n330), 
+        .Y(n260) );
+  sky130_fd_sc_hd__o22ai_1 U349 ( .A1(n898), .A2(n331), .B1(n899), .B2(n332), 
+        .Y(n259) );
+  sky130_fd_sc_hd__nor4_1 U350 ( .A(n262), .B(n261), .C(n260), .D(n259), .Y(
+        n276) );
+  sky130_fd_sc_hd__clkinv_1 U351 ( .A(gen_normal_fifo_storage[22]), .Y(n613)
+         );
+  sky130_fd_sc_hd__clkinv_1 U352 ( .A(gen_normal_fifo_storage[6]), .Y(n634) );
+  sky130_fd_sc_hd__o22ai_1 U353 ( .A1(n613), .A2(n327), .B1(n634), .B2(n326), 
+        .Y(n274) );
+  sky130_fd_sc_hd__clkinv_1 U354 ( .A(gen_normal_fifo_storage[30]), .Y(n603)
+         );
+  sky130_fd_sc_hd__clkinv_1 U355 ( .A(gen_normal_fifo_storage[14]), .Y(n623)
+         );
+  sky130_fd_sc_hd__o22ai_1 U356 ( .A1(n603), .A2(n401), .B1(n623), .B2(n328), 
+        .Y(n265) );
+  sky130_fd_sc_hd__clkinv_1 U357 ( .A(gen_normal_fifo_storage[54]), .Y(n433)
+         );
+  sky130_fd_sc_hd__clkinv_1 U358 ( .A(gen_normal_fifo_storage[38]), .Y(n593)
+         );
+  sky130_fd_sc_hd__o22ai_1 U359 ( .A1(n433), .A2(n330), .B1(n593), .B2(n329), 
+        .Y(n264) );
+  sky130_fd_sc_hd__clkinv_1 U360 ( .A(gen_normal_fifo_storage[62]), .Y(n423)
+         );
+  sky130_fd_sc_hd__clkinv_1 U361 ( .A(gen_normal_fifo_storage[46]), .Y(n583)
+         );
+  sky130_fd_sc_hd__o22ai_1 U362 ( .A1(n423), .A2(n332), .B1(n583), .B2(n331), 
+        .Y(n263) );
+  sky130_fd_sc_hd__nor4_1 U363 ( .A(n274), .B(n265), .C(n264), .D(n263), .Y(
+        n275) );
+  sky130_fd_sc_hd__o22ai_1 U364 ( .A1(n276), .A2(n339), .B1(n275), .B2(n337), 
+        .Y(n277) );
+  sky130_fd_sc_hd__a211o_1 U365 ( .A1(n343), .A2(gen_normal_fifo_storage[126]), 
+        .B1(n286), .C1(n277), .X(rdata_o[6]) );
+  sky130_fd_sc_hd__a22oi_1 U366 ( .A1(n288), .A2(gen_normal_fifo_storage[71]), 
+        .B1(n287), .B2(gen_normal_fifo_storage[87]), .Y(n295) );
+  sky130_fd_sc_hd__a22oi_1 U367 ( .A1(n290), .A2(gen_normal_fifo_storage[79]), 
+        .B1(n289), .B2(gen_normal_fifo_storage[95]), .Y(n294) );
+  sky130_fd_sc_hd__a22oi_1 U368 ( .A1(n292), .A2(gen_normal_fifo_storage[103]), 
+        .B1(n291), .B2(gen_normal_fifo_storage[111]), .Y(n293) );
+  sky130_fd_sc_hd__nand3_1 U369 ( .A(n295), .B(n294), .C(n293), .Y(n296) );
+  sky130_fd_sc_hd__a21oi_1 U370 ( .A1(n297), .A2(gen_normal_fifo_storage[119]), 
+        .B1(n296), .Y(n313) );
+  sky130_fd_sc_hd__o22ai_1 U371 ( .A1(n916), .A2(n326), .B1(n917), .B2(n327), 
+        .Y(n301) );
+  sky130_fd_sc_hd__o22ai_1 U372 ( .A1(n918), .A2(n328), .B1(n919), .B2(n401), 
+        .Y(n300) );
+  sky130_fd_sc_hd__o22ai_1 U373 ( .A1(n920), .A2(n329), .B1(n921), .B2(n330), 
+        .Y(n299) );
+  sky130_fd_sc_hd__o22ai_1 U374 ( .A1(n922), .A2(n331), .B1(n923), .B2(n332), 
+        .Y(n298) );
+  sky130_fd_sc_hd__nor4_1 U375 ( .A(n301), .B(n300), .C(n299), .D(n298), .Y(
+        n303) );
+  sky130_fd_sc_hd__o22ai_1 U376 ( .A1(n313), .A2(n304), .B1(n303), .B2(n302), 
+        .Y(n342) );
+  sky130_fd_sc_hd__o22ai_1 U377 ( .A1(n908), .A2(n326), .B1(n909), .B2(n327), 
+        .Y(n325) );
+  sky130_fd_sc_hd__o22ai_1 U378 ( .A1(n910), .A2(n328), .B1(n911), .B2(n401), 
+        .Y(n316) );
+  sky130_fd_sc_hd__o22ai_1 U379 ( .A1(n912), .A2(n329), .B1(n913), .B2(n330), 
+        .Y(n315) );
+  sky130_fd_sc_hd__o22ai_1 U380 ( .A1(n914), .A2(n331), .B1(n915), .B2(n332), 
+        .Y(n314) );
+  sky130_fd_sc_hd__nor4_1 U381 ( .A(n325), .B(n316), .C(n315), .D(n314), .Y(
+        n340) );
+  sky130_fd_sc_hd__clkinv_1 U382 ( .A(gen_normal_fifo_storage[23]), .Y(n612)
+         );
+  sky130_fd_sc_hd__clkinv_1 U383 ( .A(gen_normal_fifo_storage[7]), .Y(n633) );
+  sky130_fd_sc_hd__o22ai_1 U384 ( .A1(n612), .A2(n327), .B1(n633), .B2(n326), 
+        .Y(n336) );
+  sky130_fd_sc_hd__clkinv_1 U385 ( .A(gen_normal_fifo_storage[31]), .Y(n602)
+         );
+  sky130_fd_sc_hd__clkinv_1 U386 ( .A(gen_normal_fifo_storage[15]), .Y(n622)
+         );
+  sky130_fd_sc_hd__o22ai_1 U387 ( .A1(n602), .A2(n401), .B1(n622), .B2(n328), 
+        .Y(n335) );
+  sky130_fd_sc_hd__clkinv_1 U388 ( .A(gen_normal_fifo_storage[55]), .Y(n432)
+         );
+  sky130_fd_sc_hd__clkinv_1 U389 ( .A(gen_normal_fifo_storage[39]), .Y(n592)
+         );
+  sky130_fd_sc_hd__o22ai_1 U390 ( .A1(n432), .A2(n330), .B1(n592), .B2(n329), 
+        .Y(n334) );
+  sky130_fd_sc_hd__clkinv_1 U391 ( .A(gen_normal_fifo_storage[63]), .Y(n422)
+         );
+  sky130_fd_sc_hd__clkinv_1 U392 ( .A(gen_normal_fifo_storage[47]), .Y(n582)
+         );
+  sky130_fd_sc_hd__o22ai_1 U393 ( .A1(n422), .A2(n332), .B1(n582), .B2(n331), 
+        .Y(n333) );
+  sky130_fd_sc_hd__nor4_1 U394 ( .A(n336), .B(n335), .C(n334), .D(n333), .Y(
+        n338) );
+  sky130_fd_sc_hd__o22ai_1 U395 ( .A1(n340), .A2(n339), .B1(n338), .B2(n337), 
+        .Y(n341) );
+  sky130_fd_sc_hd__a211o_1 U396 ( .A1(n343), .A2(gen_normal_fifo_storage[127]), 
+        .B1(n342), .C1(n341), .X(rdata_o[7]) );
+  sky130_fd_sc_hd__xor2_1 U397 ( .A(n352), .B(n354), .X(depth_o[1]) );
+  sky130_fd_sc_hd__nand2_1 U398 ( .A(gen_normal_fifo_rptr_value[1]), .B(n378), 
+        .Y(n355) );
+  sky130_fd_sc_hd__nor2_1 U399 ( .A(gen_normal_fifo_rptr_value[1]), .B(n378), 
+        .Y(n353) );
+  sky130_fd_sc_hd__a21oi_1 U400 ( .A1(n355), .A2(n354), .B1(n353), .Y(n365) );
+  sky130_fd_sc_hd__xnor2_1 U401 ( .A(n364), .B(n365), .Y(depth_o[2]) );
+  sky130_fd_sc_hd__nor2_1 U402 ( .A(gen_normal_fifo_wptr_value[2]), .B(n390), 
+        .Y(n366) );
+  sky130_fd_sc_hd__o22ai_1 U403 ( .A1(gen_normal_fifo_rptr_value[2]), .A2(n381), .B1(n366), .B2(n365), .Y(n369) );
+  sky130_fd_sc_hd__xnor2_1 U404 ( .A(n367), .B(n369), .Y(depth_o[3]) );
+  sky130_fd_sc_hd__nand2_1 U405 ( .A(gen_normal_fifo_rptr_value[3]), .B(n644), 
+        .Y(n370) );
+  sky130_fd_sc_hd__nor2_1 U406 ( .A(gen_normal_fifo_rptr_value[3]), .B(n644), 
+        .Y(n368) );
+  sky130_fd_sc_hd__a21oi_1 U407 ( .A1(n370), .A2(n369), .B1(n368), .Y(n372) );
+  sky130_fd_sc_hd__xnor2_1 U408 ( .A(n371), .B(n372), .Y(depth_o[4]) );
+  sky130_fd_sc_hd__nor2_1 U409 ( .A(gen_normal_fifo_wptr_value[4]), .B(n415), 
+        .Y(n373) );
+  sky130_fd_sc_hd__o22ai_1 U410 ( .A1(gen_normal_fifo_rptr_value[4]), .A2(n645), .B1(n373), .B2(n372), .Y(n374) );
+  sky130_fd_sc_hd__xnor2_1 U411 ( .A(n375), .B(n374), .Y(depth_o[5]) );
+  sky130_fd_sc_hd__nand2_1 U412 ( .A(rready_i), .B(rvalid_o), .Y(n405) );
+  sky130_fd_sc_hd__nor2_1 U413 ( .A(n376), .B(n405), .Y(n391) );
+  sky130_fd_sc_hd__a21oi_1 U414 ( .A1(n376), .A2(n405), .B1(n391), .Y(n656) );
+  sky130_fd_sc_hd__nor2_1 U415 ( .A(n379), .B(n380), .Y(n377) );
+  sky130_fd_sc_hd__a21oi_1 U416 ( .A1(n379), .A2(n380), .B1(n377), .Y(n657) );
+  sky130_fd_sc_hd__o21ai_1 U417 ( .A1(n647), .A2(n645), .B1(n646), .Y(n387) );
+  sky130_fd_sc_hd__o32ai_1 U418 ( .A1(gen_normal_fifo_wptr_value[1]), .A2(n379), .A3(n387), .B1(n377), .B2(n378), .Y(n658) );
+  sky130_fd_sc_hd__nor3_1 U419 ( .A(n379), .B(n378), .C(n380), .Y(n382) );
+  sky130_fd_sc_hd__o22ai_1 U420 ( .A1(n382), .A2(n381), .B1(n380), .B2(n651), 
+        .Y(n659) );
+  sky130_fd_sc_hd__clkinv_1 U421 ( .A(n647), .Y(n385) );
+  sky130_fd_sc_hd__nand2_1 U422 ( .A(n646), .B(n385), .Y(n384) );
+  sky130_fd_sc_hd__nand2_1 U423 ( .A(n384), .B(gen_normal_fifo_wptr_value[3]), 
+        .Y(n383) );
+  sky130_fd_sc_hd__o21ai_1 U424 ( .A1(gen_normal_fifo_wptr_value[3]), .A2(n384), .B1(n383), .Y(n660) );
+  sky130_fd_sc_hd__nand2_1 U425 ( .A(n385), .B(gen_normal_fifo_wptr_value[3]), 
+        .Y(n386) );
+  sky130_fd_sc_hd__o22ai_1 U426 ( .A1(n421), .A2(n645), .B1(n387), .B2(n386), 
+        .Y(n661) );
+  sky130_fd_sc_hd__clkinv_1 U427 ( .A(n405), .Y(n402) );
+  sky130_fd_sc_hd__nand2_1 U428 ( .A(n402), .B(n407), .Y(n413) );
+  sky130_fd_sc_hd__o22ai_1 U429 ( .A1(n391), .A2(n389), .B1(n388), .B2(n413), 
+        .Y(n663) );
+  sky130_fd_sc_hd__a21o_1 U430 ( .A1(gen_normal_fifo_rptr_value[1]), .A2(n391), 
+        .B1(n390), .X(n392) );
+  sky130_fd_sc_hd__o21ai_1 U431 ( .A1(n405), .A2(n401), .B1(n392), .Y(n664) );
+  sky130_fd_sc_hd__nand2_1 U432 ( .A(n402), .B(n409), .Y(n404) );
+  sky130_fd_sc_hd__nand2_1 U433 ( .A(n404), .B(gen_normal_fifo_rptr_value[3]), 
+        .Y(n403) );
+  sky130_fd_sc_hd__o21ai_1 U434 ( .A1(gen_normal_fifo_rptr_value[3]), .A2(n404), .B1(n403), .Y(n665) );
+  sky130_fd_sc_hd__nor2_1 U435 ( .A(n407), .B(n405), .Y(n417) );
+  sky130_fd_sc_hd__nand2_1 U436 ( .A(gen_normal_fifo_rptr_value[3]), .B(n409), 
+        .Y(n411) );
+  sky130_fd_sc_hd__o22ai_1 U437 ( .A1(n417), .A2(n415), .B1(n413), .B2(n411), 
+        .Y(n666) );
+  sky130_fd_sc_hd__xor2_1 U438 ( .A(gen_normal_fifo_fifo_rptr_5_), .B(n417), 
+        .X(n667) );
+  sky130_fd_sc_hd__a22o_1 U439 ( .A1(n421), .A2(wdata_i[7]), .B1(n419), .B2(
+        gen_normal_fifo_storage[127]), .X(n668) );
+  sky130_fd_sc_hd__a22o_1 U440 ( .A1(n421), .A2(wdata_i[6]), .B1(n419), .B2(
+        gen_normal_fifo_storage[126]), .X(n669) );
+  sky130_fd_sc_hd__a22o_1 U441 ( .A1(n421), .A2(wdata_i[5]), .B1(n419), .B2(
+        gen_normal_fifo_storage[125]), .X(n670) );
+  sky130_fd_sc_hd__a22o_1 U442 ( .A1(n421), .A2(wdata_i[4]), .B1(n419), .B2(
+        gen_normal_fifo_storage[124]), .X(n671) );
+  sky130_fd_sc_hd__a22o_1 U443 ( .A1(n421), .A2(wdata_i[3]), .B1(n419), .B2(
+        gen_normal_fifo_storage[123]), .X(n672) );
+  sky130_fd_sc_hd__a22o_1 U444 ( .A1(n421), .A2(wdata_i[2]), .B1(n419), .B2(
+        gen_normal_fifo_storage[122]), .X(n673) );
+  sky130_fd_sc_hd__a22o_1 U445 ( .A1(n421), .A2(wdata_i[1]), .B1(n419), .B2(
+        gen_normal_fifo_storage[121]), .X(n674) );
+  sky130_fd_sc_hd__a22o_1 U446 ( .A1(n421), .A2(wdata_i[0]), .B1(n419), .B2(
+        gen_normal_fifo_storage[120]), .X(n675) );
+  sky130_fd_sc_hd__nand3_1 U447 ( .A(gen_normal_fifo_wptr_value[4]), .B(n646), 
+        .C(n644), .Y(n632) );
+  sky130_fd_sc_hd__nor2_1 U448 ( .A(n647), .B(n632), .Y(n431) );
+  sky130_fd_sc_hd__clkinv_1 U449 ( .A(n431), .Y(n429) );
+  sky130_fd_sc_hd__o22ai_1 U450 ( .A1(n431), .A2(n422), .B1(n429), .B2(n924), 
+        .Y(n732) );
+  sky130_fd_sc_hd__o22ai_1 U451 ( .A1(n431), .A2(n423), .B1(n429), .B2(n925), 
+        .Y(n733) );
+  sky130_fd_sc_hd__o22ai_1 U452 ( .A1(n431), .A2(n424), .B1(n429), .B2(n926), 
+        .Y(n734) );
+  sky130_fd_sc_hd__o22ai_1 U453 ( .A1(n431), .A2(n425), .B1(n429), .B2(n927), 
+        .Y(n735) );
+  sky130_fd_sc_hd__o22ai_1 U454 ( .A1(n431), .A2(n426), .B1(n429), .B2(n928), 
+        .Y(n736) );
+  sky130_fd_sc_hd__o22ai_1 U455 ( .A1(n431), .A2(n427), .B1(n429), .B2(n929), 
+        .Y(n737) );
+  sky130_fd_sc_hd__o22ai_1 U456 ( .A1(n431), .A2(n428), .B1(n429), .B2(n930), 
+        .Y(n738) );
+  sky130_fd_sc_hd__o22ai_1 U457 ( .A1(n431), .A2(n430), .B1(n429), .B2(n931), 
+        .Y(n739) );
+  sky130_fd_sc_hd__nor2_1 U458 ( .A(n632), .B(n648), .Y(n581) );
+  sky130_fd_sc_hd__clkinv_1 U459 ( .A(n581), .Y(n579) );
+  sky130_fd_sc_hd__o22ai_1 U460 ( .A1(n581), .A2(n432), .B1(n579), .B2(n924), 
+        .Y(n740) );
+  sky130_fd_sc_hd__o22ai_1 U461 ( .A1(n581), .A2(n433), .B1(n579), .B2(n925), 
+        .Y(n741) );
+  sky130_fd_sc_hd__o22ai_1 U462 ( .A1(n581), .A2(n574), .B1(n579), .B2(n926), 
+        .Y(n742) );
+  sky130_fd_sc_hd__o22ai_1 U463 ( .A1(n581), .A2(n575), .B1(n579), .B2(n927), 
+        .Y(n743) );
+  sky130_fd_sc_hd__o22ai_1 U464 ( .A1(n581), .A2(n576), .B1(n579), .B2(n928), 
+        .Y(n744) );
+  sky130_fd_sc_hd__o22ai_1 U465 ( .A1(n581), .A2(n577), .B1(n579), .B2(n929), 
+        .Y(n745) );
+  sky130_fd_sc_hd__o22ai_1 U466 ( .A1(n581), .A2(n578), .B1(n579), .B2(n930), 
+        .Y(n746) );
+  sky130_fd_sc_hd__o22ai_1 U467 ( .A1(n581), .A2(n580), .B1(n579), .B2(n931), 
+        .Y(n747) );
+  sky130_fd_sc_hd__nor2_1 U468 ( .A(n632), .B(n649), .Y(n591) );
+  sky130_fd_sc_hd__clkinv_1 U469 ( .A(n591), .Y(n589) );
+  sky130_fd_sc_hd__o22ai_1 U470 ( .A1(n591), .A2(n582), .B1(n589), .B2(n924), 
+        .Y(n748) );
+  sky130_fd_sc_hd__o22ai_1 U471 ( .A1(n591), .A2(n583), .B1(n589), .B2(n925), 
+        .Y(n749) );
+  sky130_fd_sc_hd__o22ai_1 U472 ( .A1(n591), .A2(n584), .B1(n589), .B2(n926), 
+        .Y(n750) );
+  sky130_fd_sc_hd__o22ai_1 U473 ( .A1(n591), .A2(n585), .B1(n589), .B2(n927), 
+        .Y(n751) );
+  sky130_fd_sc_hd__o22ai_1 U474 ( .A1(n591), .A2(n586), .B1(n589), .B2(n928), 
+        .Y(n752) );
+  sky130_fd_sc_hd__o22ai_1 U475 ( .A1(n591), .A2(n587), .B1(n589), .B2(n929), 
+        .Y(n753) );
+  sky130_fd_sc_hd__o22ai_1 U476 ( .A1(n591), .A2(n588), .B1(n589), .B2(n930), 
+        .Y(n754) );
+  sky130_fd_sc_hd__o22ai_1 U477 ( .A1(n591), .A2(n590), .B1(n589), .B2(n931), 
+        .Y(n755) );
+  sky130_fd_sc_hd__nor2_1 U478 ( .A(n632), .B(n650), .Y(n601) );
+  sky130_fd_sc_hd__clkinv_1 U479 ( .A(n601), .Y(n599) );
+  sky130_fd_sc_hd__o22ai_1 U480 ( .A1(n601), .A2(n592), .B1(n599), .B2(n924), 
+        .Y(n756) );
+  sky130_fd_sc_hd__o22ai_1 U481 ( .A1(n601), .A2(n593), .B1(n599), .B2(n925), 
+        .Y(n757) );
+  sky130_fd_sc_hd__o22ai_1 U482 ( .A1(n601), .A2(n594), .B1(n599), .B2(n926), 
+        .Y(n758) );
+  sky130_fd_sc_hd__o22ai_1 U483 ( .A1(n601), .A2(n595), .B1(n599), .B2(n927), 
+        .Y(n759) );
+  sky130_fd_sc_hd__o22ai_1 U484 ( .A1(n601), .A2(n596), .B1(n599), .B2(n928), 
+        .Y(n760) );
+  sky130_fd_sc_hd__o22ai_1 U485 ( .A1(n601), .A2(n597), .B1(n599), .B2(n929), 
+        .Y(n761) );
+  sky130_fd_sc_hd__o22ai_1 U486 ( .A1(n601), .A2(n598), .B1(n599), .B2(n930), 
+        .Y(n762) );
+  sky130_fd_sc_hd__o22ai_1 U487 ( .A1(n601), .A2(n600), .B1(n599), .B2(n931), 
+        .Y(n763) );
+  sky130_fd_sc_hd__nor2_1 U488 ( .A(n632), .B(n651), .Y(n611) );
+  sky130_fd_sc_hd__clkinv_1 U489 ( .A(n611), .Y(n609) );
+  sky130_fd_sc_hd__o22ai_1 U490 ( .A1(n611), .A2(n602), .B1(n609), .B2(n924), 
+        .Y(n764) );
+  sky130_fd_sc_hd__o22ai_1 U491 ( .A1(n611), .A2(n603), .B1(n609), .B2(n925), 
+        .Y(n765) );
+  sky130_fd_sc_hd__o22ai_1 U492 ( .A1(n611), .A2(n604), .B1(n609), .B2(n926), 
+        .Y(n766) );
+  sky130_fd_sc_hd__o22ai_1 U493 ( .A1(n611), .A2(n605), .B1(n609), .B2(n927), 
+        .Y(n767) );
+  sky130_fd_sc_hd__o22ai_1 U494 ( .A1(n611), .A2(n606), .B1(n609), .B2(n928), 
+        .Y(n768) );
+  sky130_fd_sc_hd__o22ai_1 U495 ( .A1(n611), .A2(n607), .B1(n609), .B2(n929), 
+        .Y(n769) );
+  sky130_fd_sc_hd__o22ai_1 U496 ( .A1(n611), .A2(n608), .B1(n609), .B2(n930), 
+        .Y(n770) );
+  sky130_fd_sc_hd__o22ai_1 U497 ( .A1(n611), .A2(n610), .B1(n609), .B2(n931), 
+        .Y(n771) );
+  sky130_fd_sc_hd__nor2_1 U498 ( .A(n632), .B(n652), .Y(n621) );
+  sky130_fd_sc_hd__clkinv_1 U499 ( .A(n621), .Y(n619) );
+  sky130_fd_sc_hd__o22ai_1 U500 ( .A1(n621), .A2(n612), .B1(n619), .B2(n924), 
+        .Y(n772) );
+  sky130_fd_sc_hd__o22ai_1 U501 ( .A1(n621), .A2(n613), .B1(n619), .B2(n925), 
+        .Y(n773) );
+  sky130_fd_sc_hd__o22ai_1 U502 ( .A1(n621), .A2(n614), .B1(n619), .B2(n926), 
+        .Y(n774) );
+  sky130_fd_sc_hd__o22ai_1 U503 ( .A1(n621), .A2(n615), .B1(n619), .B2(n927), 
+        .Y(n775) );
+  sky130_fd_sc_hd__o22ai_1 U504 ( .A1(n621), .A2(n616), .B1(n619), .B2(n928), 
+        .Y(n776) );
+  sky130_fd_sc_hd__o22ai_1 U505 ( .A1(n621), .A2(n617), .B1(n619), .B2(n929), 
+        .Y(n777) );
+  sky130_fd_sc_hd__o22ai_1 U506 ( .A1(n621), .A2(n618), .B1(n619), .B2(n930), 
+        .Y(n778) );
+  sky130_fd_sc_hd__o22ai_1 U507 ( .A1(n621), .A2(n620), .B1(n619), .B2(n931), 
+        .Y(n779) );
+  sky130_fd_sc_hd__nor2_1 U508 ( .A(n632), .B(n653), .Y(n631) );
+  sky130_fd_sc_hd__clkinv_1 U509 ( .A(n631), .Y(n629) );
+  sky130_fd_sc_hd__o22ai_1 U510 ( .A1(n631), .A2(n622), .B1(n629), .B2(n924), 
+        .Y(n780) );
+  sky130_fd_sc_hd__o22ai_1 U511 ( .A1(n631), .A2(n623), .B1(n629), .B2(n925), 
+        .Y(n781) );
+  sky130_fd_sc_hd__o22ai_1 U512 ( .A1(n631), .A2(n624), .B1(n629), .B2(n926), 
+        .Y(n782) );
+  sky130_fd_sc_hd__o22ai_1 U513 ( .A1(n631), .A2(n625), .B1(n629), .B2(n927), 
+        .Y(n783) );
+  sky130_fd_sc_hd__o22ai_1 U514 ( .A1(n631), .A2(n626), .B1(n629), .B2(n928), 
+        .Y(n784) );
+  sky130_fd_sc_hd__o22ai_1 U515 ( .A1(n631), .A2(n627), .B1(n629), .B2(n929), 
+        .Y(n785) );
+  sky130_fd_sc_hd__o22ai_1 U516 ( .A1(n631), .A2(n628), .B1(n629), .B2(n930), 
+        .Y(n786) );
+  sky130_fd_sc_hd__o22ai_1 U517 ( .A1(n631), .A2(n630), .B1(n629), .B2(n931), 
+        .Y(n787) );
+  sky130_fd_sc_hd__nor2_1 U518 ( .A(n632), .B(n655), .Y(n642) );
+  sky130_fd_sc_hd__clkinv_1 U519 ( .A(n642), .Y(n640) );
+  sky130_fd_sc_hd__o22ai_1 U520 ( .A1(n642), .A2(n633), .B1(n640), .B2(n924), 
+        .Y(n788) );
+  sky130_fd_sc_hd__o22ai_1 U521 ( .A1(n642), .A2(n634), .B1(n640), .B2(n925), 
+        .Y(n789) );
+  sky130_fd_sc_hd__o22ai_1 U522 ( .A1(n642), .A2(n635), .B1(n640), .B2(n926), 
+        .Y(n790) );
+  sky130_fd_sc_hd__o22ai_1 U523 ( .A1(n642), .A2(n636), .B1(n640), .B2(n927), 
+        .Y(n791) );
+  sky130_fd_sc_hd__o22ai_1 U524 ( .A1(n642), .A2(n637), .B1(n640), .B2(n928), 
+        .Y(n792) );
+  sky130_fd_sc_hd__o22ai_1 U525 ( .A1(n642), .A2(n638), .B1(n640), .B2(n929), 
+        .Y(n793) );
+  sky130_fd_sc_hd__o22ai_1 U526 ( .A1(n642), .A2(n639), .B1(n640), .B2(n930), 
+        .Y(n794) );
+  sky130_fd_sc_hd__o22ai_1 U527 ( .A1(n642), .A2(n641), .B1(n640), .B2(n931), 
+        .Y(n795) );
+  sky130_fd_sc_hd__nand3_1 U528 ( .A(gen_normal_fifo_wptr_value[3]), .B(n646), 
+        .C(n645), .Y(n643) );
+  sky130_fd_sc_hd__nor2_1 U529 ( .A(n647), .B(n643), .Y(n932) );
+  sky130_fd_sc_hd__nor2_1 U530 ( .A(n648), .B(n643), .Y(n933) );
+  sky130_fd_sc_hd__nor2_1 U531 ( .A(n649), .B(n643), .Y(n934) );
+  sky130_fd_sc_hd__nor2_1 U532 ( .A(n650), .B(n643), .Y(n935) );
+  sky130_fd_sc_hd__nor2_1 U533 ( .A(n651), .B(n643), .Y(n936) );
+  sky130_fd_sc_hd__nor2_1 U534 ( .A(n652), .B(n643), .Y(n937) );
+  sky130_fd_sc_hd__nor2_1 U535 ( .A(n653), .B(n643), .Y(n938) );
+  sky130_fd_sc_hd__nor2_1 U536 ( .A(n655), .B(n643), .Y(n939) );
+  sky130_fd_sc_hd__nand3_1 U537 ( .A(n646), .B(n645), .C(n644), .Y(n654) );
+  sky130_fd_sc_hd__nor2_1 U538 ( .A(n647), .B(n654), .Y(n940) );
+  sky130_fd_sc_hd__nor2_1 U539 ( .A(n648), .B(n654), .Y(n941) );
+  sky130_fd_sc_hd__nor2_1 U540 ( .A(n649), .B(n654), .Y(n942) );
+  sky130_fd_sc_hd__nor2_1 U541 ( .A(n650), .B(n654), .Y(n943) );
+  sky130_fd_sc_hd__nor2_1 U542 ( .A(n651), .B(n654), .Y(n944) );
+  sky130_fd_sc_hd__nor2_1 U543 ( .A(n652), .B(n654), .Y(n945) );
+  sky130_fd_sc_hd__nor2_1 U544 ( .A(n653), .B(n654), .Y(n946) );
+  sky130_fd_sc_hd__nor2_1 U545 ( .A(n655), .B(n654), .Y(n947) );
+  sky130_fd_sc_hd__nand2b_1 U44 ( .A_N(n375), .B(n43), .Y(rvalid_o) );
+  sky130_fd_sc_hd__nor3_1 U123 ( .A(n17), .B(depth_o[0]), .C(n367), .Y(n43) );
+endmodule
+
+
+module opentitan_soc_top_uart_core_0 ( clk_i, rst_ni, reg2hw, hw2reg, rx, tx, 
+        intr_tx_watermark_o, intr_rx_watermark_o, intr_tx_empty_o, 
+        intr_rx_overflow_o, intr_rx_frame_err_o, intr_rx_break_err_o, 
+        intr_rx_timeout_o, intr_rx_parity_err_o );
+  input [124:0] reg2hw;
+  output [64:0] hw2reg;
+  input clk_i, rst_ni, rx;
+  output tx, intr_tx_watermark_o, intr_rx_watermark_o, intr_tx_empty_o,
+         intr_rx_overflow_o, intr_rx_frame_err_o, intr_rx_break_err_o,
+         intr_rx_timeout_o, intr_rx_parity_err_o;
+  wire   uart_fifo_rxrst, uart_fifo_txrst, rx_valid, event_rx_frame_err,
+         break_st_q, event_rx_break_err, rx_in, rx_fifo_rvalid, tx_uart_idle,
+         tx_fifo_rvalid, rx_fifo_wready, tx_fifo_wready, N56, N57, N58, N59,
+         N60, N61, N62, N63, N64, N65, N66, N67, N68, N69, N70, N71, N72,
+         tx_fifo_rready, n_3_net_, tx_out, tx_out_q, N77, rx_sync, rx_sync_q1,
+         rx_sync_q2, rx_tick_baud, event_rx_parity_err, rx_fifo_wvalid,
+         tx_watermark_d, tx_watermark_prev_q, event_tx_watermark,
+         tx_uart_idle_q, event_tx_empty, rx_watermark_prev_q, rx_watermark_d,
+         event_rx_watermark, event_rx_timeout, N133, N134, N135, N136, N137,
+         N138, N139, N140, N141, N142, N143, N144, N145, N146, N147, N148,
+         N149, N150, N151, N152, N153, N154, N155, event_rx_overflow, n102,
+         n103, n104, n105, n106, n107, n108, n109, n110, n111, n112, n113,
+         n114, n115, n116, n117, n118, n119, n120, n121, n122, n123, n124,
+         n125, n126, n127, n128, n129, n130, n131, n132, n1330, n1340, n1350,
+         n1360, n1370, n1380, n1390, n1400, n156, n1, n2, n3, n4, n5, n6, n7,
+         n8, n9, n10, n11, n12, n13, n14, n15, n16, n17, n18, n19, n20, n21,
+         n22, n23, n24, n25, n26, n27, n28, n29, n30, n31, n32, n33, n34, n35,
+         n36, n37, n38, n39, n40, n41, n42, n43, n44, n45, n46, n47, n48, n49,
+         n50, n51, n52, n53, n54, n55, n560, n570, n580, n590, n600, n610,
+         n620, n630, n640, n650, n660, n670, n680, n690, n700, n710, n720, n73,
+         n74, n75, n76, n770, n78, n79, n80, n81, n82, n83, n84, n85, n87, n88,
+         n89, n90, n91, n92, n93, n94, n95, n96, n97, n98, n99, n100, n101,
+         n1410, n1420, n1430, n1440, n1450, n1460, n1470, n1480, n1490, n1500,
+         n1510, n1520, n1530, n1540, n1550, SYNOPSYS_UNCONNECTED_1;
+  wire   [7:0] rx_fifo_data;
+  wire   [4:0] allzero_cnt_q;
+  wire   [16:0] nco_sum_q;
+  wire   [7:0] tx_fifo_data;
+  wire   [5:0] rx_fifo_depth_prev_q;
+  wire   [23:0] rx_timeout_count_d;
+  wire   [23:0] rx_timeout_count_q;
+
+  opentitan_soc_top_fifo_sync_8_0_32_0 u_uart_txfifo ( .clk_i(n1), .rst_ni(n7), 
+        .clr_i(uart_fifo_txrst), .wvalid_i(reg2hw[38]), .wready_o(
+        tx_fifo_wready), .wdata_i(reg2hw[46:39]), .rvalid_o(tx_fifo_rvalid), 
+        .rready_i(tx_fifo_rready), .rdata_o(tx_fifo_data), .depth_o(
+        hw2reg[27:22]) );
+  opentitan_soc_top_uart_tx_0 uart_tx ( .clk_i(n1), .rst_ni(n4), .tx_enable(
+        reg2hw[92]), .tick_baud_x16(nco_sum_q[16]), .parity_enable(reg2hw[87]), 
+        .wr(tx_fifo_rready), .wr_parity(n_3_net_), .wr_data(tx_fifo_data), 
+        .idle(tx_uart_idle), .tx(tx_out) );
+  opentitan_soc_top_prim_generic_flop_2sync_1_1_0 sync_rx ( .clk_i(n1), 
+        .rst_ni(n5), .d_i(rx), .q_o(rx_sync) );
+  opentitan_soc_top_uart_rx_0 uart_rx ( .clk_i(n1), .rst_ni(n4), .rx_enable(
+        reg2hw[91]), .tick_baud_x16(nco_sum_q[16]), .parity_enable(reg2hw[87]), 
+        .parity_odd(reg2hw[86]), .tick_baud(rx_tick_baud), .rx_valid(rx_valid), 
+        .rx_data(rx_fifo_data), .idle(hw2reg[44]), .frame_err(
+        event_rx_frame_err), .rx_parity_err(event_rx_parity_err), .rx(rx_in)
+         );
+  opentitan_soc_top_fifo_sync_8_0_32_1 u_uart_rxfifo ( .clk_i(n1), .rst_ni(n6), 
+        .clr_i(uart_fifo_rxrst), .wvalid_i(rx_fifo_wvalid), .wready_o(
+        rx_fifo_wready), .wdata_i(rx_fifo_data), .rvalid_o(rx_fifo_rvalid), 
+        .rready_i(reg2hw[47]), .rdata_o(hw2reg[42:35]), .depth_o(hw2reg[21:16]) );
+  opentitan_soc_top_prim_intr_hw_Width1_0 intr_hw_tx_watermark ( .clk_i(n1), 
+        .rst_ni(n6), .event_intr_i(event_tx_watermark), 
+        .reg2hw_intr_enable_q_i(reg2hw[116]), .reg2hw_intr_test_q_i(
+        reg2hw[108]), .reg2hw_intr_test_qe_i(reg2hw[107]), 
+        .reg2hw_intr_state_q_i(reg2hw[124]), .hw2reg_intr_state_de_o(
+        hw2reg[63]), .hw2reg_intr_state_d_o(hw2reg[64]), .intr_o(
+        intr_tx_watermark_o) );
+  opentitan_soc_top_prim_intr_hw_Width1_7 intr_hw_rx_watermark ( .clk_i(n1), 
+        .rst_ni(n4), .event_intr_i(event_rx_watermark), 
+        .reg2hw_intr_enable_q_i(reg2hw[115]), .reg2hw_intr_test_q_i(
+        reg2hw[106]), .reg2hw_intr_test_qe_i(reg2hw[105]), 
+        .reg2hw_intr_state_q_i(reg2hw[123]), .hw2reg_intr_state_de_o(
+        hw2reg[61]), .hw2reg_intr_state_d_o(hw2reg[62]), .intr_o(
+        intr_rx_watermark_o) );
+  opentitan_soc_top_prim_intr_hw_Width1_6 intr_hw_tx_empty ( .clk_i(n1), 
+        .rst_ni(n5), .event_intr_i(event_tx_empty), .reg2hw_intr_enable_q_i(
+        reg2hw[114]), .reg2hw_intr_test_q_i(reg2hw[104]), 
+        .reg2hw_intr_test_qe_i(reg2hw[103]), .reg2hw_intr_state_q_i(
+        reg2hw[122]), .hw2reg_intr_state_de_o(hw2reg[59]), 
+        .hw2reg_intr_state_d_o(hw2reg[60]), .intr_o(intr_tx_empty_o) );
+  opentitan_soc_top_prim_intr_hw_Width1_5 intr_hw_rx_overflow ( .clk_i(n1), 
+        .rst_ni(n7), .event_intr_i(event_rx_overflow), 
+        .reg2hw_intr_enable_q_i(reg2hw[113]), .reg2hw_intr_test_q_i(
+        reg2hw[102]), .reg2hw_intr_test_qe_i(reg2hw[101]), 
+        .reg2hw_intr_state_q_i(reg2hw[121]), .hw2reg_intr_state_de_o(
+        hw2reg[57]), .hw2reg_intr_state_d_o(hw2reg[58]), .intr_o(
+        intr_rx_overflow_o) );
+  opentitan_soc_top_prim_intr_hw_Width1_4 intr_hw_rx_frame_err ( .clk_i(n1), 
+        .rst_ni(n7), .event_intr_i(event_rx_frame_err), 
+        .reg2hw_intr_enable_q_i(reg2hw[112]), .reg2hw_intr_test_q_i(
+        reg2hw[100]), .reg2hw_intr_test_qe_i(reg2hw[99]), 
+        .reg2hw_intr_state_q_i(reg2hw[120]), .hw2reg_intr_state_de_o(
+        hw2reg[55]), .hw2reg_intr_state_d_o(hw2reg[56]), .intr_o(
+        intr_rx_frame_err_o) );
+  opentitan_soc_top_prim_intr_hw_Width1_3 intr_hw_rx_break_err ( .clk_i(n1), 
+        .rst_ni(n5), .event_intr_i(event_rx_break_err), 
+        .reg2hw_intr_enable_q_i(reg2hw[111]), .reg2hw_intr_test_q_i(reg2hw[98]), .reg2hw_intr_test_qe_i(reg2hw[97]), .reg2hw_intr_state_q_i(reg2hw[119]), 
+        .hw2reg_intr_state_de_o(hw2reg[53]), .hw2reg_intr_state_d_o(hw2reg[54]), .intr_o(intr_rx_break_err_o) );
+  opentitan_soc_top_prim_intr_hw_Width1_2 intr_hw_rx_timeout ( .clk_i(n1), 
+        .rst_ni(n4), .event_intr_i(event_rx_timeout), .reg2hw_intr_enable_q_i(
+        reg2hw[110]), .reg2hw_intr_test_q_i(reg2hw[96]), 
+        .reg2hw_intr_test_qe_i(reg2hw[95]), .reg2hw_intr_state_q_i(reg2hw[118]), .hw2reg_intr_state_de_o(hw2reg[51]), .hw2reg_intr_state_d_o(hw2reg[52]), 
+        .intr_o(intr_rx_timeout_o) );
+  opentitan_soc_top_prim_intr_hw_Width1_1 intr_hw_rx_parity_err ( .clk_i(n1), 
+        .rst_ni(n6), .event_intr_i(event_rx_parity_err), 
+        .reg2hw_intr_enable_q_i(reg2hw[109]), .reg2hw_intr_test_q_i(reg2hw[94]), .reg2hw_intr_test_qe_i(reg2hw[93]), .reg2hw_intr_state_q_i(reg2hw[117]), 
+        .hw2reg_intr_state_de_o(hw2reg[49]), .hw2reg_intr_state_d_o(hw2reg[50]), .intr_o(intr_rx_parity_err_o) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_15_ ( .D(n1380), .CLK(n1), .RESET_B(
+        n5), .Q(nco_sum_q[15]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_14_ ( .D(n1370), .CLK(n1), .RESET_B(
+        n4), .Q(nco_sum_q[14]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_13_ ( .D(n1360), .CLK(n1), .RESET_B(
+        n4), .Q(nco_sum_q[13]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_12_ ( .D(n1350), .CLK(n1), .RESET_B(
+        n5), .Q(nco_sum_q[12]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_11_ ( .D(n1340), .CLK(n1), .RESET_B(
+        n7), .Q(nco_sum_q[11]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_10_ ( .D(n1330), .CLK(n1), .RESET_B(
+        n6), .Q(nco_sum_q[10]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_9_ ( .D(n132), .CLK(n1), .RESET_B(n7), 
+        .Q(nco_sum_q[9]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_8_ ( .D(n131), .CLK(n1), .RESET_B(n6), 
+        .Q(nco_sum_q[8]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_7_ ( .D(n130), .CLK(n1), .RESET_B(n7), 
+        .Q(nco_sum_q[7]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_6_ ( .D(n129), .CLK(n1), .RESET_B(n6), 
+        .Q(nco_sum_q[6]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_5_ ( .D(n128), .CLK(n1), .RESET_B(n5), 
+        .Q(nco_sum_q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_4_ ( .D(n127), .CLK(n1), .RESET_B(n4), 
+        .Q(nco_sum_q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_3_ ( .D(n126), .CLK(n1), .RESET_B(n5), 
+        .Q(nco_sum_q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_2_ ( .D(n125), .CLK(n1), .RESET_B(n4), 
+        .Q(nco_sum_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_1_ ( .D(n124), .CLK(n1), .RESET_B(n7), 
+        .Q(nco_sum_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_0_ ( .D(n123), .CLK(n1), .RESET_B(n4), 
+        .Q(nco_sum_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 allzero_cnt_q_reg_0_ ( .D(n122), .CLK(n1), 
+        .RESET_B(n5), .Q(allzero_cnt_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 allzero_cnt_q_reg_4_ ( .D(n121), .CLK(n1), 
+        .RESET_B(n6), .Q(allzero_cnt_q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 allzero_cnt_q_reg_2_ ( .D(n120), .CLK(n1), 
+        .RESET_B(n7), .Q(allzero_cnt_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 allzero_cnt_q_reg_3_ ( .D(n119), .CLK(n1), 
+        .RESET_B(n6), .Q(allzero_cnt_q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 allzero_cnt_q_reg_1_ ( .D(n118), .CLK(n1), 
+        .RESET_B(n5), .Q(allzero_cnt_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_0_ ( .D(n117), .CLK(n1), .RESET_B(n6), 
+        .Q(hw2reg[0]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_1_ ( .D(n116), .CLK(n1), .RESET_B(n7), 
+        .Q(hw2reg[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_2_ ( .D(n115), .CLK(n1), .RESET_B(n4), 
+        .Q(hw2reg[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_3_ ( .D(n114), .CLK(n1), .RESET_B(n4), 
+        .Q(hw2reg[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_4_ ( .D(n113), .CLK(n1), .RESET_B(n5), 
+        .Q(hw2reg[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_5_ ( .D(n112), .CLK(n1), .RESET_B(n6), 
+        .Q(hw2reg[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_6_ ( .D(n111), .CLK(n1), .RESET_B(n7), 
+        .Q(hw2reg[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_7_ ( .D(n110), .CLK(n1), .RESET_B(n6), 
+        .Q(hw2reg[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_8_ ( .D(n109), .CLK(n1), .RESET_B(n7), 
+        .Q(hw2reg[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_9_ ( .D(n108), .CLK(n1), .RESET_B(n5), 
+        .Q(hw2reg[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_10_ ( .D(n107), .CLK(n1), .RESET_B(n6), 
+        .Q(hw2reg[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_11_ ( .D(n106), .CLK(n1), .RESET_B(n7), 
+        .Q(hw2reg[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_12_ ( .D(n105), .CLK(n1), .RESET_B(n4), 
+        .Q(hw2reg[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_13_ ( .D(n104), .CLK(n1), .RESET_B(n5), 
+        .Q(hw2reg[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_14_ ( .D(n103), .CLK(n1), .RESET_B(n6), 
+        .Q(hw2reg[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_val_q_reg_15_ ( .D(n102), .CLK(n1), .RESET_B(n7), 
+        .Q(hw2reg[15]) );
+  sky130_fd_sc_hd__dfstp_1 tx_out_q_reg ( .D(N77), .CLK(n1), .SET_B(n6), .Q(
+        tx_out_q) );
+  sky130_fd_sc_hd__dfstp_1 rx_sync_q1_reg ( .D(rx_sync), .CLK(n1), .SET_B(n6), 
+        .Q(rx_sync_q1) );
+  sky130_fd_sc_hd__dfstp_1 rx_sync_q2_reg ( .D(rx_sync_q1), .CLK(n1), .SET_B(
+        n7), .Q(rx_sync_q2) );
+  sky130_fd_sc_hd__dfstp_1 tx_uart_idle_q_reg ( .D(tx_uart_idle), .CLK(n1), 
+        .SET_B(n7), .Q(tx_uart_idle_q) );
+  sky130_fd_sc_hd__dfstp_1 tx_watermark_prev_q_reg ( .D(tx_watermark_d), .CLK(
+        n1), .SET_B(n4), .Q(tx_watermark_prev_q) );
+  sky130_fd_sc_hd__dfrtp_1 break_st_q_reg ( .D(n1400), .CLK(n1), .RESET_B(n4), 
+        .Q(break_st_q) );
+  sky130_fd_sc_hd__dfrtp_1 rx_watermark_prev_q_reg ( .D(rx_watermark_d), .CLK(
+        n1), .RESET_B(n5), .Q(rx_watermark_prev_q) );
+  sky130_fd_sc_hd__dfrtp_1 rx_fifo_depth_prev_q_reg_5_ ( .D(hw2reg[21]), .CLK(
+        n1), .RESET_B(n6), .Q(rx_fifo_depth_prev_q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_fifo_depth_prev_q_reg_4_ ( .D(hw2reg[20]), .CLK(
+        n1), .RESET_B(n7), .Q(rx_fifo_depth_prev_q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_fifo_depth_prev_q_reg_3_ ( .D(hw2reg[19]), .CLK(
+        n1), .RESET_B(n4), .Q(rx_fifo_depth_prev_q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_fifo_depth_prev_q_reg_2_ ( .D(hw2reg[18]), .CLK(
+        n1), .RESET_B(n5), .Q(rx_fifo_depth_prev_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_fifo_depth_prev_q_reg_1_ ( .D(hw2reg[17]), .CLK(
+        n1), .RESET_B(n6), .Q(rx_fifo_depth_prev_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_fifo_depth_prev_q_reg_0_ ( .D(hw2reg[16]), .CLK(
+        n1), .RESET_B(n7), .Q(rx_fifo_depth_prev_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_0_ ( .D(
+        rx_timeout_count_d[0]), .CLK(n1), .RESET_B(n5), .Q(
+        rx_timeout_count_q[0]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_1_ ( .D(
+        rx_timeout_count_d[1]), .CLK(n1), .RESET_B(n5), .Q(
+        rx_timeout_count_q[1]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_2_ ( .D(
+        rx_timeout_count_d[2]), .CLK(n1), .RESET_B(n6), .Q(
+        rx_timeout_count_q[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_3_ ( .D(
+        rx_timeout_count_d[3]), .CLK(n1), .RESET_B(n7), .Q(
+        rx_timeout_count_q[3]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_4_ ( .D(
+        rx_timeout_count_d[4]), .CLK(n1), .RESET_B(n4), .Q(
+        rx_timeout_count_q[4]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_5_ ( .D(
+        rx_timeout_count_d[5]), .CLK(n1), .RESET_B(n5), .Q(
+        rx_timeout_count_q[5]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_6_ ( .D(
+        rx_timeout_count_d[6]), .CLK(n1), .RESET_B(n6), .Q(
+        rx_timeout_count_q[6]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_7_ ( .D(
+        rx_timeout_count_d[7]), .CLK(n1), .RESET_B(n7), .Q(
+        rx_timeout_count_q[7]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_8_ ( .D(
+        rx_timeout_count_d[8]), .CLK(n1), .RESET_B(n4), .Q(
+        rx_timeout_count_q[8]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_9_ ( .D(
+        rx_timeout_count_d[9]), .CLK(n1), .RESET_B(n4), .Q(
+        rx_timeout_count_q[9]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_10_ ( .D(
+        rx_timeout_count_d[10]), .CLK(n1), .RESET_B(n5), .Q(
+        rx_timeout_count_q[10]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_11_ ( .D(
+        rx_timeout_count_d[11]), .CLK(n1), .RESET_B(n6), .Q(
+        rx_timeout_count_q[11]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_12_ ( .D(
+        rx_timeout_count_d[12]), .CLK(n1), .RESET_B(n7), .Q(
+        rx_timeout_count_q[12]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_13_ ( .D(
+        rx_timeout_count_d[13]), .CLK(n1), .RESET_B(n4), .Q(
+        rx_timeout_count_q[13]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_14_ ( .D(
+        rx_timeout_count_d[14]), .CLK(n1), .RESET_B(n5), .Q(
+        rx_timeout_count_q[14]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_15_ ( .D(
+        rx_timeout_count_d[15]), .CLK(n1), .RESET_B(n4), .Q(
+        rx_timeout_count_q[15]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_16_ ( .D(
+        rx_timeout_count_d[16]), .CLK(n1), .RESET_B(n7), .Q(
+        rx_timeout_count_q[16]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_17_ ( .D(
+        rx_timeout_count_d[17]), .CLK(n1), .RESET_B(n6), .Q(
+        rx_timeout_count_q[17]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_18_ ( .D(
+        rx_timeout_count_d[18]), .CLK(n1), .RESET_B(n5), .Q(
+        rx_timeout_count_q[18]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_19_ ( .D(
+        rx_timeout_count_d[19]), .CLK(n1), .RESET_B(n7), .Q(
+        rx_timeout_count_q[19]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_20_ ( .D(
+        rx_timeout_count_d[20]), .CLK(n1), .RESET_B(n4), .Q(
+        rx_timeout_count_q[20]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_21_ ( .D(
+        rx_timeout_count_d[21]), .CLK(n1), .RESET_B(n6), .Q(
+        rx_timeout_count_q[21]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_22_ ( .D(
+        rx_timeout_count_d[22]), .CLK(n1), .RESET_B(n4), .Q(
+        rx_timeout_count_q[22]) );
+  sky130_fd_sc_hd__dfrtp_1 rx_timeout_count_q_reg_23_ ( .D(
+        rx_timeout_count_d[23]), .CLK(n1), .RESET_B(n4), .Q(
+        rx_timeout_count_q[23]) );
+  opentitan_soc_top_uart_core_DW01_inc_J24_0_0 add_x_14 ( .A(
+        rx_timeout_count_q), .SUM({N155, N154, N153, N152, N151, N150, N149, 
+        N148, N147, N146, N145, N144, N143, N142, N141, N140, N139, N138, N137, 
+        N136, N135, N134, N133, SYNOPSYS_UNCONNECTED_1}) );
+  opentitan_soc_top_uart_core_DW01_add_J24_0_0 add_x_5 ( .A({n156, 
+        nco_sum_q[15:0]}), .B({n156, reg2hw[83:68]}), .CI(n156), .SUM({N72, 
+        N71, N70, N69, N68, N67, N66, N65, N64, N63, N62, N61, N60, N59, N58, 
+        N57, N56}) );
+  sky130_fd_sc_hd__dfrtp_1 nco_sum_q_reg_16_ ( .D(n1390), .CLK(n1), .RESET_B(
+        n5), .Q(nco_sum_q[16]) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(n2), .Y(n1) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(clk_i), .Y(n2) );
+  sky130_fd_sc_hd__clkinv_1 U5 ( .A(n3), .Y(n6) );
+  sky130_fd_sc_hd__clkinv_1 U6 ( .A(n3), .Y(n7) );
+  sky130_fd_sc_hd__clkinv_1 U7 ( .A(n3), .Y(n4) );
+  sky130_fd_sc_hd__clkinv_1 U8 ( .A(n3), .Y(n5) );
+  sky130_fd_sc_hd__clkinv_1 U9 ( .A(rst_ni), .Y(n3) );
+  sky130_fd_sc_hd__conb_1 U10 ( .LO(n156) );
+  sky130_fd_sc_hd__and3_1 U11 ( .A(reg2hw[92]), .B(tx_fifo_rvalid), .C(
+        tx_uart_idle), .X(tx_fifo_rready) );
+  sky130_fd_sc_hd__and2_0 U12 ( .A(reg2hw[34]), .B(reg2hw[35]), .X(
+        uart_fifo_txrst) );
+  sky130_fd_sc_hd__and2_0 U13 ( .A(reg2hw[36]), .B(reg2hw[37]), .X(
+        uart_fifo_rxrst) );
+  sky130_fd_sc_hd__nor3b_1 U14 ( .C_N(rx_valid), .A(event_rx_frame_err), .B(
+        event_rx_parity_err), .Y(rx_fifo_wvalid) );
+  sky130_fd_sc_hd__clkinv_1 U15 ( .A(reg2hw[91]), .Y(n1460) );
+  sky130_fd_sc_hd__nor4_1 U16 ( .A(rx_fifo_data[1]), .B(rx_fifo_data[4]), .C(
+        rx_fifo_data[2]), .D(rx_fifo_data[3]), .Y(n9) );
+  sky130_fd_sc_hd__nor2_1 U17 ( .A(rx_fifo_data[5]), .B(rx_fifo_data[6]), .Y(
+        n8) );
+  sky130_fd_sc_hd__nand3_1 U18 ( .A(event_rx_frame_err), .B(n9), .C(n8), .Y(
+        n10) );
+  sky130_fd_sc_hd__nor3_1 U19 ( .A(rx_fifo_data[7]), .B(rx_fifo_data[0]), .C(
+        n10), .Y(n11) );
+  sky130_fd_sc_hd__nor3_1 U20 ( .A(n11), .B(break_st_q), .C(rx_valid), .Y(
+        n1470) );
+  sky130_fd_sc_hd__clkinv_1 U21 ( .A(break_st_q), .Y(n1410) );
+  sky130_fd_sc_hd__nand2_1 U22 ( .A(n11), .B(n1410), .Y(n101) );
+  sky130_fd_sc_hd__clkinv_1 U23 ( .A(n101), .Y(n1450) );
+  sky130_fd_sc_hd__and2_0 U24 ( .A(allzero_cnt_q[1]), .B(allzero_cnt_q[0]), 
+        .X(n94) );
+  sky130_fd_sc_hd__nand2_1 U25 ( .A(n94), .B(allzero_cnt_q[2]), .Y(n93) );
+  sky130_fd_sc_hd__xnor2_1 U26 ( .A(allzero_cnt_q[3]), .B(n93), .Y(n12) );
+  sky130_fd_sc_hd__a22oi_1 U27 ( .A1(allzero_cnt_q[3]), .A2(n1470), .B1(n1450), 
+        .B2(n12), .Y(n98) );
+  sky130_fd_sc_hd__o2bb2ai_1 U28 ( .B1(n1460), .B2(n98), .A1_N(n1460), .A2_N(
+        allzero_cnt_q[3]), .Y(n119) );
+  sky130_fd_sc_hd__clkinv_1 U29 ( .A(allzero_cnt_q[0]), .Y(n1480) );
+  sky130_fd_sc_hd__nor2_1 U30 ( .A(n101), .B(n1480), .Y(n14) );
+  sky130_fd_sc_hd__a21oi_1 U31 ( .A1(allzero_cnt_q[0]), .A2(allzero_cnt_q[1]), 
+        .B1(n101), .Y(n13) );
+  sky130_fd_sc_hd__o22ai_1 U32 ( .A1(n14), .A2(allzero_cnt_q[1]), .B1(n1470), 
+        .B2(n13), .Y(n95) );
+  sky130_fd_sc_hd__o2bb2ai_1 U33 ( .B1(n1460), .B2(n95), .A1_N(n1460), .A2_N(
+        allzero_cnt_q[1]), .Y(n118) );
+  sky130_fd_sc_hd__clkinv_1 U34 ( .A(rx_fifo_rvalid), .Y(hw2reg[43]) );
+  sky130_fd_sc_hd__clkinv_1 U35 ( .A(tx_uart_idle), .Y(n25) );
+  sky130_fd_sc_hd__nor2_1 U36 ( .A(tx_fifo_rvalid), .B(n25), .Y(hw2reg[45]) );
+  sky130_fd_sc_hd__clkinv_1 U37 ( .A(tx_fifo_wready), .Y(hw2reg[48]) );
+  sky130_fd_sc_hd__xnor2_1 U38 ( .A(tx_fifo_data[6]), .B(tx_fifo_data[7]), .Y(
+        n21) );
+  sky130_fd_sc_hd__xnor2_1 U39 ( .A(tx_fifo_data[4]), .B(tx_fifo_data[5]), .Y(
+        n19) );
+  sky130_fd_sc_hd__xnor2_1 U40 ( .A(tx_fifo_data[3]), .B(reg2hw[86]), .Y(n16)
+         );
+  sky130_fd_sc_hd__xor2_1 U41 ( .A(tx_fifo_data[2]), .B(tx_fifo_data[0]), .X(
+        n15) );
+  sky130_fd_sc_hd__xnor2_1 U42 ( .A(n16), .B(n15), .Y(n17) );
+  sky130_fd_sc_hd__xor2_1 U43 ( .A(tx_fifo_data[1]), .B(n17), .X(n18) );
+  sky130_fd_sc_hd__xnor2_1 U44 ( .A(n19), .B(n18), .Y(n20) );
+  sky130_fd_sc_hd__xnor2_1 U45 ( .A(n21), .B(n20), .Y(n_3_net_) );
+  sky130_fd_sc_hd__clkinv_1 U46 ( .A(reg2hw[28]), .Y(n22) );
+  sky130_fd_sc_hd__a211oi_1 U47 ( .A1(hw2reg[23]), .A2(n22), .B1(hw2reg[24]), 
+        .C1(hw2reg[25]), .Y(n23) );
+  sky130_fd_sc_hd__o2bb2ai_1 U48 ( .B1(reg2hw[29]), .B2(n23), .A1_N(n22), 
+        .A2_N(hw2reg[25]), .Y(n24) );
+  sky130_fd_sc_hd__nor3_1 U49 ( .A(hw2reg[27]), .B(hw2reg[26]), .C(n24), .Y(
+        tx_watermark_d) );
+  sky130_fd_sc_hd__nor2b_1 U50 ( .B_N(tx_watermark_d), .A(tx_watermark_prev_q), 
+        .Y(event_tx_watermark) );
+  sky130_fd_sc_hd__nor3_1 U51 ( .A(tx_fifo_rvalid), .B(tx_uart_idle_q), .C(n25), .Y(event_tx_empty) );
+  sky130_fd_sc_hd__clkinv_1 U52 ( .A(tx_fifo_rvalid), .Y(hw2reg[46]) );
+  sky130_fd_sc_hd__clkinv_1 U53 ( .A(hw2reg[16]), .Y(n670) );
+  sky130_fd_sc_hd__clkinv_1 U54 ( .A(hw2reg[19]), .Y(n700) );
+  sky130_fd_sc_hd__clkinv_1 U55 ( .A(hw2reg[18]), .Y(n660) );
+  sky130_fd_sc_hd__clkinv_1 U56 ( .A(hw2reg[20]), .Y(n690) );
+  sky130_fd_sc_hd__o31a_1 U57 ( .A1(n700), .A2(n660), .A3(n690), .B1(
+        reg2hw[33]), .X(n26) );
+  sky130_fd_sc_hd__clkinv_1 U58 ( .A(hw2reg[17]), .Y(n74) );
+  sky130_fd_sc_hd__o22ai_1 U59 ( .A1(reg2hw[33]), .A2(n670), .B1(n26), .B2(n74), .Y(n27) );
+  sky130_fd_sc_hd__o21bai_1 U60 ( .A1(hw2reg[21]), .A2(n27), .B1_N(reg2hw[32]), 
+        .Y(n30) );
+  sky130_fd_sc_hd__a222oi_1 U61 ( .A1(reg2hw[32]), .A2(n700), .B1(reg2hw[32]), 
+        .B2(reg2hw[31]), .C1(n700), .C2(n660), .Y(n28) );
+  sky130_fd_sc_hd__nor3_1 U62 ( .A(hw2reg[21]), .B(hw2reg[20]), .C(n28), .Y(
+        n29) );
+  sky130_fd_sc_hd__o22ai_1 U63 ( .A1(reg2hw[31]), .A2(n30), .B1(reg2hw[33]), 
+        .B2(n29), .Y(rx_watermark_d) );
+  sky130_fd_sc_hd__nor2b_1 U64 ( .B_N(rx_watermark_d), .A(rx_watermark_prev_q), 
+        .Y(event_rx_watermark) );
+  sky130_fd_sc_hd__clkinv_1 U65 ( .A(rx_fifo_wready), .Y(hw2reg[47]) );
+  sky130_fd_sc_hd__nor2b_1 U66 ( .B_N(rx_fifo_wvalid), .A(rx_fifo_wready), .Y(
+        event_rx_overflow) );
+  sky130_fd_sc_hd__nor2_1 U67 ( .A(tx_out), .B(reg2hw[89]), .Y(n32) );
+  sky130_fd_sc_hd__nand2_1 U68 ( .A(reg2hw[26]), .B(reg2hw[25]), .Y(n31) );
+  sky130_fd_sc_hd__o21ai_1 U69 ( .A1(n32), .A2(reg2hw[26]), .B1(n31), .Y(N77)
+         );
+  sky130_fd_sc_hd__xor2_1 U70 ( .A(reg2hw[24]), .B(rx_timeout_count_q[23]), 
+        .X(n640) );
+  sky130_fd_sc_hd__xnor2_1 U71 ( .A(reg2hw[17]), .B(rx_timeout_count_q[16]), 
+        .Y(n36) );
+  sky130_fd_sc_hd__xnor2_1 U72 ( .A(reg2hw[21]), .B(rx_timeout_count_q[20]), 
+        .Y(n35) );
+  sky130_fd_sc_hd__xnor2_1 U73 ( .A(reg2hw[19]), .B(rx_timeout_count_q[18]), 
+        .Y(n34) );
+  sky130_fd_sc_hd__xnor2_1 U74 ( .A(reg2hw[20]), .B(rx_timeout_count_q[19]), 
+        .Y(n33) );
+  sky130_fd_sc_hd__nand4_1 U75 ( .A(n36), .B(n35), .C(n34), .D(n33), .Y(n630)
+         );
+  sky130_fd_sc_hd__xnor2_1 U76 ( .A(reg2hw[22]), .B(rx_timeout_count_q[21]), 
+        .Y(n39) );
+  sky130_fd_sc_hd__xnor2_1 U77 ( .A(reg2hw[23]), .B(rx_timeout_count_q[22]), 
+        .Y(n38) );
+  sky130_fd_sc_hd__xnor2_1 U78 ( .A(reg2hw[3]), .B(rx_timeout_count_q[2]), .Y(
+        n37) );
+  sky130_fd_sc_hd__nand4_1 U79 ( .A(reg2hw[0]), .B(n39), .C(n38), .D(n37), .Y(
+        n620) );
+  sky130_fd_sc_hd__clkinv_1 U80 ( .A(rx_timeout_count_q[0]), .Y(n81) );
+  sky130_fd_sc_hd__clkinv_1 U81 ( .A(rx_timeout_count_q[14]), .Y(n41) );
+  sky130_fd_sc_hd__o22ai_1 U82 ( .A1(n81), .A2(reg2hw[1]), .B1(n41), .B2(
+        reg2hw[15]), .Y(n40) );
+  sky130_fd_sc_hd__a221oi_1 U83 ( .A1(n81), .A2(reg2hw[1]), .B1(reg2hw[15]), 
+        .B2(n41), .C1(n40), .Y(n600) );
+  sky130_fd_sc_hd__xnor2_1 U84 ( .A(reg2hw[9]), .B(rx_timeout_count_q[8]), .Y(
+        n45) );
+  sky130_fd_sc_hd__xnor2_1 U85 ( .A(reg2hw[11]), .B(rx_timeout_count_q[10]), 
+        .Y(n44) );
+  sky130_fd_sc_hd__xnor2_1 U86 ( .A(reg2hw[12]), .B(rx_timeout_count_q[11]), 
+        .Y(n43) );
+  sky130_fd_sc_hd__xnor2_1 U87 ( .A(reg2hw[16]), .B(rx_timeout_count_q[15]), 
+        .Y(n42) );
+  sky130_fd_sc_hd__nand4_1 U88 ( .A(n45), .B(n44), .C(n43), .D(n42), .Y(n48)
+         );
+  sky130_fd_sc_hd__xor2_1 U89 ( .A(reg2hw[10]), .B(rx_timeout_count_q[9]), .X(
+        n47) );
+  sky130_fd_sc_hd__xor2_1 U90 ( .A(rx_timeout_count_q[6]), .B(reg2hw[7]), .X(
+        n46) );
+  sky130_fd_sc_hd__nor3_1 U91 ( .A(n48), .B(n47), .C(n46), .Y(n590) );
+  sky130_fd_sc_hd__xor2_1 U92 ( .A(reg2hw[6]), .B(rx_timeout_count_q[5]), .X(
+        n52) );
+  sky130_fd_sc_hd__xor2_1 U93 ( .A(reg2hw[8]), .B(rx_timeout_count_q[7]), .X(
+        n51) );
+  sky130_fd_sc_hd__xor2_1 U94 ( .A(reg2hw[18]), .B(rx_timeout_count_q[17]), 
+        .X(n50) );
+  sky130_fd_sc_hd__xor2_1 U95 ( .A(reg2hw[14]), .B(rx_timeout_count_q[13]), 
+        .X(n49) );
+  sky130_fd_sc_hd__nor4_1 U96 ( .A(n52), .B(n51), .C(n50), .D(n49), .Y(n580)
+         );
+  sky130_fd_sc_hd__xor2_1 U97 ( .A(reg2hw[5]), .B(rx_timeout_count_q[4]), .X(
+        n560) );
+  sky130_fd_sc_hd__xor2_1 U98 ( .A(reg2hw[2]), .B(rx_timeout_count_q[1]), .X(
+        n55) );
+  sky130_fd_sc_hd__xor2_1 U99 ( .A(reg2hw[4]), .B(rx_timeout_count_q[3]), .X(
+        n54) );
+  sky130_fd_sc_hd__xor2_1 U100 ( .A(reg2hw[13]), .B(rx_timeout_count_q[12]), 
+        .X(n53) );
+  sky130_fd_sc_hd__nor4_1 U101 ( .A(n560), .B(n55), .C(n54), .D(n53), .Y(n570)
+         );
+  sky130_fd_sc_hd__nand4_1 U102 ( .A(n600), .B(n590), .C(n580), .D(n570), .Y(
+        n610) );
+  sky130_fd_sc_hd__nor4_1 U103 ( .A(n640), .B(n630), .C(n620), .D(n610), .Y(
+        event_rx_timeout) );
+  sky130_fd_sc_hd__o22ai_1 U104 ( .A1(n670), .A2(rx_fifo_depth_prev_q[0]), 
+        .B1(n660), .B2(rx_fifo_depth_prev_q[2]), .Y(n650) );
+  sky130_fd_sc_hd__a221o_1 U105 ( .A1(n670), .A2(rx_fifo_depth_prev_q[0]), 
+        .B1(rx_fifo_depth_prev_q[2]), .B2(n660), .C1(n650), .X(n79) );
+  sky130_fd_sc_hd__o22ai_1 U106 ( .A1(n690), .A2(rx_fifo_depth_prev_q[4]), 
+        .B1(n700), .B2(rx_fifo_depth_prev_q[3]), .Y(n680) );
+  sky130_fd_sc_hd__a221o_1 U107 ( .A1(n690), .A2(rx_fifo_depth_prev_q[4]), 
+        .B1(rx_fifo_depth_prev_q[3]), .B2(n700), .C1(n680), .X(n78) );
+  sky130_fd_sc_hd__nor4_1 U108 ( .A(hw2reg[18]), .B(hw2reg[17]), .C(hw2reg[16]), .D(hw2reg[20]), .Y(n710) );
+  sky130_fd_sc_hd__a21oi_1 U109 ( .A1(n710), .A2(n700), .B1(
+        rx_fifo_depth_prev_q[5]), .Y(n76) );
+  sky130_fd_sc_hd__clkinv_1 U110 ( .A(hw2reg[21]), .Y(n720) );
+  sky130_fd_sc_hd__o22ai_1 U111 ( .A1(rx_fifo_depth_prev_q[1]), .A2(n74), .B1(
+        n720), .B2(rx_fifo_depth_prev_q[5]), .Y(n73) );
+  sky130_fd_sc_hd__a21oi_1 U112 ( .A1(rx_fifo_depth_prev_q[1]), .A2(n74), .B1(
+        n73), .Y(n75) );
+  sky130_fd_sc_hd__o211ai_1 U113 ( .A1(hw2reg[21]), .A2(n76), .B1(reg2hw[0]), 
+        .C1(n75), .Y(n770) );
+  sky130_fd_sc_hd__nor4_1 U114 ( .A(event_rx_timeout), .B(n79), .C(n78), .D(
+        n770), .Y(n80) );
+  sky130_fd_sc_hd__nand2_1 U115 ( .A(rx_tick_baud), .B(n80), .Y(n83) );
+  sky130_fd_sc_hd__nand2b_1 U116 ( .A_N(rx_tick_baud), .B(n80), .Y(n82) );
+  sky130_fd_sc_hd__o22ai_1 U117 ( .A1(rx_timeout_count_q[0]), .A2(n83), .B1(
+        n81), .B2(n82), .Y(rx_timeout_count_d[0]) );
+  sky130_fd_sc_hd__clkinv_1 U118 ( .A(n82), .Y(n85) );
+  sky130_fd_sc_hd__clkinv_1 U119 ( .A(n83), .Y(n84) );
+  sky130_fd_sc_hd__a22o_1 U120 ( .A1(rx_timeout_count_q[1]), .A2(n85), .B1(n84), .B2(N133), .X(rx_timeout_count_d[1]) );
+  sky130_fd_sc_hd__a22o_1 U121 ( .A1(rx_timeout_count_q[2]), .A2(n85), .B1(n84), .B2(N134), .X(rx_timeout_count_d[2]) );
+  sky130_fd_sc_hd__a22o_1 U122 ( .A1(rx_timeout_count_q[3]), .A2(n85), .B1(n84), .B2(N135), .X(rx_timeout_count_d[3]) );
+  sky130_fd_sc_hd__a22o_1 U123 ( .A1(rx_timeout_count_q[4]), .A2(n85), .B1(n84), .B2(N136), .X(rx_timeout_count_d[4]) );
+  sky130_fd_sc_hd__a22o_1 U124 ( .A1(rx_timeout_count_q[5]), .A2(n85), .B1(n84), .B2(N137), .X(rx_timeout_count_d[5]) );
+  sky130_fd_sc_hd__a22o_1 U125 ( .A1(rx_timeout_count_q[6]), .A2(n85), .B1(n84), .B2(N138), .X(rx_timeout_count_d[6]) );
+  sky130_fd_sc_hd__a22o_1 U126 ( .A1(rx_timeout_count_q[7]), .A2(n85), .B1(n84), .B2(N139), .X(rx_timeout_count_d[7]) );
+  sky130_fd_sc_hd__a22o_1 U127 ( .A1(rx_timeout_count_q[8]), .A2(n85), .B1(n84), .B2(N140), .X(rx_timeout_count_d[8]) );
+  sky130_fd_sc_hd__a22o_1 U128 ( .A1(rx_timeout_count_q[9]), .A2(n85), .B1(n84), .B2(N141), .X(rx_timeout_count_d[9]) );
+  sky130_fd_sc_hd__a22o_1 U129 ( .A1(rx_timeout_count_q[10]), .A2(n85), .B1(
+        n84), .B2(N142), .X(rx_timeout_count_d[10]) );
+  sky130_fd_sc_hd__a22o_1 U130 ( .A1(rx_timeout_count_q[11]), .A2(n85), .B1(
+        n84), .B2(N143), .X(rx_timeout_count_d[11]) );
+  sky130_fd_sc_hd__a22o_1 U131 ( .A1(rx_timeout_count_q[12]), .A2(n85), .B1(
+        n84), .B2(N144), .X(rx_timeout_count_d[12]) );
+  sky130_fd_sc_hd__a22o_1 U132 ( .A1(rx_timeout_count_q[13]), .A2(n85), .B1(
+        n84), .B2(N145), .X(rx_timeout_count_d[13]) );
+  sky130_fd_sc_hd__a22o_1 U133 ( .A1(rx_timeout_count_q[14]), .A2(n85), .B1(
+        n84), .B2(N146), .X(rx_timeout_count_d[14]) );
+  sky130_fd_sc_hd__a22o_1 U134 ( .A1(rx_timeout_count_q[15]), .A2(n85), .B1(
+        n84), .B2(N147), .X(rx_timeout_count_d[15]) );
+  sky130_fd_sc_hd__a22o_1 U135 ( .A1(rx_timeout_count_q[16]), .A2(n85), .B1(
+        n84), .B2(N148), .X(rx_timeout_count_d[16]) );
+  sky130_fd_sc_hd__a22o_1 U136 ( .A1(rx_timeout_count_q[17]), .A2(n85), .B1(
+        n84), .B2(N149), .X(rx_timeout_count_d[17]) );
+  sky130_fd_sc_hd__a22o_1 U137 ( .A1(rx_timeout_count_q[18]), .A2(n85), .B1(
+        n84), .B2(N150), .X(rx_timeout_count_d[18]) );
+  sky130_fd_sc_hd__a22o_1 U138 ( .A1(rx_timeout_count_q[19]), .A2(n85), .B1(
+        n84), .B2(N151), .X(rx_timeout_count_d[19]) );
+  sky130_fd_sc_hd__a22o_1 U139 ( .A1(rx_timeout_count_q[20]), .A2(n85), .B1(
+        n84), .B2(N152), .X(rx_timeout_count_d[20]) );
+  sky130_fd_sc_hd__a22o_1 U140 ( .A1(rx_timeout_count_q[21]), .A2(n85), .B1(
+        n84), .B2(N153), .X(rx_timeout_count_d[21]) );
+  sky130_fd_sc_hd__a22o_1 U141 ( .A1(rx_timeout_count_q[22]), .A2(n85), .B1(
+        n84), .B2(N154), .X(rx_timeout_count_d[22]) );
+  sky130_fd_sc_hd__a22o_1 U142 ( .A1(rx_timeout_count_q[23]), .A2(n85), .B1(
+        n84), .B2(N155), .X(rx_timeout_count_d[23]) );
+  sky130_fd_sc_hd__a31oi_1 U145 ( .A1(reg2hw[90]), .A2(rx_sync_q1), .A3(
+        rx_sync_q2), .B1(reg2hw[88]), .Y(n91) );
+  sky130_fd_sc_hd__clkinv_1 U146 ( .A(reg2hw[89]), .Y(n90) );
+  sky130_fd_sc_hd__clkinv_1 U147 ( .A(reg2hw[90]), .Y(n87) );
+  sky130_fd_sc_hd__o31ai_1 U148 ( .A1(rx_sync_q1), .A2(rx_sync_q2), .A3(n87), 
+        .B1(rx_sync), .Y(n89) );
+  sky130_fd_sc_hd__clkinv_1 U149 ( .A(tx_out), .Y(n88) );
+  sky130_fd_sc_hd__a32oi_1 U150 ( .A1(n91), .A2(n90), .A3(n89), .B1(reg2hw[89]), .B2(n88), .Y(rx_in) );
+  sky130_fd_sc_hd__nand2b_1 U151 ( .A_N(n93), .B(allzero_cnt_q[3]), .Y(n92) );
+  sky130_fd_sc_hd__xor2_1 U152 ( .A(allzero_cnt_q[4]), .B(n92), .X(n1490) );
+  sky130_fd_sc_hd__o21ai_1 U153 ( .A1(n94), .A2(allzero_cnt_q[2]), .B1(n93), 
+        .Y(n1520) );
+  sky130_fd_sc_hd__o22ai_1 U154 ( .A1(reg2hw[84]), .A2(n95), .B1(n101), .B2(
+        n1520), .Y(n96) );
+  sky130_fd_sc_hd__a21oi_1 U155 ( .A1(allzero_cnt_q[2]), .A2(n1470), .B1(n96), 
+        .Y(n97) );
+  sky130_fd_sc_hd__a222oi_1 U156 ( .A1(reg2hw[85]), .A2(n98), .B1(reg2hw[85]), 
+        .B2(reg2hw[84]), .C1(n98), .C2(n97), .Y(n99) );
+  sky130_fd_sc_hd__a21oi_1 U157 ( .A1(allzero_cnt_q[4]), .A2(n1470), .B1(n99), 
+        .Y(n100) );
+  sky130_fd_sc_hd__o21ai_1 U158 ( .A1(n101), .A2(n1490), .B1(n100), .Y(
+        event_rx_break_err) );
+  sky130_fd_sc_hd__o21bai_1 U159 ( .A1(rx_in), .A2(n1410), .B1_N(
+        event_rx_break_err), .Y(n1400) );
+  sky130_fd_sc_hd__clkinv_1 U160 ( .A(nco_sum_q[16]), .Y(n1550) );
+  sky130_fd_sc_hd__nor2_1 U161 ( .A(reg2hw[91]), .B(reg2hw[92]), .Y(n1440) );
+  sky130_fd_sc_hd__clkinv_1 U162 ( .A(n1440), .Y(n1430) );
+  sky130_fd_sc_hd__nand2_1 U163 ( .A(n1430), .B(N72), .Y(n1420) );
+  sky130_fd_sc_hd__o21ai_1 U164 ( .A1(n1550), .A2(n1430), .B1(n1420), .Y(n1390) );
+  sky130_fd_sc_hd__a22o_1 U165 ( .A1(n1440), .A2(nco_sum_q[15]), .B1(n1430), 
+        .B2(N71), .X(n1380) );
+  sky130_fd_sc_hd__a22o_1 U166 ( .A1(n1440), .A2(nco_sum_q[14]), .B1(n1430), 
+        .B2(N70), .X(n1370) );
+  sky130_fd_sc_hd__a22o_1 U167 ( .A1(n1440), .A2(nco_sum_q[13]), .B1(n1430), 
+        .B2(N69), .X(n1360) );
+  sky130_fd_sc_hd__a22o_1 U168 ( .A1(n1440), .A2(nco_sum_q[12]), .B1(n1430), 
+        .B2(N68), .X(n1350) );
+  sky130_fd_sc_hd__a22o_1 U169 ( .A1(n1440), .A2(nco_sum_q[11]), .B1(n1430), 
+        .B2(N67), .X(n1340) );
+  sky130_fd_sc_hd__a22o_1 U170 ( .A1(n1440), .A2(nco_sum_q[10]), .B1(n1430), 
+        .B2(N66), .X(n1330) );
+  sky130_fd_sc_hd__a22o_1 U171 ( .A1(n1440), .A2(nco_sum_q[9]), .B1(n1430), 
+        .B2(N65), .X(n132) );
+  sky130_fd_sc_hd__a22o_1 U172 ( .A1(n1440), .A2(nco_sum_q[8]), .B1(n1430), 
+        .B2(N64), .X(n131) );
+  sky130_fd_sc_hd__a22o_1 U173 ( .A1(n1440), .A2(nco_sum_q[7]), .B1(n1430), 
+        .B2(N63), .X(n130) );
+  sky130_fd_sc_hd__a22o_1 U174 ( .A1(n1440), .A2(nco_sum_q[6]), .B1(n1430), 
+        .B2(N62), .X(n129) );
+  sky130_fd_sc_hd__a22o_1 U175 ( .A1(n1440), .A2(nco_sum_q[5]), .B1(n1430), 
+        .B2(N61), .X(n128) );
+  sky130_fd_sc_hd__a22o_1 U176 ( .A1(n1440), .A2(nco_sum_q[4]), .B1(n1430), 
+        .B2(N60), .X(n127) );
+  sky130_fd_sc_hd__a22o_1 U177 ( .A1(n1440), .A2(nco_sum_q[3]), .B1(n1430), 
+        .B2(N59), .X(n126) );
+  sky130_fd_sc_hd__a22o_1 U178 ( .A1(n1440), .A2(nco_sum_q[2]), .B1(n1430), 
+        .B2(N58), .X(n125) );
+  sky130_fd_sc_hd__a22o_1 U179 ( .A1(n1440), .A2(nco_sum_q[1]), .B1(n1430), 
+        .B2(N57), .X(n124) );
+  sky130_fd_sc_hd__a22o_1 U180 ( .A1(n1440), .A2(nco_sum_q[0]), .B1(n1430), 
+        .B2(N56), .X(n123) );
+  sky130_fd_sc_hd__nand2_1 U181 ( .A(n1450), .B(reg2hw[91]), .Y(n1510) );
+  sky130_fd_sc_hd__nor2_1 U182 ( .A(n1470), .B(n1460), .Y(n1540) );
+  sky130_fd_sc_hd__o22ai_1 U183 ( .A1(allzero_cnt_q[0]), .A2(n1510), .B1(n1480), .B2(n1540), .Y(n122) );
+  sky130_fd_sc_hd__clkinv_1 U184 ( .A(allzero_cnt_q[4]), .Y(n1500) );
+  sky130_fd_sc_hd__o22ai_1 U185 ( .A1(n1540), .A2(n1500), .B1(n1490), .B2(
+        n1510), .Y(n121) );
+  sky130_fd_sc_hd__clkinv_1 U186 ( .A(allzero_cnt_q[2]), .Y(n1530) );
+  sky130_fd_sc_hd__o22ai_1 U187 ( .A1(n1540), .A2(n1530), .B1(n1520), .B2(
+        n1510), .Y(n120) );
+  sky130_fd_sc_hd__a22o_1 U188 ( .A1(nco_sum_q[16]), .A2(rx_in), .B1(n1550), 
+        .B2(hw2reg[0]), .X(n117) );
+  sky130_fd_sc_hd__a22o_1 U189 ( .A1(nco_sum_q[16]), .A2(hw2reg[0]), .B1(n1550), .B2(hw2reg[1]), .X(n116) );
+  sky130_fd_sc_hd__a22o_1 U190 ( .A1(nco_sum_q[16]), .A2(hw2reg[1]), .B1(n1550), .B2(hw2reg[2]), .X(n115) );
+  sky130_fd_sc_hd__a22o_1 U191 ( .A1(nco_sum_q[16]), .A2(hw2reg[2]), .B1(n1550), .B2(hw2reg[3]), .X(n114) );
+  sky130_fd_sc_hd__a22o_1 U192 ( .A1(nco_sum_q[16]), .A2(hw2reg[3]), .B1(n1550), .B2(hw2reg[4]), .X(n113) );
+  sky130_fd_sc_hd__a22o_1 U193 ( .A1(nco_sum_q[16]), .A2(hw2reg[4]), .B1(n1550), .B2(hw2reg[5]), .X(n112) );
+  sky130_fd_sc_hd__a22o_1 U194 ( .A1(nco_sum_q[16]), .A2(hw2reg[5]), .B1(n1550), .B2(hw2reg[6]), .X(n111) );
+  sky130_fd_sc_hd__a22o_1 U195 ( .A1(nco_sum_q[16]), .A2(hw2reg[6]), .B1(n1550), .B2(hw2reg[7]), .X(n110) );
+  sky130_fd_sc_hd__a22o_1 U196 ( .A1(nco_sum_q[16]), .A2(hw2reg[7]), .B1(n1550), .B2(hw2reg[8]), .X(n109) );
+  sky130_fd_sc_hd__a22o_1 U197 ( .A1(nco_sum_q[16]), .A2(hw2reg[8]), .B1(n1550), .B2(hw2reg[9]), .X(n108) );
+  sky130_fd_sc_hd__a22o_1 U198 ( .A1(nco_sum_q[16]), .A2(hw2reg[9]), .B1(n1550), .B2(hw2reg[10]), .X(n107) );
+  sky130_fd_sc_hd__a22o_1 U199 ( .A1(nco_sum_q[16]), .A2(hw2reg[10]), .B1(
+        n1550), .B2(hw2reg[11]), .X(n106) );
+  sky130_fd_sc_hd__a22o_1 U200 ( .A1(nco_sum_q[16]), .A2(hw2reg[11]), .B1(
+        n1550), .B2(hw2reg[12]), .X(n105) );
+  sky130_fd_sc_hd__a22o_1 U201 ( .A1(nco_sum_q[16]), .A2(hw2reg[12]), .B1(
+        n1550), .B2(hw2reg[13]), .X(n104) );
+  sky130_fd_sc_hd__a22o_1 U202 ( .A1(nco_sum_q[16]), .A2(hw2reg[13]), .B1(
+        n1550), .B2(hw2reg[14]), .X(n103) );
+  sky130_fd_sc_hd__a22o_1 U203 ( .A1(nco_sum_q[16]), .A2(hw2reg[14]), .B1(
+        n1550), .B2(hw2reg[15]), .X(n102) );
+  sky130_fd_sc_hd__mux2_1 U143 ( .A0(tx_out_q), .A1(rx), .S(reg2hw[88]), .X(tx) );
+endmodule
+
+
+module opentitan_soc_top_uart_0 ( clk_i, rst_ni, tl_i, tl_o, cio_rx_i, 
+        cio_tx_o, cio_tx_en_o, intr_tx_watermark_o, intr_rx_watermark_o, 
+        intr_tx_empty_o, intr_rx_overflow_o, intr_rx_frame_err_o, 
+        intr_rx_break_err_o, intr_rx_timeout_o, intr_rx_parity_err_o );
+  input [85:0] tl_i;
+  output [51:0] tl_o;
+  input clk_i, rst_ni, cio_rx_i;
+  output cio_tx_o, cio_tx_en_o, intr_tx_watermark_o, intr_rx_watermark_o,
+         intr_tx_empty_o, intr_rx_overflow_o, intr_rx_frame_err_o,
+         intr_rx_break_err_o, intr_rx_timeout_o, intr_rx_parity_err_o;
+  wire   n14, n15, n16, n17, SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45;
+  wire   [102:0] reg2hw;
+  wire   [57:0] hw2reg;
+
+  opentitan_soc_top_uart_reg_top_0 u_reg ( .clk_i(n16), .rst_ni(rst_ni), 
+        .tl_i({tl_i[85:84], n14, tl_i[82], n14, n14, n14, n15, n14, n14, n14, 
+        n14, n14, n14, n14, n14, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, tl_i[42:39], n14, n14, 
+        tl_i[36:1], n15}), .tl_o({tl_o[51], SYNOPSYS_UNCONNECTED_1, 
+        SYNOPSYS_UNCONNECTED_2, tl_o[48], SYNOPSYS_UNCONNECTED_3, 
+        SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, 
+        SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, tl_o[33:0]}), .reg2hw({reg2hw[102:46], 
+        SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18, 
+        SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20, 
+        SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22, 
+        SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24, 
+        SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32, 
+        SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34, 
+        SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36, reg2hw[45:29], 
+        SYNOPSYS_UNCONNECTED_37, reg2hw[28:27], SYNOPSYS_UNCONNECTED_38, 
+        reg2hw[26:0]}), .hw2reg({hw2reg[57:28], n14, n14, n14, n14, n14, n14, 
+        n14, hw2reg[27:0]}), .devmode_i(n15) );
+  opentitan_soc_top_uart_core_0 uart_core ( .clk_i(n16), .rst_ni(rst_ni), 
+        .reg2hw({reg2hw[102:46], n14, 1'b0, n14, 1'b0, n14, 1'b0, n14, 1'b0, 
+        n14, 1'b0, n14, 1'b0, n14, n14, n14, n14, n14, n14, n14, n14, 
+        reg2hw[45:29], 1'b0, reg2hw[28:27], 1'b0, reg2hw[26:0]}), .hw2reg({
+        hw2reg[57:28], SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40, 
+        SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42, 
+        SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44, 
+        SYNOPSYS_UNCONNECTED_45, hw2reg[27:0]}), .rx(cio_rx_i), .tx(cio_tx_o), 
+        .intr_tx_watermark_o(intr_tx_watermark_o), .intr_rx_watermark_o(
+        intr_rx_watermark_o), .intr_tx_empty_o(intr_tx_empty_o), 
+        .intr_rx_overflow_o(intr_rx_overflow_o), .intr_rx_frame_err_o(
+        intr_rx_frame_err_o), .intr_rx_break_err_o(intr_rx_break_err_o), 
+        .intr_rx_timeout_o(intr_rx_timeout_o), .intr_rx_parity_err_o(
+        intr_rx_parity_err_o) );
+  sky130_fd_sc_hd__conb_1 U2 ( .LO(n14), .HI(n15) );
+  sky130_fd_sc_hd__clkinv_1 U3 ( .A(n17), .Y(n16) );
+  sky130_fd_sc_hd__clkinv_1 U4 ( .A(clk_i), .Y(n17) );
+endmodule
+
+
+module opentitan_soc_top_tlul_adapter_tempsensor_0 ( clk_i, rst_ni, tl_i, tl_o, 
+        re_o, we_o, addr_o, wdata_o, be_o, rdata_i, error_i, CLK_REF, CLK_OUT
+ );
+  input [85:0] tl_i;
+  output [51:0] tl_o;
+  output [11:0] addr_o;
+  output [31:0] wdata_o;
+  output [3:0] be_o;
+  input [31:0] rdata_i;
+  input clk_i, rst_ni, error_i, CLK_REF;
+  output re_o, we_o, CLK_OUT;
+  wire   RESET_REGn, SEL_CONV_TIME_REG_3_, SYNOPSYS_UNCONNECTED_1,
+         SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3,
+         SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5,
+         SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_7,
+         SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9,
+         SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11,
+         SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13,
+         SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15,
+         SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17,
+         SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19,
+         SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21,
+         SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23,
+         SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25,
+         SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27,
+         SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29,
+         SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31,
+         SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33,
+         SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35,
+         SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37,
+         SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39,
+         SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41,
+         SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43,
+         SYNOPSYS_UNCONNECTED_44, SYNOPSYS_UNCONNECTED_45,
+         SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_47,
+         SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49,
+         SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51,
+         SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53,
+         SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55,
+         SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57,
+         SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59,
+         SYNOPSYS_UNCONNECTED_60, SYNOPSYS_UNCONNECTED_61,
+         SYNOPSYS_UNCONNECTED_62, SYNOPSYS_UNCONNECTED_63,
+         SYNOPSYS_UNCONNECTED_64, SYNOPSYS_UNCONNECTED_65,
+         SYNOPSYS_UNCONNECTED_66, SYNOPSYS_UNCONNECTED_67,
+         SYNOPSYS_UNCONNECTED_68, SYNOPSYS_UNCONNECTED_69,
+         SYNOPSYS_UNCONNECTED_70, SYNOPSYS_UNCONNECTED_71,
+         SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_73,
+         SYNOPSYS_UNCONNECTED_74, SYNOPSYS_UNCONNECTED_75,
+         SYNOPSYS_UNCONNECTED_76, SYNOPSYS_UNCONNECTED_77,
+         SYNOPSYS_UNCONNECTED_78, SYNOPSYS_UNCONNECTED_79,
+         SYNOPSYS_UNCONNECTED_80, SYNOPSYS_UNCONNECTED_81,
+         SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_83,
+         SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_85,
+         SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_87,
+         SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_89,
+         SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_91,
+         SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_93,
+         SYNOPSYS_UNCONNECTED_94, SYNOPSYS_UNCONNECTED_95,
+         SYNOPSYS_UNCONNECTED_96;
+
+  temp_hd_inv6_header9 u_tempsenseInst1 ( .SEL_CONV_TIME({SEL_CONV_TIME_REG_3_, 
+        SEL_CONV_TIME_REG_3_, SEL_CONV_TIME_REG_3_, SEL_CONV_TIME_REG_3_}), 
+        .DOUT({SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_10, 
+        SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_7, 
+        SYNOPSYS_UNCONNECTED_6, SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_4, 
+        SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_2, 
+        SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_23, 
+        SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_21, 
+        SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_19, 
+        SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_1}), .CLK_REF(CLK_REF), 
+        .RESET_COUNTERn(RESET_REGn), .en(SEL_CONV_TIME_REG_3_), .lc_out(
+        CLK_OUT) );
+  temp_hd_inv8_header3 u_tempsenseInst2 ( .SEL_CONV_TIME({SEL_CONV_TIME_REG_3_, 
+        SEL_CONV_TIME_REG_3_, SEL_CONV_TIME_REG_3_, SEL_CONV_TIME_REG_3_}), 
+        .DOUT({SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_39, 
+        SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_37, 
+        SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_34, 
+        SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_32, 
+        SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_30, 
+        SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_28, 
+        SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_26, 
+        SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_47, 
+        SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_45, 
+        SYNOPSYS_UNCONNECTED_44, SYNOPSYS_UNCONNECTED_43, 
+        SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_41, 
+        SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_25}), .CLK_REF(CLK_REF), 
+        .RESET_COUNTERn(RESET_REGn), .en(SEL_CONV_TIME_REG_3_) );
+  temp_hd_inv8_header5 u_tempsenseInst3 ( .SEL_CONV_TIME({SEL_CONV_TIME_REG_3_, 
+        SEL_CONV_TIME_REG_3_, SEL_CONV_TIME_REG_3_, SEL_CONV_TIME_REG_3_}), 
+        .DOUT({SYNOPSYS_UNCONNECTED_64, SYNOPSYS_UNCONNECTED_63, 
+        SYNOPSYS_UNCONNECTED_62, SYNOPSYS_UNCONNECTED_61, 
+        SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_58, 
+        SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_56, 
+        SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_54, 
+        SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_52, 
+        SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_50, 
+        SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_71, 
+        SYNOPSYS_UNCONNECTED_70, SYNOPSYS_UNCONNECTED_69, 
+        SYNOPSYS_UNCONNECTED_68, SYNOPSYS_UNCONNECTED_67, 
+        SYNOPSYS_UNCONNECTED_66, SYNOPSYS_UNCONNECTED_65, 
+        SYNOPSYS_UNCONNECTED_60, SYNOPSYS_UNCONNECTED_49}), .CLK_REF(CLK_REF), 
+        .RESET_COUNTERn(RESET_REGn), .en(SEL_CONV_TIME_REG_3_) );
+  temp_hd_inv8_header7 u_tempsenseInst4 ( .SEL_CONV_TIME({SEL_CONV_TIME_REG_3_, 
+        SEL_CONV_TIME_REG_3_, SEL_CONV_TIME_REG_3_, SEL_CONV_TIME_REG_3_}), 
+        .DOUT({SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_87, 
+        SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_85, 
+        SYNOPSYS_UNCONNECTED_83, SYNOPSYS_UNCONNECTED_82, 
+        SYNOPSYS_UNCONNECTED_81, SYNOPSYS_UNCONNECTED_80, 
+        SYNOPSYS_UNCONNECTED_79, SYNOPSYS_UNCONNECTED_78, 
+        SYNOPSYS_UNCONNECTED_77, SYNOPSYS_UNCONNECTED_76, 
+        SYNOPSYS_UNCONNECTED_75, SYNOPSYS_UNCONNECTED_74, 
+        SYNOPSYS_UNCONNECTED_96, SYNOPSYS_UNCONNECTED_95, 
+        SYNOPSYS_UNCONNECTED_94, SYNOPSYS_UNCONNECTED_93, 
+        SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_91, 
+        SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_89, 
+        SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_73}), .CLK_REF(CLK_REF), 
+        .RESET_COUNTERn(RESET_REGn), .en(SEL_CONV_TIME_REG_3_) );
+  sky130_fd_sc_hd__conb_1 U3 ( .LO(SEL_CONV_TIME_REG_3_), .HI(RESET_REGn) );
+endmodule
+
+
+module opentitan_soc_top ( clk_i, rst_ni, en_i, sel, spi_ss, spi_mosi, 
+        uart_rx_inst, uart_rx, uart_tx, uart_txen, tempsense_clkref, 
+        tempsense_clkout, gpio_o, 
+`ifdef USE_POWER_PINS
+    inout vdda1,        // User area 1 3.3V supply
+    inout vdda2,        // User area 2 3.3V supply
+    inout vssa1,        // User area 1 analog ground
+    inout vssa2,        // User area 2 analog ground
+    inout vccd1,        // User area 1 1.8V supply
+    inout vccd2,        // User area 2 1.8v supply
+    inout vssd1,        // User area 1 digital ground
+    inout vssd2,        // User area 2 digital ground
+`endif
+);
+  output [7:0] gpio_o;
+  input clk_i, rst_ni, en_i, sel, spi_ss, spi_mosi, uart_rx_inst, uart_rx,
+         tempsense_clkref;
+  output uart_tx, uart_txen, tempsense_clkout;
+  wire   system_rst_ni, intr_req, req_i, n_9_net__11_, instr_valid,
+         iccm_cntrl_reset, n_13_net__31_, n_13_net__30_, n_13_net__29_,
+         n_13_net__28_, n_13_net__27_, n_13_net__26_, n_13_net__25_,
+         n_13_net__24_, n_13_net__23_, n_13_net__22_, n_13_net__21_,
+         n_13_net__20_, n_13_net__19_, n_13_net__18_, n_13_net__17_,
+         n_13_net__16_, n_13_net__15_, n_13_net__14_, n_13_net__13_,
+         n_13_net__12_, n_13_net__11_, n_13_net__10_, n_13_net__9_,
+         n_13_net__8_, n_13_net__7_, n_13_net__6_, n_13_net__5_, n_13_net__4_,
+         n_13_net__3_, n_13_net__2_, n_13_net__1_, n_13_net__0_, n_15_net_,
+         rx_dv_i, rx_spi_valid_i, n37, n38, n39, n40, n41, n42, n43, n44, n45,
+         n46, n47, n48, n49, n50, n51, n52, n53, n54, n55, n56, n57, n59, n60,
+         n61, n62, n63, n64, n65, n66, n67, n68, n70, n71, n72, n73, n74,
+         SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2,
+         SYNOPSYS_UNCONNECTED_3, SYNOPSYS_UNCONNECTED_4,
+         SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6,
+         SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8,
+         SYNOPSYS_UNCONNECTED_9, SYNOPSYS_UNCONNECTED_10,
+         SYNOPSYS_UNCONNECTED_11, SYNOPSYS_UNCONNECTED_12,
+         SYNOPSYS_UNCONNECTED_13, SYNOPSYS_UNCONNECTED_14,
+         SYNOPSYS_UNCONNECTED_15, SYNOPSYS_UNCONNECTED_16,
+         SYNOPSYS_UNCONNECTED_17, SYNOPSYS_UNCONNECTED_18,
+         SYNOPSYS_UNCONNECTED_19, SYNOPSYS_UNCONNECTED_20,
+         SYNOPSYS_UNCONNECTED_21, SYNOPSYS_UNCONNECTED_22,
+         SYNOPSYS_UNCONNECTED_23, SYNOPSYS_UNCONNECTED_24,
+         SYNOPSYS_UNCONNECTED_25, SYNOPSYS_UNCONNECTED_26,
+         SYNOPSYS_UNCONNECTED_27, SYNOPSYS_UNCONNECTED_28,
+         SYNOPSYS_UNCONNECTED_29, SYNOPSYS_UNCONNECTED_30,
+         SYNOPSYS_UNCONNECTED_31, SYNOPSYS_UNCONNECTED_32,
+         SYNOPSYS_UNCONNECTED_33, SYNOPSYS_UNCONNECTED_34,
+         SYNOPSYS_UNCONNECTED_35, SYNOPSYS_UNCONNECTED_36,
+         SYNOPSYS_UNCONNECTED_37, SYNOPSYS_UNCONNECTED_38,
+         SYNOPSYS_UNCONNECTED_39, SYNOPSYS_UNCONNECTED_40,
+         SYNOPSYS_UNCONNECTED_41, SYNOPSYS_UNCONNECTED_42,
+         SYNOPSYS_UNCONNECTED_43, SYNOPSYS_UNCONNECTED_44,
+         SYNOPSYS_UNCONNECTED_45, SYNOPSYS_UNCONNECTED_46,
+         SYNOPSYS_UNCONNECTED_47, SYNOPSYS_UNCONNECTED_48,
+         SYNOPSYS_UNCONNECTED_49, SYNOPSYS_UNCONNECTED_50,
+         SYNOPSYS_UNCONNECTED_51, SYNOPSYS_UNCONNECTED_52,
+         SYNOPSYS_UNCONNECTED_53, SYNOPSYS_UNCONNECTED_54,
+         SYNOPSYS_UNCONNECTED_55, SYNOPSYS_UNCONNECTED_56,
+         SYNOPSYS_UNCONNECTED_57, SYNOPSYS_UNCONNECTED_58,
+         SYNOPSYS_UNCONNECTED_59, SYNOPSYS_UNCONNECTED_60,
+         SYNOPSYS_UNCONNECTED_61, SYNOPSYS_UNCONNECTED_62,
+         SYNOPSYS_UNCONNECTED_63, SYNOPSYS_UNCONNECTED_64,
+         SYNOPSYS_UNCONNECTED_65, SYNOPSYS_UNCONNECTED_66,
+         SYNOPSYS_UNCONNECTED_67, SYNOPSYS_UNCONNECTED_68,
+         SYNOPSYS_UNCONNECTED_69, SYNOPSYS_UNCONNECTED_70,
+         SYNOPSYS_UNCONNECTED_71, SYNOPSYS_UNCONNECTED_72,
+         SYNOPSYS_UNCONNECTED_73, SYNOPSYS_UNCONNECTED_74,
+         SYNOPSYS_UNCONNECTED_75, SYNOPSYS_UNCONNECTED_76,
+         SYNOPSYS_UNCONNECTED_77, SYNOPSYS_UNCONNECTED_78,
+         SYNOPSYS_UNCONNECTED_79, SYNOPSYS_UNCONNECTED_80,
+         SYNOPSYS_UNCONNECTED_81, SYNOPSYS_UNCONNECTED_82,
+         SYNOPSYS_UNCONNECTED_83, SYNOPSYS_UNCONNECTED_84,
+         SYNOPSYS_UNCONNECTED_85, SYNOPSYS_UNCONNECTED_86,
+         SYNOPSYS_UNCONNECTED_87, SYNOPSYS_UNCONNECTED_88,
+         SYNOPSYS_UNCONNECTED_89, SYNOPSYS_UNCONNECTED_90,
+         SYNOPSYS_UNCONNECTED_91, SYNOPSYS_UNCONNECTED_92,
+         SYNOPSYS_UNCONNECTED_93, SYNOPSYS_UNCONNECTED_94,
+         SYNOPSYS_UNCONNECTED_95, SYNOPSYS_UNCONNECTED_96,
+         SYNOPSYS_UNCONNECTED_97, SYNOPSYS_UNCONNECTED_98,
+         SYNOPSYS_UNCONNECTED_99, SYNOPSYS_UNCONNECTED_100,
+         SYNOPSYS_UNCONNECTED_101, SYNOPSYS_UNCONNECTED_102,
+         SYNOPSYS_UNCONNECTED_103, SYNOPSYS_UNCONNECTED_104,
+         SYNOPSYS_UNCONNECTED_105, SYNOPSYS_UNCONNECTED_106,
+         SYNOPSYS_UNCONNECTED_107, SYNOPSYS_UNCONNECTED_108,
+         SYNOPSYS_UNCONNECTED_109, SYNOPSYS_UNCONNECTED_110,
+         SYNOPSYS_UNCONNECTED_111, SYNOPSYS_UNCONNECTED_112,
+         SYNOPSYS_UNCONNECTED_113, SYNOPSYS_UNCONNECTED_114,
+         SYNOPSYS_UNCONNECTED_115, SYNOPSYS_UNCONNECTED_116,
+         SYNOPSYS_UNCONNECTED_117, SYNOPSYS_UNCONNECTED_118,
+         SYNOPSYS_UNCONNECTED_119, SYNOPSYS_UNCONNECTED_120,
+         SYNOPSYS_UNCONNECTED_121, SYNOPSYS_UNCONNECTED_122,
+         SYNOPSYS_UNCONNECTED_123, SYNOPSYS_UNCONNECTED_124,
+         SYNOPSYS_UNCONNECTED_125, SYNOPSYS_UNCONNECTED_126,
+         SYNOPSYS_UNCONNECTED_127, SYNOPSYS_UNCONNECTED_128,
+         SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_130,
+         SYNOPSYS_UNCONNECTED_131, SYNOPSYS_UNCONNECTED_132,
+         SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134,
+         SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136,
+         SYNOPSYS_UNCONNECTED_137, SYNOPSYS_UNCONNECTED_138,
+         SYNOPSYS_UNCONNECTED_139, SYNOPSYS_UNCONNECTED_140,
+         SYNOPSYS_UNCONNECTED_141, SYNOPSYS_UNCONNECTED_142,
+         SYNOPSYS_UNCONNECTED_143, SYNOPSYS_UNCONNECTED_144,
+         SYNOPSYS_UNCONNECTED_145, SYNOPSYS_UNCONNECTED_146,
+         SYNOPSYS_UNCONNECTED_147, SYNOPSYS_UNCONNECTED_148,
+         SYNOPSYS_UNCONNECTED_149, SYNOPSYS_UNCONNECTED_150,
+         SYNOPSYS_UNCONNECTED_151, SYNOPSYS_UNCONNECTED_152,
+         SYNOPSYS_UNCONNECTED_153, SYNOPSYS_UNCONNECTED_154,
+         SYNOPSYS_UNCONNECTED_155, SYNOPSYS_UNCONNECTED_156,
+         SYNOPSYS_UNCONNECTED_157, SYNOPSYS_UNCONNECTED_158,
+         SYNOPSYS_UNCONNECTED_159, SYNOPSYS_UNCONNECTED_160,
+         SYNOPSYS_UNCONNECTED_161, SYNOPSYS_UNCONNECTED_162,
+         SYNOPSYS_UNCONNECTED_163, SYNOPSYS_UNCONNECTED_164,
+         SYNOPSYS_UNCONNECTED_165, SYNOPSYS_UNCONNECTED_166,
+         SYNOPSYS_UNCONNECTED_167, SYNOPSYS_UNCONNECTED_168,
+         SYNOPSYS_UNCONNECTED_169, SYNOPSYS_UNCONNECTED_170,
+         SYNOPSYS_UNCONNECTED_171, SYNOPSYS_UNCONNECTED_172,
+         SYNOPSYS_UNCONNECTED_173, SYNOPSYS_UNCONNECTED_174,
+         SYNOPSYS_UNCONNECTED_175, SYNOPSYS_UNCONNECTED_176,
+         SYNOPSYS_UNCONNECTED_177, SYNOPSYS_UNCONNECTED_178,
+         SYNOPSYS_UNCONNECTED_179, SYNOPSYS_UNCONNECTED_180,
+         SYNOPSYS_UNCONNECTED_181, SYNOPSYS_UNCONNECTED_182,
+         SYNOPSYS_UNCONNECTED_183, SYNOPSYS_UNCONNECTED_184,
+         SYNOPSYS_UNCONNECTED_185, SYNOPSYS_UNCONNECTED_186,
+         SYNOPSYS_UNCONNECTED_187, SYNOPSYS_UNCONNECTED_188,
+         SYNOPSYS_UNCONNECTED_189, SYNOPSYS_UNCONNECTED_190,
+         SYNOPSYS_UNCONNECTED_191, SYNOPSYS_UNCONNECTED_192,
+         SYNOPSYS_UNCONNECTED_193, SYNOPSYS_UNCONNECTED_194,
+         SYNOPSYS_UNCONNECTED_195, SYNOPSYS_UNCONNECTED_196,
+         SYNOPSYS_UNCONNECTED_197, SYNOPSYS_UNCONNECTED_198,
+         SYNOPSYS_UNCONNECTED_199, SYNOPSYS_UNCONNECTED_200,
+         SYNOPSYS_UNCONNECTED_201, SYNOPSYS_UNCONNECTED_202,
+         SYNOPSYS_UNCONNECTED_203, SYNOPSYS_UNCONNECTED_204,
+         SYNOPSYS_UNCONNECTED_205, SYNOPSYS_UNCONNECTED_206,
+         SYNOPSYS_UNCONNECTED_207, SYNOPSYS_UNCONNECTED_208,
+         SYNOPSYS_UNCONNECTED_209, SYNOPSYS_UNCONNECTED_210,
+         SYNOPSYS_UNCONNECTED_211, SYNOPSYS_UNCONNECTED_212,
+         SYNOPSYS_UNCONNECTED_213, SYNOPSYS_UNCONNECTED_214,
+         SYNOPSYS_UNCONNECTED_215, SYNOPSYS_UNCONNECTED_216,
+         SYNOPSYS_UNCONNECTED_217, SYNOPSYS_UNCONNECTED_218,
+         SYNOPSYS_UNCONNECTED_219, SYNOPSYS_UNCONNECTED_220,
+         SYNOPSYS_UNCONNECTED_221, SYNOPSYS_UNCONNECTED_222,
+         SYNOPSYS_UNCONNECTED_223, SYNOPSYS_UNCONNECTED_224,
+         SYNOPSYS_UNCONNECTED_225, SYNOPSYS_UNCONNECTED_226,
+         SYNOPSYS_UNCONNECTED_227, SYNOPSYS_UNCONNECTED_228,
+         SYNOPSYS_UNCONNECTED_229, SYNOPSYS_UNCONNECTED_230,
+         SYNOPSYS_UNCONNECTED_231, SYNOPSYS_UNCONNECTED_232,
+         SYNOPSYS_UNCONNECTED_233, SYNOPSYS_UNCONNECTED_234,
+         SYNOPSYS_UNCONNECTED_235, SYNOPSYS_UNCONNECTED_236,
+         SYNOPSYS_UNCONNECTED_237, SYNOPSYS_UNCONNECTED_238,
+         SYNOPSYS_UNCONNECTED_239, SYNOPSYS_UNCONNECTED_240,
+         SYNOPSYS_UNCONNECTED_241, SYNOPSYS_UNCONNECTED_242,
+         SYNOPSYS_UNCONNECTED_243, SYNOPSYS_UNCONNECTED_244,
+         SYNOPSYS_UNCONNECTED_245, SYNOPSYS_UNCONNECTED_246,
+         SYNOPSYS_UNCONNECTED_247, SYNOPSYS_UNCONNECTED_248,
+         SYNOPSYS_UNCONNECTED_249, SYNOPSYS_UNCONNECTED_250,
+         SYNOPSYS_UNCONNECTED_251, SYNOPSYS_UNCONNECTED_252,
+         SYNOPSYS_UNCONNECTED_253, SYNOPSYS_UNCONNECTED_254,
+         SYNOPSYS_UNCONNECTED_255, SYNOPSYS_UNCONNECTED_256,
+         SYNOPSYS_UNCONNECTED_257, SYNOPSYS_UNCONNECTED_258,
+         SYNOPSYS_UNCONNECTED_259, SYNOPSYS_UNCONNECTED_260,
+         SYNOPSYS_UNCONNECTED_261, SYNOPSYS_UNCONNECTED_262,
+         SYNOPSYS_UNCONNECTED_263, SYNOPSYS_UNCONNECTED_264,
+         SYNOPSYS_UNCONNECTED_265, SYNOPSYS_UNCONNECTED_266,
+         SYNOPSYS_UNCONNECTED_267, SYNOPSYS_UNCONNECTED_268,
+         SYNOPSYS_UNCONNECTED_269, SYNOPSYS_UNCONNECTED_270,
+         SYNOPSYS_UNCONNECTED_271, SYNOPSYS_UNCONNECTED_272,
+         SYNOPSYS_UNCONNECTED_273, SYNOPSYS_UNCONNECTED_274,
+         SYNOPSYS_UNCONNECTED_275, SYNOPSYS_UNCONNECTED_276,
+         SYNOPSYS_UNCONNECTED_277, SYNOPSYS_UNCONNECTED_278,
+         SYNOPSYS_UNCONNECTED_279, SYNOPSYS_UNCONNECTED_280,
+         SYNOPSYS_UNCONNECTED_281, SYNOPSYS_UNCONNECTED_282,
+         SYNOPSYS_UNCONNECTED_283, SYNOPSYS_UNCONNECTED_284,
+         SYNOPSYS_UNCONNECTED_285, SYNOPSYS_UNCONNECTED_286,
+         SYNOPSYS_UNCONNECTED_287, SYNOPSYS_UNCONNECTED_288,
+         SYNOPSYS_UNCONNECTED_289, SYNOPSYS_UNCONNECTED_290,
+         SYNOPSYS_UNCONNECTED_291, SYNOPSYS_UNCONNECTED_292,
+         SYNOPSYS_UNCONNECTED_293, SYNOPSYS_UNCONNECTED_294,
+         SYNOPSYS_UNCONNECTED_295, SYNOPSYS_UNCONNECTED_296,
+         SYNOPSYS_UNCONNECTED_297, SYNOPSYS_UNCONNECTED_298,
+         SYNOPSYS_UNCONNECTED_299, SYNOPSYS_UNCONNECTED_300,
+         SYNOPSYS_UNCONNECTED_301, SYNOPSYS_UNCONNECTED_302,
+         SYNOPSYS_UNCONNECTED_303, SYNOPSYS_UNCONNECTED_304,
+         SYNOPSYS_UNCONNECTED_305, SYNOPSYS_UNCONNECTED_306,
+         SYNOPSYS_UNCONNECTED_307, SYNOPSYS_UNCONNECTED_308,
+         SYNOPSYS_UNCONNECTED_309, SYNOPSYS_UNCONNECTED_310,
+         SYNOPSYS_UNCONNECTED_311, SYNOPSYS_UNCONNECTED_312,
+         SYNOPSYS_UNCONNECTED_313, SYNOPSYS_UNCONNECTED_314,
+         SYNOPSYS_UNCONNECTED_315, SYNOPSYS_UNCONNECTED_316,
+         SYNOPSYS_UNCONNECTED_317, SYNOPSYS_UNCONNECTED_318,
+         SYNOPSYS_UNCONNECTED_319, SYNOPSYS_UNCONNECTED_320,
+         SYNOPSYS_UNCONNECTED_321, SYNOPSYS_UNCONNECTED_322,
+         SYNOPSYS_UNCONNECTED_323, SYNOPSYS_UNCONNECTED_324,
+         SYNOPSYS_UNCONNECTED_325, SYNOPSYS_UNCONNECTED_326,
+         SYNOPSYS_UNCONNECTED_327, SYNOPSYS_UNCONNECTED_328,
+         SYNOPSYS_UNCONNECTED_329, SYNOPSYS_UNCONNECTED_330,
+         SYNOPSYS_UNCONNECTED_331, SYNOPSYS_UNCONNECTED_332,
+         SYNOPSYS_UNCONNECTED_333, SYNOPSYS_UNCONNECTED_334,
+         SYNOPSYS_UNCONNECTED_335, SYNOPSYS_UNCONNECTED_336,
+         SYNOPSYS_UNCONNECTED_337, SYNOPSYS_UNCONNECTED_338,
+         SYNOPSYS_UNCONNECTED_339, SYNOPSYS_UNCONNECTED_340,
+         SYNOPSYS_UNCONNECTED_341, SYNOPSYS_UNCONNECTED_342,
+         SYNOPSYS_UNCONNECTED_343, SYNOPSYS_UNCONNECTED_344,
+         SYNOPSYS_UNCONNECTED_345, SYNOPSYS_UNCONNECTED_346,
+         SYNOPSYS_UNCONNECTED_347, SYNOPSYS_UNCONNECTED_348,
+         SYNOPSYS_UNCONNECTED_349, SYNOPSYS_UNCONNECTED_350,
+         SYNOPSYS_UNCONNECTED_351, SYNOPSYS_UNCONNECTED_352,
+         SYNOPSYS_UNCONNECTED_353, SYNOPSYS_UNCONNECTED_354,
+         SYNOPSYS_UNCONNECTED_355, SYNOPSYS_UNCONNECTED_356,
+         SYNOPSYS_UNCONNECTED_357, SYNOPSYS_UNCONNECTED_358,
+         SYNOPSYS_UNCONNECTED_359, SYNOPSYS_UNCONNECTED_360,
+         SYNOPSYS_UNCONNECTED_361, SYNOPSYS_UNCONNECTED_362,
+         SYNOPSYS_UNCONNECTED_363, SYNOPSYS_UNCONNECTED_364,
+         SYNOPSYS_UNCONNECTED_365, SYNOPSYS_UNCONNECTED_366,
+         SYNOPSYS_UNCONNECTED_367, SYNOPSYS_UNCONNECTED_368,
+         SYNOPSYS_UNCONNECTED_369, SYNOPSYS_UNCONNECTED_370,
+         SYNOPSYS_UNCONNECTED_371, SYNOPSYS_UNCONNECTED_372,
+         SYNOPSYS_UNCONNECTED_373, SYNOPSYS_UNCONNECTED_374,
+         SYNOPSYS_UNCONNECTED_375, SYNOPSYS_UNCONNECTED_376,
+         SYNOPSYS_UNCONNECTED_377, SYNOPSYS_UNCONNECTED_378,
+         SYNOPSYS_UNCONNECTED_379, SYNOPSYS_UNCONNECTED_380,
+         SYNOPSYS_UNCONNECTED_381, SYNOPSYS_UNCONNECTED_382,
+         SYNOPSYS_UNCONNECTED_383, SYNOPSYS_UNCONNECTED_384,
+         SYNOPSYS_UNCONNECTED_385, SYNOPSYS_UNCONNECTED_386,
+         SYNOPSYS_UNCONNECTED_387, SYNOPSYS_UNCONNECTED_388,
+         SYNOPSYS_UNCONNECTED_389, SYNOPSYS_UNCONNECTED_390,
+         SYNOPSYS_UNCONNECTED_391, SYNOPSYS_UNCONNECTED_392,
+         SYNOPSYS_UNCONNECTED_393, SYNOPSYS_UNCONNECTED_394,
+         SYNOPSYS_UNCONNECTED_395, SYNOPSYS_UNCONNECTED_396,
+         SYNOPSYS_UNCONNECTED_397, SYNOPSYS_UNCONNECTED_398,
+         SYNOPSYS_UNCONNECTED_399, SYNOPSYS_UNCONNECTED_400,
+         SYNOPSYS_UNCONNECTED_401, SYNOPSYS_UNCONNECTED_402,
+         SYNOPSYS_UNCONNECTED_403, SYNOPSYS_UNCONNECTED_404,
+         SYNOPSYS_UNCONNECTED_405, SYNOPSYS_UNCONNECTED_406,
+         SYNOPSYS_UNCONNECTED_407, SYNOPSYS_UNCONNECTED_408,
+         SYNOPSYS_UNCONNECTED_409, SYNOPSYS_UNCONNECTED_410,
+         SYNOPSYS_UNCONNECTED_411, SYNOPSYS_UNCONNECTED_412,
+         SYNOPSYS_UNCONNECTED_413, SYNOPSYS_UNCONNECTED_414,
+         SYNOPSYS_UNCONNECTED_415, SYNOPSYS_UNCONNECTED_416,
+         SYNOPSYS_UNCONNECTED_417, SYNOPSYS_UNCONNECTED_418,
+         SYNOPSYS_UNCONNECTED_419, SYNOPSYS_UNCONNECTED_420,
+         SYNOPSYS_UNCONNECTED_421, SYNOPSYS_UNCONNECTED_422,
+         SYNOPSYS_UNCONNECTED_423, SYNOPSYS_UNCONNECTED_424,
+         SYNOPSYS_UNCONNECTED_425, SYNOPSYS_UNCONNECTED_426,
+         SYNOPSYS_UNCONNECTED_427, SYNOPSYS_UNCONNECTED_428,
+         SYNOPSYS_UNCONNECTED_429, SYNOPSYS_UNCONNECTED_430,
+         SYNOPSYS_UNCONNECTED_431, SYNOPSYS_UNCONNECTED_432,
+         SYNOPSYS_UNCONNECTED_433, SYNOPSYS_UNCONNECTED_434,
+         SYNOPSYS_UNCONNECTED_435, SYNOPSYS_UNCONNECTED_436,
+         SYNOPSYS_UNCONNECTED_437, SYNOPSYS_UNCONNECTED_438,
+         SYNOPSYS_UNCONNECTED_439, SYNOPSYS_UNCONNECTED_440,
+         SYNOPSYS_UNCONNECTED_441, SYNOPSYS_UNCONNECTED_442,
+         SYNOPSYS_UNCONNECTED_443, SYNOPSYS_UNCONNECTED_444,
+         SYNOPSYS_UNCONNECTED_445, SYNOPSYS_UNCONNECTED_446,
+         SYNOPSYS_UNCONNECTED_447, SYNOPSYS_UNCONNECTED_448,
+         SYNOPSYS_UNCONNECTED_449, SYNOPSYS_UNCONNECTED_450,
+         SYNOPSYS_UNCONNECTED_451, SYNOPSYS_UNCONNECTED_452,
+         SYNOPSYS_UNCONNECTED_453, SYNOPSYS_UNCONNECTED_454,
+         SYNOPSYS_UNCONNECTED_455, SYNOPSYS_UNCONNECTED_456,
+         SYNOPSYS_UNCONNECTED_457, SYNOPSYS_UNCONNECTED_458,
+         SYNOPSYS_UNCONNECTED_459, SYNOPSYS_UNCONNECTED_460,
+         SYNOPSYS_UNCONNECTED_461, SYNOPSYS_UNCONNECTED_462,
+         SYNOPSYS_UNCONNECTED_463, SYNOPSYS_UNCONNECTED_464,
+         SYNOPSYS_UNCONNECTED_465, SYNOPSYS_UNCONNECTED_466,
+         SYNOPSYS_UNCONNECTED_467, SYNOPSYS_UNCONNECTED_468,
+         SYNOPSYS_UNCONNECTED_469, SYNOPSYS_UNCONNECTED_470,
+         SYNOPSYS_UNCONNECTED_471, SYNOPSYS_UNCONNECTED_472,
+         SYNOPSYS_UNCONNECTED_473, SYNOPSYS_UNCONNECTED_474,
+         SYNOPSYS_UNCONNECTED_475, SYNOPSYS_UNCONNECTED_476,
+         SYNOPSYS_UNCONNECTED_477, SYNOPSYS_UNCONNECTED_478,
+         SYNOPSYS_UNCONNECTED_479, SYNOPSYS_UNCONNECTED_480,
+         SYNOPSYS_UNCONNECTED_481, SYNOPSYS_UNCONNECTED_482,
+         SYNOPSYS_UNCONNECTED_483, SYNOPSYS_UNCONNECTED_484,
+         SYNOPSYS_UNCONNECTED_485, SYNOPSYS_UNCONNECTED_486,
+         SYNOPSYS_UNCONNECTED_487, SYNOPSYS_UNCONNECTED_488,
+         SYNOPSYS_UNCONNECTED_489, SYNOPSYS_UNCONNECTED_490,
+         SYNOPSYS_UNCONNECTED_491, SYNOPSYS_UNCONNECTED_492,
+         SYNOPSYS_UNCONNECTED_493, SYNOPSYS_UNCONNECTED_494,
+         SYNOPSYS_UNCONNECTED_495, SYNOPSYS_UNCONNECTED_496,
+         SYNOPSYS_UNCONNECTED_497, SYNOPSYS_UNCONNECTED_498,
+         SYNOPSYS_UNCONNECTED_499, SYNOPSYS_UNCONNECTED_500,
+         SYNOPSYS_UNCONNECTED_501, SYNOPSYS_UNCONNECTED_502,
+         SYNOPSYS_UNCONNECTED_503, SYNOPSYS_UNCONNECTED_504,
+         SYNOPSYS_UNCONNECTED_505, SYNOPSYS_UNCONNECTED_506,
+         SYNOPSYS_UNCONNECTED_507, SYNOPSYS_UNCONNECTED_508,
+         SYNOPSYS_UNCONNECTED_509, SYNOPSYS_UNCONNECTED_510,
+         SYNOPSYS_UNCONNECTED_511, SYNOPSYS_UNCONNECTED_512,
+         SYNOPSYS_UNCONNECTED_513, SYNOPSYS_UNCONNECTED_514,
+         SYNOPSYS_UNCONNECTED_515, SYNOPSYS_UNCONNECTED_516,
+         SYNOPSYS_UNCONNECTED_517, SYNOPSYS_UNCONNECTED_518,
+         SYNOPSYS_UNCONNECTED_519, SYNOPSYS_UNCONNECTED_520,
+         SYNOPSYS_UNCONNECTED_521, SYNOPSYS_UNCONNECTED_522,
+         SYNOPSYS_UNCONNECTED_523, SYNOPSYS_UNCONNECTED_524,
+         SYNOPSYS_UNCONNECTED_525, SYNOPSYS_UNCONNECTED_526,
+         SYNOPSYS_UNCONNECTED_527, SYNOPSYS_UNCONNECTED_528,
+         SYNOPSYS_UNCONNECTED_529, SYNOPSYS_UNCONNECTED_530,
+         SYNOPSYS_UNCONNECTED_531, SYNOPSYS_UNCONNECTED_532,
+         SYNOPSYS_UNCONNECTED_533, SYNOPSYS_UNCONNECTED_534,
+         SYNOPSYS_UNCONNECTED_535, SYNOPSYS_UNCONNECTED_536,
+         SYNOPSYS_UNCONNECTED_537, SYNOPSYS_UNCONNECTED_538,
+         SYNOPSYS_UNCONNECTED_539, SYNOPSYS_UNCONNECTED_540,
+         SYNOPSYS_UNCONNECTED_541, SYNOPSYS_UNCONNECTED_542,
+         SYNOPSYS_UNCONNECTED_543, SYNOPSYS_UNCONNECTED_544,
+         SYNOPSYS_UNCONNECTED_545, SYNOPSYS_UNCONNECTED_546,
+         SYNOPSYS_UNCONNECTED_547, SYNOPSYS_UNCONNECTED_548,
+         SYNOPSYS_UNCONNECTED_549, SYNOPSYS_UNCONNECTED_550,
+         SYNOPSYS_UNCONNECTED_551, SYNOPSYS_UNCONNECTED_552,
+         SYNOPSYS_UNCONNECTED_553, SYNOPSYS_UNCONNECTED_554,
+         SYNOPSYS_UNCONNECTED_555, SYNOPSYS_UNCONNECTED_556,
+         SYNOPSYS_UNCONNECTED_557, SYNOPSYS_UNCONNECTED_558,
+         SYNOPSYS_UNCONNECTED_559, SYNOPSYS_UNCONNECTED_560,
+         SYNOPSYS_UNCONNECTED_561, SYNOPSYS_UNCONNECTED_562,
+         SYNOPSYS_UNCONNECTED_563, SYNOPSYS_UNCONNECTED_564,
+         SYNOPSYS_UNCONNECTED_565, SYNOPSYS_UNCONNECTED_566,
+         SYNOPSYS_UNCONNECTED_567, SYNOPSYS_UNCONNECTED_568,
+         SYNOPSYS_UNCONNECTED_569, SYNOPSYS_UNCONNECTED_570,
+         SYNOPSYS_UNCONNECTED_571, SYNOPSYS_UNCONNECTED_572,
+         SYNOPSYS_UNCONNECTED_573, SYNOPSYS_UNCONNECTED_574,
+         SYNOPSYS_UNCONNECTED_575, SYNOPSYS_UNCONNECTED_576,
+         SYNOPSYS_UNCONNECTED_577, SYNOPSYS_UNCONNECTED_578,
+         SYNOPSYS_UNCONNECTED_579, SYNOPSYS_UNCONNECTED_580,
+         SYNOPSYS_UNCONNECTED_581, SYNOPSYS_UNCONNECTED_582,
+         SYNOPSYS_UNCONNECTED_583, SYNOPSYS_UNCONNECTED_584,
+         SYNOPSYS_UNCONNECTED_585, SYNOPSYS_UNCONNECTED_586,
+         SYNOPSYS_UNCONNECTED_587, SYNOPSYS_UNCONNECTED_588,
+         SYNOPSYS_UNCONNECTED_589, SYNOPSYS_UNCONNECTED_590,
+         SYNOPSYS_UNCONNECTED_591, SYNOPSYS_UNCONNECTED_592,
+         SYNOPSYS_UNCONNECTED_593, SYNOPSYS_UNCONNECTED_594,
+         SYNOPSYS_UNCONNECTED_595, SYNOPSYS_UNCONNECTED_596,
+         SYNOPSYS_UNCONNECTED_597, SYNOPSYS_UNCONNECTED_598,
+         SYNOPSYS_UNCONNECTED_599, SYNOPSYS_UNCONNECTED_600,
+         SYNOPSYS_UNCONNECTED_601, SYNOPSYS_UNCONNECTED_602,
+         SYNOPSYS_UNCONNECTED_603, SYNOPSYS_UNCONNECTED_604,
+         SYNOPSYS_UNCONNECTED_605, SYNOPSYS_UNCONNECTED_606,
+         SYNOPSYS_UNCONNECTED_607, SYNOPSYS_UNCONNECTED_608,
+         SYNOPSYS_UNCONNECTED_609, SYNOPSYS_UNCONNECTED_610,
+         SYNOPSYS_UNCONNECTED_611, SYNOPSYS_UNCONNECTED_612,
+         SYNOPSYS_UNCONNECTED_613, SYNOPSYS_UNCONNECTED_614,
+         SYNOPSYS_UNCONNECTED_615, SYNOPSYS_UNCONNECTED_616,
+         SYNOPSYS_UNCONNECTED_617, SYNOPSYS_UNCONNECTED_618,
+         SYNOPSYS_UNCONNECTED_619, SYNOPSYS_UNCONNECTED_620,
+         SYNOPSYS_UNCONNECTED_621, SYNOPSYS_UNCONNECTED_622,
+         SYNOPSYS_UNCONNECTED_623, SYNOPSYS_UNCONNECTED_624,
+         SYNOPSYS_UNCONNECTED_625, SYNOPSYS_UNCONNECTED_626,
+         SYNOPSYS_UNCONNECTED_627, SYNOPSYS_UNCONNECTED_628,
+         SYNOPSYS_UNCONNECTED_629, SYNOPSYS_UNCONNECTED_630,
+         SYNOPSYS_UNCONNECTED_631, SYNOPSYS_UNCONNECTED_632,
+         SYNOPSYS_UNCONNECTED_633, SYNOPSYS_UNCONNECTED_634,
+         SYNOPSYS_UNCONNECTED_635, SYNOPSYS_UNCONNECTED_636,
+         SYNOPSYS_UNCONNECTED_637, SYNOPSYS_UNCONNECTED_638,
+         SYNOPSYS_UNCONNECTED_639, SYNOPSYS_UNCONNECTED_640,
+         SYNOPSYS_UNCONNECTED_641, SYNOPSYS_UNCONNECTED_642,
+         SYNOPSYS_UNCONNECTED_643, SYNOPSYS_UNCONNECTED_644,
+         SYNOPSYS_UNCONNECTED_645, SYNOPSYS_UNCONNECTED_646,
+         SYNOPSYS_UNCONNECTED_647, SYNOPSYS_UNCONNECTED_648,
+         SYNOPSYS_UNCONNECTED_649, SYNOPSYS_UNCONNECTED_650,
+         SYNOPSYS_UNCONNECTED_651, SYNOPSYS_UNCONNECTED_652,
+         SYNOPSYS_UNCONNECTED_653, SYNOPSYS_UNCONNECTED_654,
+         SYNOPSYS_UNCONNECTED_655, SYNOPSYS_UNCONNECTED_656,
+         SYNOPSYS_UNCONNECTED_657, SYNOPSYS_UNCONNECTED_658,
+         SYNOPSYS_UNCONNECTED_659, SYNOPSYS_UNCONNECTED_660,
+         SYNOPSYS_UNCONNECTED_661, SYNOPSYS_UNCONNECTED_662,
+         SYNOPSYS_UNCONNECTED_663, SYNOPSYS_UNCONNECTED_664,
+         SYNOPSYS_UNCONNECTED_665, SYNOPSYS_UNCONNECTED_666,
+         SYNOPSYS_UNCONNECTED_667, SYNOPSYS_UNCONNECTED_668,
+         SYNOPSYS_UNCONNECTED_669, SYNOPSYS_UNCONNECTED_670,
+         SYNOPSYS_UNCONNECTED_671, SYNOPSYS_UNCONNECTED_672,
+         SYNOPSYS_UNCONNECTED_673, SYNOPSYS_UNCONNECTED_674,
+         SYNOPSYS_UNCONNECTED_675, SYNOPSYS_UNCONNECTED_676,
+         SYNOPSYS_UNCONNECTED_677, SYNOPSYS_UNCONNECTED_678,
+         SYNOPSYS_UNCONNECTED_679, SYNOPSYS_UNCONNECTED_680,
+         SYNOPSYS_UNCONNECTED_681, SYNOPSYS_UNCONNECTED_682,
+         SYNOPSYS_UNCONNECTED_683, SYNOPSYS_UNCONNECTED_684,
+         SYNOPSYS_UNCONNECTED_685, SYNOPSYS_UNCONNECTED_686,
+         SYNOPSYS_UNCONNECTED_687, SYNOPSYS_UNCONNECTED_688,
+         SYNOPSYS_UNCONNECTED_689, SYNOPSYS_UNCONNECTED_690,
+         SYNOPSYS_UNCONNECTED_691, SYNOPSYS_UNCONNECTED_692,
+         SYNOPSYS_UNCONNECTED_693, SYNOPSYS_UNCONNECTED_694,
+         SYNOPSYS_UNCONNECTED_695, SYNOPSYS_UNCONNECTED_696,
+         SYNOPSYS_UNCONNECTED_697, SYNOPSYS_UNCONNECTED_698,
+         SYNOPSYS_UNCONNECTED_699, SYNOPSYS_UNCONNECTED_700,
+         SYNOPSYS_UNCONNECTED_701, SYNOPSYS_UNCONNECTED_702,
+         SYNOPSYS_UNCONNECTED_703, SYNOPSYS_UNCONNECTED_704,
+         SYNOPSYS_UNCONNECTED_705, SYNOPSYS_UNCONNECTED_706,
+         SYNOPSYS_UNCONNECTED_707, SYNOPSYS_UNCONNECTED_708,
+         SYNOPSYS_UNCONNECTED_709, SYNOPSYS_UNCONNECTED_710,
+         SYNOPSYS_UNCONNECTED_711, SYNOPSYS_UNCONNECTED_712,
+         SYNOPSYS_UNCONNECTED_713, SYNOPSYS_UNCONNECTED_714,
+         SYNOPSYS_UNCONNECTED_715, SYNOPSYS_UNCONNECTED_716,
+         SYNOPSYS_UNCONNECTED_717, SYNOPSYS_UNCONNECTED_718,
+         SYNOPSYS_UNCONNECTED_719, SYNOPSYS_UNCONNECTED_720,
+         SYNOPSYS_UNCONNECTED_721, SYNOPSYS_UNCONNECTED_722,
+         SYNOPSYS_UNCONNECTED_723, SYNOPSYS_UNCONNECTED_724,
+         SYNOPSYS_UNCONNECTED_725, SYNOPSYS_UNCONNECTED_726,
+         SYNOPSYS_UNCONNECTED_727, SYNOPSYS_UNCONNECTED_728,
+         SYNOPSYS_UNCONNECTED_729, SYNOPSYS_UNCONNECTED_730,
+         SYNOPSYS_UNCONNECTED_731, SYNOPSYS_UNCONNECTED_732,
+         SYNOPSYS_UNCONNECTED_733, SYNOPSYS_UNCONNECTED_734,
+         SYNOPSYS_UNCONNECTED_735, SYNOPSYS_UNCONNECTED_736,
+         SYNOPSYS_UNCONNECTED_737, SYNOPSYS_UNCONNECTED_738,
+         SYNOPSYS_UNCONNECTED_739, SYNOPSYS_UNCONNECTED_740,
+         SYNOPSYS_UNCONNECTED_741, SYNOPSYS_UNCONNECTED_742,
+         SYNOPSYS_UNCONNECTED_743, SYNOPSYS_UNCONNECTED_744,
+         SYNOPSYS_UNCONNECTED_745, SYNOPSYS_UNCONNECTED_746,
+         SYNOPSYS_UNCONNECTED_747, SYNOPSYS_UNCONNECTED_748,
+         SYNOPSYS_UNCONNECTED_749, SYNOPSYS_UNCONNECTED_750,
+         SYNOPSYS_UNCONNECTED_751, SYNOPSYS_UNCONNECTED_752,
+         SYNOPSYS_UNCONNECTED_753, SYNOPSYS_UNCONNECTED_754,
+         SYNOPSYS_UNCONNECTED_755, SYNOPSYS_UNCONNECTED_756,
+         SYNOPSYS_UNCONNECTED_757, SYNOPSYS_UNCONNECTED_758,
+         SYNOPSYS_UNCONNECTED_759, SYNOPSYS_UNCONNECTED_760,
+         SYNOPSYS_UNCONNECTED_761, SYNOPSYS_UNCONNECTED_762,
+         SYNOPSYS_UNCONNECTED_763, SYNOPSYS_UNCONNECTED_764,
+         SYNOPSYS_UNCONNECTED_765, SYNOPSYS_UNCONNECTED_766,
+         SYNOPSYS_UNCONNECTED_767, SYNOPSYS_UNCONNECTED_768,
+         SYNOPSYS_UNCONNECTED_769, SYNOPSYS_UNCONNECTED_770,
+         SYNOPSYS_UNCONNECTED_771, SYNOPSYS_UNCONNECTED_772,
+         SYNOPSYS_UNCONNECTED_773, SYNOPSYS_UNCONNECTED_774,
+         SYNOPSYS_UNCONNECTED_775, SYNOPSYS_UNCONNECTED_776,
+         SYNOPSYS_UNCONNECTED_777, SYNOPSYS_UNCONNECTED_778,
+         SYNOPSYS_UNCONNECTED_779, SYNOPSYS_UNCONNECTED_780,
+         SYNOPSYS_UNCONNECTED_781, SYNOPSYS_UNCONNECTED_782,
+         SYNOPSYS_UNCONNECTED_783, SYNOPSYS_UNCONNECTED_784,
+         SYNOPSYS_UNCONNECTED_785, SYNOPSYS_UNCONNECTED_786,
+         SYNOPSYS_UNCONNECTED_787, SYNOPSYS_UNCONNECTED_788,
+         SYNOPSYS_UNCONNECTED_789, SYNOPSYS_UNCONNECTED_790,
+         SYNOPSYS_UNCONNECTED_791, SYNOPSYS_UNCONNECTED_792,
+         SYNOPSYS_UNCONNECTED_793, SYNOPSYS_UNCONNECTED_794,
+         SYNOPSYS_UNCONNECTED_795, SYNOPSYS_UNCONNECTED_796,
+         SYNOPSYS_UNCONNECTED_797, SYNOPSYS_UNCONNECTED_798,
+         SYNOPSYS_UNCONNECTED_799, SYNOPSYS_UNCONNECTED_800,
+         SYNOPSYS_UNCONNECTED_801, SYNOPSYS_UNCONNECTED_802,
+         SYNOPSYS_UNCONNECTED_803, SYNOPSYS_UNCONNECTED_804,
+         SYNOPSYS_UNCONNECTED_805, SYNOPSYS_UNCONNECTED_806,
+         SYNOPSYS_UNCONNECTED_807, SYNOPSYS_UNCONNECTED_808,
+         SYNOPSYS_UNCONNECTED_809, SYNOPSYS_UNCONNECTED_810,
+         SYNOPSYS_UNCONNECTED_811, SYNOPSYS_UNCONNECTED_812,
+         SYNOPSYS_UNCONNECTED_813, SYNOPSYS_UNCONNECTED_814,
+         SYNOPSYS_UNCONNECTED_815, SYNOPSYS_UNCONNECTED_816,
+         SYNOPSYS_UNCONNECTED_817, SYNOPSYS_UNCONNECTED_818,
+         SYNOPSYS_UNCONNECTED_819, SYNOPSYS_UNCONNECTED_820,
+         SYNOPSYS_UNCONNECTED_821, SYNOPSYS_UNCONNECTED_822,
+         SYNOPSYS_UNCONNECTED_823, SYNOPSYS_UNCONNECTED_824,
+         SYNOPSYS_UNCONNECTED_825, SYNOPSYS_UNCONNECTED_826,
+         SYNOPSYS_UNCONNECTED_827, SYNOPSYS_UNCONNECTED_828,
+         SYNOPSYS_UNCONNECTED_829, SYNOPSYS_UNCONNECTED_830,
+         SYNOPSYS_UNCONNECTED_831, SYNOPSYS_UNCONNECTED_832,
+         SYNOPSYS_UNCONNECTED_833, SYNOPSYS_UNCONNECTED_834,
+         SYNOPSYS_UNCONNECTED_835, SYNOPSYS_UNCONNECTED_836,
+         SYNOPSYS_UNCONNECTED_837, SYNOPSYS_UNCONNECTED_838,
+         SYNOPSYS_UNCONNECTED_839, SYNOPSYS_UNCONNECTED_840,
+         SYNOPSYS_UNCONNECTED_841, SYNOPSYS_UNCONNECTED_842,
+         SYNOPSYS_UNCONNECTED_843, SYNOPSYS_UNCONNECTED_844,
+         SYNOPSYS_UNCONNECTED_845, SYNOPSYS_UNCONNECTED_846,
+         SYNOPSYS_UNCONNECTED_847, SYNOPSYS_UNCONNECTED_848,
+         SYNOPSYS_UNCONNECTED_849, SYNOPSYS_UNCONNECTED_850,
+         SYNOPSYS_UNCONNECTED_851, SYNOPSYS_UNCONNECTED_852,
+         SYNOPSYS_UNCONNECTED_853, SYNOPSYS_UNCONNECTED_854,
+         SYNOPSYS_UNCONNECTED_855, SYNOPSYS_UNCONNECTED_856,
+         SYNOPSYS_UNCONNECTED_857, SYNOPSYS_UNCONNECTED_858,
+         SYNOPSYS_UNCONNECTED_859, SYNOPSYS_UNCONNECTED_860,
+         SYNOPSYS_UNCONNECTED_861, SYNOPSYS_UNCONNECTED_862,
+         SYNOPSYS_UNCONNECTED_863, SYNOPSYS_UNCONNECTED_864,
+         SYNOPSYS_UNCONNECTED_865, SYNOPSYS_UNCONNECTED_866,
+         SYNOPSYS_UNCONNECTED_867, SYNOPSYS_UNCONNECTED_868,
+         SYNOPSYS_UNCONNECTED_869, SYNOPSYS_UNCONNECTED_870,
+         SYNOPSYS_UNCONNECTED_871, SYNOPSYS_UNCONNECTED_872,
+         SYNOPSYS_UNCONNECTED_873, SYNOPSYS_UNCONNECTED_874,
+         SYNOPSYS_UNCONNECTED_875, SYNOPSYS_UNCONNECTED_876,
+         SYNOPSYS_UNCONNECTED_877, SYNOPSYS_UNCONNECTED_878,
+         SYNOPSYS_UNCONNECTED_879, SYNOPSYS_UNCONNECTED_880,
+         SYNOPSYS_UNCONNECTED_881, SYNOPSYS_UNCONNECTED_882,
+         SYNOPSYS_UNCONNECTED_883, SYNOPSYS_UNCONNECTED_884,
+         SYNOPSYS_UNCONNECTED_885, SYNOPSYS_UNCONNECTED_886,
+         SYNOPSYS_UNCONNECTED_887, SYNOPSYS_UNCONNECTED_888,
+         SYNOPSYS_UNCONNECTED_889, SYNOPSYS_UNCONNECTED_890,
+         SYNOPSYS_UNCONNECTED_891, SYNOPSYS_UNCONNECTED_892,
+         SYNOPSYS_UNCONNECTED_893, SYNOPSYS_UNCONNECTED_894,
+         SYNOPSYS_UNCONNECTED_895, SYNOPSYS_UNCONNECTED_896,
+         SYNOPSYS_UNCONNECTED_897, SYNOPSYS_UNCONNECTED_898,
+         SYNOPSYS_UNCONNECTED_899, SYNOPSYS_UNCONNECTED_900,
+         SYNOPSYS_UNCONNECTED_901, SYNOPSYS_UNCONNECTED_902,
+         SYNOPSYS_UNCONNECTED_903, SYNOPSYS_UNCONNECTED_904,
+         SYNOPSYS_UNCONNECTED_905, SYNOPSYS_UNCONNECTED_906,
+         SYNOPSYS_UNCONNECTED_907, SYNOPSYS_UNCONNECTED_908,
+         SYNOPSYS_UNCONNECTED_909, SYNOPSYS_UNCONNECTED_910,
+         SYNOPSYS_UNCONNECTED_911, SYNOPSYS_UNCONNECTED_912,
+         SYNOPSYS_UNCONNECTED_913, SYNOPSYS_UNCONNECTED_914,
+         SYNOPSYS_UNCONNECTED_915, SYNOPSYS_UNCONNECTED_916,
+         SYNOPSYS_UNCONNECTED_917, SYNOPSYS_UNCONNECTED_918,
+         SYNOPSYS_UNCONNECTED_919, SYNOPSYS_UNCONNECTED_920,
+         SYNOPSYS_UNCONNECTED_921, SYNOPSYS_UNCONNECTED_922,
+         SYNOPSYS_UNCONNECTED_923, SYNOPSYS_UNCONNECTED_924,
+         SYNOPSYS_UNCONNECTED_925, SYNOPSYS_UNCONNECTED_926,
+         SYNOPSYS_UNCONNECTED_927, SYNOPSYS_UNCONNECTED_928,
+         SYNOPSYS_UNCONNECTED_929, SYNOPSYS_UNCONNECTED_930,
+         SYNOPSYS_UNCONNECTED_931, SYNOPSYS_UNCONNECTED_932,
+         SYNOPSYS_UNCONNECTED_933, SYNOPSYS_UNCONNECTED_934,
+         SYNOPSYS_UNCONNECTED_935, SYNOPSYS_UNCONNECTED_936,
+         SYNOPSYS_UNCONNECTED_937, SYNOPSYS_UNCONNECTED_938,
+         SYNOPSYS_UNCONNECTED_939, SYNOPSYS_UNCONNECTED_940,
+         SYNOPSYS_UNCONNECTED_941, SYNOPSYS_UNCONNECTED_942,
+         SYNOPSYS_UNCONNECTED_943, SYNOPSYS_UNCONNECTED_944,
+         SYNOPSYS_UNCONNECTED_945, SYNOPSYS_UNCONNECTED_946,
+         SYNOPSYS_UNCONNECTED_947, SYNOPSYS_UNCONNECTED_948,
+         SYNOPSYS_UNCONNECTED_949, SYNOPSYS_UNCONNECTED_950,
+         SYNOPSYS_UNCONNECTED_951, SYNOPSYS_UNCONNECTED_952,
+         SYNOPSYS_UNCONNECTED_953, SYNOPSYS_UNCONNECTED_954,
+         SYNOPSYS_UNCONNECTED_955, SYNOPSYS_UNCONNECTED_956,
+         SYNOPSYS_UNCONNECTED_957, SYNOPSYS_UNCONNECTED_958,
+         SYNOPSYS_UNCONNECTED_959, SYNOPSYS_UNCONNECTED_960,
+         SYNOPSYS_UNCONNECTED_961, SYNOPSYS_UNCONNECTED_962,
+         SYNOPSYS_UNCONNECTED_963, SYNOPSYS_UNCONNECTED_964,
+         SYNOPSYS_UNCONNECTED_965, SYNOPSYS_UNCONNECTED_966,
+         SYNOPSYS_UNCONNECTED_967, SYNOPSYS_UNCONNECTED_968,
+         SYNOPSYS_UNCONNECTED_969, SYNOPSYS_UNCONNECTED_970,
+         SYNOPSYS_UNCONNECTED_971, SYNOPSYS_UNCONNECTED_972,
+         SYNOPSYS_UNCONNECTED_973, SYNOPSYS_UNCONNECTED_974,
+         SYNOPSYS_UNCONNECTED_975, SYNOPSYS_UNCONNECTED_976,
+         SYNOPSYS_UNCONNECTED_977, SYNOPSYS_UNCONNECTED_978,
+         SYNOPSYS_UNCONNECTED_979, SYNOPSYS_UNCONNECTED_980,
+         SYNOPSYS_UNCONNECTED_981, SYNOPSYS_UNCONNECTED_982,
+         SYNOPSYS_UNCONNECTED_983, SYNOPSYS_UNCONNECTED_984,
+         SYNOPSYS_UNCONNECTED_985, SYNOPSYS_UNCONNECTED_986,
+         SYNOPSYS_UNCONNECTED_987, SYNOPSYS_UNCONNECTED_988,
+         SYNOPSYS_UNCONNECTED_989, SYNOPSYS_UNCONNECTED_990,
+         SYNOPSYS_UNCONNECTED_991, SYNOPSYS_UNCONNECTED_992,
+         SYNOPSYS_UNCONNECTED_993, SYNOPSYS_UNCONNECTED_994,
+         SYNOPSYS_UNCONNECTED_995, SYNOPSYS_UNCONNECTED_996,
+         SYNOPSYS_UNCONNECTED_997, SYNOPSYS_UNCONNECTED_998,
+         SYNOPSYS_UNCONNECTED_999, SYNOPSYS_UNCONNECTED_1000,
+         SYNOPSYS_UNCONNECTED_1001, SYNOPSYS_UNCONNECTED_1002,
+         SYNOPSYS_UNCONNECTED_1003, SYNOPSYS_UNCONNECTED_1004,
+         SYNOPSYS_UNCONNECTED_1005, SYNOPSYS_UNCONNECTED_1006,
+         SYNOPSYS_UNCONNECTED_1007, SYNOPSYS_UNCONNECTED_1008,
+         SYNOPSYS_UNCONNECTED_1009, SYNOPSYS_UNCONNECTED_1010,
+         SYNOPSYS_UNCONNECTED_1011, SYNOPSYS_UNCONNECTED_1012,
+         SYNOPSYS_UNCONNECTED_1013, SYNOPSYS_UNCONNECTED_1014,
+         SYNOPSYS_UNCONNECTED_1015, SYNOPSYS_UNCONNECTED_1016,
+         SYNOPSYS_UNCONNECTED_1017, SYNOPSYS_UNCONNECTED_1018,
+         SYNOPSYS_UNCONNECTED_1019, SYNOPSYS_UNCONNECTED_1020,
+         SYNOPSYS_UNCONNECTED_1021, SYNOPSYS_UNCONNECTED_1022,
+         SYNOPSYS_UNCONNECTED_1023, SYNOPSYS_UNCONNECTED_1024,
+         SYNOPSYS_UNCONNECTED_1025, SYNOPSYS_UNCONNECTED_1026,
+         SYNOPSYS_UNCONNECTED_1027, SYNOPSYS_UNCONNECTED_1028,
+         SYNOPSYS_UNCONNECTED_1029, SYNOPSYS_UNCONNECTED_1030,
+         SYNOPSYS_UNCONNECTED_1031, SYNOPSYS_UNCONNECTED_1032,
+         SYNOPSYS_UNCONNECTED_1033, SYNOPSYS_UNCONNECTED_1034,
+         SYNOPSYS_UNCONNECTED_1035, SYNOPSYS_UNCONNECTED_1036,
+         SYNOPSYS_UNCONNECTED_1037, SYNOPSYS_UNCONNECTED_1038,
+         SYNOPSYS_UNCONNECTED_1039, SYNOPSYS_UNCONNECTED_1040,
+         SYNOPSYS_UNCONNECTED_1041, SYNOPSYS_UNCONNECTED_1042,
+         SYNOPSYS_UNCONNECTED_1043, SYNOPSYS_UNCONNECTED_1044,
+         SYNOPSYS_UNCONNECTED_1045, SYNOPSYS_UNCONNECTED_1046,
+         SYNOPSYS_UNCONNECTED_1047, SYNOPSYS_UNCONNECTED_1048,
+         SYNOPSYS_UNCONNECTED_1049, SYNOPSYS_UNCONNECTED_1050,
+         SYNOPSYS_UNCONNECTED_1051, SYNOPSYS_UNCONNECTED_1052,
+         SYNOPSYS_UNCONNECTED_1053, SYNOPSYS_UNCONNECTED_1054,
+         SYNOPSYS_UNCONNECTED_1055, SYNOPSYS_UNCONNECTED_1056,
+         SYNOPSYS_UNCONNECTED_1057, SYNOPSYS_UNCONNECTED_1058,
+         SYNOPSYS_UNCONNECTED_1059, SYNOPSYS_UNCONNECTED_1060,
+         SYNOPSYS_UNCONNECTED_1061, SYNOPSYS_UNCONNECTED_1062,
+         SYNOPSYS_UNCONNECTED_1063, SYNOPSYS_UNCONNECTED_1064,
+         SYNOPSYS_UNCONNECTED_1065, SYNOPSYS_UNCONNECTED_1066,
+         SYNOPSYS_UNCONNECTED_1067, SYNOPSYS_UNCONNECTED_1068,
+         SYNOPSYS_UNCONNECTED_1069, SYNOPSYS_UNCONNECTED_1070,
+         SYNOPSYS_UNCONNECTED_1071, SYNOPSYS_UNCONNECTED_1072,
+         SYNOPSYS_UNCONNECTED_1073, SYNOPSYS_UNCONNECTED_1074,
+         SYNOPSYS_UNCONNECTED_1075, SYNOPSYS_UNCONNECTED_1076,
+         SYNOPSYS_UNCONNECTED_1077, SYNOPSYS_UNCONNECTED_1078,
+         SYNOPSYS_UNCONNECTED_1079, SYNOPSYS_UNCONNECTED_1080,
+         SYNOPSYS_UNCONNECTED_1081, SYNOPSYS_UNCONNECTED_1082,
+         SYNOPSYS_UNCONNECTED_1083, SYNOPSYS_UNCONNECTED_1084,
+         SYNOPSYS_UNCONNECTED_1085, SYNOPSYS_UNCONNECTED_1086,
+         SYNOPSYS_UNCONNECTED_1087, SYNOPSYS_UNCONNECTED_1088,
+         SYNOPSYS_UNCONNECTED_1089, SYNOPSYS_UNCONNECTED_1090,
+         SYNOPSYS_UNCONNECTED_1091, SYNOPSYS_UNCONNECTED_1092,
+         SYNOPSYS_UNCONNECTED_1093, SYNOPSYS_UNCONNECTED_1094,
+         SYNOPSYS_UNCONNECTED_1095, SYNOPSYS_UNCONNECTED_1096,
+         SYNOPSYS_UNCONNECTED_1097, SYNOPSYS_UNCONNECTED_1098,
+         SYNOPSYS_UNCONNECTED_1099, SYNOPSYS_UNCONNECTED_1100,
+         SYNOPSYS_UNCONNECTED_1101, SYNOPSYS_UNCONNECTED_1102,
+         SYNOPSYS_UNCONNECTED_1103, SYNOPSYS_UNCONNECTED_1104,
+         SYNOPSYS_UNCONNECTED_1105, SYNOPSYS_UNCONNECTED_1106,
+         SYNOPSYS_UNCONNECTED_1107, SYNOPSYS_UNCONNECTED_1108,
+         SYNOPSYS_UNCONNECTED_1109, SYNOPSYS_UNCONNECTED_1110,
+         SYNOPSYS_UNCONNECTED_1111, SYNOPSYS_UNCONNECTED_1112,
+         SYNOPSYS_UNCONNECTED_1113, SYNOPSYS_UNCONNECTED_1114,
+         SYNOPSYS_UNCONNECTED_1115, SYNOPSYS_UNCONNECTED_1116,
+         SYNOPSYS_UNCONNECTED_1117, SYNOPSYS_UNCONNECTED_1118,
+         SYNOPSYS_UNCONNECTED_1119, SYNOPSYS_UNCONNECTED_1120,
+         SYNOPSYS_UNCONNECTED_1121, SYNOPSYS_UNCONNECTED_1122,
+         SYNOPSYS_UNCONNECTED_1123, SYNOPSYS_UNCONNECTED_1124,
+         SYNOPSYS_UNCONNECTED_1125, SYNOPSYS_UNCONNECTED_1126,
+         SYNOPSYS_UNCONNECTED_1127, SYNOPSYS_UNCONNECTED_1128,
+         SYNOPSYS_UNCONNECTED_1129, SYNOPSYS_UNCONNECTED_1130,
+         SYNOPSYS_UNCONNECTED_1131, SYNOPSYS_UNCONNECTED_1132,
+         SYNOPSYS_UNCONNECTED_1133, SYNOPSYS_UNCONNECTED_1134,
+         SYNOPSYS_UNCONNECTED_1135, SYNOPSYS_UNCONNECTED_1136,
+         SYNOPSYS_UNCONNECTED_1137, SYNOPSYS_UNCONNECTED_1138,
+         SYNOPSYS_UNCONNECTED_1139, SYNOPSYS_UNCONNECTED_1140,
+         SYNOPSYS_UNCONNECTED_1141, SYNOPSYS_UNCONNECTED_1142,
+         SYNOPSYS_UNCONNECTED_1143, SYNOPSYS_UNCONNECTED_1144,
+         SYNOPSYS_UNCONNECTED_1145, SYNOPSYS_UNCONNECTED_1146,
+         SYNOPSYS_UNCONNECTED_1147, SYNOPSYS_UNCONNECTED_1148,
+         SYNOPSYS_UNCONNECTED_1149, SYNOPSYS_UNCONNECTED_1150,
+         SYNOPSYS_UNCONNECTED_1151, SYNOPSYS_UNCONNECTED_1152,
+         SYNOPSYS_UNCONNECTED_1153, SYNOPSYS_UNCONNECTED_1154,
+         SYNOPSYS_UNCONNECTED_1155, SYNOPSYS_UNCONNECTED_1156,
+         SYNOPSYS_UNCONNECTED_1157, SYNOPSYS_UNCONNECTED_1158,
+         SYNOPSYS_UNCONNECTED_1159, SYNOPSYS_UNCONNECTED_1160,
+         SYNOPSYS_UNCONNECTED_1161, SYNOPSYS_UNCONNECTED_1162,
+         SYNOPSYS_UNCONNECTED_1163, SYNOPSYS_UNCONNECTED_1164,
+         SYNOPSYS_UNCONNECTED_1165, SYNOPSYS_UNCONNECTED_1166,
+         SYNOPSYS_UNCONNECTED_1167, SYNOPSYS_UNCONNECTED_1168,
+         SYNOPSYS_UNCONNECTED_1169, SYNOPSYS_UNCONNECTED_1170,
+         SYNOPSYS_UNCONNECTED_1171, SYNOPSYS_UNCONNECTED_1172,
+         SYNOPSYS_UNCONNECTED_1173, SYNOPSYS_UNCONNECTED_1174,
+         SYNOPSYS_UNCONNECTED_1175, SYNOPSYS_UNCONNECTED_1176,
+         SYNOPSYS_UNCONNECTED_1177, SYNOPSYS_UNCONNECTED_1178,
+         SYNOPSYS_UNCONNECTED_1179, SYNOPSYS_UNCONNECTED_1180,
+         SYNOPSYS_UNCONNECTED_1181, SYNOPSYS_UNCONNECTED_1182,
+         SYNOPSYS_UNCONNECTED_1183, SYNOPSYS_UNCONNECTED_1184,
+         SYNOPSYS_UNCONNECTED_1185, SYNOPSYS_UNCONNECTED_1186,
+         SYNOPSYS_UNCONNECTED_1187, SYNOPSYS_UNCONNECTED_1188,
+         SYNOPSYS_UNCONNECTED_1189, SYNOPSYS_UNCONNECTED_1190,
+         SYNOPSYS_UNCONNECTED_1191, SYNOPSYS_UNCONNECTED_1192,
+         SYNOPSYS_UNCONNECTED_1193, SYNOPSYS_UNCONNECTED_1194,
+         SYNOPSYS_UNCONNECTED_1195, SYNOPSYS_UNCONNECTED_1196,
+         SYNOPSYS_UNCONNECTED_1197, SYNOPSYS_UNCONNECTED_1198,
+         SYNOPSYS_UNCONNECTED_1199, SYNOPSYS_UNCONNECTED_1200,
+         SYNOPSYS_UNCONNECTED_1201, SYNOPSYS_UNCONNECTED_1202,
+         SYNOPSYS_UNCONNECTED_1203, SYNOPSYS_UNCONNECTED_1204,
+         SYNOPSYS_UNCONNECTED_1205, SYNOPSYS_UNCONNECTED_1206,
+         SYNOPSYS_UNCONNECTED_1207, SYNOPSYS_UNCONNECTED_1208,
+         SYNOPSYS_UNCONNECTED_1209, SYNOPSYS_UNCONNECTED_1210,
+         SYNOPSYS_UNCONNECTED_1211, SYNOPSYS_UNCONNECTED_1212,
+         SYNOPSYS_UNCONNECTED_1213, SYNOPSYS_UNCONNECTED_1214,
+         SYNOPSYS_UNCONNECTED_1215, SYNOPSYS_UNCONNECTED_1216,
+         SYNOPSYS_UNCONNECTED_1217, SYNOPSYS_UNCONNECTED_1218,
+         SYNOPSYS_UNCONNECTED_1219, SYNOPSYS_UNCONNECTED_1220,
+         SYNOPSYS_UNCONNECTED_1221, SYNOPSYS_UNCONNECTED_1222,
+         SYNOPSYS_UNCONNECTED_1223, SYNOPSYS_UNCONNECTED_1224,
+         SYNOPSYS_UNCONNECTED_1225, SYNOPSYS_UNCONNECTED_1226,
+         SYNOPSYS_UNCONNECTED_1227, SYNOPSYS_UNCONNECTED_1228,
+         SYNOPSYS_UNCONNECTED_1229, SYNOPSYS_UNCONNECTED_1230,
+         SYNOPSYS_UNCONNECTED_1231, SYNOPSYS_UNCONNECTED_1232,
+         SYNOPSYS_UNCONNECTED_1233, SYNOPSYS_UNCONNECTED_1234,
+         SYNOPSYS_UNCONNECTED_1235, SYNOPSYS_UNCONNECTED_1236,
+         SYNOPSYS_UNCONNECTED_1237, SYNOPSYS_UNCONNECTED_1238,
+         SYNOPSYS_UNCONNECTED_1239, SYNOPSYS_UNCONNECTED_1240,
+         SYNOPSYS_UNCONNECTED_1241, SYNOPSYS_UNCONNECTED_1242,
+         SYNOPSYS_UNCONNECTED_1243, SYNOPSYS_UNCONNECTED_1244,
+         SYNOPSYS_UNCONNECTED_1245, SYNOPSYS_UNCONNECTED_1246,
+         SYNOPSYS_UNCONNECTED_1247, SYNOPSYS_UNCONNECTED_1248,
+         SYNOPSYS_UNCONNECTED_1249, SYNOPSYS_UNCONNECTED_1250,
+         SYNOPSYS_UNCONNECTED_1251, SYNOPSYS_UNCONNECTED_1252,
+         SYNOPSYS_UNCONNECTED_1253, SYNOPSYS_UNCONNECTED_1254,
+         SYNOPSYS_UNCONNECTED_1255, SYNOPSYS_UNCONNECTED_1256,
+         SYNOPSYS_UNCONNECTED_1257, SYNOPSYS_UNCONNECTED_1258,
+         SYNOPSYS_UNCONNECTED_1259, SYNOPSYS_UNCONNECTED_1260,
+         SYNOPSYS_UNCONNECTED_1261, SYNOPSYS_UNCONNECTED_1262,
+         SYNOPSYS_UNCONNECTED_1263, SYNOPSYS_UNCONNECTED_1264,
+         SYNOPSYS_UNCONNECTED_1265, SYNOPSYS_UNCONNECTED_1266,
+         SYNOPSYS_UNCONNECTED_1267, SYNOPSYS_UNCONNECTED_1268,
+         SYNOPSYS_UNCONNECTED_1269, SYNOPSYS_UNCONNECTED_1270,
+         SYNOPSYS_UNCONNECTED_1271, SYNOPSYS_UNCONNECTED_1272,
+         SYNOPSYS_UNCONNECTED_1273, SYNOPSYS_UNCONNECTED_1274,
+         SYNOPSYS_UNCONNECTED_1275, SYNOPSYS_UNCONNECTED_1276,
+         SYNOPSYS_UNCONNECTED_1277, SYNOPSYS_UNCONNECTED_1278,
+         SYNOPSYS_UNCONNECTED_1279, SYNOPSYS_UNCONNECTED_1280,
+         SYNOPSYS_UNCONNECTED_1281, SYNOPSYS_UNCONNECTED_1282,
+         SYNOPSYS_UNCONNECTED_1283, SYNOPSYS_UNCONNECTED_1284,
+         SYNOPSYS_UNCONNECTED_1285, SYNOPSYS_UNCONNECTED_1286,
+         SYNOPSYS_UNCONNECTED_1287, SYNOPSYS_UNCONNECTED_1288,
+         SYNOPSYS_UNCONNECTED_1289, SYNOPSYS_UNCONNECTED_1290,
+         SYNOPSYS_UNCONNECTED_1291, SYNOPSYS_UNCONNECTED_1292,
+         SYNOPSYS_UNCONNECTED_1293, SYNOPSYS_UNCONNECTED_1294,
+         SYNOPSYS_UNCONNECTED_1295, SYNOPSYS_UNCONNECTED_1296,
+         SYNOPSYS_UNCONNECTED_1297, SYNOPSYS_UNCONNECTED_1298,
+         SYNOPSYS_UNCONNECTED_1299, SYNOPSYS_UNCONNECTED_1300,
+         SYNOPSYS_UNCONNECTED_1301, SYNOPSYS_UNCONNECTED_1302,
+         SYNOPSYS_UNCONNECTED_1303, SYNOPSYS_UNCONNECTED_1304,
+         SYNOPSYS_UNCONNECTED_1305, SYNOPSYS_UNCONNECTED_1306,
+         SYNOPSYS_UNCONNECTED_1307, SYNOPSYS_UNCONNECTED_1308,
+         SYNOPSYS_UNCONNECTED_1309, SYNOPSYS_UNCONNECTED_1310,
+         SYNOPSYS_UNCONNECTED_1311, SYNOPSYS_UNCONNECTED_1312,
+         SYNOPSYS_UNCONNECTED_1313, SYNOPSYS_UNCONNECTED_1314,
+         SYNOPSYS_UNCONNECTED_1315, SYNOPSYS_UNCONNECTED_1316,
+         SYNOPSYS_UNCONNECTED_1317, SYNOPSYS_UNCONNECTED_1318,
+         SYNOPSYS_UNCONNECTED_1319, SYNOPSYS_UNCONNECTED_1320,
+         SYNOPSYS_UNCONNECTED_1321, SYNOPSYS_UNCONNECTED_1322,
+         SYNOPSYS_UNCONNECTED_1323, SYNOPSYS_UNCONNECTED_1324,
+         SYNOPSYS_UNCONNECTED_1325, SYNOPSYS_UNCONNECTED_1326,
+         SYNOPSYS_UNCONNECTED_1327, SYNOPSYS_UNCONNECTED_1328,
+         SYNOPSYS_UNCONNECTED_1329, SYNOPSYS_UNCONNECTED_1330,
+         SYNOPSYS_UNCONNECTED_1331, SYNOPSYS_UNCONNECTED_1332,
+         SYNOPSYS_UNCONNECTED_1333, SYNOPSYS_UNCONNECTED_1334,
+         SYNOPSYS_UNCONNECTED_1335, SYNOPSYS_UNCONNECTED_1336,
+         SYNOPSYS_UNCONNECTED_1337, SYNOPSYS_UNCONNECTED_1338,
+         SYNOPSYS_UNCONNECTED_1339, SYNOPSYS_UNCONNECTED_1340,
+         SYNOPSYS_UNCONNECTED_1341, SYNOPSYS_UNCONNECTED_1342,
+         SYNOPSYS_UNCONNECTED_1343, SYNOPSYS_UNCONNECTED_1344,
+         SYNOPSYS_UNCONNECTED_1345, SYNOPSYS_UNCONNECTED_1346,
+         SYNOPSYS_UNCONNECTED_1347, SYNOPSYS_UNCONNECTED_1348,
+         SYNOPSYS_UNCONNECTED_1349, SYNOPSYS_UNCONNECTED_1350,
+         SYNOPSYS_UNCONNECTED_1351, SYNOPSYS_UNCONNECTED_1352,
+         SYNOPSYS_UNCONNECTED_1353, SYNOPSYS_UNCONNECTED_1354,
+         SYNOPSYS_UNCONNECTED_1355, SYNOPSYS_UNCONNECTED_1356,
+         SYNOPSYS_UNCONNECTED_1357, SYNOPSYS_UNCONNECTED_1358,
+         SYNOPSYS_UNCONNECTED_1359, SYNOPSYS_UNCONNECTED_1360,
+         SYNOPSYS_UNCONNECTED_1361, SYNOPSYS_UNCONNECTED_1362,
+         SYNOPSYS_UNCONNECTED_1363, SYNOPSYS_UNCONNECTED_1364,
+         SYNOPSYS_UNCONNECTED_1365, SYNOPSYS_UNCONNECTED_1366,
+         SYNOPSYS_UNCONNECTED_1367, SYNOPSYS_UNCONNECTED_1368,
+         SYNOPSYS_UNCONNECTED_1369, SYNOPSYS_UNCONNECTED_1370,
+         SYNOPSYS_UNCONNECTED_1371, SYNOPSYS_UNCONNECTED_1372,
+         SYNOPSYS_UNCONNECTED_1373, SYNOPSYS_UNCONNECTED_1374,
+         SYNOPSYS_UNCONNECTED_1375, SYNOPSYS_UNCONNECTED_1376,
+         SYNOPSYS_UNCONNECTED_1377, SYNOPSYS_UNCONNECTED_1378,
+         SYNOPSYS_UNCONNECTED_1379, SYNOPSYS_UNCONNECTED_1380,
+         SYNOPSYS_UNCONNECTED_1381, SYNOPSYS_UNCONNECTED_1382,
+         SYNOPSYS_UNCONNECTED_1383, SYNOPSYS_UNCONNECTED_1384,
+         SYNOPSYS_UNCONNECTED_1385, SYNOPSYS_UNCONNECTED_1386,
+         SYNOPSYS_UNCONNECTED_1387, SYNOPSYS_UNCONNECTED_1388,
+         SYNOPSYS_UNCONNECTED_1389, SYNOPSYS_UNCONNECTED_1390,
+         SYNOPSYS_UNCONNECTED_1391, SYNOPSYS_UNCONNECTED_1392,
+         SYNOPSYS_UNCONNECTED_1393, SYNOPSYS_UNCONNECTED_1394,
+         SYNOPSYS_UNCONNECTED_1395, SYNOPSYS_UNCONNECTED_1396,
+         SYNOPSYS_UNCONNECTED_1397, SYNOPSYS_UNCONNECTED_1398,
+         SYNOPSYS_UNCONNECTED_1399, SYNOPSYS_UNCONNECTED_1400,
+         SYNOPSYS_UNCONNECTED_1401, SYNOPSYS_UNCONNECTED_1402,
+         SYNOPSYS_UNCONNECTED_1403, SYNOPSYS_UNCONNECTED_1404,
+         SYNOPSYS_UNCONNECTED_1405, SYNOPSYS_UNCONNECTED_1406,
+         SYNOPSYS_UNCONNECTED_1407, SYNOPSYS_UNCONNECTED_1408,
+         SYNOPSYS_UNCONNECTED_1409, SYNOPSYS_UNCONNECTED_1410,
+         SYNOPSYS_UNCONNECTED_1411, SYNOPSYS_UNCONNECTED_1412,
+         SYNOPSYS_UNCONNECTED_1413, SYNOPSYS_UNCONNECTED_1414,
+         SYNOPSYS_UNCONNECTED_1415;
+  wire   [31:1] intr_vector;
+  wire   [2:0] rst_buf;
+  wire   [2:0] en_buf;
+  wire   [34:0] xbar_to_ifu;
+  wire   [11:0] ifu_to_xbar;
+  wire   [34:0] xbar_to_lsu;
+  wire   [65:0] lsu_to_xbar;
+  wire   [11:0] xbar_to_iccm;
+  wire   [34:0] iccm_to_xbar;
+  wire   [49:0] xbar_to_dccm;
+  wire   [35:0] dccm_to_xbar;
+  wire   [42:0] xbar_to_gpio;
+  wire   [35:0] gpio_to_xbar;
+  wire   [45:0] plic_req;
+  wire   [35:0] plic_resp;
+  wire   [42:0] xbar_to_uart;
+  wire   [35:0] uart_to_xbar;
+  wire   [31:0] iccm_cntrl_data;
+  wire   [31:0] tlul_data;
+  wire   [11:0] tlul_addr;
+  wire   [11:0] iccm_cntrl_addr;
+  wire   [31:0] inst_buffer;
+  wire   [7:0] rx_byte_i;
+  wire   [31:0] rx_spi_inst_i;
+
+  opentitan_soc_top_opentitan_tlul_wrapper_0 u_top ( .clk_i(clk_i), .rst_ni(
+        n72), .ram_cfg_i(n57), .scan_rst_ni(n74), .crash_dump_o({
+        SYNOPSYS_UNCONNECTED_1, SYNOPSYS_UNCONNECTED_2, SYNOPSYS_UNCONNECTED_3, 
+        SYNOPSYS_UNCONNECTED_4, SYNOPSYS_UNCONNECTED_5, SYNOPSYS_UNCONNECTED_6, 
+        SYNOPSYS_UNCONNECTED_7, SYNOPSYS_UNCONNECTED_8, SYNOPSYS_UNCONNECTED_9, 
+        SYNOPSYS_UNCONNECTED_10, SYNOPSYS_UNCONNECTED_11, 
+        SYNOPSYS_UNCONNECTED_12, SYNOPSYS_UNCONNECTED_13, 
+        SYNOPSYS_UNCONNECTED_14, SYNOPSYS_UNCONNECTED_15, 
+        SYNOPSYS_UNCONNECTED_16, SYNOPSYS_UNCONNECTED_17, 
+        SYNOPSYS_UNCONNECTED_18, SYNOPSYS_UNCONNECTED_19, 
+        SYNOPSYS_UNCONNECTED_20, SYNOPSYS_UNCONNECTED_21, 
+        SYNOPSYS_UNCONNECTED_22, SYNOPSYS_UNCONNECTED_23, 
+        SYNOPSYS_UNCONNECTED_24, SYNOPSYS_UNCONNECTED_25, 
+        SYNOPSYS_UNCONNECTED_26, SYNOPSYS_UNCONNECTED_27, 
+        SYNOPSYS_UNCONNECTED_28, SYNOPSYS_UNCONNECTED_29, 
+        SYNOPSYS_UNCONNECTED_30, SYNOPSYS_UNCONNECTED_31, 
+        SYNOPSYS_UNCONNECTED_32, SYNOPSYS_UNCONNECTED_33, 
+        SYNOPSYS_UNCONNECTED_34, SYNOPSYS_UNCONNECTED_35, 
+        SYNOPSYS_UNCONNECTED_36, SYNOPSYS_UNCONNECTED_37, 
+        SYNOPSYS_UNCONNECTED_38, SYNOPSYS_UNCONNECTED_39, 
+        SYNOPSYS_UNCONNECTED_40, SYNOPSYS_UNCONNECTED_41, 
+        SYNOPSYS_UNCONNECTED_42, SYNOPSYS_UNCONNECTED_43, 
+        SYNOPSYS_UNCONNECTED_44, SYNOPSYS_UNCONNECTED_45, 
+        SYNOPSYS_UNCONNECTED_46, SYNOPSYS_UNCONNECTED_47, 
+        SYNOPSYS_UNCONNECTED_48, SYNOPSYS_UNCONNECTED_49, 
+        SYNOPSYS_UNCONNECTED_50, SYNOPSYS_UNCONNECTED_51, 
+        SYNOPSYS_UNCONNECTED_52, SYNOPSYS_UNCONNECTED_53, 
+        SYNOPSYS_UNCONNECTED_54, SYNOPSYS_UNCONNECTED_55, 
+        SYNOPSYS_UNCONNECTED_56, SYNOPSYS_UNCONNECTED_57, 
+        SYNOPSYS_UNCONNECTED_58, SYNOPSYS_UNCONNECTED_59, 
+        SYNOPSYS_UNCONNECTED_60, SYNOPSYS_UNCONNECTED_61, 
+        SYNOPSYS_UNCONNECTED_62, SYNOPSYS_UNCONNECTED_63, 
+        SYNOPSYS_UNCONNECTED_64, SYNOPSYS_UNCONNECTED_65, 
+        SYNOPSYS_UNCONNECTED_66, SYNOPSYS_UNCONNECTED_67, 
+        SYNOPSYS_UNCONNECTED_68, SYNOPSYS_UNCONNECTED_69, 
+        SYNOPSYS_UNCONNECTED_70, SYNOPSYS_UNCONNECTED_71, 
+        SYNOPSYS_UNCONNECTED_72, SYNOPSYS_UNCONNECTED_73, 
+        SYNOPSYS_UNCONNECTED_74, SYNOPSYS_UNCONNECTED_75, 
+        SYNOPSYS_UNCONNECTED_76, SYNOPSYS_UNCONNECTED_77, 
+        SYNOPSYS_UNCONNECTED_78, SYNOPSYS_UNCONNECTED_79, 
+        SYNOPSYS_UNCONNECTED_80, SYNOPSYS_UNCONNECTED_81, 
+        SYNOPSYS_UNCONNECTED_82, SYNOPSYS_UNCONNECTED_83, 
+        SYNOPSYS_UNCONNECTED_84, SYNOPSYS_UNCONNECTED_85, 
+        SYNOPSYS_UNCONNECTED_86, SYNOPSYS_UNCONNECTED_87, 
+        SYNOPSYS_UNCONNECTED_88, SYNOPSYS_UNCONNECTED_89, 
+        SYNOPSYS_UNCONNECTED_90, SYNOPSYS_UNCONNECTED_91, 
+        SYNOPSYS_UNCONNECTED_92, SYNOPSYS_UNCONNECTED_93, 
+        SYNOPSYS_UNCONNECTED_94, SYNOPSYS_UNCONNECTED_95, 
+        SYNOPSYS_UNCONNECTED_96, SYNOPSYS_UNCONNECTED_97, 
+        SYNOPSYS_UNCONNECTED_98, SYNOPSYS_UNCONNECTED_99, 
+        SYNOPSYS_UNCONNECTED_100, SYNOPSYS_UNCONNECTED_101, 
+        SYNOPSYS_UNCONNECTED_102, SYNOPSYS_UNCONNECTED_103, 
+        SYNOPSYS_UNCONNECTED_104, SYNOPSYS_UNCONNECTED_105, 
+        SYNOPSYS_UNCONNECTED_106, SYNOPSYS_UNCONNECTED_107, 
+        SYNOPSYS_UNCONNECTED_108, SYNOPSYS_UNCONNECTED_109, 
+        SYNOPSYS_UNCONNECTED_110, SYNOPSYS_UNCONNECTED_111, 
+        SYNOPSYS_UNCONNECTED_112, SYNOPSYS_UNCONNECTED_113, 
+        SYNOPSYS_UNCONNECTED_114, SYNOPSYS_UNCONNECTED_115, 
+        SYNOPSYS_UNCONNECTED_116, SYNOPSYS_UNCONNECTED_117, 
+        SYNOPSYS_UNCONNECTED_118, SYNOPSYS_UNCONNECTED_119, 
+        SYNOPSYS_UNCONNECTED_120, SYNOPSYS_UNCONNECTED_121, 
+        SYNOPSYS_UNCONNECTED_122, SYNOPSYS_UNCONNECTED_123, 
+        SYNOPSYS_UNCONNECTED_124, SYNOPSYS_UNCONNECTED_125, 
+        SYNOPSYS_UNCONNECTED_126, SYNOPSYS_UNCONNECTED_127, 
+        SYNOPSYS_UNCONNECTED_128}), .tl_i_i({xbar_to_ifu[34], n74, n74, 1'b0, 
+        n74, n74, n74, 1'b0, n74, n74, n74, n74, n74, n74, n74, n74, 1'b0, n74, 
+        xbar_to_ifu[33:0]}), .tl_i_o({ifu_to_xbar[11], 
+        SYNOPSYS_UNCONNECTED_129, SYNOPSYS_UNCONNECTED_130, 
+        SYNOPSYS_UNCONNECTED_131, SYNOPSYS_UNCONNECTED_132, 
+        SYNOPSYS_UNCONNECTED_133, SYNOPSYS_UNCONNECTED_134, 
+        SYNOPSYS_UNCONNECTED_135, SYNOPSYS_UNCONNECTED_136, 
+        SYNOPSYS_UNCONNECTED_137, SYNOPSYS_UNCONNECTED_138, 
+        SYNOPSYS_UNCONNECTED_139, SYNOPSYS_UNCONNECTED_140, 
+        SYNOPSYS_UNCONNECTED_141, SYNOPSYS_UNCONNECTED_142, 
+        SYNOPSYS_UNCONNECTED_143, SYNOPSYS_UNCONNECTED_144, 
+        SYNOPSYS_UNCONNECTED_145, SYNOPSYS_UNCONNECTED_146, 
+        SYNOPSYS_UNCONNECTED_147, SYNOPSYS_UNCONNECTED_148, 
+        SYNOPSYS_UNCONNECTED_149, SYNOPSYS_UNCONNECTED_150, 
+        SYNOPSYS_UNCONNECTED_151, SYNOPSYS_UNCONNECTED_152, 
+        SYNOPSYS_UNCONNECTED_153, SYNOPSYS_UNCONNECTED_154, 
+        SYNOPSYS_UNCONNECTED_155, SYNOPSYS_UNCONNECTED_156, 
+        SYNOPSYS_UNCONNECTED_157, SYNOPSYS_UNCONNECTED_158, 
+        SYNOPSYS_UNCONNECTED_159, SYNOPSYS_UNCONNECTED_160, 
+        SYNOPSYS_UNCONNECTED_161, SYNOPSYS_UNCONNECTED_162, ifu_to_xbar[10], 
+        SYNOPSYS_UNCONNECTED_163, ifu_to_xbar[9:0], SYNOPSYS_UNCONNECTED_164, 
+        SYNOPSYS_UNCONNECTED_165, SYNOPSYS_UNCONNECTED_166, 
+        SYNOPSYS_UNCONNECTED_167, SYNOPSYS_UNCONNECTED_168, 
+        SYNOPSYS_UNCONNECTED_169, SYNOPSYS_UNCONNECTED_170, 
+        SYNOPSYS_UNCONNECTED_171, SYNOPSYS_UNCONNECTED_172, 
+        SYNOPSYS_UNCONNECTED_173, SYNOPSYS_UNCONNECTED_174, 
+        SYNOPSYS_UNCONNECTED_175, SYNOPSYS_UNCONNECTED_176, 
+        SYNOPSYS_UNCONNECTED_177, SYNOPSYS_UNCONNECTED_178, 
+        SYNOPSYS_UNCONNECTED_179, SYNOPSYS_UNCONNECTED_180, 
+        SYNOPSYS_UNCONNECTED_181, SYNOPSYS_UNCONNECTED_182, 
+        SYNOPSYS_UNCONNECTED_183, SYNOPSYS_UNCONNECTED_184, 
+        SYNOPSYS_UNCONNECTED_185, SYNOPSYS_UNCONNECTED_186, 
+        SYNOPSYS_UNCONNECTED_187, SYNOPSYS_UNCONNECTED_188, 
+        SYNOPSYS_UNCONNECTED_189, SYNOPSYS_UNCONNECTED_190, 
+        SYNOPSYS_UNCONNECTED_191, SYNOPSYS_UNCONNECTED_192, 
+        SYNOPSYS_UNCONNECTED_193, SYNOPSYS_UNCONNECTED_194, 
+        SYNOPSYS_UNCONNECTED_195, SYNOPSYS_UNCONNECTED_196, 
+        SYNOPSYS_UNCONNECTED_197, SYNOPSYS_UNCONNECTED_198, 
+        SYNOPSYS_UNCONNECTED_199, SYNOPSYS_UNCONNECTED_200, 
+        SYNOPSYS_UNCONNECTED_201, SYNOPSYS_UNCONNECTED_202}), .tl_d_i({
+        xbar_to_lsu[34], n74, n74, 1'b0, n74, n74, n74, 1'b0, n74, n74, n74, 
+        n74, n74, n74, n74, n74, 1'b0, n74, xbar_to_lsu[33:0]}), .tl_d_o({
+        lsu_to_xbar[65:64], SYNOPSYS_UNCONNECTED_203, lsu_to_xbar[63], 
+        SYNOPSYS_UNCONNECTED_204, SYNOPSYS_UNCONNECTED_205, 
+        SYNOPSYS_UNCONNECTED_206, SYNOPSYS_UNCONNECTED_207, 
+        SYNOPSYS_UNCONNECTED_208, SYNOPSYS_UNCONNECTED_209, 
+        SYNOPSYS_UNCONNECTED_210, SYNOPSYS_UNCONNECTED_211, 
+        SYNOPSYS_UNCONNECTED_212, SYNOPSYS_UNCONNECTED_213, 
+        SYNOPSYS_UNCONNECTED_214, SYNOPSYS_UNCONNECTED_215, 
+        SYNOPSYS_UNCONNECTED_216, lsu_to_xbar[62:47], SYNOPSYS_UNCONNECTED_217, 
+        SYNOPSYS_UNCONNECTED_218, lsu_to_xbar[46], SYNOPSYS_UNCONNECTED_219, 
+        lsu_to_xbar[45:36], SYNOPSYS_UNCONNECTED_220, SYNOPSYS_UNCONNECTED_221, 
+        lsu_to_xbar[35:0], SYNOPSYS_UNCONNECTED_222}), .test_en_i(n57), 
+        .hart_id_i({n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74}), .boot_addr_i({n74, n74, n57, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74}), 
+        .irq_software_i(n74), .irq_timer_i(n74), .irq_external_i(intr_req), 
+        .irq_fast_i({n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74}), .irq_nm_i(n74), .fetch_enable_i(n57) );
+  opentitan_soc_top_xbar_periph_0 periph_switch ( .clk_i(clk_i), .rst_ni(n70), 
+        .tl_if_i({ifu_to_xbar[11], n57, n74, n74, n74, n74, n74, n57, n74, n74, 
+        n74, n74, n74, n74, n74, n74, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        ifu_to_xbar[10], 1'b0, ifu_to_xbar[9:0], n74, n74, n57, n57, n57, n57, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n57}), .tl_if_o({xbar_to_ifu[34], 
+        SYNOPSYS_UNCONNECTED_223, SYNOPSYS_UNCONNECTED_224, 
+        SYNOPSYS_UNCONNECTED_225, SYNOPSYS_UNCONNECTED_226, 
+        SYNOPSYS_UNCONNECTED_227, SYNOPSYS_UNCONNECTED_228, 
+        SYNOPSYS_UNCONNECTED_229, SYNOPSYS_UNCONNECTED_230, 
+        SYNOPSYS_UNCONNECTED_231, SYNOPSYS_UNCONNECTED_232, 
+        SYNOPSYS_UNCONNECTED_233, SYNOPSYS_UNCONNECTED_234, 
+        SYNOPSYS_UNCONNECTED_235, SYNOPSYS_UNCONNECTED_236, 
+        SYNOPSYS_UNCONNECTED_237, SYNOPSYS_UNCONNECTED_238, 
+        SYNOPSYS_UNCONNECTED_239, xbar_to_ifu[33:0]}), .tl_lsu_i({
+        lsu_to_xbar[65:64], n74, lsu_to_xbar[63], n74, n74, n74, n57, n74, n74, 
+        n74, n74, n74, n74, n74, n74, 1'b0, lsu_to_xbar[62:47], 1'b0, 1'b0, 
+        lsu_to_xbar[46], 1'b0, lsu_to_xbar[45:36], n74, n74, lsu_to_xbar[35:0], 
+        n57}), .tl_lsu_o({xbar_to_lsu[34], SYNOPSYS_UNCONNECTED_240, 
+        SYNOPSYS_UNCONNECTED_241, SYNOPSYS_UNCONNECTED_242, 
+        SYNOPSYS_UNCONNECTED_243, SYNOPSYS_UNCONNECTED_244, 
+        SYNOPSYS_UNCONNECTED_245, SYNOPSYS_UNCONNECTED_246, 
+        SYNOPSYS_UNCONNECTED_247, SYNOPSYS_UNCONNECTED_248, 
+        SYNOPSYS_UNCONNECTED_249, SYNOPSYS_UNCONNECTED_250, 
+        SYNOPSYS_UNCONNECTED_251, SYNOPSYS_UNCONNECTED_252, 
+        SYNOPSYS_UNCONNECTED_253, SYNOPSYS_UNCONNECTED_254, 
+        SYNOPSYS_UNCONNECTED_255, SYNOPSYS_UNCONNECTED_256, xbar_to_lsu[33:0]}), .tl_iccm_o({xbar_to_iccm[11], SYNOPSYS_UNCONNECTED_257, 
+        SYNOPSYS_UNCONNECTED_258, SYNOPSYS_UNCONNECTED_259, 
+        SYNOPSYS_UNCONNECTED_260, SYNOPSYS_UNCONNECTED_261, 
+        SYNOPSYS_UNCONNECTED_262, SYNOPSYS_UNCONNECTED_263, 
+        SYNOPSYS_UNCONNECTED_264, SYNOPSYS_UNCONNECTED_265, 
+        SYNOPSYS_UNCONNECTED_266, SYNOPSYS_UNCONNECTED_267, 
+        SYNOPSYS_UNCONNECTED_268, SYNOPSYS_UNCONNECTED_269, 
+        SYNOPSYS_UNCONNECTED_270, SYNOPSYS_UNCONNECTED_271, 
+        SYNOPSYS_UNCONNECTED_272, SYNOPSYS_UNCONNECTED_273, 
+        SYNOPSYS_UNCONNECTED_274, SYNOPSYS_UNCONNECTED_275, 
+        SYNOPSYS_UNCONNECTED_276, SYNOPSYS_UNCONNECTED_277, 
+        SYNOPSYS_UNCONNECTED_278, SYNOPSYS_UNCONNECTED_279, 
+        SYNOPSYS_UNCONNECTED_280, SYNOPSYS_UNCONNECTED_281, 
+        SYNOPSYS_UNCONNECTED_282, SYNOPSYS_UNCONNECTED_283, 
+        SYNOPSYS_UNCONNECTED_284, SYNOPSYS_UNCONNECTED_285, 
+        SYNOPSYS_UNCONNECTED_286, SYNOPSYS_UNCONNECTED_287, 
+        SYNOPSYS_UNCONNECTED_288, SYNOPSYS_UNCONNECTED_289, 
+        SYNOPSYS_UNCONNECTED_290, xbar_to_iccm[10], SYNOPSYS_UNCONNECTED_291, 
+        xbar_to_iccm[9:0], SYNOPSYS_UNCONNECTED_292, SYNOPSYS_UNCONNECTED_293, 
+        SYNOPSYS_UNCONNECTED_294, SYNOPSYS_UNCONNECTED_295, 
+        SYNOPSYS_UNCONNECTED_296, SYNOPSYS_UNCONNECTED_297, 
+        SYNOPSYS_UNCONNECTED_298, SYNOPSYS_UNCONNECTED_299, 
+        SYNOPSYS_UNCONNECTED_300, SYNOPSYS_UNCONNECTED_301, 
+        SYNOPSYS_UNCONNECTED_302, SYNOPSYS_UNCONNECTED_303, 
+        SYNOPSYS_UNCONNECTED_304, SYNOPSYS_UNCONNECTED_305, 
+        SYNOPSYS_UNCONNECTED_306, SYNOPSYS_UNCONNECTED_307, 
+        SYNOPSYS_UNCONNECTED_308, SYNOPSYS_UNCONNECTED_309, 
+        SYNOPSYS_UNCONNECTED_310, SYNOPSYS_UNCONNECTED_311, 
+        SYNOPSYS_UNCONNECTED_312, SYNOPSYS_UNCONNECTED_313, 
+        SYNOPSYS_UNCONNECTED_314, SYNOPSYS_UNCONNECTED_315, 
+        SYNOPSYS_UNCONNECTED_316, SYNOPSYS_UNCONNECTED_317, 
+        SYNOPSYS_UNCONNECTED_318, SYNOPSYS_UNCONNECTED_319, 
+        SYNOPSYS_UNCONNECTED_320, SYNOPSYS_UNCONNECTED_321, 
+        SYNOPSYS_UNCONNECTED_322, SYNOPSYS_UNCONNECTED_323, 
+        SYNOPSYS_UNCONNECTED_324, SYNOPSYS_UNCONNECTED_325, 
+        SYNOPSYS_UNCONNECTED_326, SYNOPSYS_UNCONNECTED_327, 
+        SYNOPSYS_UNCONNECTED_328, SYNOPSYS_UNCONNECTED_329, 
+        SYNOPSYS_UNCONNECTED_330}), .tl_iccm_i({iccm_to_xbar[34], n74, n74, 
+        1'b0, n74, n74, n74, 1'b0, n74, n74, n74, n74, n74, n74, n74, n74, 
+        1'b0, n74, iccm_to_xbar[33:0]}), .tl_dccm_o({xbar_to_dccm[49:48], 
+        SYNOPSYS_UNCONNECTED_331, xbar_to_dccm[47], SYNOPSYS_UNCONNECTED_332, 
+        SYNOPSYS_UNCONNECTED_333, SYNOPSYS_UNCONNECTED_334, 
+        SYNOPSYS_UNCONNECTED_335, SYNOPSYS_UNCONNECTED_336, 
+        SYNOPSYS_UNCONNECTED_337, SYNOPSYS_UNCONNECTED_338, 
+        SYNOPSYS_UNCONNECTED_339, SYNOPSYS_UNCONNECTED_340, 
+        SYNOPSYS_UNCONNECTED_341, SYNOPSYS_UNCONNECTED_342, 
+        SYNOPSYS_UNCONNECTED_343, SYNOPSYS_UNCONNECTED_344, 
+        SYNOPSYS_UNCONNECTED_345, SYNOPSYS_UNCONNECTED_346, 
+        SYNOPSYS_UNCONNECTED_347, SYNOPSYS_UNCONNECTED_348, 
+        SYNOPSYS_UNCONNECTED_349, SYNOPSYS_UNCONNECTED_350, 
+        SYNOPSYS_UNCONNECTED_351, SYNOPSYS_UNCONNECTED_352, 
+        SYNOPSYS_UNCONNECTED_353, SYNOPSYS_UNCONNECTED_354, 
+        SYNOPSYS_UNCONNECTED_355, SYNOPSYS_UNCONNECTED_356, 
+        SYNOPSYS_UNCONNECTED_357, SYNOPSYS_UNCONNECTED_358, 
+        SYNOPSYS_UNCONNECTED_359, SYNOPSYS_UNCONNECTED_360, 
+        SYNOPSYS_UNCONNECTED_361, SYNOPSYS_UNCONNECTED_362, xbar_to_dccm[46], 
+        SYNOPSYS_UNCONNECTED_363, xbar_to_dccm[45:36], 
+        SYNOPSYS_UNCONNECTED_364, SYNOPSYS_UNCONNECTED_365, xbar_to_dccm[35:0], 
+        SYNOPSYS_UNCONNECTED_366}), .tl_dccm_i({dccm_to_xbar[35], n74, n74, 
+        dccm_to_xbar[34], n74, n74, n74, 1'b0, n74, n74, n74, n74, n74, n74, 
+        n74, n74, 1'b0, n74, dccm_to_xbar[33:0]}), .tl_gpio_o({
+        xbar_to_gpio[42:41], SYNOPSYS_UNCONNECTED_367, xbar_to_gpio[40], 
+        SYNOPSYS_UNCONNECTED_368, SYNOPSYS_UNCONNECTED_369, 
+        SYNOPSYS_UNCONNECTED_370, SYNOPSYS_UNCONNECTED_371, 
+        SYNOPSYS_UNCONNECTED_372, SYNOPSYS_UNCONNECTED_373, 
+        SYNOPSYS_UNCONNECTED_374, SYNOPSYS_UNCONNECTED_375, 
+        SYNOPSYS_UNCONNECTED_376, SYNOPSYS_UNCONNECTED_377, 
+        SYNOPSYS_UNCONNECTED_378, SYNOPSYS_UNCONNECTED_379, 
+        SYNOPSYS_UNCONNECTED_380, SYNOPSYS_UNCONNECTED_381, 
+        SYNOPSYS_UNCONNECTED_382, SYNOPSYS_UNCONNECTED_383, 
+        SYNOPSYS_UNCONNECTED_384, SYNOPSYS_UNCONNECTED_385, 
+        SYNOPSYS_UNCONNECTED_386, SYNOPSYS_UNCONNECTED_387, 
+        SYNOPSYS_UNCONNECTED_388, SYNOPSYS_UNCONNECTED_389, 
+        SYNOPSYS_UNCONNECTED_390, SYNOPSYS_UNCONNECTED_391, 
+        SYNOPSYS_UNCONNECTED_392, SYNOPSYS_UNCONNECTED_393, 
+        SYNOPSYS_UNCONNECTED_394, SYNOPSYS_UNCONNECTED_395, 
+        SYNOPSYS_UNCONNECTED_396, SYNOPSYS_UNCONNECTED_397, 
+        SYNOPSYS_UNCONNECTED_398, SYNOPSYS_UNCONNECTED_399, 
+        SYNOPSYS_UNCONNECTED_400, SYNOPSYS_UNCONNECTED_401, 
+        SYNOPSYS_UNCONNECTED_402, SYNOPSYS_UNCONNECTED_403, 
+        SYNOPSYS_UNCONNECTED_404, SYNOPSYS_UNCONNECTED_405, 
+        SYNOPSYS_UNCONNECTED_406, xbar_to_gpio[39:36], 
+        SYNOPSYS_UNCONNECTED_407, SYNOPSYS_UNCONNECTED_408, xbar_to_gpio[35:0], 
+        SYNOPSYS_UNCONNECTED_409}), .tl_gpio_i({gpio_to_xbar[35], n74, n74, 
+        gpio_to_xbar[34], n74, n74, n74, 1'b0, n74, n74, n74, n74, n74, n74, 
+        n74, n74, 1'b0, n74, gpio_to_xbar[33:0]}), .tl_ldo1_o({
+        SYNOPSYS_UNCONNECTED_410, SYNOPSYS_UNCONNECTED_411, 
+        SYNOPSYS_UNCONNECTED_412, SYNOPSYS_UNCONNECTED_413, 
+        SYNOPSYS_UNCONNECTED_414, SYNOPSYS_UNCONNECTED_415, 
+        SYNOPSYS_UNCONNECTED_416, SYNOPSYS_UNCONNECTED_417, 
+        SYNOPSYS_UNCONNECTED_418, SYNOPSYS_UNCONNECTED_419, 
+        SYNOPSYS_UNCONNECTED_420, SYNOPSYS_UNCONNECTED_421, 
+        SYNOPSYS_UNCONNECTED_422, SYNOPSYS_UNCONNECTED_423, 
+        SYNOPSYS_UNCONNECTED_424, SYNOPSYS_UNCONNECTED_425, 
+        SYNOPSYS_UNCONNECTED_426, SYNOPSYS_UNCONNECTED_427, 
+        SYNOPSYS_UNCONNECTED_428, SYNOPSYS_UNCONNECTED_429, 
+        SYNOPSYS_UNCONNECTED_430, SYNOPSYS_UNCONNECTED_431, 
+        SYNOPSYS_UNCONNECTED_432, SYNOPSYS_UNCONNECTED_433, 
+        SYNOPSYS_UNCONNECTED_434, SYNOPSYS_UNCONNECTED_435, 
+        SYNOPSYS_UNCONNECTED_436, SYNOPSYS_UNCONNECTED_437, 
+        SYNOPSYS_UNCONNECTED_438, SYNOPSYS_UNCONNECTED_439, 
+        SYNOPSYS_UNCONNECTED_440, SYNOPSYS_UNCONNECTED_441, 
+        SYNOPSYS_UNCONNECTED_442, SYNOPSYS_UNCONNECTED_443, 
+        SYNOPSYS_UNCONNECTED_444, SYNOPSYS_UNCONNECTED_445, 
+        SYNOPSYS_UNCONNECTED_446, SYNOPSYS_UNCONNECTED_447, 
+        SYNOPSYS_UNCONNECTED_448, SYNOPSYS_UNCONNECTED_449, 
+        SYNOPSYS_UNCONNECTED_450, SYNOPSYS_UNCONNECTED_451, 
+        SYNOPSYS_UNCONNECTED_452, SYNOPSYS_UNCONNECTED_453, 
+        SYNOPSYS_UNCONNECTED_454, SYNOPSYS_UNCONNECTED_455, 
+        SYNOPSYS_UNCONNECTED_456, SYNOPSYS_UNCONNECTED_457, 
+        SYNOPSYS_UNCONNECTED_458, SYNOPSYS_UNCONNECTED_459, 
+        SYNOPSYS_UNCONNECTED_460, SYNOPSYS_UNCONNECTED_461, 
+        SYNOPSYS_UNCONNECTED_462, SYNOPSYS_UNCONNECTED_463, 
+        SYNOPSYS_UNCONNECTED_464, SYNOPSYS_UNCONNECTED_465, 
+        SYNOPSYS_UNCONNECTED_466, SYNOPSYS_UNCONNECTED_467, 
+        SYNOPSYS_UNCONNECTED_468, SYNOPSYS_UNCONNECTED_469, 
+        SYNOPSYS_UNCONNECTED_470, SYNOPSYS_UNCONNECTED_471, 
+        SYNOPSYS_UNCONNECTED_472, SYNOPSYS_UNCONNECTED_473, 
+        SYNOPSYS_UNCONNECTED_474, SYNOPSYS_UNCONNECTED_475, 
+        SYNOPSYS_UNCONNECTED_476, SYNOPSYS_UNCONNECTED_477, 
+        SYNOPSYS_UNCONNECTED_478, SYNOPSYS_UNCONNECTED_479, 
+        SYNOPSYS_UNCONNECTED_480, SYNOPSYS_UNCONNECTED_481, 
+        SYNOPSYS_UNCONNECTED_482, SYNOPSYS_UNCONNECTED_483, 
+        SYNOPSYS_UNCONNECTED_484, SYNOPSYS_UNCONNECTED_485, 
+        SYNOPSYS_UNCONNECTED_486, SYNOPSYS_UNCONNECTED_487, 
+        SYNOPSYS_UNCONNECTED_488, SYNOPSYS_UNCONNECTED_489, 
+        SYNOPSYS_UNCONNECTED_490, SYNOPSYS_UNCONNECTED_491, 
+        SYNOPSYS_UNCONNECTED_492, SYNOPSYS_UNCONNECTED_493, 
+        SYNOPSYS_UNCONNECTED_494, SYNOPSYS_UNCONNECTED_495}), .tl_ldo1_i({n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74}), .tl_ldo2_o({
+        SYNOPSYS_UNCONNECTED_496, SYNOPSYS_UNCONNECTED_497, 
+        SYNOPSYS_UNCONNECTED_498, SYNOPSYS_UNCONNECTED_499, 
+        SYNOPSYS_UNCONNECTED_500, SYNOPSYS_UNCONNECTED_501, 
+        SYNOPSYS_UNCONNECTED_502, SYNOPSYS_UNCONNECTED_503, 
+        SYNOPSYS_UNCONNECTED_504, SYNOPSYS_UNCONNECTED_505, 
+        SYNOPSYS_UNCONNECTED_506, SYNOPSYS_UNCONNECTED_507, 
+        SYNOPSYS_UNCONNECTED_508, SYNOPSYS_UNCONNECTED_509, 
+        SYNOPSYS_UNCONNECTED_510, SYNOPSYS_UNCONNECTED_511, 
+        SYNOPSYS_UNCONNECTED_512, SYNOPSYS_UNCONNECTED_513, 
+        SYNOPSYS_UNCONNECTED_514, SYNOPSYS_UNCONNECTED_515, 
+        SYNOPSYS_UNCONNECTED_516, SYNOPSYS_UNCONNECTED_517, 
+        SYNOPSYS_UNCONNECTED_518, SYNOPSYS_UNCONNECTED_519, 
+        SYNOPSYS_UNCONNECTED_520, SYNOPSYS_UNCONNECTED_521, 
+        SYNOPSYS_UNCONNECTED_522, SYNOPSYS_UNCONNECTED_523, 
+        SYNOPSYS_UNCONNECTED_524, SYNOPSYS_UNCONNECTED_525, 
+        SYNOPSYS_UNCONNECTED_526, SYNOPSYS_UNCONNECTED_527, 
+        SYNOPSYS_UNCONNECTED_528, SYNOPSYS_UNCONNECTED_529, 
+        SYNOPSYS_UNCONNECTED_530, SYNOPSYS_UNCONNECTED_531, 
+        SYNOPSYS_UNCONNECTED_532, SYNOPSYS_UNCONNECTED_533, 
+        SYNOPSYS_UNCONNECTED_534, SYNOPSYS_UNCONNECTED_535, 
+        SYNOPSYS_UNCONNECTED_536, SYNOPSYS_UNCONNECTED_537, 
+        SYNOPSYS_UNCONNECTED_538, SYNOPSYS_UNCONNECTED_539, 
+        SYNOPSYS_UNCONNECTED_540, SYNOPSYS_UNCONNECTED_541, 
+        SYNOPSYS_UNCONNECTED_542, SYNOPSYS_UNCONNECTED_543, 
+        SYNOPSYS_UNCONNECTED_544, SYNOPSYS_UNCONNECTED_545, 
+        SYNOPSYS_UNCONNECTED_546, SYNOPSYS_UNCONNECTED_547, 
+        SYNOPSYS_UNCONNECTED_548, SYNOPSYS_UNCONNECTED_549, 
+        SYNOPSYS_UNCONNECTED_550, SYNOPSYS_UNCONNECTED_551, 
+        SYNOPSYS_UNCONNECTED_552, SYNOPSYS_UNCONNECTED_553, 
+        SYNOPSYS_UNCONNECTED_554, SYNOPSYS_UNCONNECTED_555, 
+        SYNOPSYS_UNCONNECTED_556, SYNOPSYS_UNCONNECTED_557, 
+        SYNOPSYS_UNCONNECTED_558, SYNOPSYS_UNCONNECTED_559, 
+        SYNOPSYS_UNCONNECTED_560, SYNOPSYS_UNCONNECTED_561, 
+        SYNOPSYS_UNCONNECTED_562, SYNOPSYS_UNCONNECTED_563, 
+        SYNOPSYS_UNCONNECTED_564, SYNOPSYS_UNCONNECTED_565, 
+        SYNOPSYS_UNCONNECTED_566, SYNOPSYS_UNCONNECTED_567, 
+        SYNOPSYS_UNCONNECTED_568, SYNOPSYS_UNCONNECTED_569, 
+        SYNOPSYS_UNCONNECTED_570, SYNOPSYS_UNCONNECTED_571, 
+        SYNOPSYS_UNCONNECTED_572, SYNOPSYS_UNCONNECTED_573, 
+        SYNOPSYS_UNCONNECTED_574, SYNOPSYS_UNCONNECTED_575, 
+        SYNOPSYS_UNCONNECTED_576, SYNOPSYS_UNCONNECTED_577, 
+        SYNOPSYS_UNCONNECTED_578, SYNOPSYS_UNCONNECTED_579, 
+        SYNOPSYS_UNCONNECTED_580, SYNOPSYS_UNCONNECTED_581}), .tl_ldo2_i({n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74}), .tl_dcdc_o({
+        SYNOPSYS_UNCONNECTED_582, SYNOPSYS_UNCONNECTED_583, 
+        SYNOPSYS_UNCONNECTED_584, SYNOPSYS_UNCONNECTED_585, 
+        SYNOPSYS_UNCONNECTED_586, SYNOPSYS_UNCONNECTED_587, 
+        SYNOPSYS_UNCONNECTED_588, SYNOPSYS_UNCONNECTED_589, 
+        SYNOPSYS_UNCONNECTED_590, SYNOPSYS_UNCONNECTED_591, 
+        SYNOPSYS_UNCONNECTED_592, SYNOPSYS_UNCONNECTED_593, 
+        SYNOPSYS_UNCONNECTED_594, SYNOPSYS_UNCONNECTED_595, 
+        SYNOPSYS_UNCONNECTED_596, SYNOPSYS_UNCONNECTED_597, 
+        SYNOPSYS_UNCONNECTED_598, SYNOPSYS_UNCONNECTED_599, 
+        SYNOPSYS_UNCONNECTED_600, SYNOPSYS_UNCONNECTED_601, 
+        SYNOPSYS_UNCONNECTED_602, SYNOPSYS_UNCONNECTED_603, 
+        SYNOPSYS_UNCONNECTED_604, SYNOPSYS_UNCONNECTED_605, 
+        SYNOPSYS_UNCONNECTED_606, SYNOPSYS_UNCONNECTED_607, 
+        SYNOPSYS_UNCONNECTED_608, SYNOPSYS_UNCONNECTED_609, 
+        SYNOPSYS_UNCONNECTED_610, SYNOPSYS_UNCONNECTED_611, 
+        SYNOPSYS_UNCONNECTED_612, SYNOPSYS_UNCONNECTED_613, 
+        SYNOPSYS_UNCONNECTED_614, SYNOPSYS_UNCONNECTED_615, 
+        SYNOPSYS_UNCONNECTED_616, SYNOPSYS_UNCONNECTED_617, 
+        SYNOPSYS_UNCONNECTED_618, SYNOPSYS_UNCONNECTED_619, 
+        SYNOPSYS_UNCONNECTED_620, SYNOPSYS_UNCONNECTED_621, 
+        SYNOPSYS_UNCONNECTED_622, SYNOPSYS_UNCONNECTED_623, 
+        SYNOPSYS_UNCONNECTED_624, SYNOPSYS_UNCONNECTED_625, 
+        SYNOPSYS_UNCONNECTED_626, SYNOPSYS_UNCONNECTED_627, 
+        SYNOPSYS_UNCONNECTED_628, SYNOPSYS_UNCONNECTED_629, 
+        SYNOPSYS_UNCONNECTED_630, SYNOPSYS_UNCONNECTED_631, 
+        SYNOPSYS_UNCONNECTED_632, SYNOPSYS_UNCONNECTED_633, 
+        SYNOPSYS_UNCONNECTED_634, SYNOPSYS_UNCONNECTED_635, 
+        SYNOPSYS_UNCONNECTED_636, SYNOPSYS_UNCONNECTED_637, 
+        SYNOPSYS_UNCONNECTED_638, SYNOPSYS_UNCONNECTED_639, 
+        SYNOPSYS_UNCONNECTED_640, SYNOPSYS_UNCONNECTED_641, 
+        SYNOPSYS_UNCONNECTED_642, SYNOPSYS_UNCONNECTED_643, 
+        SYNOPSYS_UNCONNECTED_644, SYNOPSYS_UNCONNECTED_645, 
+        SYNOPSYS_UNCONNECTED_646, SYNOPSYS_UNCONNECTED_647, 
+        SYNOPSYS_UNCONNECTED_648, SYNOPSYS_UNCONNECTED_649, 
+        SYNOPSYS_UNCONNECTED_650, SYNOPSYS_UNCONNECTED_651, 
+        SYNOPSYS_UNCONNECTED_652, SYNOPSYS_UNCONNECTED_653, 
+        SYNOPSYS_UNCONNECTED_654, SYNOPSYS_UNCONNECTED_655, 
+        SYNOPSYS_UNCONNECTED_656, SYNOPSYS_UNCONNECTED_657, 
+        SYNOPSYS_UNCONNECTED_658, SYNOPSYS_UNCONNECTED_659, 
+        SYNOPSYS_UNCONNECTED_660, SYNOPSYS_UNCONNECTED_661, 
+        SYNOPSYS_UNCONNECTED_662, SYNOPSYS_UNCONNECTED_663, 
+        SYNOPSYS_UNCONNECTED_664, SYNOPSYS_UNCONNECTED_665, 
+        SYNOPSYS_UNCONNECTED_666, SYNOPSYS_UNCONNECTED_667}), .tl_dcdc_i({n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74}), .tl_pll1_o({
+        SYNOPSYS_UNCONNECTED_668, SYNOPSYS_UNCONNECTED_669, 
+        SYNOPSYS_UNCONNECTED_670, SYNOPSYS_UNCONNECTED_671, 
+        SYNOPSYS_UNCONNECTED_672, SYNOPSYS_UNCONNECTED_673, 
+        SYNOPSYS_UNCONNECTED_674, SYNOPSYS_UNCONNECTED_675, 
+        SYNOPSYS_UNCONNECTED_676, SYNOPSYS_UNCONNECTED_677, 
+        SYNOPSYS_UNCONNECTED_678, SYNOPSYS_UNCONNECTED_679, 
+        SYNOPSYS_UNCONNECTED_680, SYNOPSYS_UNCONNECTED_681, 
+        SYNOPSYS_UNCONNECTED_682, SYNOPSYS_UNCONNECTED_683, 
+        SYNOPSYS_UNCONNECTED_684, SYNOPSYS_UNCONNECTED_685, 
+        SYNOPSYS_UNCONNECTED_686, SYNOPSYS_UNCONNECTED_687, 
+        SYNOPSYS_UNCONNECTED_688, SYNOPSYS_UNCONNECTED_689, 
+        SYNOPSYS_UNCONNECTED_690, SYNOPSYS_UNCONNECTED_691, 
+        SYNOPSYS_UNCONNECTED_692, SYNOPSYS_UNCONNECTED_693, 
+        SYNOPSYS_UNCONNECTED_694, SYNOPSYS_UNCONNECTED_695, 
+        SYNOPSYS_UNCONNECTED_696, SYNOPSYS_UNCONNECTED_697, 
+        SYNOPSYS_UNCONNECTED_698, SYNOPSYS_UNCONNECTED_699, 
+        SYNOPSYS_UNCONNECTED_700, SYNOPSYS_UNCONNECTED_701, 
+        SYNOPSYS_UNCONNECTED_702, SYNOPSYS_UNCONNECTED_703, 
+        SYNOPSYS_UNCONNECTED_704, SYNOPSYS_UNCONNECTED_705, 
+        SYNOPSYS_UNCONNECTED_706, SYNOPSYS_UNCONNECTED_707, 
+        SYNOPSYS_UNCONNECTED_708, SYNOPSYS_UNCONNECTED_709, 
+        SYNOPSYS_UNCONNECTED_710, SYNOPSYS_UNCONNECTED_711, 
+        SYNOPSYS_UNCONNECTED_712, SYNOPSYS_UNCONNECTED_713, 
+        SYNOPSYS_UNCONNECTED_714, SYNOPSYS_UNCONNECTED_715, 
+        SYNOPSYS_UNCONNECTED_716, SYNOPSYS_UNCONNECTED_717, 
+        SYNOPSYS_UNCONNECTED_718, SYNOPSYS_UNCONNECTED_719, 
+        SYNOPSYS_UNCONNECTED_720, SYNOPSYS_UNCONNECTED_721, 
+        SYNOPSYS_UNCONNECTED_722, SYNOPSYS_UNCONNECTED_723, 
+        SYNOPSYS_UNCONNECTED_724, SYNOPSYS_UNCONNECTED_725, 
+        SYNOPSYS_UNCONNECTED_726, SYNOPSYS_UNCONNECTED_727, 
+        SYNOPSYS_UNCONNECTED_728, SYNOPSYS_UNCONNECTED_729, 
+        SYNOPSYS_UNCONNECTED_730, SYNOPSYS_UNCONNECTED_731, 
+        SYNOPSYS_UNCONNECTED_732, SYNOPSYS_UNCONNECTED_733, 
+        SYNOPSYS_UNCONNECTED_734, SYNOPSYS_UNCONNECTED_735, 
+        SYNOPSYS_UNCONNECTED_736, SYNOPSYS_UNCONNECTED_737, 
+        SYNOPSYS_UNCONNECTED_738, SYNOPSYS_UNCONNECTED_739, 
+        SYNOPSYS_UNCONNECTED_740, SYNOPSYS_UNCONNECTED_741, 
+        SYNOPSYS_UNCONNECTED_742, SYNOPSYS_UNCONNECTED_743, 
+        SYNOPSYS_UNCONNECTED_744, SYNOPSYS_UNCONNECTED_745, 
+        SYNOPSYS_UNCONNECTED_746, SYNOPSYS_UNCONNECTED_747, 
+        SYNOPSYS_UNCONNECTED_748, SYNOPSYS_UNCONNECTED_749, 
+        SYNOPSYS_UNCONNECTED_750, SYNOPSYS_UNCONNECTED_751, 
+        SYNOPSYS_UNCONNECTED_752, SYNOPSYS_UNCONNECTED_753}), .tl_pll1_i({n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74}), .tl_tsen1_o({
+        SYNOPSYS_UNCONNECTED_754, SYNOPSYS_UNCONNECTED_755, 
+        SYNOPSYS_UNCONNECTED_756, SYNOPSYS_UNCONNECTED_757, 
+        SYNOPSYS_UNCONNECTED_758, SYNOPSYS_UNCONNECTED_759, 
+        SYNOPSYS_UNCONNECTED_760, SYNOPSYS_UNCONNECTED_761, 
+        SYNOPSYS_UNCONNECTED_762, SYNOPSYS_UNCONNECTED_763, 
+        SYNOPSYS_UNCONNECTED_764, SYNOPSYS_UNCONNECTED_765, 
+        SYNOPSYS_UNCONNECTED_766, SYNOPSYS_UNCONNECTED_767, 
+        SYNOPSYS_UNCONNECTED_768, SYNOPSYS_UNCONNECTED_769, 
+        SYNOPSYS_UNCONNECTED_770, SYNOPSYS_UNCONNECTED_771, 
+        SYNOPSYS_UNCONNECTED_772, SYNOPSYS_UNCONNECTED_773, 
+        SYNOPSYS_UNCONNECTED_774, SYNOPSYS_UNCONNECTED_775, 
+        SYNOPSYS_UNCONNECTED_776, SYNOPSYS_UNCONNECTED_777, 
+        SYNOPSYS_UNCONNECTED_778, SYNOPSYS_UNCONNECTED_779, 
+        SYNOPSYS_UNCONNECTED_780, SYNOPSYS_UNCONNECTED_781, 
+        SYNOPSYS_UNCONNECTED_782, SYNOPSYS_UNCONNECTED_783, 
+        SYNOPSYS_UNCONNECTED_784, SYNOPSYS_UNCONNECTED_785, 
+        SYNOPSYS_UNCONNECTED_786, SYNOPSYS_UNCONNECTED_787, 
+        SYNOPSYS_UNCONNECTED_788, SYNOPSYS_UNCONNECTED_789, 
+        SYNOPSYS_UNCONNECTED_790, SYNOPSYS_UNCONNECTED_791, 
+        SYNOPSYS_UNCONNECTED_792, SYNOPSYS_UNCONNECTED_793, 
+        SYNOPSYS_UNCONNECTED_794, SYNOPSYS_UNCONNECTED_795, 
+        SYNOPSYS_UNCONNECTED_796, SYNOPSYS_UNCONNECTED_797, 
+        SYNOPSYS_UNCONNECTED_798, SYNOPSYS_UNCONNECTED_799, 
+        SYNOPSYS_UNCONNECTED_800, SYNOPSYS_UNCONNECTED_801, 
+        SYNOPSYS_UNCONNECTED_802, SYNOPSYS_UNCONNECTED_803, 
+        SYNOPSYS_UNCONNECTED_804, SYNOPSYS_UNCONNECTED_805, 
+        SYNOPSYS_UNCONNECTED_806, SYNOPSYS_UNCONNECTED_807, 
+        SYNOPSYS_UNCONNECTED_808, SYNOPSYS_UNCONNECTED_809, 
+        SYNOPSYS_UNCONNECTED_810, SYNOPSYS_UNCONNECTED_811, 
+        SYNOPSYS_UNCONNECTED_812, SYNOPSYS_UNCONNECTED_813, 
+        SYNOPSYS_UNCONNECTED_814, SYNOPSYS_UNCONNECTED_815, 
+        SYNOPSYS_UNCONNECTED_816, SYNOPSYS_UNCONNECTED_817, 
+        SYNOPSYS_UNCONNECTED_818, SYNOPSYS_UNCONNECTED_819, 
+        SYNOPSYS_UNCONNECTED_820, SYNOPSYS_UNCONNECTED_821, 
+        SYNOPSYS_UNCONNECTED_822, SYNOPSYS_UNCONNECTED_823, 
+        SYNOPSYS_UNCONNECTED_824, SYNOPSYS_UNCONNECTED_825, 
+        SYNOPSYS_UNCONNECTED_826, SYNOPSYS_UNCONNECTED_827, 
+        SYNOPSYS_UNCONNECTED_828, SYNOPSYS_UNCONNECTED_829, 
+        SYNOPSYS_UNCONNECTED_830, SYNOPSYS_UNCONNECTED_831, 
+        SYNOPSYS_UNCONNECTED_832, SYNOPSYS_UNCONNECTED_833, 
+        SYNOPSYS_UNCONNECTED_834, SYNOPSYS_UNCONNECTED_835, 
+        SYNOPSYS_UNCONNECTED_836, SYNOPSYS_UNCONNECTED_837, 
+        SYNOPSYS_UNCONNECTED_838, SYNOPSYS_UNCONNECTED_839}), .tl_tsen1_i({n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74}), .tl_tsen2_o({
+        SYNOPSYS_UNCONNECTED_840, SYNOPSYS_UNCONNECTED_841, 
+        SYNOPSYS_UNCONNECTED_842, SYNOPSYS_UNCONNECTED_843, 
+        SYNOPSYS_UNCONNECTED_844, SYNOPSYS_UNCONNECTED_845, 
+        SYNOPSYS_UNCONNECTED_846, SYNOPSYS_UNCONNECTED_847, 
+        SYNOPSYS_UNCONNECTED_848, SYNOPSYS_UNCONNECTED_849, 
+        SYNOPSYS_UNCONNECTED_850, SYNOPSYS_UNCONNECTED_851, 
+        SYNOPSYS_UNCONNECTED_852, SYNOPSYS_UNCONNECTED_853, 
+        SYNOPSYS_UNCONNECTED_854, SYNOPSYS_UNCONNECTED_855, 
+        SYNOPSYS_UNCONNECTED_856, SYNOPSYS_UNCONNECTED_857, 
+        SYNOPSYS_UNCONNECTED_858, SYNOPSYS_UNCONNECTED_859, 
+        SYNOPSYS_UNCONNECTED_860, SYNOPSYS_UNCONNECTED_861, 
+        SYNOPSYS_UNCONNECTED_862, SYNOPSYS_UNCONNECTED_863, 
+        SYNOPSYS_UNCONNECTED_864, SYNOPSYS_UNCONNECTED_865, 
+        SYNOPSYS_UNCONNECTED_866, SYNOPSYS_UNCONNECTED_867, 
+        SYNOPSYS_UNCONNECTED_868, SYNOPSYS_UNCONNECTED_869, 
+        SYNOPSYS_UNCONNECTED_870, SYNOPSYS_UNCONNECTED_871, 
+        SYNOPSYS_UNCONNECTED_872, SYNOPSYS_UNCONNECTED_873, 
+        SYNOPSYS_UNCONNECTED_874, SYNOPSYS_UNCONNECTED_875, 
+        SYNOPSYS_UNCONNECTED_876, SYNOPSYS_UNCONNECTED_877, 
+        SYNOPSYS_UNCONNECTED_878, SYNOPSYS_UNCONNECTED_879, 
+        SYNOPSYS_UNCONNECTED_880, SYNOPSYS_UNCONNECTED_881, 
+        SYNOPSYS_UNCONNECTED_882, SYNOPSYS_UNCONNECTED_883, 
+        SYNOPSYS_UNCONNECTED_884, SYNOPSYS_UNCONNECTED_885, 
+        SYNOPSYS_UNCONNECTED_886, SYNOPSYS_UNCONNECTED_887, 
+        SYNOPSYS_UNCONNECTED_888, SYNOPSYS_UNCONNECTED_889, 
+        SYNOPSYS_UNCONNECTED_890, SYNOPSYS_UNCONNECTED_891, 
+        SYNOPSYS_UNCONNECTED_892, SYNOPSYS_UNCONNECTED_893, 
+        SYNOPSYS_UNCONNECTED_894, SYNOPSYS_UNCONNECTED_895, 
+        SYNOPSYS_UNCONNECTED_896, SYNOPSYS_UNCONNECTED_897, 
+        SYNOPSYS_UNCONNECTED_898, SYNOPSYS_UNCONNECTED_899, 
+        SYNOPSYS_UNCONNECTED_900, SYNOPSYS_UNCONNECTED_901, 
+        SYNOPSYS_UNCONNECTED_902, SYNOPSYS_UNCONNECTED_903, 
+        SYNOPSYS_UNCONNECTED_904, SYNOPSYS_UNCONNECTED_905, 
+        SYNOPSYS_UNCONNECTED_906, SYNOPSYS_UNCONNECTED_907, 
+        SYNOPSYS_UNCONNECTED_908, SYNOPSYS_UNCONNECTED_909, 
+        SYNOPSYS_UNCONNECTED_910, SYNOPSYS_UNCONNECTED_911, 
+        SYNOPSYS_UNCONNECTED_912, SYNOPSYS_UNCONNECTED_913, 
+        SYNOPSYS_UNCONNECTED_914, SYNOPSYS_UNCONNECTED_915, 
+        SYNOPSYS_UNCONNECTED_916, SYNOPSYS_UNCONNECTED_917, 
+        SYNOPSYS_UNCONNECTED_918, SYNOPSYS_UNCONNECTED_919, 
+        SYNOPSYS_UNCONNECTED_920, SYNOPSYS_UNCONNECTED_921, 
+        SYNOPSYS_UNCONNECTED_922, SYNOPSYS_UNCONNECTED_923, 
+        SYNOPSYS_UNCONNECTED_924, SYNOPSYS_UNCONNECTED_925}), .tl_tsen2_i({n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74}), .tl_dap_o({
+        SYNOPSYS_UNCONNECTED_926, SYNOPSYS_UNCONNECTED_927, 
+        SYNOPSYS_UNCONNECTED_928, SYNOPSYS_UNCONNECTED_929, 
+        SYNOPSYS_UNCONNECTED_930, SYNOPSYS_UNCONNECTED_931, 
+        SYNOPSYS_UNCONNECTED_932, SYNOPSYS_UNCONNECTED_933, 
+        SYNOPSYS_UNCONNECTED_934, SYNOPSYS_UNCONNECTED_935, 
+        SYNOPSYS_UNCONNECTED_936, SYNOPSYS_UNCONNECTED_937, 
+        SYNOPSYS_UNCONNECTED_938, SYNOPSYS_UNCONNECTED_939, 
+        SYNOPSYS_UNCONNECTED_940, SYNOPSYS_UNCONNECTED_941, 
+        SYNOPSYS_UNCONNECTED_942, SYNOPSYS_UNCONNECTED_943, 
+        SYNOPSYS_UNCONNECTED_944, SYNOPSYS_UNCONNECTED_945, 
+        SYNOPSYS_UNCONNECTED_946, SYNOPSYS_UNCONNECTED_947, 
+        SYNOPSYS_UNCONNECTED_948, SYNOPSYS_UNCONNECTED_949, 
+        SYNOPSYS_UNCONNECTED_950, SYNOPSYS_UNCONNECTED_951, 
+        SYNOPSYS_UNCONNECTED_952, SYNOPSYS_UNCONNECTED_953, 
+        SYNOPSYS_UNCONNECTED_954, SYNOPSYS_UNCONNECTED_955, 
+        SYNOPSYS_UNCONNECTED_956, SYNOPSYS_UNCONNECTED_957, 
+        SYNOPSYS_UNCONNECTED_958, SYNOPSYS_UNCONNECTED_959, 
+        SYNOPSYS_UNCONNECTED_960, SYNOPSYS_UNCONNECTED_961, 
+        SYNOPSYS_UNCONNECTED_962, SYNOPSYS_UNCONNECTED_963, 
+        SYNOPSYS_UNCONNECTED_964, SYNOPSYS_UNCONNECTED_965, 
+        SYNOPSYS_UNCONNECTED_966, SYNOPSYS_UNCONNECTED_967, 
+        SYNOPSYS_UNCONNECTED_968, SYNOPSYS_UNCONNECTED_969, 
+        SYNOPSYS_UNCONNECTED_970, SYNOPSYS_UNCONNECTED_971, 
+        SYNOPSYS_UNCONNECTED_972, SYNOPSYS_UNCONNECTED_973, 
+        SYNOPSYS_UNCONNECTED_974, SYNOPSYS_UNCONNECTED_975, 
+        SYNOPSYS_UNCONNECTED_976, SYNOPSYS_UNCONNECTED_977, 
+        SYNOPSYS_UNCONNECTED_978, SYNOPSYS_UNCONNECTED_979, 
+        SYNOPSYS_UNCONNECTED_980, SYNOPSYS_UNCONNECTED_981, 
+        SYNOPSYS_UNCONNECTED_982, SYNOPSYS_UNCONNECTED_983, 
+        SYNOPSYS_UNCONNECTED_984, SYNOPSYS_UNCONNECTED_985, 
+        SYNOPSYS_UNCONNECTED_986, SYNOPSYS_UNCONNECTED_987, 
+        SYNOPSYS_UNCONNECTED_988, SYNOPSYS_UNCONNECTED_989, 
+        SYNOPSYS_UNCONNECTED_990, SYNOPSYS_UNCONNECTED_991, 
+        SYNOPSYS_UNCONNECTED_992, SYNOPSYS_UNCONNECTED_993, 
+        SYNOPSYS_UNCONNECTED_994, SYNOPSYS_UNCONNECTED_995, 
+        SYNOPSYS_UNCONNECTED_996, SYNOPSYS_UNCONNECTED_997, 
+        SYNOPSYS_UNCONNECTED_998, SYNOPSYS_UNCONNECTED_999, 
+        SYNOPSYS_UNCONNECTED_1000, SYNOPSYS_UNCONNECTED_1001, 
+        SYNOPSYS_UNCONNECTED_1002, SYNOPSYS_UNCONNECTED_1003, 
+        SYNOPSYS_UNCONNECTED_1004, SYNOPSYS_UNCONNECTED_1005, 
+        SYNOPSYS_UNCONNECTED_1006, SYNOPSYS_UNCONNECTED_1007, 
+        SYNOPSYS_UNCONNECTED_1008, SYNOPSYS_UNCONNECTED_1009, 
+        SYNOPSYS_UNCONNECTED_1010, SYNOPSYS_UNCONNECTED_1011}), .tl_dap_i({n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74}), .tl_plic_o({
+        plic_req[45:44], SYNOPSYS_UNCONNECTED_1012, plic_req[43], 
+        SYNOPSYS_UNCONNECTED_1013, SYNOPSYS_UNCONNECTED_1014, 
+        SYNOPSYS_UNCONNECTED_1015, SYNOPSYS_UNCONNECTED_1016, 
+        SYNOPSYS_UNCONNECTED_1017, SYNOPSYS_UNCONNECTED_1018, 
+        SYNOPSYS_UNCONNECTED_1019, SYNOPSYS_UNCONNECTED_1020, 
+        SYNOPSYS_UNCONNECTED_1021, SYNOPSYS_UNCONNECTED_1022, 
+        SYNOPSYS_UNCONNECTED_1023, SYNOPSYS_UNCONNECTED_1024, 
+        SYNOPSYS_UNCONNECTED_1025, SYNOPSYS_UNCONNECTED_1026, 
+        SYNOPSYS_UNCONNECTED_1027, SYNOPSYS_UNCONNECTED_1028, 
+        SYNOPSYS_UNCONNECTED_1029, SYNOPSYS_UNCONNECTED_1030, 
+        SYNOPSYS_UNCONNECTED_1031, SYNOPSYS_UNCONNECTED_1032, 
+        SYNOPSYS_UNCONNECTED_1033, SYNOPSYS_UNCONNECTED_1034, 
+        SYNOPSYS_UNCONNECTED_1035, SYNOPSYS_UNCONNECTED_1036, 
+        SYNOPSYS_UNCONNECTED_1037, SYNOPSYS_UNCONNECTED_1038, 
+        SYNOPSYS_UNCONNECTED_1039, SYNOPSYS_UNCONNECTED_1040, 
+        SYNOPSYS_UNCONNECTED_1041, SYNOPSYS_UNCONNECTED_1042, 
+        SYNOPSYS_UNCONNECTED_1043, SYNOPSYS_UNCONNECTED_1044, 
+        SYNOPSYS_UNCONNECTED_1045, SYNOPSYS_UNCONNECTED_1046, 
+        SYNOPSYS_UNCONNECTED_1047, SYNOPSYS_UNCONNECTED_1048, plic_req[42:36], 
+        SYNOPSYS_UNCONNECTED_1049, SYNOPSYS_UNCONNECTED_1050, plic_req[35:0], 
+        SYNOPSYS_UNCONNECTED_1051}), .tl_plic_i({plic_resp[35], n74, n74, 
+        plic_resp[34], n74, n74, n74, 1'b0, n74, n74, n74, n74, n74, n74, n74, 
+        n74, 1'b0, n74, plic_resp[33:0]}), .tl_uart_o({xbar_to_uart[42:41], 
+        SYNOPSYS_UNCONNECTED_1052, xbar_to_uart[40], SYNOPSYS_UNCONNECTED_1053, 
+        SYNOPSYS_UNCONNECTED_1054, SYNOPSYS_UNCONNECTED_1055, 
+        SYNOPSYS_UNCONNECTED_1056, SYNOPSYS_UNCONNECTED_1057, 
+        SYNOPSYS_UNCONNECTED_1058, SYNOPSYS_UNCONNECTED_1059, 
+        SYNOPSYS_UNCONNECTED_1060, SYNOPSYS_UNCONNECTED_1061, 
+        SYNOPSYS_UNCONNECTED_1062, SYNOPSYS_UNCONNECTED_1063, 
+        SYNOPSYS_UNCONNECTED_1064, SYNOPSYS_UNCONNECTED_1065, 
+        SYNOPSYS_UNCONNECTED_1066, SYNOPSYS_UNCONNECTED_1067, 
+        SYNOPSYS_UNCONNECTED_1068, SYNOPSYS_UNCONNECTED_1069, 
+        SYNOPSYS_UNCONNECTED_1070, SYNOPSYS_UNCONNECTED_1071, 
+        SYNOPSYS_UNCONNECTED_1072, SYNOPSYS_UNCONNECTED_1073, 
+        SYNOPSYS_UNCONNECTED_1074, SYNOPSYS_UNCONNECTED_1075, 
+        SYNOPSYS_UNCONNECTED_1076, SYNOPSYS_UNCONNECTED_1077, 
+        SYNOPSYS_UNCONNECTED_1078, SYNOPSYS_UNCONNECTED_1079, 
+        SYNOPSYS_UNCONNECTED_1080, SYNOPSYS_UNCONNECTED_1081, 
+        SYNOPSYS_UNCONNECTED_1082, SYNOPSYS_UNCONNECTED_1083, 
+        SYNOPSYS_UNCONNECTED_1084, SYNOPSYS_UNCONNECTED_1085, 
+        SYNOPSYS_UNCONNECTED_1086, SYNOPSYS_UNCONNECTED_1087, 
+        SYNOPSYS_UNCONNECTED_1088, SYNOPSYS_UNCONNECTED_1089, 
+        SYNOPSYS_UNCONNECTED_1090, SYNOPSYS_UNCONNECTED_1091, 
+        xbar_to_uart[39:36], SYNOPSYS_UNCONNECTED_1092, 
+        SYNOPSYS_UNCONNECTED_1093, xbar_to_uart[35:0], 
+        SYNOPSYS_UNCONNECTED_1094}), .tl_uart_i({uart_to_xbar[35], n74, n74, 
+        uart_to_xbar[34], n74, n74, n74, 1'b0, n74, n74, n74, n74, n74, n74, 
+        n74, n74, 1'b0, n74, uart_to_xbar[33:0]}) );
+  opentitan_soc_top_gpio_0 gpio_32 ( .clk_i(clk_i), .rst_ni(n73), .tl_i({
+        xbar_to_gpio[42:41], n74, xbar_to_gpio[40], n74, n74, n74, n57, n74, 
+        n74, n74, n74, n74, n74, n74, n74, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        xbar_to_gpio[39:36], n74, n74, xbar_to_gpio[35:0], n57}), .tl_o({
+        gpio_to_xbar[35], SYNOPSYS_UNCONNECTED_1095, SYNOPSYS_UNCONNECTED_1096, 
+        gpio_to_xbar[34], SYNOPSYS_UNCONNECTED_1097, SYNOPSYS_UNCONNECTED_1098, 
+        SYNOPSYS_UNCONNECTED_1099, SYNOPSYS_UNCONNECTED_1100, 
+        SYNOPSYS_UNCONNECTED_1101, SYNOPSYS_UNCONNECTED_1102, 
+        SYNOPSYS_UNCONNECTED_1103, SYNOPSYS_UNCONNECTED_1104, 
+        SYNOPSYS_UNCONNECTED_1105, SYNOPSYS_UNCONNECTED_1106, 
+        SYNOPSYS_UNCONNECTED_1107, SYNOPSYS_UNCONNECTED_1108, 
+        SYNOPSYS_UNCONNECTED_1109, SYNOPSYS_UNCONNECTED_1110, 
+        gpio_to_xbar[33:0]}), .cio_gpio_o({SYNOPSYS_UNCONNECTED_1111, 
+        SYNOPSYS_UNCONNECTED_1112, SYNOPSYS_UNCONNECTED_1113, 
+        SYNOPSYS_UNCONNECTED_1114, SYNOPSYS_UNCONNECTED_1115, 
+        SYNOPSYS_UNCONNECTED_1116, SYNOPSYS_UNCONNECTED_1117, 
+        SYNOPSYS_UNCONNECTED_1118, SYNOPSYS_UNCONNECTED_1119, 
+        SYNOPSYS_UNCONNECTED_1120, SYNOPSYS_UNCONNECTED_1121, 
+        SYNOPSYS_UNCONNECTED_1122, SYNOPSYS_UNCONNECTED_1123, 
+        SYNOPSYS_UNCONNECTED_1124, SYNOPSYS_UNCONNECTED_1125, 
+        SYNOPSYS_UNCONNECTED_1126, SYNOPSYS_UNCONNECTED_1127, 
+        SYNOPSYS_UNCONNECTED_1128, SYNOPSYS_UNCONNECTED_1129, 
+        SYNOPSYS_UNCONNECTED_1130, SYNOPSYS_UNCONNECTED_1131, 
+        SYNOPSYS_UNCONNECTED_1132, SYNOPSYS_UNCONNECTED_1133, 
+        SYNOPSYS_UNCONNECTED_1134, gpio_o}), .cio_gpio_en_o({
+        SYNOPSYS_UNCONNECTED_1135, SYNOPSYS_UNCONNECTED_1136, 
+        SYNOPSYS_UNCONNECTED_1137, SYNOPSYS_UNCONNECTED_1138, 
+        SYNOPSYS_UNCONNECTED_1139, SYNOPSYS_UNCONNECTED_1140, 
+        SYNOPSYS_UNCONNECTED_1141, SYNOPSYS_UNCONNECTED_1142, 
+        SYNOPSYS_UNCONNECTED_1143, SYNOPSYS_UNCONNECTED_1144, 
+        SYNOPSYS_UNCONNECTED_1145, SYNOPSYS_UNCONNECTED_1146, 
+        SYNOPSYS_UNCONNECTED_1147, SYNOPSYS_UNCONNECTED_1148, 
+        SYNOPSYS_UNCONNECTED_1149, SYNOPSYS_UNCONNECTED_1150, 
+        SYNOPSYS_UNCONNECTED_1151, SYNOPSYS_UNCONNECTED_1152, 
+        SYNOPSYS_UNCONNECTED_1153, SYNOPSYS_UNCONNECTED_1154, 
+        SYNOPSYS_UNCONNECTED_1155, SYNOPSYS_UNCONNECTED_1156, 
+        SYNOPSYS_UNCONNECTED_1157, SYNOPSYS_UNCONNECTED_1158, 
+        SYNOPSYS_UNCONNECTED_1159, SYNOPSYS_UNCONNECTED_1160, 
+        SYNOPSYS_UNCONNECTED_1161, SYNOPSYS_UNCONNECTED_1162, 
+        SYNOPSYS_UNCONNECTED_1163, SYNOPSYS_UNCONNECTED_1164, 
+        SYNOPSYS_UNCONNECTED_1165, SYNOPSYS_UNCONNECTED_1166}), .intr_gpio_o({
+        SYNOPSYS_UNCONNECTED_1167, SYNOPSYS_UNCONNECTED_1168, 
+        SYNOPSYS_UNCONNECTED_1169, SYNOPSYS_UNCONNECTED_1170, 
+        SYNOPSYS_UNCONNECTED_1171, SYNOPSYS_UNCONNECTED_1172, 
+        SYNOPSYS_UNCONNECTED_1173, SYNOPSYS_UNCONNECTED_1174, 
+        SYNOPSYS_UNCONNECTED_1175, intr_vector[31:9]}) );
+  opentitan_soc_top_instr_mem_top_0 iccm ( .clk_i(clk_i), .rst_ni(n73), .req(
+        req_i), .we(n74), .addr({n_9_net__11_, 1'b0, n42, n40, n52, n48, n54, 
+        n46, n50, n44, n56, n38}), .wdata(iccm_cntrl_data), .rdata(tlul_data), 
+        .rvalid(instr_valid), .wen(iccm_cntrl_reset), .wmask({n57, n57, n57, 
+        n57}) );
+  opentitan_soc_top_tlul_sram_adapter_SramAw12_SramDw32_Outstanding2_ByteAccess1_ErrOnWrite0_ErrOnRead0_0 inst_mem ( 
+        .clk_i(clk_i), .rst_ni(n70), .tl_i({xbar_to_iccm[11], n57, n74, n74, 
+        n74, n74, n74, n57, n74, n74, n74, n74, n74, n74, n74, n74, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, xbar_to_iccm[10], 1'b0, 
+        xbar_to_iccm[9:0], n74, n74, n57, n57, n57, n57, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n57}), .tl_o({iccm_to_xbar[34], SYNOPSYS_UNCONNECTED_1176, 
+        SYNOPSYS_UNCONNECTED_1177, SYNOPSYS_UNCONNECTED_1178, 
+        SYNOPSYS_UNCONNECTED_1179, SYNOPSYS_UNCONNECTED_1180, 
+        SYNOPSYS_UNCONNECTED_1181, SYNOPSYS_UNCONNECTED_1182, 
+        SYNOPSYS_UNCONNECTED_1183, SYNOPSYS_UNCONNECTED_1184, 
+        SYNOPSYS_UNCONNECTED_1185, SYNOPSYS_UNCONNECTED_1186, 
+        SYNOPSYS_UNCONNECTED_1187, SYNOPSYS_UNCONNECTED_1188, 
+        SYNOPSYS_UNCONNECTED_1189, SYNOPSYS_UNCONNECTED_1190, 
+        SYNOPSYS_UNCONNECTED_1191, SYNOPSYS_UNCONNECTED_1192, 
+        iccm_to_xbar[33:0]}), .req_o(req_i), .gnt_i(n57), .addr_o({
+        tlul_addr[11], SYNOPSYS_UNCONNECTED_1193, tlul_addr[9:0]}), .wdata_o({
+        SYNOPSYS_UNCONNECTED_1194, SYNOPSYS_UNCONNECTED_1195, 
+        SYNOPSYS_UNCONNECTED_1196, SYNOPSYS_UNCONNECTED_1197, 
+        SYNOPSYS_UNCONNECTED_1198, SYNOPSYS_UNCONNECTED_1199, 
+        SYNOPSYS_UNCONNECTED_1200, SYNOPSYS_UNCONNECTED_1201, 
+        SYNOPSYS_UNCONNECTED_1202, SYNOPSYS_UNCONNECTED_1203, 
+        SYNOPSYS_UNCONNECTED_1204, SYNOPSYS_UNCONNECTED_1205, 
+        SYNOPSYS_UNCONNECTED_1206, SYNOPSYS_UNCONNECTED_1207, 
+        SYNOPSYS_UNCONNECTED_1208, SYNOPSYS_UNCONNECTED_1209, 
+        SYNOPSYS_UNCONNECTED_1210, SYNOPSYS_UNCONNECTED_1211, 
+        SYNOPSYS_UNCONNECTED_1212, SYNOPSYS_UNCONNECTED_1213, 
+        SYNOPSYS_UNCONNECTED_1214, SYNOPSYS_UNCONNECTED_1215, 
+        SYNOPSYS_UNCONNECTED_1216, SYNOPSYS_UNCONNECTED_1217, 
+        SYNOPSYS_UNCONNECTED_1218, SYNOPSYS_UNCONNECTED_1219, 
+        SYNOPSYS_UNCONNECTED_1220, SYNOPSYS_UNCONNECTED_1221, 
+        SYNOPSYS_UNCONNECTED_1222, SYNOPSYS_UNCONNECTED_1223, 
+        SYNOPSYS_UNCONNECTED_1224, SYNOPSYS_UNCONNECTED_1225}), .wmask_o({
+        SYNOPSYS_UNCONNECTED_1226, SYNOPSYS_UNCONNECTED_1227, 
+        SYNOPSYS_UNCONNECTED_1228, SYNOPSYS_UNCONNECTED_1229, 
+        SYNOPSYS_UNCONNECTED_1230, SYNOPSYS_UNCONNECTED_1231, 
+        SYNOPSYS_UNCONNECTED_1232, SYNOPSYS_UNCONNECTED_1233, 
+        SYNOPSYS_UNCONNECTED_1234, SYNOPSYS_UNCONNECTED_1235, 
+        SYNOPSYS_UNCONNECTED_1236, SYNOPSYS_UNCONNECTED_1237, 
+        SYNOPSYS_UNCONNECTED_1238, SYNOPSYS_UNCONNECTED_1239, 
+        SYNOPSYS_UNCONNECTED_1240, SYNOPSYS_UNCONNECTED_1241, 
+        SYNOPSYS_UNCONNECTED_1242, SYNOPSYS_UNCONNECTED_1243, 
+        SYNOPSYS_UNCONNECTED_1244, SYNOPSYS_UNCONNECTED_1245, 
+        SYNOPSYS_UNCONNECTED_1246, SYNOPSYS_UNCONNECTED_1247, 
+        SYNOPSYS_UNCONNECTED_1248, SYNOPSYS_UNCONNECTED_1249, 
+        SYNOPSYS_UNCONNECTED_1250, SYNOPSYS_UNCONNECTED_1251, 
+        SYNOPSYS_UNCONNECTED_1252, SYNOPSYS_UNCONNECTED_1253, 
+        SYNOPSYS_UNCONNECTED_1254, SYNOPSYS_UNCONNECTED_1255, 
+        SYNOPSYS_UNCONNECTED_1256, SYNOPSYS_UNCONNECTED_1257}), .rdata_i({
+        n_13_net__31_, n_13_net__30_, n_13_net__29_, n_13_net__28_, 
+        n_13_net__27_, n_13_net__26_, n_13_net__25_, n_13_net__24_, 
+        n_13_net__23_, n_13_net__22_, n_13_net__21_, n_13_net__20_, 
+        n_13_net__19_, n_13_net__18_, n_13_net__17_, n_13_net__16_, 
+        n_13_net__15_, n_13_net__14_, n_13_net__13_, n_13_net__12_, 
+        n_13_net__11_, n_13_net__10_, n_13_net__9_, n_13_net__8_, n_13_net__7_, 
+        n_13_net__6_, n_13_net__5_, n_13_net__4_, n_13_net__3_, n_13_net__2_, 
+        n_13_net__1_, n_13_net__0_}), .rvalid_i(instr_valid), .rerror_i({n74, 
+        n74}) );
+  opentitan_soc_top_data_mem_tlul_0 dccm ( .clk_i(clk_i), .rst_ni(n72), 
+        .tl_d_i({xbar_to_dccm[49:48], n74, xbar_to_dccm[47], n74, n74, n74, 
+        n57, n74, n74, n74, n74, n74, n74, n74, n74, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, xbar_to_dccm[46], 1'b0, xbar_to_dccm[45:36], n74, 
+        n74, xbar_to_dccm[35:0], n57}), .tl_d_o({dccm_to_xbar[35], 
+        SYNOPSYS_UNCONNECTED_1258, SYNOPSYS_UNCONNECTED_1259, dccm_to_xbar[34], 
+        SYNOPSYS_UNCONNECTED_1260, SYNOPSYS_UNCONNECTED_1261, 
+        SYNOPSYS_UNCONNECTED_1262, SYNOPSYS_UNCONNECTED_1263, 
+        SYNOPSYS_UNCONNECTED_1264, SYNOPSYS_UNCONNECTED_1265, 
+        SYNOPSYS_UNCONNECTED_1266, SYNOPSYS_UNCONNECTED_1267, 
+        SYNOPSYS_UNCONNECTED_1268, SYNOPSYS_UNCONNECTED_1269, 
+        SYNOPSYS_UNCONNECTED_1270, SYNOPSYS_UNCONNECTED_1271, 
+        SYNOPSYS_UNCONNECTED_1272, SYNOPSYS_UNCONNECTED_1273, 
+        dccm_to_xbar[33:0]}) );
+  opentitan_soc_top_iccm_controller_0 u_dut ( .clk_i(clk_i), .rst_ni(
+        rst_buf[0]), .rx_dv_i(n_15_net_), .rx_byte_i(rx_byte_i), .addr_o({
+        SYNOPSYS_UNCONNECTED_1274, SYNOPSYS_UNCONNECTED_1275, 
+        iccm_cntrl_addr[11], SYNOPSYS_UNCONNECTED_1276, iccm_cntrl_addr[9:0]}), 
+        .wdata_o(iccm_cntrl_data), .reset_o(iccm_cntrl_reset), .rx_spi_i(
+        rx_spi_inst_i), .sel(sel) );
+  opentitan_soc_top_SPI_slave_00000020_0 u_spi ( .reset(rst_buf[0]), .SS(
+        spi_ss), .SCLK(clk_i), .MOSI(spi_mosi), .REG_DIN(rx_spi_inst_i), 
+        .valid(rx_spi_valid_i) );
+  opentitan_soc_top_uart_receiver_0 programmer ( .i_Clock(clk_i), .rst_ni(
+        rst_buf[0]), .i_Rx_Serial(uart_rx_inst), .CLKS_PER_BIT({n74, n57, n74, 
+        n57, n74, n74, n74, n57, n74, n57, n57, n74, n74, n74, n57, n74}), 
+        .o_Rx_DV(rx_dv_i), .o_Rx_Byte(rx_byte_i) );
+  opentitan_soc_top_rstmgr_0 reset_manager ( .clk_i(clk_i), .rst_ni(rst_buf[0]), .iccm_rst_i(iccm_cntrl_reset), .sys_rst_ni(system_rst_ni) );
+  opentitan_soc_top_rv_plic_0 intr_controller ( .clk_i(clk_i), .rst_ni(n72), 
+        .tl_i({plic_req[45:44], n74, plic_req[43], n74, n74, n74, n57, n74, 
+        n74, n74, n74, n74, n74, n74, n74, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, plic_req[42:36], n74, n74, 
+        plic_req[35:0], n57}), .tl_o({plic_resp[35], SYNOPSYS_UNCONNECTED_1277, 
+        SYNOPSYS_UNCONNECTED_1278, plic_resp[34], SYNOPSYS_UNCONNECTED_1279, 
+        SYNOPSYS_UNCONNECTED_1280, SYNOPSYS_UNCONNECTED_1281, 
+        SYNOPSYS_UNCONNECTED_1282, SYNOPSYS_UNCONNECTED_1283, 
+        SYNOPSYS_UNCONNECTED_1284, SYNOPSYS_UNCONNECTED_1285, 
+        SYNOPSYS_UNCONNECTED_1286, SYNOPSYS_UNCONNECTED_1287, 
+        SYNOPSYS_UNCONNECTED_1288, SYNOPSYS_UNCONNECTED_1289, 
+        SYNOPSYS_UNCONNECTED_1290, SYNOPSYS_UNCONNECTED_1291, 
+        SYNOPSYS_UNCONNECTED_1292, plic_resp[33:0]}), .intr_src_i({intr_vector, 
+        n74}), .irq_o(intr_req), .irq_id_o({SYNOPSYS_UNCONNECTED_1293, 
+        SYNOPSYS_UNCONNECTED_1294, SYNOPSYS_UNCONNECTED_1295, 
+        SYNOPSYS_UNCONNECTED_1296, SYNOPSYS_UNCONNECTED_1297, 
+        SYNOPSYS_UNCONNECTED_1298}), .msip_o(SYNOPSYS_UNCONNECTED_1299) );
+  opentitan_soc_top_uart_0 u_uart0 ( .clk_i(clk_i), .rst_ni(n73), .tl_i({
+        xbar_to_uart[42:41], n74, xbar_to_uart[40], n74, n74, n74, n57, n74, 
+        n74, n74, n74, n74, n74, n74, n74, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 
+        xbar_to_uart[39:36], n74, n74, xbar_to_uart[35:0], n57}), .tl_o({
+        uart_to_xbar[35], SYNOPSYS_UNCONNECTED_1300, SYNOPSYS_UNCONNECTED_1301, 
+        uart_to_xbar[34], SYNOPSYS_UNCONNECTED_1302, SYNOPSYS_UNCONNECTED_1303, 
+        SYNOPSYS_UNCONNECTED_1304, SYNOPSYS_UNCONNECTED_1305, 
+        SYNOPSYS_UNCONNECTED_1306, SYNOPSYS_UNCONNECTED_1307, 
+        SYNOPSYS_UNCONNECTED_1308, SYNOPSYS_UNCONNECTED_1309, 
+        SYNOPSYS_UNCONNECTED_1310, SYNOPSYS_UNCONNECTED_1311, 
+        SYNOPSYS_UNCONNECTED_1312, SYNOPSYS_UNCONNECTED_1313, 
+        SYNOPSYS_UNCONNECTED_1314, SYNOPSYS_UNCONNECTED_1315, 
+        uart_to_xbar[33:0]}), .cio_rx_i(uart_rx), .cio_tx_o(uart_tx), 
+        .intr_tx_watermark_o(intr_vector[1]), .intr_rx_watermark_o(
+        intr_vector[2]), .intr_tx_empty_o(intr_vector[3]), 
+        .intr_rx_overflow_o(intr_vector[4]), .intr_rx_frame_err_o(
+        intr_vector[5]), .intr_rx_break_err_o(intr_vector[6]), 
+        .intr_rx_timeout_o(intr_vector[7]), .intr_rx_parity_err_o(
+        intr_vector[8]) );
+  opentitan_soc_top_tlul_adapter_tempsensor_0 u_tempsense ( .clk_i(1'b0), 
+        .rst_ni(1'b0), .tl_i({n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74}), .tl_o({SYNOPSYS_UNCONNECTED_1316, 
+        SYNOPSYS_UNCONNECTED_1317, SYNOPSYS_UNCONNECTED_1318, 
+        SYNOPSYS_UNCONNECTED_1319, SYNOPSYS_UNCONNECTED_1320, 
+        SYNOPSYS_UNCONNECTED_1321, SYNOPSYS_UNCONNECTED_1322, 
+        SYNOPSYS_UNCONNECTED_1323, SYNOPSYS_UNCONNECTED_1324, 
+        SYNOPSYS_UNCONNECTED_1325, SYNOPSYS_UNCONNECTED_1326, 
+        SYNOPSYS_UNCONNECTED_1327, SYNOPSYS_UNCONNECTED_1328, 
+        SYNOPSYS_UNCONNECTED_1329, SYNOPSYS_UNCONNECTED_1330, 
+        SYNOPSYS_UNCONNECTED_1331, SYNOPSYS_UNCONNECTED_1332, 
+        SYNOPSYS_UNCONNECTED_1333, SYNOPSYS_UNCONNECTED_1334, 
+        SYNOPSYS_UNCONNECTED_1335, SYNOPSYS_UNCONNECTED_1336, 
+        SYNOPSYS_UNCONNECTED_1337, SYNOPSYS_UNCONNECTED_1338, 
+        SYNOPSYS_UNCONNECTED_1339, SYNOPSYS_UNCONNECTED_1340, 
+        SYNOPSYS_UNCONNECTED_1341, SYNOPSYS_UNCONNECTED_1342, 
+        SYNOPSYS_UNCONNECTED_1343, SYNOPSYS_UNCONNECTED_1344, 
+        SYNOPSYS_UNCONNECTED_1345, SYNOPSYS_UNCONNECTED_1346, 
+        SYNOPSYS_UNCONNECTED_1347, SYNOPSYS_UNCONNECTED_1348, 
+        SYNOPSYS_UNCONNECTED_1349, SYNOPSYS_UNCONNECTED_1350, 
+        SYNOPSYS_UNCONNECTED_1351, SYNOPSYS_UNCONNECTED_1352, 
+        SYNOPSYS_UNCONNECTED_1353, SYNOPSYS_UNCONNECTED_1354, 
+        SYNOPSYS_UNCONNECTED_1355, SYNOPSYS_UNCONNECTED_1356, 
+        SYNOPSYS_UNCONNECTED_1357, SYNOPSYS_UNCONNECTED_1358, 
+        SYNOPSYS_UNCONNECTED_1359, SYNOPSYS_UNCONNECTED_1360, 
+        SYNOPSYS_UNCONNECTED_1361, SYNOPSYS_UNCONNECTED_1362, 
+        SYNOPSYS_UNCONNECTED_1363, SYNOPSYS_UNCONNECTED_1364, 
+        SYNOPSYS_UNCONNECTED_1365, SYNOPSYS_UNCONNECTED_1366, 
+        SYNOPSYS_UNCONNECTED_1367}), .addr_o({SYNOPSYS_UNCONNECTED_1368, 
+        SYNOPSYS_UNCONNECTED_1369, SYNOPSYS_UNCONNECTED_1370, 
+        SYNOPSYS_UNCONNECTED_1371, SYNOPSYS_UNCONNECTED_1372, 
+        SYNOPSYS_UNCONNECTED_1373, SYNOPSYS_UNCONNECTED_1374, 
+        SYNOPSYS_UNCONNECTED_1375, SYNOPSYS_UNCONNECTED_1376, 
+        SYNOPSYS_UNCONNECTED_1377, SYNOPSYS_UNCONNECTED_1378, 
+        SYNOPSYS_UNCONNECTED_1379}), .wdata_o({SYNOPSYS_UNCONNECTED_1380, 
+        SYNOPSYS_UNCONNECTED_1381, SYNOPSYS_UNCONNECTED_1382, 
+        SYNOPSYS_UNCONNECTED_1383, SYNOPSYS_UNCONNECTED_1384, 
+        SYNOPSYS_UNCONNECTED_1385, SYNOPSYS_UNCONNECTED_1386, 
+        SYNOPSYS_UNCONNECTED_1387, SYNOPSYS_UNCONNECTED_1388, 
+        SYNOPSYS_UNCONNECTED_1389, SYNOPSYS_UNCONNECTED_1390, 
+        SYNOPSYS_UNCONNECTED_1391, SYNOPSYS_UNCONNECTED_1392, 
+        SYNOPSYS_UNCONNECTED_1393, SYNOPSYS_UNCONNECTED_1394, 
+        SYNOPSYS_UNCONNECTED_1395, SYNOPSYS_UNCONNECTED_1396, 
+        SYNOPSYS_UNCONNECTED_1397, SYNOPSYS_UNCONNECTED_1398, 
+        SYNOPSYS_UNCONNECTED_1399, SYNOPSYS_UNCONNECTED_1400, 
+        SYNOPSYS_UNCONNECTED_1401, SYNOPSYS_UNCONNECTED_1402, 
+        SYNOPSYS_UNCONNECTED_1403, SYNOPSYS_UNCONNECTED_1404, 
+        SYNOPSYS_UNCONNECTED_1405, SYNOPSYS_UNCONNECTED_1406, 
+        SYNOPSYS_UNCONNECTED_1407, SYNOPSYS_UNCONNECTED_1408, 
+        SYNOPSYS_UNCONNECTED_1409, SYNOPSYS_UNCONNECTED_1410, 
+        SYNOPSYS_UNCONNECTED_1411}), .be_o({SYNOPSYS_UNCONNECTED_1412, 
+        SYNOPSYS_UNCONNECTED_1413, SYNOPSYS_UNCONNECTED_1414, 
+        SYNOPSYS_UNCONNECTED_1415}), .rdata_i({n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, 
+        n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74, n74}), 
+        .error_i(n74), .CLK_REF(tempsense_clkref), .CLK_OUT(tempsense_clkout)
+         );
+  sky130_fd_sc_hd__dfrtp_1 en_buf_reg_2_ ( .D(en_i), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(en_buf[2]) );
+  sky130_fd_sc_hd__dfrtp_1 en_buf_reg_1_ ( .D(en_buf[2]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(en_buf[1]) );
+  sky130_fd_sc_hd__dfrtp_1 en_buf_reg_0_ ( .D(en_buf[1]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(en_buf[0]) );
+  sky130_fd_sc_hd__dfrtp_1 rst_buf_reg_2_ ( .D(rst_ni), .CLK(clk_i), .RESET_B(
+        rst_ni), .Q(rst_buf[2]) );
+  sky130_fd_sc_hd__dfrtp_1 rst_buf_reg_1_ ( .D(rst_buf[2]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(rst_buf[1]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_0_ ( .D(tlul_data[0]), .CLK(clk_i), 
+        .RESET_B(n72), .Q(inst_buffer[0]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_1_ ( .D(tlul_data[1]), .CLK(clk_i), 
+        .RESET_B(n73), .Q(inst_buffer[1]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_2_ ( .D(tlul_data[2]), .CLK(clk_i), 
+        .RESET_B(n72), .Q(inst_buffer[2]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_3_ ( .D(tlul_data[3]), .CLK(clk_i), 
+        .RESET_B(n73), .Q(inst_buffer[3]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_4_ ( .D(tlul_data[4]), .CLK(clk_i), 
+        .RESET_B(n72), .Q(inst_buffer[4]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_5_ ( .D(tlul_data[5]), .CLK(clk_i), 
+        .RESET_B(n73), .Q(inst_buffer[5]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_6_ ( .D(tlul_data[6]), .CLK(clk_i), 
+        .RESET_B(n72), .Q(inst_buffer[6]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_7_ ( .D(tlul_data[7]), .CLK(clk_i), 
+        .RESET_B(n73), .Q(inst_buffer[7]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_8_ ( .D(tlul_data[8]), .CLK(clk_i), 
+        .RESET_B(n73), .Q(inst_buffer[8]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_9_ ( .D(tlul_data[9]), .CLK(clk_i), 
+        .RESET_B(n70), .Q(inst_buffer[9]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_10_ ( .D(tlul_data[10]), .CLK(clk_i), .RESET_B(n73), .Q(inst_buffer[10]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_11_ ( .D(tlul_data[11]), .CLK(clk_i), .RESET_B(n70), .Q(inst_buffer[11]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_12_ ( .D(tlul_data[12]), .CLK(clk_i), .RESET_B(n73), .Q(inst_buffer[12]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_13_ ( .D(tlul_data[13]), .CLK(clk_i), .RESET_B(n70), .Q(inst_buffer[13]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_14_ ( .D(tlul_data[14]), .CLK(clk_i), .RESET_B(n73), .Q(inst_buffer[14]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_15_ ( .D(tlul_data[15]), .CLK(clk_i), .RESET_B(n70), .Q(inst_buffer[15]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_16_ ( .D(tlul_data[16]), .CLK(clk_i), .RESET_B(n73), .Q(inst_buffer[16]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_17_ ( .D(tlul_data[17]), .CLK(clk_i), .RESET_B(n70), .Q(inst_buffer[17]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_18_ ( .D(tlul_data[18]), .CLK(clk_i), .RESET_B(n73), .Q(inst_buffer[18]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_19_ ( .D(tlul_data[19]), .CLK(clk_i), .RESET_B(n70), .Q(inst_buffer[19]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_20_ ( .D(tlul_data[20]), .CLK(clk_i), .RESET_B(n73), .Q(inst_buffer[20]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_21_ ( .D(tlul_data[21]), .CLK(clk_i), .RESET_B(n70), .Q(inst_buffer[21]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_22_ ( .D(tlul_data[22]), .CLK(clk_i), .RESET_B(n73), .Q(inst_buffer[22]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_23_ ( .D(tlul_data[23]), .CLK(clk_i), .RESET_B(n70), .Q(inst_buffer[23]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_24_ ( .D(tlul_data[24]), .CLK(clk_i), .RESET_B(n73), .Q(inst_buffer[24]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_25_ ( .D(tlul_data[25]), .CLK(clk_i), .RESET_B(n70), .Q(inst_buffer[25]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_26_ ( .D(tlul_data[26]), .CLK(clk_i), .RESET_B(n73), .Q(inst_buffer[26]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_27_ ( .D(tlul_data[27]), .CLK(clk_i), .RESET_B(n70), .Q(inst_buffer[27]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_28_ ( .D(tlul_data[28]), .CLK(clk_i), .RESET_B(n73), .Q(inst_buffer[28]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_29_ ( .D(tlul_data[29]), .CLK(clk_i), .RESET_B(n70), .Q(inst_buffer[29]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_30_ ( .D(tlul_data[30]), .CLK(clk_i), .RESET_B(n73), .Q(inst_buffer[30]) );
+  sky130_fd_sc_hd__dfrtp_1 inst_buffer_reg_31_ ( .D(tlul_data[31]), .CLK(clk_i), .RESET_B(n73), .Q(inst_buffer[31]) );
+  sky130_fd_sc_hd__dfrtp_2 rst_buf_reg_0_ ( .D(rst_buf[1]), .CLK(clk_i), 
+        .RESET_B(rst_ni), .Q(rst_buf[0]) );
+  sky130_fd_sc_hd__inv_4 U53 ( .A(n59), .Y(n37) );
+  sky130_fd_sc_hd__inv_4 U54 ( .A(n63), .Y(n39) );
+  sky130_fd_sc_hd__inv_4 U55 ( .A(n65), .Y(n41) );
+  sky130_fd_sc_hd__inv_4 U56 ( .A(n60), .Y(n43) );
+  sky130_fd_sc_hd__inv_4 U57 ( .A(n61), .Y(n45) );
+  sky130_fd_sc_hd__inv_4 U58 ( .A(n64), .Y(n47) );
+  sky130_fd_sc_hd__inv_4 U59 ( .A(n62), .Y(n49) );
+  sky130_fd_sc_hd__inv_4 U60 ( .A(n66), .Y(n51) );
+  sky130_fd_sc_hd__inv_4 U61 ( .A(n67), .Y(n53) );
+  sky130_fd_sc_hd__inv_4 U62 ( .A(n68), .Y(n55) );
+  sky130_fd_sc_hd__inv_16 U73 ( .A(n37), .Y(n38) );
+  sky130_fd_sc_hd__inv_16 U74 ( .A(n39), .Y(n40) );
+  sky130_fd_sc_hd__inv_16 U75 ( .A(n41), .Y(n42) );
+  sky130_fd_sc_hd__inv_16 U76 ( .A(n43), .Y(n44) );
+  sky130_fd_sc_hd__inv_16 U77 ( .A(n45), .Y(n46) );
+  sky130_fd_sc_hd__inv_16 U78 ( .A(n47), .Y(n48) );
+  sky130_fd_sc_hd__inv_16 U79 ( .A(n49), .Y(n50) );
+  sky130_fd_sc_hd__inv_16 U80 ( .A(n51), .Y(n52) );
+  sky130_fd_sc_hd__inv_16 U81 ( .A(n53), .Y(n54) );
+  sky130_fd_sc_hd__inv_16 U82 ( .A(n55), .Y(n56) );
+  sky130_fd_sc_hd__conb_1 U93 ( .LO(n74), .HI(n57) );
+  sky130_fd_sc_hd__clkinv_1 U94 ( .A(n74), .Y(uart_txen) );
+  sky130_fd_sc_hd__inv_2 U95 ( .A(n71), .Y(n72) );
+  sky130_fd_sc_hd__clkinv_1 U96 ( .A(n71), .Y(n70) );
+  sky130_fd_sc_hd__inv_2 U97 ( .A(n71), .Y(n73) );
+  sky130_fd_sc_hd__nand2_1 U98 ( .A(en_buf[0]), .B(system_rst_ni), .Y(n71) );
+  sky130_fd_sc_hd__nor2b_1 U99 ( .B_N(inst_buffer[19]), .A(n71), .Y(
+        n_13_net__19_) );
+  sky130_fd_sc_hd__nor2b_1 U100 ( .B_N(inst_buffer[23]), .A(n71), .Y(
+        n_13_net__23_) );
+  sky130_fd_sc_hd__nor2b_1 U101 ( .B_N(inst_buffer[30]), .A(n71), .Y(
+        n_13_net__30_) );
+  sky130_fd_sc_hd__nor2b_1 U102 ( .B_N(inst_buffer[27]), .A(n71), .Y(
+        n_13_net__27_) );
+  sky130_fd_sc_hd__nor2b_1 U103 ( .B_N(inst_buffer[14]), .A(n71), .Y(
+        n_13_net__14_) );
+  sky130_fd_sc_hd__nor2b_1 U104 ( .B_N(inst_buffer[26]), .A(n71), .Y(
+        n_13_net__26_) );
+  sky130_fd_sc_hd__nor2b_1 U105 ( .B_N(inst_buffer[9]), .A(n71), .Y(
+        n_13_net__9_) );
+  sky130_fd_sc_hd__nor2b_1 U106 ( .B_N(inst_buffer[15]), .A(n71), .Y(
+        n_13_net__15_) );
+  sky130_fd_sc_hd__nor2b_1 U107 ( .B_N(inst_buffer[29]), .A(n71), .Y(
+        n_13_net__29_) );
+  sky130_fd_sc_hd__nor2b_1 U108 ( .B_N(inst_buffer[31]), .A(n71), .Y(
+        n_13_net__31_) );
+  sky130_fd_sc_hd__nor2b_1 U109 ( .B_N(inst_buffer[8]), .A(n71), .Y(
+        n_13_net__8_) );
+  sky130_fd_sc_hd__nor2b_1 U110 ( .B_N(inst_buffer[21]), .A(n71), .Y(
+        n_13_net__21_) );
+  sky130_fd_sc_hd__nor2b_1 U111 ( .B_N(inst_buffer[18]), .A(n71), .Y(
+        n_13_net__18_) );
+  sky130_fd_sc_hd__nor2b_1 U112 ( .B_N(inst_buffer[24]), .A(n71), .Y(
+        n_13_net__24_) );
+  sky130_fd_sc_hd__nor2b_1 U113 ( .B_N(inst_buffer[28]), .A(n71), .Y(
+        n_13_net__28_) );
+  sky130_fd_sc_hd__nor2b_1 U114 ( .B_N(inst_buffer[22]), .A(n71), .Y(
+        n_13_net__22_) );
+  sky130_fd_sc_hd__nor2b_1 U115 ( .B_N(inst_buffer[2]), .A(n71), .Y(
+        n_13_net__2_) );
+  sky130_fd_sc_hd__nor2b_1 U116 ( .B_N(inst_buffer[20]), .A(n71), .Y(
+        n_13_net__20_) );
+  sky130_fd_sc_hd__nor2b_1 U117 ( .B_N(inst_buffer[16]), .A(n71), .Y(
+        n_13_net__16_) );
+  sky130_fd_sc_hd__nor2b_1 U118 ( .B_N(inst_buffer[17]), .A(n71), .Y(
+        n_13_net__17_) );
+  sky130_fd_sc_hd__nor2b_1 U119 ( .B_N(inst_buffer[0]), .A(n71), .Y(
+        n_13_net__0_) );
+  sky130_fd_sc_hd__nor2b_1 U120 ( .B_N(inst_buffer[1]), .A(n71), .Y(
+        n_13_net__1_) );
+  sky130_fd_sc_hd__a22o_1 U121 ( .A1(n73), .A2(tlul_addr[11]), .B1(n71), .B2(
+        iccm_cntrl_addr[11]), .X(n_9_net__11_) );
+  sky130_fd_sc_hd__nor2b_1 U124 ( .B_N(inst_buffer[3]), .A(n71), .Y(
+        n_13_net__3_) );
+  sky130_fd_sc_hd__nor2b_1 U125 ( .B_N(inst_buffer[4]), .A(n71), .Y(
+        n_13_net__4_) );
+  sky130_fd_sc_hd__nor2b_1 U126 ( .B_N(inst_buffer[5]), .A(n71), .Y(
+        n_13_net__5_) );
+  sky130_fd_sc_hd__nor2b_1 U127 ( .B_N(inst_buffer[6]), .A(n71), .Y(
+        n_13_net__6_) );
+  sky130_fd_sc_hd__nor2b_1 U128 ( .B_N(inst_buffer[7]), .A(n71), .Y(
+        n_13_net__7_) );
+  sky130_fd_sc_hd__nor2b_1 U129 ( .B_N(inst_buffer[10]), .A(n71), .Y(
+        n_13_net__10_) );
+  sky130_fd_sc_hd__nor2b_1 U130 ( .B_N(inst_buffer[11]), .A(n71), .Y(
+        n_13_net__11_) );
+  sky130_fd_sc_hd__nor2b_1 U131 ( .B_N(inst_buffer[12]), .A(n71), .Y(
+        n_13_net__12_) );
+  sky130_fd_sc_hd__nor2b_1 U132 ( .B_N(inst_buffer[13]), .A(n71), .Y(
+        n_13_net__13_) );
+  sky130_fd_sc_hd__nor2b_1 U133 ( .B_N(inst_buffer[25]), .A(n71), .Y(
+        n_13_net__25_) );
+  sky130_fd_sc_hd__mux2_1 U63 ( .A0(rx_spi_valid_i), .A1(rx_dv_i), .S(sel), 
+        .X(n_15_net_) );
+  sky130_fd_sc_hd__a22o_1 U64 ( .A1(tlul_addr[6]), .A2(n72), .B1(
+        iccm_cntrl_addr[6]), .B2(n71), .X(n64) );
+  sky130_fd_sc_hd__a22o_1 U65 ( .A1(tlul_addr[7]), .A2(n73), .B1(
+        iccm_cntrl_addr[7]), .B2(n71), .X(n66) );
+  sky130_fd_sc_hd__a22o_1 U66 ( .A1(n73), .A2(tlul_addr[3]), .B1(n71), .B2(
+        iccm_cntrl_addr[3]), .X(n62) );
+  sky130_fd_sc_hd__a22o_1 U67 ( .A1(n72), .A2(tlul_addr[4]), .B1(n71), .B2(
+        iccm_cntrl_addr[4]), .X(n61) );
+  sky130_fd_sc_hd__a22o_1 U68 ( .A1(n73), .A2(tlul_addr[1]), .B1(n71), .B2(
+        iccm_cntrl_addr[1]), .X(n68) );
+  sky130_fd_sc_hd__a22o_1 U69 ( .A1(n72), .A2(tlul_addr[2]), .B1(n71), .B2(
+        iccm_cntrl_addr[2]), .X(n60) );
+  sky130_fd_sc_hd__a22o_1 U70 ( .A1(n73), .A2(tlul_addr[5]), .B1(n71), .B2(
+        iccm_cntrl_addr[5]), .X(n67) );
+  sky130_fd_sc_hd__a22o_1 U71 ( .A1(n72), .A2(tlul_addr[0]), .B1(n71), .B2(
+        iccm_cntrl_addr[0]), .X(n59) );
+  sky130_fd_sc_hd__a22o_1 U72 ( .A1(n73), .A2(tlul_addr[9]), .B1(n71), .B2(
+        iccm_cntrl_addr[9]), .X(n65) );
+  sky130_fd_sc_hd__a22o_1 U83 ( .A1(n72), .A2(tlul_addr[8]), .B1(n71), .B2(
+        iccm_cntrl_addr[8]), .X(n63) );
+endmodule
+
diff --git a/verilog/rtl/user_analog_project_wrapper.v b/verilog/rtl/user_analog_project_wrapper.v
index a4a8c1a..397ce13 100644
--- a/verilog/rtl/user_analog_project_wrapper.v
+++ b/verilog/rtl/user_analog_project_wrapper.v
@@ -14,17 +14,77 @@
 // SPDX-License-Identifier: Apache-2.0
 
 `default_nettype none
+
+//`include "opentitan_soc_top.v"
+//`include "sky130_fd_sc_hvl.v"
+//`include "primitives.v"
+
+
 /*
- *-------------------------------------------------------------
+ * I/O mapping for analog
  *
- * user_analog_project_wrapper
+ * mprj_io[37]  io_in/out/oeb/in_3v3[26]  ---                    ---
+ * mprj_io[36]  io_in/out/oeb/in_3v3[25]  ---                    ---
+ * mprj_io[35]  io_in/out/oeb/in_3v3[24]  gpio_analog/noesd[17]  ---
+ * mprj_io[34]  io_in/out/oeb/in_3v3[23]  gpio_analog/noesd[16]  ---
+ * mprj_io[33]  io_in/out/oeb/in_3v3[22]  gpio_analog/noesd[15]  ---
+ * mprj_io[32]  io_in/out/oeb/in_3v3[21]  gpio_analog/noesd[14]  ---
+ * mprj_io[31]  io_in/out/oeb/in_3v3[20]  gpio_analog/noesd[13]  ---
+ * mprj_io[30]  io_in/out/oeb/in_3v3[19]  gpio_analog/noesd[12]  ---
+ * mprj_io[29]  io_in/out/oeb/in_3v3[18]  gpio_analog/noesd[11]  ---
+ * mprj_io[28]  io_in/out/oeb/in_3v3[17]  gpio_analog/noesd[10]  ---
+ * mprj_io[27]  io_in/out/oeb/in_3v3[16]  gpio_analog/noesd[9]   ---
+ * mprj_io[26]  io_in/out/oeb/in_3v3[15]  gpio_analog/noesd[8]   ---
+ * mprj_io[25]  io_in/out/oeb/in_3v3[14]  gpio_analog/noesd[7]   ---
+ * mprj_io[24]  ---                       ---                    user_analog[10]
+ * mprj_io[23]  ---                       ---                    user_analog[9]
+ * mprj_io[22]  ---                       ---                    user_analog[8]
+ * mprj_io[21]  ---                       ---                    user_analog[7]
+ * mprj_io[20]  ---                       ---                    user_analog[6]  clamp[2]
+ * mprj_io[19]  ---                       ---                    user_analog[5]  clamp[1]
+ * mprj_io[18]  ---                       ---                    user_analog[4]  clamp[0]
+ * mprj_io[17]  ---                       ---                    user_analog[3]
+ * mprj_io[16]  ---                       ---                    user_analog[2]
+ * mprj_io[15]  ---                       ---                    user_analog[1]
+ * mprj_io[14]  ---                       ---                    user_analog[0]
+ * mprj_io[13]  io_in/out/oeb/in_3v3[13]  gpio_analog/noesd[6]   ---
+ * mprj_io[12]  io_in/out/oeb/in_3v3[12]  gpio_analog/noesd[5]   ---
+ * mprj_io[11]  io_in/out/oeb/in_3v3[11]  gpio_analog/noesd[4]   ---
+ * mprj_io[10]  io_in/out/oeb/in_3v3[10]  gpio_analog/noesd[3]   ---
+ * mprj_io[9]   io_in/out/oeb/in_3v3[9]   gpio_analog/noesd[2]   ---
+ * mprj_io[8]   io_in/out/oeb/in_3v3[8]   gpio_analog/noesd[1]   ---
+ * mprj_io[7]   io_in/out/oeb/in_3v3[7]   gpio_analog/noesd[0]   ---
+ * mprj_io[6]   io_in/out/oeb/in_3v3[6]   ---                    ---
+ * mprj_io[5]   io_in/out/oeb/in_3v3[5]   ---                    ---
+ * mprj_io[4]   io_in/out/oeb/in_3v3[4]   ---                    ---
+ * mprj_io[3]   io_in/out/oeb/in_3v3[3]   ---                    ---
+ * mprj_io[2]   io_in/out/oeb/in_3v3[2]   ---                    ---
+ * mprj_io[1]   io_in/out/oeb/in_3v3[1]   ---                    ---
+ * mprj_io[0]   io_in/out/oeb/in_3v3[0]   ---                    ---
  *
- * This wrapper enumerates all of the pins available to the
- * user for the user analog project.
- *
- *-------------------------------------------------------------
  */
 
+/*
+ *----------------------------------------------------------------
+ *
+ * user_analog_proj_example
+ *
+ * This is an example of a (trivially simple) analog user project,
+ * showing how the user project can connect to the I/O pads, both
+ * the digital pads, the analog connection on the digital pads,
+ * and the dedicated analog pins used as an additional power supply
+ * input, with a connected ESD clamp.
+ *
+ * See the testbench in directory "mprj_por" for the example
+ * program that drives this user project.
+ *
+ *----------------------------------------------------------------
+ */
+
+`define MPRJ_IO_PADS 38
+`define ANALOG_PADS 11
+
+
 module user_analog_project_wrapper (
 `ifdef USE_POWER_PINS
     inout vdda1,	// User area 1 3.3V supply
@@ -54,128 +114,87 @@
     output [127:0] la_data_out,
     input  [127:0] la_oenb,
 
-    /* GPIOs.  There are 27 GPIOs, on either side of the analog.
-     * These have the following mapping to the GPIO padframe pins
-     * and memory-mapped registers, since the numbering remains the
-     * same as caravel but skips over the analog I/O:
-     *
-     * io_in/out/oeb/in_3v3 [26:14]  <--->  mprj_io[37:25]
-     * io_in/out/oeb/in_3v3 [13:0]   <--->  mprj_io[13:0]	
-     *
-     * When the GPIOs are configured by the Management SoC for
-     * user use, they have three basic bidirectional controls:
-     * in, out, and oeb (output enable, sense inverted).  For
-     * analog projects, a 3.3V copy of the signal input is
-     * available.  out and oeb must be 1.8V signals.
-     */
-
+    // IOs
     input  [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_in,
     input  [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_in_3v3,
     output [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_out,
     output [`MPRJ_IO_PADS-`ANALOG_PADS-1:0] io_oeb,
 
-    /* Analog (direct connection to GPIO pad---not for high voltage or
-     * high frequency use).  The management SoC must turn off both
-     * input and output buffers on these GPIOs to allow analog access.
-     * These signals may drive a voltage up to the value of VDDIO
-     * (3.3V typical, 5.5V maximum).
-     * 
-     * Note that analog I/O is not available on the 7 lowest-numbered
-     * GPIO pads, and so the analog_io indexing is offset from the
-     * GPIO indexing by 7, as follows:
-     *
-     * gpio_analog/noesd [17:7]  <--->  mprj_io[35:25]
-     * gpio_analog/noesd [6:0]   <--->  mprj_io[13:7]	
-     *
-     */
-    
+    // GPIO-analog
     inout [`MPRJ_IO_PADS-`ANALOG_PADS-10:0] gpio_analog,
     inout [`MPRJ_IO_PADS-`ANALOG_PADS-10:0] gpio_noesd,
 
-    /* Analog signals, direct through to pad.  These have no ESD at all,
-     * so ESD protection is the responsibility of the designer.
-     *
-     * user_analog[10:0]  <--->  mprj_io[24:14]
-     *
-     */
+    // Dedicated analog
     inout [`ANALOG_PADS-1:0] io_analog,
-
-    /* Additional power supply ESD clamps, one per analog pad.  The
-     * high side should be connected to a 3.3-5.5V power supply.
-     * The low side should be connected to ground.
-     *
-     * clamp_high[2:0]   <--->  mprj_io[20:18]
-     * clamp_low[2:0]    <--->  mprj_io[20:18]
-     *
-     */
     inout [2:0] io_clamp_high,
     inout [2:0] io_clamp_low,
 
-    // Independent clock (on independent integer divider)
+    // Clock
     input   user_clock2,
 
-    // User maskable interrupt signals
+    // IRQ
     output [2:0] user_irq
 );
+//wire module_in[14:0];
+//wire module_out[20:0];
+//assign module_in[14:0]=io_in[15]?io_in[14:0]:la_data_in[14:0];
 
-/*--------------------------------------*/
-/* User project is instantiated  here   */
-/*--------------------------------------*/
+//always@(*) begin
+//	if (io_in[15] == 1'b1) begin
+assign	module_in[14:0]=io_in_3v3[14:0];		
+assign	io_out[20:0]=module_out;
+//	end
+//	else begin
+//		module_in[14:0]=la_data_in[14:0];
+//		la_data_out[20:0]=module_out;
+//	end
+//end
+opentitan_soc_top soc_top(
+//`ifdef USE_POWER_PINS
+//    .vdda1(vdda1),        // User area 1 3.3V supply
+//    .vdda2(vdda2),        // User area 2 3.3V supply
+//    .vssa1(vssa1),        // User area 1 analog ground
+//    .vssa2(vssa2),        // User area 2 analog ground
+//    .vccd1(vccd1),        // User area 1 1.8V supply
+//    .vccd2(vccd2),        // User area 2 1.8v supply
+//    .vssd1(vssd1),        // User area 1 digital ground
+//    .vssd2(vssd2),        // User area 2 digital ground
+//`endif
+	.clk_i(user_clock2),
+	.en_i(module_in[0]),
+	.rst_ni(module_in[1]),
+	.sel(module_in[2]),
+	.spi_mosi(module_in[3]),
+	.spi_ss(module_in[4]),
+	.tempsense_clkout(module_out[0]),
+	.tempsense_clkref(module_in[5]),
+	.uart_rx(module_in[6]),
+	.uart_rx_inst(module_in[7]),
+	.uart_tx(module_out[1]),
+	.uart_txen(module_out[2]),
+	.gpio_o(module_out[10:3])
+);
+ldo_all ldo(
+//`ifdef USE_POWER_PINS
+//    .vdda1(vdda1),        // User area 1 3.3V supply
+//    .vdda2(vdda2),        // User area 2 3.3V supply
+//    .vssa1(vssa1),        // User area 1 analog ground
+//    .vssa2(vssa2),        // User area 2 analog ground
+//    .vccd1(vccd1),        // User area 1 1.8V supply
+//    .vccd2(vccd2),        // User area 2 1.8v supply
+//    .vssd1(vssd1),        // User area 1 digital ground
+//    .vssd2(vssd2),        // User area 2 digital ground
+//`endif
+        .ldo_reset(module_in[8]),  // Active Low Reset
+        .signal_reset(module_in[9]),
+        .SPI_LDO_SS(module_in[10:13]),   // Slave Select
+        .clk(user_clock2),        // Serial Clock
+        .SPI_LDO_MOSI(module_in[14]),    // Master Out Slave In
+        .out(module_out[20:11])
+	//.out()
 
-user_analog_proj_example mprj (
-    `ifdef USE_POWER_PINS
-        .vdda1(vdda1),  // User area 1 3.3V power
-        .vdda2(vdda2),  // User area 2 3.3V power
-        .vssa1(vssa1),  // User area 1 analog ground
-        .vssa2(vssa2),  // User area 2 analog ground
-        .vccd1(vccd1),  // User area 1 1.8V power
-        .vccd2(vccd2),  // User area 2 1.8V power
-        .vssd1(vssd1),  // User area 1 digital ground
-        .vssd2(vssd2),  // User area 2 digital ground
-    `endif
-
-    .wb_clk_i(wb_clk_i),
-    .wb_rst_i(wb_rst_i),
-
-    // MGMT SoC Wishbone Slave
-
-    .wbs_cyc_i(wbs_cyc_i),
-    .wbs_stb_i(wbs_stb_i),
-    .wbs_we_i(wbs_we_i),
-    .wbs_sel_i(wbs_sel_i),
-    .wbs_adr_i(wbs_adr_i),
-    .wbs_dat_i(wbs_dat_i),
-    .wbs_ack_o(wbs_ack_o),
-    .wbs_dat_o(wbs_dat_o),
-
-    // Logic Analyzer
-
-    .la_data_in(la_data_in),
-    .la_data_out(la_data_out),
-    .la_oenb (la_oenb),
-
-    // IO Pads
-    .io_in (io_in),
-    .io_in_3v3 (io_in_3v3),
-    .io_out(io_out),
-    .io_oeb(io_oeb),
-
-    // GPIO-analog
-    .gpio_analog(gpio_analog),
-    .gpio_noesd(gpio_noesd),
-
-    // Dedicated analog
-    .io_analog(io_analog),
-    .io_clamp_high(io_clamp_high),
-    .io_clamp_low(io_clamp_low),
-
-    // Clock
-    .user_clock2(user_clock2),
-
-    // IRQ
-    .irq(user_irq)
 );
 
-endmodule	// user_analog_project_wrapper
+endmodule
 
 `default_nettype wire