blob: 95b81fa7752b14c6b93cc0965591b025897962f0 [file] [log] [blame]
module \$_TBUF_ (input A, input E, output Y);
sky130_fd_sc_ms__ebufn_2 _TECHMAP_EBUF_N_ (
.A(A),
.Z(Y),
.TE_B(~E));
endmodule