blob: c7f2949297699efe8f9553c3ed9607ee8295670c [file] [log] [blame]
module \$_TBUF_ (input A, input E, output Y);
sky130_fd_sc_hs__ebufn_2 _TECHMAP_EBUF_N_ (
.A(A),
.Z(Y),
.TE_B(~E));
endmodule