blob: a2ef1fe781d3c2437f489524e7c44b746d53a4fc [file] [log] [blame]
library ("sky130_fd_sc_hvl__ss_100C_2v70_lowhv1v65_lv1v60") {
define(clk_width,library,string);
define(def_sim_opt,library,string);
define(default_arc_mode,library,string);
define(default_constraint_arc_mode,library,string);
define(driver_model,library,string);
define(leakage_sim_opt,library,string);
define(min_pulse_width_mode,library,string);
define(simulator,library,string);
define(switching_power_split_model,library,string);
technology("cmos");
delay_model : "table_lookup";
bus_naming_style : "%s[%d]";
time_unit : "1ns";
voltage_unit : "1V";
leakage_power_unit : "1nW";
current_unit : "1mA";
pulling_resistance_unit : "1kohm";
capacitive_load_unit(1.0000000000, "pf");
revision : 1.0000000000;
default_cell_leakage_power : 0.0000000000;
default_fanout_load : 0.0000000000;
default_inout_pin_cap : 0.0000000000;
default_input_pin_cap : 0.0000000000;
default_max_transition : 3.7500000000;
default_output_pin_cap : 0.0000000000;
default_arc_mode : "worst_edges";
default_constraint_arc_mode : "worst";
default_leakage_power_density : 0.0000000000;
default_operating_conditions : "ss_100C_2v70_lowhv1v65_lv1v60";
clk_width : "0.0000000400";
operating_conditions ("ss_100C_2v70") {
voltage : 2.7000000000;
process : 1.0000000000;
temperature : 100.00000000;
tree_type : "balanced_tree";
}
power_lut_template ("hidden_pwr_template11") {
variable_1 : "input_transition_time";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
power_lut_template ("power_outputs_1") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("power_outputs_2") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("pwr_template11x7") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("pwr_template11x8") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8");
}
power_lut_template ("pwr_template11x9") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
power_lut_template ("pwr_template11x10") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10");
}
power_lut_template ("pwr_template11x11") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
power_lut_template ("pwr_template13x7") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("pwr_template15x7") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
power_lut_template ("pwr_template15x8") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8");
}
power_lut_template ("pwr_template15x19") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19");
}
power_lut_template ("pwr_template15x22") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22");
}
power_lut_template ("pwr_template17x64") {
variable_1 : "input_transition_time";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64");
}
lu_table_template ("del_1_15_7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("del_1_15_9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("delay_template11x7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("delay_template11x8") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8");
}
lu_table_template ("delay_template11x9") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9");
}
lu_table_template ("delay_template11x10") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10");
}
lu_table_template ("delay_template11x11") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
lu_table_template ("delay_template13x7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("delay_template15x7") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7");
}
lu_table_template ("delay_template15x8") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8");
}
lu_table_template ("delay_template15x19") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19");
}
lu_table_template ("delay_template15x22") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22");
}
lu_table_template ("delay_template17x64") {
variable_1 : "input_net_transition";
variable_2 : "total_output_net_capacitance";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64");
}
lu_table_template ("driver_waveform_template") {
variable_1 : "input_net_transition";
variable_2 : "normalized_voltage";
index_1("1, 2");
index_2("1, 2");
}
lu_table_template ("rr_template11x11") {
variable_1 : "constrained_pin_transition";
variable_2 : "related_pin_transition";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
lu_table_template ("sethold_template_fall11x11") {
variable_1 : "constrained_pin_transition";
variable_2 : "related_pin_transition";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
lu_table_template ("sethold_template_rise11x11") {
variable_1 : "constrained_pin_transition";
variable_2 : "related_pin_transition";
index_1("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
index_2("1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11");
}
normalized_driver_waveform ("driver_waveform_template") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
index_2("0.0000000000, 0.5000000000, 1.0000000000");
driver_waveform_name : "ramp";
values("0.0000000000, 0.0008333333, 0.0016666667", \
"0.0000000000, 0.0108333330, 0.0216666670", \
"0.0000000000, 0.0216666670, 0.0433333330", \
"0.0000000000, 0.0600000000, 0.1200000000", \
"0.0000000000, 0.1800000000, 0.3600000000", \
"0.0000000000, 0.3600000000, 0.7200000000", \
"0.0000000000, 0.7200000000, 1.4400000000", \
"0.0000000000, 1.0800000000, 2.1600000000", \
"0.0000000000, 2.0833333000, 4.1666667000", \
"0.0000000000, 2.6041667000, 5.2083333000", \
"0.0000000000, 3.1249999000, 6.2499998000");
}
library_features("report_delay_calculation");
voltage_map("VSS", 0.0000000000);
voltage_map("LOWHVPWR", 1.6500000000);
voltage_map("LVPWR", 1.6000000000);
voltage_map("VGND", 0.0000000000);
voltage_map("VNB", 0.0000000000);
voltage_map("VPB", 2.7000000000);
voltage_map("VPWR", 2.7000000000);
driver_model : "ramp";
in_place_swap_mode : "match_footprint";
input_threshold_pct_fall : 50.000000000;
input_threshold_pct_rise : 50.000000000;
min_pulse_width_mode : "max";
nom_process : 1.0000000000;
nom_temperature : 100.00000000;
nom_voltage : 2.7000000000;
output_threshold_pct_fall : 50.000000000;
output_threshold_pct_rise : 50.000000000;
simulation : "true";
slew_derate_from_library : 1.0000000000;
slew_lower_threshold_pct_fall : 20.000000000;
slew_lower_threshold_pct_rise : 20.000000000;
slew_upper_threshold_pct_fall : 80.00000000;
slew_upper_threshold_pct_rise : 80.00000000;
switching_power_split_model : "true";
cell ("sky130_fd_sc_hvl__lsbufhv2hv_hl_1") {
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_hl";
cell_leakage_power : 0.0000000000;
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024800000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
output_voltage_range(1.0000000000, 5.5000000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0033200000, 0.0066400000, 0.0132900000, 0.0265900000, 0.0531800000, 0.1063600000");
values("0.0198800000, 0.0158600000, 0.0116600000, 0.0029200000, -0.0150000000, -0.0511300000, -0.1235000000", \
"0.0193300000, 0.0153500000, 0.0111500000, 0.0024200000, -0.0155100000, -0.0516300000, -0.1240100000", \
"0.0192000000, 0.0152200000, 0.0110300000, 0.0022900000, -0.0156200000, -0.0517500000, -0.1241100000", \
"0.0188600000, 0.0149000000, 0.0107000000, 0.0019700000, -0.0159500000, -0.0520600000, -0.1244400000", \
"0.0182900000, 0.0143100000, 0.0101100000, 0.0013900000, -0.0165100000, -0.0526300000, -0.1250000000", \
"0.0179200000, 0.0139400000, 0.0097200000, 0.0009700000, -0.0169200000, -0.0530200000, -0.1253900000", \
"0.0176500000, 0.0136100000, 0.0093700000, 0.0006000000, -0.0172500000, -0.0533200000, -0.1256600000", \
"0.0175000000, 0.0134100000, 0.0091400000, 0.0003800000, -0.0174800000, -0.0535200000, -0.1258300000", \
"0.0172700000, 0.0131100000, 0.0088200000, 0.0000400000, -0.0177800000, -0.0537600000, -0.1260100000", \
"0.0172100000, 0.0130100000, 0.0087100000, -0.0000700000, -0.0178800000, -0.0538400000, -0.1260700000", \
"0.0171800000, 0.0129700000, 0.0086400000, -0.0001400000, -0.0179600000, -0.0539000000, -0.1261100000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0033200000, 0.0066400000, 0.0132900000, 0.0265900000, 0.0531800000, 0.1063600000");
values("0.0077500000, 0.0128000000, 0.0174000000, 0.0264300000, 0.0444200000, 0.0803000000, 0.1526200000", \
"0.0080800000, 0.0129700000, 0.0175900000, 0.0266100000, 0.0445900000, 0.0804400000, 0.1529300000", \
"0.0080100000, 0.0130400000, 0.0176800000, 0.0267600000, 0.0447500000, 0.0805800000, 0.1531700000", \
"0.0079800000, 0.0130300000, 0.0176500000, 0.0267000000, 0.0446500000, 0.0806500000, 0.1529700000", \
"0.0077500000, 0.0127400000, 0.0174200000, 0.0264800000, 0.0444600000, 0.0805600000, 0.1527400000", \
"0.0074600000, 0.0124000000, 0.0170700000, 0.0262200000, 0.0443100000, 0.0800900000, 0.1525300000", \
"0.0071600000, 0.0120200000, 0.0167000000, 0.0259000000, 0.0439600000, 0.0799800000, 0.1524600000", \
"0.0069600000, 0.0118200000, 0.0165100000, 0.0256800000, 0.0437500000, 0.0798200000, 0.1521800000", \
"0.0066800000, 0.0114600000, 0.0161300000, 0.0254000000, 0.0435900000, 0.0793700000, 0.1516700000", \
"0.0066100000, 0.0113800000, 0.0160300000, 0.0252900000, 0.0435900000, 0.0794300000, 0.1514400000", \
"0.0065800000, 0.0113200000, 0.0159600000, 0.0251700000, 0.0435200000, 0.0795300000, 0.1513500000");
}
}
max_capacitance : 0.0568600000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0033200000, 0.0066400000, 0.0132900000, 0.0265900000, 0.0531800000, 0.1063600000");
values("0.6680100000, 0.7989900000, 0.9033500000, 1.0877700000, 1.4295700000, 2.0977700000, 3.4259700000", \
"0.6707100000, 0.8020600000, 0.9062800000, 1.0907700000, 1.4325700000, 2.1002700000, 3.4313700000", \
"0.6745700000, 0.8059600000, 0.9105900000, 1.0948300000, 1.4362300000, 2.1043300000, 3.4332300000", \
"0.6918700000, 0.8235000000, 0.9276600000, 1.1127000000, 1.4538000000, 2.1214000000, 3.4523000000", \
"0.7626400000, 0.8934000000, 0.9981000000, 1.1829000000, 1.5249000000, 2.1936000000, 3.5221000000", \
"0.8856000000, 1.0166000000, 1.1204000000, 1.3050000000, 1.6474000000, 2.3159000000, 3.6463000000", \
"1.1462000000, 1.2764000000, 1.3798000000, 1.5637000000, 1.9060000000, 2.5750000000, 3.9051000000", \
"1.4118000000, 1.5405000000, 1.6437000000, 1.8277000000, 2.1712000000, 2.8411000000, 4.1720000000", \
"2.1466700000, 2.2762700000, 2.3797700000, 2.5644700000, 2.9086700000, 3.5795700000, 4.9132700000", \
"2.5072300000, 2.6417300000, 2.7467300000, 2.9322300000, 3.2772300000, 3.9486300000, 5.2799300000", \
"2.8522000000, 2.9924000000, 3.0973000000, 3.2861000000, 3.6318000000, 4.3032000000, 5.6369000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0033200000, 0.0066400000, 0.0132900000, 0.0265900000, 0.0531800000, 0.1063600000");
values("0.2813400000, 0.3783900000, 0.4599900000, 0.6170600000, 0.9272700000, 1.5453700000, 2.7798700000", \
"0.2853700000, 0.3824500000, 0.4643600000, 0.6211900000, 0.9308000000, 1.5476700000, 2.7842700000", \
"0.2897300000, 0.3870000000, 0.4689100000, 0.6257400000, 0.9353300000, 1.5535300000, 2.7889300000", \
"0.3051300000, 0.4025000000, 0.4845700000, 0.6417600000, 0.9514000000, 1.5680000000, 2.8025000000", \
"0.3526900000, 0.4493900000, 0.5323200000, 0.6903300000, 1.0002000000, 1.6187000000, 2.8511000000", \
"0.4133100000, 0.5111300000, 0.5945800000, 0.7537000000, 1.0643000000, 1.6842000000, 2.9177000000", \
"0.4700000000, 0.5751000000, 0.6634000000, 0.8266000000, 1.1390000000, 1.7580000000, 2.9912000000", \
"0.4880000000, 0.5979000000, 0.6878000000, 0.8532000000, 1.1707000000, 1.7888000000, 3.0255000000", \
"0.4489700000, 0.5707700000, 0.6654700000, 0.8344700000, 1.1525700000, 1.7799700000, 3.0145700000", \
"0.3994300000, 0.5270300000, 0.6241300000, 0.7948300000, 1.1143300000, 1.7395300000, 2.9792300000", \
"0.3372000000, 0.4704000000, 0.5699000000, 0.7430000000, 1.0626000000, 1.6888000000, 2.9310000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0033200000, 0.0066400000, 0.0132900000, 0.0265900000, 0.0531800000, 0.1063600000");
values("0.1537400000, 0.2672000000, 0.3746000000, 0.5918300000, 1.0363100000, 1.9398000000, 3.7565000000", \
"0.1536100000, 0.2670900000, 0.3745300000, 0.5918800000, 1.0351800000, 1.9373000000, 3.7549000000", \
"0.1535100000, 0.2670500000, 0.3743700000, 0.5919700000, 1.0350900000, 1.9402000000, 3.7562000000", \
"0.1536300000, 0.2670700000, 0.3746900000, 0.5917500000, 1.0356000000, 1.9372000000, 3.7557000000", \
"0.1536800000, 0.2670500000, 0.3743600000, 0.5917000000, 1.0364000000, 1.9401000000, 3.7557000000", \
"0.1538000000, 0.2672000000, 0.3747000000, 0.5922000000, 1.0346000000, 1.9402000000, 3.7540000000", \
"0.1538000000, 0.2678000000, 0.3751000000, 0.5926000000, 1.0362000000, 1.9380000000, 3.7551000000", \
"0.1539000000, 0.2683000000, 0.3756000000, 0.5923000000, 1.0354000000, 1.9394000000, 3.7620000000", \
"0.1621000000, 0.2729000000, 0.3795000000, 0.5943000000, 1.0366000000, 1.9396000000, 3.7590000000", \
"0.1735000000, 0.2830000000, 0.3871000000, 0.5984000000, 1.0374000000, 1.9400000000, 3.7599000000", \
"0.1837000000, 0.2937000000, 0.3974000000, 0.6065000000, 1.0417000000, 1.9390000000, 3.7574000000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0033200000, 0.0066400000, 0.0132900000, 0.0265900000, 0.0531800000, 0.1063600000");
values("0.0977400000, 0.2024400000, 0.3073600000, 0.5204300000, 0.9513300000, 1.8116500000, 3.5413000000", \
"0.0977200000, 0.2024000000, 0.3073400000, 0.5204700000, 0.9505000000, 1.8135900000, 3.5347000000", \
"0.0976500000, 0.2023900000, 0.3082500000, 0.5205500000, 0.9507400000, 1.8118300000, 3.5377000000", \
"0.0976400000, 0.2020900000, 0.3073000000, 0.5206200000, 0.9511300000, 1.8138600000, 3.5414000000", \
"0.0981800000, 0.2024900000, 0.3074300000, 0.5203900000, 0.9508400000, 1.8129900000, 3.5409000000", \
"0.1030600000, 0.2044300000, 0.3082700000, 0.5203500000, 0.9509000000, 1.8134000000, 3.5420000000", \
"0.1167000000, 0.2192000000, 0.3224000000, 0.5294000000, 0.9526000000, 1.8115000000, 3.5391000000", \
"0.1274000000, 0.2289000000, 0.3305000000, 0.5390000000, 0.9592000000, 1.8195000000, 3.5347000000", \
"0.1539000000, 0.2534000000, 0.3505000000, 0.5523000000, 0.9732000000, 1.8276000000, 3.5389000000", \
"0.1669000000, 0.2654000000, 0.3610000000, 0.5597000000, 0.9775000000, 1.8329000000, 3.5469000000", \
"0.1792000000, 0.2775000000, 0.3714000000, 0.5669000000, 0.9794000000, 1.8345000000, 3.5435000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2hv_lh_1") {
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2hv_lh";
cell_leakage_power : 0.0000000000;
input_voltage_range(1.3200000000, 5.5000000000);
output_voltage_range(1.6500000000, 5.5000000000);
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LOWHVPWR") {
pg_type : "primary_power";
voltage_name : "LOWHVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0028900000;
clock : "false";
direction : "input";
input_signal_level : "LOWHVPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LOWHVPWR";
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078100000, 0.0156200000, 0.0312400000, 0.0624800000, 0.1249600000, 0.2499200000");
values("0.2418800000, 0.2056700000, 0.1748800000, 0.1161500000, 0.0011400000, -0.2272400000, -0.6834100000", \
"0.2417600000, 0.2055900000, 0.1747600000, 0.1160200000, 0.0010100000, -0.2273700000, -0.6835400000", \
"0.2416800000, 0.2055400000, 0.1747200000, 0.1159800000, 0.0009700000, -0.2274100000, -0.6835900000", \
"0.2415300000, 0.2053900000, 0.1745900000, 0.1158600000, 0.0008500000, -0.2275200000, -0.6837200000", \
"0.2414000000, 0.2052800000, 0.1744500000, 0.1157100000, 0.0007000000, -0.2276800000, -0.6839000000", \
"0.2412400000, 0.2050300000, 0.1742400000, 0.1155200000, 0.0004900000, -0.2278900000, -0.6840000000", \
"0.2408300000, 0.2046800000, 0.1738600000, 0.1151500000, 0.0001400000, -0.2282400000, -0.6844600000", \
"0.2406100000, 0.2043900000, 0.1736100000, 0.1148700000, -0.0001300000, -0.2285300000, -0.6846700000", \
"0.2400200000, 0.2038300000, 0.1730600000, 0.1143000000, -0.0006900000, -0.2290800000, -0.6851900000", \
"0.2404000000, 0.2041900000, 0.1733900000, 0.1146400000, -0.0003500000, -0.2287300000, -0.6848500000", \
"0.2415400000, 0.2053700000, 0.1745500000, 0.1158200000, 0.0007900000, -0.2275900000, -0.6837100000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078100000, 0.0156200000, 0.0312400000, 0.0624800000, 0.1249600000, 0.2499200000");
values("0.1863800000, 0.2133300000, 0.2416100000, 0.2990300000, 0.4121800000, 0.6377400000, 1.0876300000", \
"0.1865400000, 0.2134800000, 0.2418600000, 0.2991400000, 0.4125900000, 0.6381500000, 1.0864500000", \
"0.1865000000, 0.2134500000, 0.2418100000, 0.2992600000, 0.4122500000, 0.6389300000, 1.0876000000", \
"0.1865000000, 0.2134500000, 0.2418300000, 0.2991000000, 0.4125100000, 0.6385400000, 1.0873200000", \
"0.1864300000, 0.2133500000, 0.2417400000, 0.2989800000, 0.4124700000, 0.6388000000, 1.0862500000", \
"0.1862500000, 0.2132700000, 0.2416400000, 0.2989200000, 0.4120200000, 0.6382300000, 1.0890200000", \
"0.1861000000, 0.2130500000, 0.2414600000, 0.2986200000, 0.4119800000, 0.6385000000, 1.0846000000", \
"0.1858500000, 0.2128400000, 0.2412500000, 0.2985800000, 0.4117000000, 0.6378900000, 1.0875400000", \
"0.1853100000, 0.2122800000, 0.2405900000, 0.2979600000, 0.4110200000, 0.6376700000, 1.0878900000", \
"0.1850800000, 0.2120400000, 0.2404100000, 0.2976700000, 0.4108000000, 0.6372100000, 1.0879900000", \
"0.1849900000, 0.2119300000, 0.2402800000, 0.2974700000, 0.4105800000, 0.6360500000, 1.0879900000");
}
}
max_capacitance : 0.0585200000;
power_down_function : "(!LOWHVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078100000, 0.0156200000, 0.0312400000, 0.0624800000, 0.1249600000, 0.2499200000");
values("3.5228700000, 3.7620700000, 3.9340700000, 4.2158700000, 4.6767700000, 5.4732700000, 6.9663700000", \
"3.5270700000, 3.7661700000, 3.9381700000, 4.2199700000, 4.6808700000, 5.4774700000, 6.9702700000", \
"3.5325300000, 3.7716300000, 3.9436300000, 4.2255300000, 4.6864300000, 5.4829300000, 6.9758300000", \
"3.5512000000, 3.7903000000, 3.9623000000, 4.2441000000, 4.7051000000, 5.5015000000, 6.9947000000", \
"3.6168000000, 3.8559000000, 4.0279000000, 4.3097000000, 4.7707000000, 5.5672000000, 7.0601000000", \
"3.7160000000, 3.9550000000, 4.1271000000, 4.4089000000, 4.8698000000, 5.6663000000, 7.1594000000", \
"3.9176000000, 4.1566000000, 4.3287000000, 4.6105000000, 5.0715000000, 5.8678000000, 7.3610000000", \
"4.1250000000, 4.3641000000, 4.5361000000, 4.8180000000, 5.2789000000, 6.0755000000, 7.5680000000", \
"4.7073700000, 4.9464700000, 5.1183700000, 5.4002700000, 5.8611700000, 6.6579700000, 8.149670000", \
"5.0070300000, 5.2462300000, 5.4181300000, 5.6999300000, 6.1609300000, 6.9572300000, 8.450830000", \
"5.3031000000, 5.5422000000, 5.7142000000, 5.9960000000, 6.4570000000, 7.2530000000, 8.747000000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078100000, 0.0156200000, 0.0312400000, 0.0624800000, 0.1249600000, 0.2499200000");
values("1.9179700000, 2.0669700000, 2.1655700000, 2.3360700000, 2.6629700000, 3.3018700000, 4.5717700000", \
"1.9231700000, 2.0721700000, 2.1707700000, 2.3413700000, 2.6678700000, 3.3070700000, 4.5773700000", \
"1.9290300000, 2.0781300000, 2.1767300000, 2.3472300000, 2.6740300000, 3.3129300000, 4.5829300000", \
"1.9515000000, 2.1005000000, 2.1991000000, 2.3697000000, 2.6964000000, 3.3354000000, 4.6054000000", \
"2.0224000000, 2.1714000000, 2.2700000000, 2.4406000000, 2.7672000000, 3.4063000000, 4.6759000000", \
"2.1288000000, 2.2778000000, 2.3764000000, 2.5469000000, 2.8737000000, 3.5127000000, 4.7824000000", \
"2.3420000000, 2.4910000000, 2.5896000000, 2.7601000000, 3.0869000000, 3.7259000000, 4.9962000000", \
"2.5559000000, 2.7049000000, 2.8035000000, 2.9740000000, 3.3008000000, 3.9398000000, 5.2098000000", \
"3.1331700000, 3.2821700000, 3.3807700000, 3.5512700000, 3.8780700000, 4.5169700000, 5.7869700000", \
"3.4031300000, 3.5521300000, 3.6506300000, 3.8212300000, 4.1480300000, 4.7869300000, 6.0576300000", \
"3.6546000000, 3.8036000000, 3.9022000000, 4.0726000000, 4.3994000000, 5.0384000000, 6.3084000000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078100000, 0.0156200000, 0.0312400000, 0.0624800000, 0.1249600000, 0.2499200000");
values("0.2234000000, 0.4107000000, 0.5604000000, 0.8180000000, 1.2881000000, 2.2289000000, 4.1937000000", \
"0.2234000000, 0.4107000000, 0.5603000000, 0.8180000000, 1.2880000000, 2.2287000000, 4.1940000000", \
"0.2234000000, 0.4107000000, 0.5604000000, 0.8179000000, 1.2881000000, 2.2288000000, 4.1939000000", \
"0.2234000000, 0.4108000000, 0.5603000000, 0.8181000000, 1.2881000000, 2.2292000000, 4.1929000000", \
"0.2234000000, 0.4107000000, 0.5604000000, 0.8179000000, 1.2881000000, 2.2287000000, 4.1940000000", \
"0.2233000000, 0.4108000000, 0.5605000000, 0.8180000000, 1.2882000000, 2.2289000000, 4.1937000000", \
"0.2233000000, 0.4108000000, 0.5603000000, 0.8181000000, 1.2882000000, 2.2290000000, 4.1932000000", \
"0.2234000000, 0.4106000000, 0.5597000000, 0.8180000000, 1.2878000000, 2.2285000000, 4.1946000000", \
"0.2234000000, 0.4109000000, 0.5594000000, 0.8177000000, 1.2878000000, 2.2267000000, 4.1931000000", \
"0.2233000000, 0.4110000000, 0.5595000000, 0.8174000000, 1.2880000000, 2.2296000000, 4.1916000000", \
"0.2233000000, 0.4109000000, 0.5601000000, 0.8183000000, 1.2879000000, 2.2298000000, 4.1918000000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0000000000, 0.0078100000, 0.0156200000, 0.0312400000, 0.0624800000, 0.1249600000, 0.2499200000");
values("0.0985000000, 0.2176000000, 0.3150000000, 0.5281000000, 0.9834000000, 1.9042000000, 3.7592000000", \
"0.0986000000, 0.2174000000, 0.3151000000, 0.5282000000, 0.9839000000, 1.9037000000, 3.7613000000", \
"0.0985000000, 0.2175000000, 0.3150000000, 0.5283000000, 0.9834000000, 1.9055000000, 3.7588000000", \
"0.0986000000, 0.2174000000, 0.3151000000, 0.5282000000, 0.9838000000, 1.9042000000, 3.7603000000", \
"0.0986000000, 0.2174000000, 0.3151000000, 0.5283000000, 0.9839000000, 1.9069000000, 3.7587000000", \
"0.0986000000, 0.2175000000, 0.3150000000, 0.5290000000, 0.9834000000, 1.9044000000, 3.7590000000", \
"0.0986000000, 0.2176000000, 0.3148000000, 0.5285000000, 0.9831000000, 1.9042000000, 3.7624000000", \
"0.0986000000, 0.2175000000, 0.3150000000, 0.5291000000, 0.9834000000, 1.9042000000, 3.7588000000", \
"0.0985000000, 0.2175000000, 0.3149000000, 0.5282000000, 0.9833000000, 1.9050000000, 3.7583000000", \
"0.0985000000, 0.2174000000, 0.3150000000, 0.5290000000, 0.9836000000, 1.9050000000, 3.7596000000", \
"0.0986000000, 0.2176000000, 0.3150000000, 0.5281000000, 0.9834000000, 1.9045000000, 3.7573000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_1") {
area : 66.42240000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv";
cell_leakage_power : 0.0000000000;
dont_touch : "true";
dont_use : "true";
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0019020000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
fall_capacitance : 0.0018490000;
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0019560000;
}
pin ("X") {
output_voltage_range(1.6000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000050000, 0.0000327996, 0.0002151629, 0.0014114520, 0.0092590190, 0.0607384500, 0.3984395000");
values("0.1646450000, 0.1646408000, 0.1646063000, 0.1642993000, 0.1586380000, 0.0964908000, -0.3363234000", \
"0.1648482000, 0.1648396000, 0.1648381000, 0.1644806000, 0.1589615000, 0.0967949000, -0.3360478000", \
"0.1647763000, 0.1647761000, 0.1647052000, 0.1644928000, 0.1588711000, 0.0967450000, -0.3360743000", \
"0.1644619000, 0.1644582000, 0.1644186000, 0.1641989000, 0.1585233000, 0.0963891000, -0.3363518000", \
"0.1641087000, 0.1641082000, 0.1640782000, 0.1638708000, 0.1581865000, 0.0960506000, -0.3367182000", \
"0.1639068000, 0.1639052000, 0.1638784000, 0.1635808000, 0.1581801000, 0.0959767000, -0.3368390000", \
"0.1652897000, 0.1652892000, 0.1652738000, 0.1649791000, 0.1594240000, 0.0973145000, -0.3354700000", \
"0.1698521000, 0.1698436000, 0.1697856000, 0.1694866000, 0.1641791000, 0.1022056000, -0.3307423000", \
"0.1858727000, 0.1858397000, 0.1856241000, 0.1841859000, 0.1767282000, 0.1166904000, -0.3163996000", \
"0.1974911000, 0.1974587000, 0.1972440000, 0.1958194000, 0.1859838000, 0.1229193000, -0.3102016000", \
"0.2055917000, 0.2055591000, 0.2053433000, 0.2039158000, 0.1940703000, 0.1288098000, -0.3041816000", \
"0.2127199000, 0.2126902000, 0.2124805000, 0.2110735000, 0.2012617000, 0.1346674000, -0.2979196000", \
"0.2175026000, 0.2174698000, 0.2172542000, 0.2158226000, 0.2059240000, 0.1393313000, -0.2935256000", \
"0.2283421000, 0.2283102000, 0.2280751000, 0.2266423000, 0.2167723000, 0.1501388000, -0.2829901000", \
"0.2390612000, 0.2390288000, 0.2388141000, 0.2373874000, 0.2275958000, 0.1609892000, -0.2723051000");
}
related_pin : "A";
rise_power ("power_outputs_1") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000050000, 0.0000327996, 0.0002151629, 0.0014114520, 0.0092590190, 0.0607384500, 0.3984395000");
values("0.1509810000, 0.1510202000, 0.1512724000, 0.1527653000, 0.1671358000, 0.2343292000, 0.6625806000", \
"0.1510228000, 0.1502689000, 0.1504965000, 0.1522526000, 0.1666897000, 0.2339024000, 0.6618196000", \
"0.1500990000, 0.1501569000, 0.1503743000, 0.1519352000, 0.1663767000, 0.2335894000, 0.6619282000", \
"0.1503775000, 0.1504093000, 0.1506575000, 0.1519392000, 0.1662495000, 0.2334089000, 0.6618045000", \
"0.1498672000, 0.1498990000, 0.1499766000, 0.1514005000, 0.1658384000, 0.2329513000, 0.6608561000", \
"0.1496941000, 0.1497345000, 0.1499409000, 0.1515086000, 0.1659327000, 0.2330543000, 0.6613908000", \
"0.1488133000, 0.1488484000, 0.1490610000, 0.1505984000, 0.1651820000, 0.2322722000, 0.6602051000", \
"0.1496551000, 0.1496886000, 0.1498370000, 0.1515030000, 0.1657771000, 0.2329894000, 0.6613856000", \
"0.1571439000, 0.1571769000, 0.1573621000, 0.1586803000, 0.1683058000, 0.2356070000, 0.6634142000", \
"0.1618370000, 0.1618651000, 0.1620619000, 0.1626267000, 0.1724733000, 0.2379640000, 0.6659553000", \
"0.1641208000, 0.1640485000, 0.1642178000, 0.1653684000, 0.1751068000, 0.2401194000, 0.6681912000", \
"0.1683102000, 0.1683285000, 0.1685094000, 0.1685552000, 0.1784059000, 0.2432333000, 0.6711830000", \
"0.1705697000, 0.1705952000, 0.1707621000, 0.1718809000, 0.1816792000, 0.2467028000, 0.6747018000", \
"0.1753092000, 0.1753369000, 0.1755377000, 0.1764215000, 0.1862589000, 0.2520060000, 0.6793747000", \
"0.1817629000, 0.1817888000, 0.1819763000, 0.1832076000, 0.1931426000, 0.2590022000, 0.6863765000");
}
}
max_capacitance : 0.3984400000;
max_transition : 4.9976730000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000050000, 0.0000327996, 0.0002151630, 0.0014114500, 0.0092590200, 0.0607384000, 0.3984400000");
values("0.9457793000, 0.9461455000, 0.9484757000, 0.9617560000, 1.0195627000, 1.2957928000, 3.0532383000", \
"0.9485205000, 0.9489187000, 0.9512124000, 0.9644403000, 1.0222971000, 1.2986440000, 3.0551188000", \
"0.9519840000, 0.9523424000, 0.9546718000, 0.9675858000, 1.0257067000, 1.3020186000, 3.0586562000", \
"0.9648040000, 0.9651696000, 0.9674976000, 0.9806714000, 1.0384484000, 1.3148925000, 3.0720664000", \
"1.0112481000, 1.0116145000, 1.0139476000, 1.0271533000, 1.0848250000, 1.3612750000, 3.1183987000", \
"1.0880921000, 1.0884592000, 1.0907811000, 1.1036321000, 1.1618316000, 1.4381597000, 3.1942606000", \
"1.2472255000, 1.2475907000, 1.2499157000, 1.2630605000, 1.3209228000, 1.5972300000, 3.3542522000", \
"1.3973999000, 1.3977585000, 1.4000779000, 1.4131649000, 1.4710181000, 1.7474909000, 3.5039687000", \
"1.7248509000, 1.7252203000, 1.7275713000, 1.7407710000, 1.7987126000, 2.0755975000, 3.8304595000", \
"1.8623779000, 1.8627482000, 1.8651016000, 1.8783539000, 1.9365543000, 2.2138322000, 3.9688182000", \
"1.9881548000, 1.9885249000, 1.9908817000, 2.0041755000, 2.0624662000, 2.3400086000, 4.0937973000", \
"2.1269513000, 2.1273234000, 2.1296926000, 2.1425248000, 2.2011504000, 2.4792439000, 4.2331609000", \
"2.2159721000, 2.2163453000, 2.2187190000, 2.2321145000, 2.2905409000, 2.5685951000, 4.3217545000", \
"2.4247608000, 2.4251383000, 2.4271698000, 2.4405415000, 2.4996798000, 2.7780946000, 4.5314503000", \
"2.6209661000, 2.6213443000, 2.6237494000, 2.6373099000, 2.6959104000, 2.9747802000, 4.7276876000");
}
cell_rise ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000050000, 0.0000327996, 0.0002151630, 0.0014114500, 0.0092590200, 0.0607384000, 0.3984400000");
values("0.9223877000, 0.9232480000, 0.9287214000, 0.9575166000, 1.0608383000, 1.5272918000, 4.5336521000", \
"0.9267139000, 0.9267139000, 0.9312343000, 0.9607497000, 1.0646283000, 1.5310750000, 4.5298201000", \
"0.9298371000, 0.9306859000, 0.9361105000, 0.9649574000, 1.0683376000, 1.5344886000, 4.5322990000", \
"0.9464445000, 0.9472730000, 0.9525387000, 0.9800538000, 1.0835172000, 1.5500802000, 4.5476276000", \
"0.9940614000, 0.9949469000, 1.0008344000, 1.0288320000, 1.1318947000, 1.5979271000, 4.5964237000", \
"1.0670022000, 1.0678547000, 1.0732993000, 1.1021606000, 1.2056175000, 1.6717905000, 4.6677013000", \
"1.1972413000, 1.1980934000, 1.2035190000, 1.2321115000, 1.3352077000, 1.8014781000, 4.7971214000", \
"1.2953122000, 1.2961311000, 1.3008763000, 1.3291213000, 1.4317180000, 1.8982558000, 4.8997619000", \
"1.4657507000, 1.4665831000, 1.4718615000, 1.4998253000, 1.6001495000, 2.0661211000, 5.0723652000", \
"1.5263520000, 1.5271591000, 1.5322881000, 1.5583937000, 1.6600619000, 2.1265472000, 5.1304081000", \
"1.5734779000, 1.5748235000, 1.5800782000, 1.6073899000, 1.7088178000, 2.1752751000, 5.1795706000", \
"1.6243277000, 1.6251687000, 1.6304839000, 1.6556184000, 1.7570670000, 2.2236706000, 5.2214779000", \
"1.6512569000, 1.6520940000, 1.6573785000, 1.6855053000, 1.7863219000, 2.2529121000, 5.2484368000", \
"1.7008411000, 1.7016865000, 1.7074011000, 1.7343846000, 1.8353723000, 2.3020901000, 5.2963545000", \
"1.7394836000, 1.7403138000, 1.7455711000, 1.7729084000, 1.8735562000, 2.3397586000, 5.3421010000");
}
fall_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000050000, 0.0000327996, 0.0002151630, 0.0014114500, 0.0092590200, 0.0607384000, 0.3984400000");
values("0.0292321000, 0.0295083000, 0.0312742000, 0.0423547000, 0.0963897000, 0.4427189000, 2.7994957000", \
"0.0292305000, 0.0301313000, 0.0311744000, 0.0417308000, 0.0968584000, 0.4417966000, 2.7969562000", \
"0.0296404000, 0.0299452000, 0.0316377000, 0.0415224000, 0.0963088000, 0.4419423000, 2.7958968000", \
"0.0292215000, 0.0294851000, 0.0312008000, 0.0423701000, 0.0966761000, 0.4422388000, 2.7995233000", \
"0.0291991000, 0.0294490000, 0.0311598000, 0.0423535000, 0.0967768000, 0.4427413000, 2.7926736000", \
"0.0292203000, 0.0294831000, 0.0311731000, 0.0422524000, 0.0970115000, 0.4413275000, 2.7919196000", \
"0.0293930000, 0.0296524000, 0.0313158000, 0.0412679000, 0.0965357000, 0.4425025000, 2.7985727000", \
"0.0299042000, 0.0301574000, 0.0318293000, 0.0417501000, 0.0971676000, 0.4422907000, 2.7979650000", \
"0.0296113000, 0.0298848000, 0.0316379000, 0.0426544000, 0.0971623000, 0.4432691000, 2.7965570000", \
"0.0298499000, 0.0301138000, 0.0318037000, 0.0417912000, 0.0975964000, 0.4431951000, 2.7937261000", \
"0.0300928000, 0.0303596000, 0.0320708000, 0.0422669000, 0.0976539000, 0.4430340000, 2.7932423000", \
"0.0302656000, 0.0305328000, 0.0322470000, 0.0432596000, 0.0978087000, 0.4432432000, 2.7956282000", \
"0.0303806000, 0.0306354000, 0.0322887000, 0.0421389000, 0.0973094000, 0.4438473000, 2.7868747000", \
"0.0318086000, 0.0320732000, 0.0335473000, 0.0434080000, 0.0981372000, 0.4441803000, 2.7921978000", \
"0.0311110000, 0.0313787000, 0.0330989000, 0.0433635000, 0.0984484000, 0.4440068000, 2.7856344000");
}
related_pin : "A";
rise_transition ("del_1_15_7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000050000, 0.0000327996, 0.0002151630, 0.0014114500, 0.0092590200, 0.0607384000, 0.3984400000");
values("0.0752703000, 0.0756855000, 0.0790424000, 0.0949128000, 0.1660747000, 0.7817589000, 4.9976729000", \
"0.0764093000, 0.0769219000, 0.0800542000, 0.0970994000, 0.1661446000, 0.7806291000, 4.9871343000", \
"0.0756215000, 0.0759609000, 0.0790485000, 0.0952447000, 0.1660208000, 0.7813328000, 4.9823043000", \
"0.0756312000, 0.0760778000, 0.0787060000, 0.0949776000, 0.1651812000, 0.7832622000, 4.9974758000", \
"0.0756703000, 0.0762084000, 0.0803826000, 0.0950243000, 0.1660407000, 0.7817830000, 4.9867093000", \
"0.0755775000, 0.0760296000, 0.0791731000, 0.0954675000, 0.1660598000, 0.7812858000, 4.9919895000", \
"0.0748742000, 0.0753156000, 0.0785498000, 0.0949549000, 0.1656635000, 0.7807575000, 4.9936135000", \
"0.0747146000, 0.0751530000, 0.0779977000, 0.0958526000, 0.1648622000, 0.7825671000, 4.9942712000", \
"0.0746978000, 0.0750927000, 0.0775370000, 0.0930876000, 0.1648150000, 0.7821206000, 4.9960875000", \
"0.0736459000, 0.0740834000, 0.0766936000, 0.0928575000, 0.1636906000, 0.7819576000, 4.9960880000", \
"0.0748704000, 0.0767691000, 0.0789880000, 0.0947035000, 0.1643238000, 0.7815180000, 4.9943294000", \
"0.0732867000, 0.0738277000, 0.0770122000, 0.0925202000, 0.1640740000, 0.7821063000, 4.9927471000", \
"0.0729330000, 0.0734599000, 0.0766819000, 0.0934063000, 0.1639882000, 0.7828981000, 4.9805102000", \
"0.0742523000, 0.0747495000, 0.0790060000, 0.0919906000, 0.1639643000, 0.7841051000, 4.9793156000", \
"0.0734257000, 0.0738147000, 0.0764003000, 0.0910766000, 0.1631738000, 0.7817001000, 4.9869793000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbufhv2lv_simple_1") {
area : 70.32960000;
cell_footprint : "sky130_fd_sc_hvl__lsbufhv2lv_simple";
cell_leakage_power : 0.0000000000;
is_level_shifter : "true";
level_shifter_type : "HL";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024500000;
clock : "false";
input_voltage_range(1.3200000000, 5.5000000000);
direction : "input";
input_signal_level : "VPWR";
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
}
pin ("X") {
output_voltage_range(1.0000000000, 1.9500000000);
direction : "output";
function : "A";
internal_power () {
fall_power ("pwr_template15x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0041400000, 0.0082800000, 0.0165600000, 0.0331300000, 0.0662500000, 0.1325000000");
values("0.0186400000, 0.0139000000, 0.0089200000, -0.0014400000, -0.0225300000, -0.0648900000, -0.1497000000", \
"0.0181200000, 0.0134100000, 0.0084200000, -0.0019400000, -0.0230200000, -0.0653900000, -0.1501900000", \
"0.0179900000, 0.0133200000, 0.0083600000, -0.0019900000, -0.0230800000, -0.0654400000, -0.1502400000", \
"0.0176700000, 0.0129600000, 0.0079800000, -0.0023700000, -0.0234600000, -0.0658200000, -0.1506300000", \
"0.0171100000, 0.0124000000, 0.0074100000, -0.0029400000, -0.0240100000, -0.0663700000, -0.1511800000", \
"0.0167600000, 0.0120400000, 0.0070300000, -0.0033100000, -0.0243700000, -0.0667200000, -0.1515200000", \
"0.0165000000, 0.0117300000, 0.0066900000, -0.0036500000, -0.0247000000, -0.0670200000, -0.1518100000", \
"0.0163600000, 0.0115500000, 0.0065000000, -0.0038500000, -0.0248700000, -0.0671700000, -0.1519400000", \
"0.0161300000, 0.0112400000, 0.0061700000, -0.0041700000, -0.0251500000, -0.0673900000, -0.1521200000", \
"0.0160700000, 0.0111600000, 0.0060700000, -0.0042600000, -0.0252300000, -0.0674500000, -0.1521500000", \
"0.0160200000, 0.0110900000, 0.0059800000, -0.0043600000, -0.0253200000, -0.0675200000, -0.1522100000", \
"0.0160100000, 0.0110400000, 0.0059400000, -0.0044000000, -0.0253600000, -0.0675700000, -0.1522500000", \
"0.0160000000, 0.0110200000, 0.0059100000, -0.0044400000, -0.0253900000, -0.0675700000, -0.1522400000", \
"0.0159700000, 0.0109700000, 0.0058500000, -0.0045100000, -0.0254600000, -0.0676300000, -0.1522800000", \
"0.0159500000, 0.0109400000, 0.0058200000, -0.0045500000, -0.0255000000, -0.0676700000, -0.1523000000");
}
related_pin : "A";
rise_power ("pwr_template15x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0041400000, 0.0082800000, 0.0165600000, 0.0331300000, 0.0662500000, 0.1325000000");
values("0.0063000000, 0.0120800000, 0.0174200000, 0.0279400000, 0.0490000000, 0.0910400000, 0.1748800000", \
"0.0066900000, 0.0124800000, 0.0177900000, 0.0283300000, 0.0493500000, 0.0916000000, 0.1753000000", \
"0.0065900000, 0.0123700000, 0.0177000000, 0.0282300000, 0.0492800000, 0.0912800000, 0.1753700000", \
"0.0066200000, 0.0123800000, 0.0177300000, 0.0282200000, 0.0492600000, 0.0915000000, 0.1751900000", \
"0.0063900000, 0.0121600000, 0.0175700000, 0.0281200000, 0.0491300000, 0.0912100000, 0.1750200000", \
"0.0061300000, 0.0118600000, 0.0173000000, 0.0278900000, 0.0489900000, 0.0910900000, 0.1754100000", \
"0.0058600000, 0.0115200000, 0.0169500000, 0.0276500000, 0.0488100000, 0.0908500000, 0.1747600000", \
"0.0056800000, 0.0113700000, 0.0167800000, 0.0274200000, 0.0485500000, 0.0906700000, 0.1748800000", \
"0.0054000000, 0.0110100000, 0.0164900000, 0.0272200000, 0.0483800000, 0.0904300000, 0.1750600000", \
"0.0053300000, 0.0109000000, 0.0163500000, 0.0271400000, 0.0483700000, 0.0902500000, 0.1749500000", \
"0.0052900000, 0.0108300000, 0.0162700000, 0.0270500000, 0.0483900000, 0.0903600000, 0.1750000000", \
"0.0052600000, 0.0108000000, 0.0161900000, 0.0269100000, 0.0482300000, 0.0904900000, 0.1750900000", \
"0.0052500000, 0.0107600000, 0.0161700000, 0.0269100000, 0.0483500000, 0.0904700000, 0.1747800000", \
"0.0052300000, 0.0107400000, 0.0161300000, 0.0267900000, 0.0481500000, 0.0905300000, 0.1750300000", \
"0.0052400000, 0.0107200000, 0.0161000000, 0.0267500000, 0.0480100000, 0.0906000000, 0.1752700000");
}
}
max_capacitance : 0.1325000000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
timing () {
cell_fall ("delay_template15x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0041400000, 0.0082800000, 0.0165600000, 0.0331300000, 0.0662500000, 0.1325000000");
values("0.7043100000, 0.8732900000, 1.0068700000, 1.2454700000, 1.6991700000, 2.5962700000, 4.3831700000", \
"0.7072700000, 0.8761200000, 1.0101700000, 1.2487700000, 1.7031700000, 2.5986700000, 4.3851700000", \
"0.7107700000, 0.8797400000, 1.0139300000, 1.2531300000, 1.7066300000, 2.6036300000, 4.3944300000", \
"0.7274400000, 0.8969600000, 1.0307000000, 1.2688000000, 1.7237000000, 2.6197000000, 4.4117000000", \
"0.7981700000, 0.9668000000, 1.1000000000, 1.3379000000, 1.7934000000, 2.6911000000, 4.4806000000", \
"0.9227000000, 1.0906000000, 1.2232000000, 1.4624000000, 1.9175000000, 2.8137000000, 4.6005000000", \
"1.1879000000, 1.3544000000, 1.4867000000, 1.7257000000, 2.1807000000, 3.0782000000, 4.8662000000", \
"1.4584000000, 1.6246000000, 1.7566000000, 1.9961000000, 2.4514000000, 3.3494000000, 5.1389000000", \
"2.2116700000, 2.3775700000, 2.5098700000, 2.7496700000, 3.2058700000, 4.1045700000, 5.8950700000", \
"2.5901300000, 2.7597300000, 2.8929300000, 3.1330300000, 3.5900300000, 4.4901300000, 6.2776300000", \
"2.9537000000, 3.1282000000, 3.2640000000, 3.5057000000, 3.9628000000, 4.8631000000, 6.6554000000", \
"3.3762000000, 3.5562000000, 3.6944000000, 3.9388000000, 4.3968000000, 5.2965000000, 7.0850000000", \
"3.6521300000, 3.8350300000, 3.9746300000, 4.2211300000, 4.6804300000, 5.5785300000, 7.3723300000", \
"4.3213700000, 4.5115700000, 4.6542700000, 4.9036700000, 5.3676700000, 6.2666700000, 8.059670000", \
"4.9740000000, 5.1710000000, 5.3170000000, 5.5690000000, 6.0350000000, 6.9380000000, 8.728000000");
}
cell_rise ("delay_template15x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0041400000, 0.0082800000, 0.0165600000, 0.0331300000, 0.0662500000, 0.1325000000");
values("0.2825900000, 0.4061900000, 0.5122200000, 0.7191400000, 1.1315700000, 1.9542700000, 3.6002700000", \
"0.2867300000, 0.4103500000, 0.5165400000, 0.7236700000, 1.1358700000, 1.9587700000, 3.6050700000", \
"0.2910600000, 0.4147700000, 0.5210500000, 0.7278700000, 1.1402300000, 1.9630300000, 3.6090300000", \
"0.3064700000, 0.4305700000, 0.5370700000, 0.7440100000, 1.1557000000, 1.9771000000, 3.6214000000", \
"0.3539100000, 0.4779700000, 0.5855500000, 0.7936700000, 1.2053000000, 2.0290000000, 3.6694000000", \
"0.4138900000, 0.5391800000, 0.6477000000, 0.8570000000, 1.2702000000, 2.0932000000, 3.7349000000", \
"0.4680000000, 0.6026000000, 0.7162000000, 0.9284000000, 1.3416000000, 2.1640000000, 3.8110000000", \
"0.4841000000, 0.6239000000, 0.7386000000, 0.9545000000, 1.3723000000, 2.1953000000, 3.8388000000", \
"0.4403700000, 0.5930700000, 0.7121700000, 0.9300700000, 1.3501700000, 2.1813700000, 3.8231700000", \
"0.3887300000, 0.5472300000, 0.6691300000, 0.8886300000, 1.3089300000, 2.1408300000, 3.7860300000", \
"0.3247000000, 0.4892000000, 0.6127000000, 0.8335000000, 1.2545000000, 2.0866000000, 3.7348000000", \
"0.2350000000, 0.4067000000, 0.5328000000, 0.7555000000, 1.1767000000, 2.0065000000, 3.6594000000", \
"0.1689300000, 0.3451300000, 0.4731300000, 0.6969300000, 1.1187300000, 1.9498300000, 3.6053300000", \
"-0.0141300000, 0.1735700000, 0.3059700000, 0.5334700000, 0.9559700000, 1.7855700000, 3.4373700000", \
"-0.2176000000, -0.0189000000, 0.1183000000, 0.3494000000, 0.7729000000, 1.6026000000, 3.2563000000");
}
fall_transition ("delay_template15x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0041400000, 0.0082800000, 0.0165600000, 0.0331300000, 0.0662500000, 0.1325000000");
values("0.1581000000, 0.3094200000, 0.4538400000, 0.7487200000, 1.3489000000, 2.5694000000, 5.0118000000", \
"0.1581400000, 0.3096700000, 0.4538300000, 0.7487700000, 1.3511000000, 2.5686000000, 5.0108000000", \
"0.1580900000, 0.3092900000, 0.4543600000, 0.7487200000, 1.3490000000, 2.5702000000, 5.0145000000", \
"0.1581700000, 0.3091000000, 0.4544100000, 0.7482200000, 1.3502000000, 2.5677000000, 5.0165000000", \
"0.1585400000, 0.3090400000, 0.4545000000, 0.7484000000, 1.3516000000, 2.5701000000, 5.0145000000", \
"0.1581000000, 0.3091000000, 0.4547000000, 0.7486000000, 1.3491000000, 2.5694000000, 5.0110000000", \
"0.1580000000, 0.3101000000, 0.4545000000, 0.7476000000, 1.3510000000, 2.5693000000, 5.0144000000", \
"0.1584000000, 0.3099000000, 0.4545000000, 0.7490000000, 1.3493000000, 2.5698000000, 5.0147000000", \
"0.1622000000, 0.3130000000, 0.4565000000, 0.7497000000, 1.3518000000, 2.5670000000, 5.0136000000", \
"0.1726000000, 0.3191000000, 0.4605000000, 0.7501000000, 1.3513000000, 2.5705000000, 5.0141000000", \
"0.1822000000, 0.3291000000, 0.4680000000, 0.7550000000, 1.3518000000, 2.5691000000, 5.0157000000", \
"0.1929000000, 0.3401000000, 0.4789000000, 0.7631000000, 1.3562000000, 2.5714000000, 5.0103000000", \
"0.1997000000, 0.3464000000, 0.4865000000, 0.7686000000, 1.3570000000, 2.5691000000, 5.0137000000", \
"0.2176000000, 0.3620000000, 0.5010000000, 0.7823000000, 1.3668000000, 2.5750000000, 5.0170000000", \
"0.2340000000, 0.3770000000, 0.5140000000, 0.7940000000, 1.3770000000, 2.5800000000, 5.0220000000");
}
related_pin : "A";
rise_transition ("delay_template15x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000, 4.5000000000, 5.0000000000, 6.2500000000, 7.5000000000");
index_2("0.0000000000, 0.0041400000, 0.0082800000, 0.0165600000, 0.0331300000, 0.0662500000, 0.1325000000");
values("0.0986800000, 0.2384000000, 0.3782900000, 0.6621400000, 1.2337200000, 2.3790700000, 4.6686000000", \
"0.0986600000, 0.2379100000, 0.3783500000, 0.6620000000, 1.2348500000, 2.3771000000, 4.6653000000", \
"0.0986500000, 0.2378500000, 0.3783100000, 0.6622300000, 1.2346600000, 2.3779700000, 4.6659000000", \
"0.0987000000, 0.2379000000, 0.3794000000, 0.6627800000, 1.2348500000, 2.3806200000, 4.6706000000", \
"0.0989900000, 0.2379100000, 0.3785600000, 0.6622800000, 1.2350600000, 2.3773000000, 4.6716000000", \
"0.1039300000, 0.2395100000, 0.3788200000, 0.6619400000, 1.2343000000, 2.3806000000, 4.6703000000", \
"0.1170000000, 0.2545000000, 0.3910000000, 0.6696000000, 1.2354000000, 2.3798000000, 4.6693000000", \
"0.1269000000, 0.2626000000, 0.3994000000, 0.6779000000, 1.2425000000, 2.3800000000, 4.6671000000", \
"0.1514000000, 0.2838000000, 0.4156000000, 0.6904000000, 1.2566000000, 2.3881000000, 4.6765000000", \
"0.1634000000, 0.2948000000, 0.4244000000, 0.6958000000, 1.2610000000, 2.3962000000, 4.6728000000", \
"0.1748000000, 0.3052000000, 0.4336000000, 0.7012000000, 1.2614000000, 2.3991000000, 4.6774000000", \
"0.1883000000, 0.3179000000, 0.4434000000, 0.7070000000, 1.2625000000, 2.4047000000, 4.6768000000", \
"0.1971000000, 0.3260000000, 0.4513000000, 0.7124000000, 1.2667000000, 2.4019000000, 4.6770000000", \
"0.2184000000, 0.3462000000, 0.4682000000, 0.7247000000, 1.2732000000, 2.4056000000, 4.6872000000", \
"0.2384000000, 0.3669000000, 0.4860000000, 0.7372000000, 1.2783000000, 2.4087000000, 4.6977000000");
}
timing_sense : "positive_unate";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_1") {
area : 85.95840000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv";
cell_leakage_power : 0.0000000000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0024260000;
clock : "false";
direction : "input";
fall_capacitance : 0.0023510000;
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0025020000;
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0000050000, 0.0000198896, 0.0000791189, 0.0003147278, 0.0012519590, 0.0049801810, 0.0198107200, 0.0788052600, 0.3134803000");
values("0.1532270000, 0.1532273000, 0.1533559000, 0.1532490000, 0.1531940000, 0.1534942000, 0.1325710000, -0.0633418000, -0.9185356000", \
"0.1535526000, 0.1535325000, 0.1534959000, 0.1534624000, 0.1536699000, 0.1538863000, 0.1328895000, -0.0629984000, -0.9182019000", \
"0.1536068000, 0.1536032000, 0.1535535000, 0.1534829000, 0.1536251000, 0.1537709000, 0.1328177000, -0.0630853000, -0.9182754000", \
"0.1536102000, 0.1535892000, 0.1535514000, 0.1534542000, 0.1534473000, 0.1538416000, 0.1327529000, -0.0631365000, -0.9183244000", \
"0.1534912000, 0.1534884000, 0.1534754000, 0.1533327000, 0.1532868000, 0.1535548000, 0.1324425000, -0.0632625000, -0.9184454000", \
"0.1533641000, 0.1533599000, 0.1533426000, 0.1532500000, 0.1532164000, 0.1535190000, 0.1326017000, -0.0633489000, -0.9185482000", \
"0.1533975000, 0.1533933000, 0.1533721000, 0.1533276000, 0.1532281000, 0.1536000000, 0.1325387000, -0.0633477000, -0.9185497000", \
"0.1532878000, 0.1532832000, 0.1532631000, 0.1531750000, 0.1531128000, 0.1535031000, 0.1324776000, -0.0634169000, -0.9187285000", \
"0.1529712000, 0.1529536000, 0.1530785000, 0.1529672000, 0.1529169000, 0.1531563000, 0.1322843000, -0.0636446000, -0.9188760000", \
"0.1528416000, 0.1528348000, 0.1528215000, 0.1528085000, 0.1527191000, 0.1528801000, 0.1320056000, -0.0638873000, -0.9190700000", \
"0.1525063000, 0.1524986000, 0.1524744000, 0.1524287000, 0.1525967000, 0.1527622000, 0.1318115000, -0.0640959000, -0.9193137000", \
"0.1524009000, 0.1523971000, 0.1524507000, 0.1523376000, 0.1522687000, 0.1525950000, 0.1316426000, -0.0643050000, -0.9194282000", \
"0.1530559000, 0.1530465000, 0.1530049000, 0.1528854000, 0.1529139000, 0.1532792000, 0.1322494000, -0.0636428000, -0.9188609000", \
"0.1532094000, 0.1532061000, 0.1532745000, 0.1532260000, 0.1533745000, 0.1537490000, 0.1330476000, -0.0628285000, -0.9179504000", \
"0.1548364000, 0.1547822000, 0.1546022000, 0.1537818000, 0.1534329000, 0.1545175000, 0.1341223000, -0.0616916000, -0.9168348000");
}
related_pin : "A";
rise_power ("power_outputs_2") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0000050000, 0.0000198896, 0.0000791189, 0.0003147278, 0.0012519590, 0.0049801810, 0.0198107200, 0.0788052600, 0.3134803000");
values("0.2321345000, 0.2321857000, 0.2323871000, 0.2331487000, 0.2366380000, 0.2524301000, 0.3142007000, 0.5335131000, 1.3800713000", \
"0.2315738000, 0.2316380000, 0.2318919000, 0.2328146000, 0.2362374000, 0.2521559000, 0.3140238000, 0.5336028000, 1.3797367000", \
"0.2319531000, 0.2320037000, 0.2322052000, 0.2329858000, 0.2364747000, 0.2523340000, 0.3145129000, 0.5335163000, 1.3801941000", \
"0.2314253000, 0.2317765000, 0.2319619000, 0.2325411000, 0.2361370000, 0.2519425000, 0.3138926000, 0.5334839000, 1.3807063000", \
"0.2315849000, 0.2315923000, 0.2318237000, 0.2327799000, 0.2362405000, 0.2520611000, 0.3140010000, 0.5335774000, 1.3798296000", \
"0.2314572000, 0.2314962000, 0.2317512000, 0.2327170000, 0.2361688000, 0.2520015000, 0.3139267000, 0.5335086000, 1.3797525000", \
"0.2311342000, 0.2311908000, 0.2314166000, 0.2323076000, 0.2357658000, 0.2515247000, 0.3135704000, 0.5331867000, 1.3792332000", \
"0.2311189000, 0.2311817000, 0.2314282000, 0.2323805000, 0.2358036000, 0.2514513000, 0.3136749000, 0.5327878000, 1.3792858000", \
"0.2305093000, 0.2305371000, 0.2307815000, 0.2316053000, 0.2351376000, 0.2508498000, 0.3130736000, 0.5321551000, 1.3784002000", \
"0.2292287000, 0.2292741000, 0.2294538000, 0.2302605000, 0.2338059000, 0.2495871000, 0.3114677000, 0.5310158000, 1.3783050000", \
"0.2282329000, 0.2282923000, 0.2285277000, 0.2294132000, 0.2327416000, 0.2487120000, 0.3105657000, 0.5299711000, 1.3774984000", \
"0.2274738000, 0.2275214000, 0.2277108000, 0.2284436000, 0.2320695000, 0.2479088000, 0.3096193000, 0.5285952000, 1.3751739000", \
"0.2261950000, 0.2262536000, 0.2264890000, 0.2273984000, 0.2309218000, 0.2467071000, 0.3086524000, 0.5280825000, 1.3753054000", \
"0.2256232000, 0.2256665000, 0.2258361000, 0.2267321000, 0.2303102000, 0.2460396000, 0.3082414000, 0.5277575000, 1.3738588000", \
"0.2253314000, 0.2253761000, 0.2255528000, 0.2264067000, 0.2298843000, 0.2458631000, 0.3078614000, 0.5274023000, 1.3734549000");
}
}
max_capacitance : 0.3134800000;
max_transition : 5.0354670000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0000050000, 0.0000198896, 0.0000791189, 0.0003147280, 0.0012519600, 0.0049801800, 0.0198107000, 0.0788053000, 0.3134800000");
values("0.6905184000, 0.6908072000, 0.6921411000, 0.6965397000, 0.7127479000, 0.7661486000, 0.9435774000, 1.6150160000, 4.2733586000", \
"0.6938226000, 0.6941110000, 0.6952477000, 0.6996534000, 0.7158922000, 0.7695139000, 0.9468261000, 1.6185324000, 4.2772315000", \
"0.6972325000, 0.6975204000, 0.6986567000, 0.7030566000, 0.7192837000, 0.7728563000, 0.9501809000, 1.6216648000, 4.2807877000", \
"0.7024845000, 0.7027726000, 0.7039608000, 0.7083739000, 0.7245627000, 0.7781972000, 0.9552996000, 1.6273197000, 4.2861448000", \
"0.7108219000, 0.7111128000, 0.7122618000, 0.7168047000, 0.7330232000, 0.7865096000, 0.9638645000, 1.6349199000, 4.2936024000", \
"0.7237358000, 0.7240247000, 0.7251708000, 0.7295941000, 0.7458337000, 0.7993713000, 0.9765800000, 1.6481263000, 4.3065222000", \
"0.7442198000, 0.7445103000, 0.7456588000, 0.7501265000, 0.7662868000, 0.8198907000, 0.9972170000, 1.6688501000, 4.3273571000", \
"0.7747157000, 0.7750046000, 0.7761456000, 0.7805744000, 0.7968093000, 0.8503987000, 1.0274039000, 1.6993150000, 4.3580171000", \
"0.8210614000, 0.8213509000, 0.8226832000, 0.8270830000, 0.8432966000, 0.8966818000, 1.0741081000, 1.7456054000, 4.4040522000", \
"0.8919159000, 0.8922076000, 0.8933595000, 0.8978287000, 0.9140612000, 0.9675920000, 1.1449092000, 1.8165186000, 4.4751300000", \
"0.9975493000, 0.9978367000, 0.9989719000, 1.0033725000, 1.0196048000, 1.0732040000, 1.2505410000, 1.9221107000, 4.5809840000", \
"1.1544233000, 1.1547118000, 1.1560388000, 1.1604370000, 1.1766340000, 1.2300463000, 1.4074871000, 2.0788637000, 4.7376409000", \
"1.3698471000, 1.3701354000, 1.3712744000, 1.3756941000, 1.3919594000, 1.4456407000, 1.6228390000, 2.2950453000, 4.9536584000", \
"1.5584838000, 1.5587771000, 1.5599358000, 1.5643939000, 1.5805915000, 1.6343469000, 1.8119343000, 2.4840072000, 5.1427449000", \
"1.7265332000, 1.7268278000, 1.7279922000, 1.7326922000, 1.7489644000, 1.8027803000, 1.9804842000, 2.6526481000, 5.3112634000");
}
cell_rise ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0000050000, 0.0000198896, 0.0000791189, 0.0003147280, 0.0012519600, 0.0049801800, 0.0198107000, 0.0788053000, 0.3134800000");
values("2.6174427000, 2.6178379000, 2.6193980000, 2.6254356000, 2.6472495000, 2.7139835000, 2.8969684000, 3.5386334000, 6.0685177000", \
"2.6209608000, 2.6213549000, 2.6229106000, 2.6289300000, 2.6501850000, 2.7180453000, 2.9008442000, 3.5433394000, 6.0713377000", \
"2.6245202000, 2.6249105000, 2.6264526000, 2.6324138000, 2.6539462000, 2.7216238000, 2.9047833000, 3.5465644000, 6.0778085000", \
"2.6299709000, 2.6308395000, 2.6324003000, 2.6379208000, 2.6596076000, 2.7271954000, 2.9104270000, 3.5508820000, 6.0822742000", \
"2.6393387000, 2.6397373000, 2.6409328000, 2.6468958000, 2.6688742000, 2.7364997000, 2.9191545000, 3.5615371000, 6.0916117000", \
"2.6524891000, 2.6528886000, 2.6540730000, 2.6603153000, 2.6820162000, 2.7496427000, 2.9322904000, 3.5746239000, 6.1047305000", \
"2.6719063000, 2.6722984000, 2.6738469000, 2.6798348000, 2.7014198000, 2.7689673000, 2.9522653000, 3.5940657000, 6.1225855000", \
"2.7031684000, 2.7035625000, 2.7051159000, 2.7111304000, 2.7330138000, 2.7996945000, 2.9831269000, 3.6245251000, 6.1535768000", \
"2.7421707000, 2.7425653000, 2.7440648000, 2.7501081000, 2.7719689000, 2.8387008000, 3.0221297000, 3.6651353000, 6.1925660000", \
"2.7970080000, 2.7974019000, 2.7989577000, 2.8049754000, 2.8271521000, 2.8944040000, 3.0767746000, 3.7198769000, 6.2497598000", \
"2.8752920000, 2.8756848000, 2.8772487000, 2.8832383000, 2.9047875000, 2.9725558000, 3.1551213000, 3.7969490000, 6.3276118000", \
"2.9705496000, 2.9709437000, 2.9725054000, 2.9785279000, 3.0001530000, 3.0677960000, 3.2502190000, 3.8904369000, 6.4207497000", \
"3.0948610000, 3.0952554000, 3.0968015000, 3.1027314000, 3.1243916000, 3.1919001000, 3.3747130000, 4.0165653000, 6.5466224000", \
"3.1999605000, 3.2003529000, 3.2019016000, 3.2079013000, 3.2296963000, 3.2972041000, 3.4802716000, 4.1223016000, 6.6511499000", \
"3.2931513000, 3.2935437000, 3.2950924000, 3.3010685000, 3.3227581000, 3.3903023000, 3.5733019000, 4.2155954000, 6.7437580000");
}
fall_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0000050000, 0.0000198896, 0.0000791189, 0.0003147280, 0.0012519600, 0.0049801800, 0.0198107000, 0.0788053000, 0.3134800000");
values("0.0531514000, 0.0533933000, 0.0544447000, 0.0581948000, 0.0725713000, 0.1271092000, 0.3509864000, 1.2706768000, 4.9449849000", \
"0.0531005000, 0.0533468000, 0.0543192000, 0.0581269000, 0.0723976000, 0.1271553000, 0.3515615000, 1.2697504000, 4.9452966000", \
"0.0530219000, 0.0532771000, 0.0542814000, 0.0581240000, 0.0725194000, 0.1271411000, 0.3514631000, 1.2706406000, 4.9349076000", \
"0.0531979000, 0.0534453000, 0.0543560000, 0.0581129000, 0.0725257000, 0.1272948000, 0.3518368000, 1.2706768000, 4.9385034000", \
"0.0531031000, 0.0533509000, 0.0543298000, 0.0581774000, 0.0724668000, 0.1269371000, 0.3518558000, 1.2708271000, 4.9455764000", \
"0.0531724000, 0.0534107000, 0.0543484000, 0.0581299000, 0.0724000000, 0.1268705000, 0.3518314000, 1.2700193000, 4.9452451000", \
"0.0529755000, 0.0532108000, 0.0541330000, 0.0578223000, 0.0725218000, 0.1270410000, 0.3515935000, 1.2700125000, 4.9322333000", \
"0.0532001000, 0.0534409000, 0.0543895000, 0.0581056000, 0.0724482000, 0.1271162000, 0.3512871000, 1.2691618000, 4.9373652000", \
"0.0531328000, 0.0533738000, 0.0544515000, 0.0581796000, 0.0725883000, 0.1271300000, 0.3511496000, 1.2705172000, 4.9455817000", \
"0.0528996000, 0.0531263000, 0.0540215000, 0.0579219000, 0.0726239000, 0.1270729000, 0.3515973000, 1.2701623000, 4.9438942000", \
"0.0530727000, 0.0533238000, 0.0543126000, 0.0580996000, 0.0724676000, 0.1271297000, 0.3513576000, 1.2704030000, 4.9354942000", \
"0.0531983000, 0.0534428000, 0.0544710000, 0.0582465000, 0.0725852000, 0.1271191000, 0.3512698000, 1.2708151000, 4.9419890000", \
"0.0535996000, 0.0538481000, 0.0548263000, 0.0585751000, 0.0729003000, 0.1275464000, 0.3517719000, 1.2701823000, 4.9384261000", \
"0.0543282000, 0.0545722000, 0.0555356000, 0.0593227000, 0.0735681000, 0.1275463000, 0.3513755000, 1.2709038000, 4.9315880000", \
"0.0549867000, 0.0552267000, 0.0561745000, 0.0600386000, 0.0743399000, 0.1286265000, 0.3512981000, 1.2696936000, 4.9412590000");
}
related_pin : "A";
rise_transition ("del_1_15_9") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0000050000, 0.0000198896, 0.0000791189, 0.0003147280, 0.0012519600, 0.0049801800, 0.0198107000, 0.0788053000, 0.3134800000");
values("0.0970687000, 0.0973729000, 0.0985787000, 0.1032898000, 0.1208453000, 0.1763113000, 0.3777243000, 1.2904582000, 4.9873239000", \
"0.0970469000, 0.0973554000, 0.0985773000, 0.1033488000, 0.1210459000, 0.1759841000, 0.3770580000, 1.2910562000, 4.9862594000", \
"0.0971968000, 0.0975114000, 0.0987531000, 0.1035768000, 0.1216921000, 0.1764764000, 0.3765735000, 1.2914243000, 4.9925051000", \
"0.0986295000, 0.0972822000, 0.0984612000, 0.1050668000, 0.1216251000, 0.1765532000, 0.3773932000, 1.2900555000, 4.9924300000", \
"0.0969004000, 0.0972105000, 0.0990732000, 0.1037185000, 0.1210261000, 0.1759960000, 0.3779051000, 1.2905437000, 4.9880291000", \
"0.0968963000, 0.0972050000, 0.0990770000, 0.1036954000, 0.1210196000, 0.1759837000, 0.3778952000, 1.2904831000, 4.9882760000", \
"0.0969122000, 0.0972106000, 0.0983921000, 0.1031154000, 0.1217140000, 0.1761984000, 0.3773026000, 1.2909525000, 4.9859241000", \
"0.0971351000, 0.0974424000, 0.0986530000, 0.1033973000, 0.1210677000, 0.1760798000, 0.3771481000, 1.2910216000, 4.9886291000", \
"0.0967295000, 0.0968235000, 0.0985662000, 0.1032682000, 0.1209430000, 0.1760421000, 0.3771341000, 1.2911409000, 4.9858326000", \
"0.0974425000, 0.0977466000, 0.0989474000, 0.1035990000, 0.1210669000, 0.1750678000, 0.3774809000, 1.2913448000, 4.9843094000", \
"0.0973543000, 0.0976665000, 0.0988884000, 0.1037207000, 0.1210059000, 0.1758641000, 0.3777522000, 1.2898581000, 5.0354672000", \
"0.0983692000, 0.0986767000, 0.0998883000, 0.1045716000, 0.1213470000, 0.1765790000, 0.3772136000, 1.2908909000, 4.9897433000", \
"0.0972592000, 0.0975579000, 0.0987734000, 0.1035835000, 0.1203234000, 0.1764066000, 0.3778563000, 1.2911036000, 4.9852318000", \
"0.0973731000, 0.0976787000, 0.0988875000, 0.1035792000, 0.1207461000, 0.1758622000, 0.3765133000, 1.2917414000, 4.9895150000", \
"0.0974101000, 0.0977133000, 0.0989120000, 0.1036047000, 0.1206509000, 0.1761366000, 0.3765527000, 1.2915423000, 4.9877289000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg_3") {
leakage_power () {
value : 21.828920900;
when : "A&!SLEEP_B";
}
leakage_power () {
value : 10941.631000;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 15.287671600;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 10927.077000;
when : "A&SLEEP_B";
}
area : 203.17440000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_clkiso_hlkg";
cell_leakage_power : 5476.4560000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0048750000;
clock : "false";
direction : "input";
fall_capacitance : 0.0047080000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0915635000, 0.0908283000, 0.0900352000, 0.0905276000, 0.0921538000, 0.0918444000, 0.0912654000, 0.0916271000, 0.0927068000, 0.0932480000, 0.0937893000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0798664000, 0.0793776000, 0.0788504000, 0.0789343000, 0.0792564000, 0.0791116000, 0.0788498000, 0.0791252000, 0.0799424000, 0.0803532000, 0.0807639000");
}
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0050430000;
}
pin ("SLEEP_B") {
capacitance : 0.0026760000;
clock : "false";
direction : "input";
fall_capacitance : 0.0026140000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0180381000, -0.0023530000, -0.0227794000, -0.0402311000, -0.0523213000, -0.0680656000, -0.0795857000, -0.0950994000, -0.1026524000, -0.1161760000, -0.1296996000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.1540708000, 0.1499482000, 0.1454843000, 0.1568960000, 0.1926789000, 0.1960641000, 0.2028623000, 0.2077375000, 0.2213746000, 0.2284402000, 0.2355059000");
}
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0027370000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0017652300, 0.0062320700, 0.0220020000, 0.0776773000, 0.2742360000, 0.9681800000");
values("0.2868667000, 0.2823458000, 0.2669330000, 0.2138102000, 0.0141357000, -0.7149461000, -3.3128157000", \
"0.2873076000, 0.2826108000, 0.2672879000, 0.2139421000, 0.0144148000, -0.7152000000, -3.3118737000", \
"0.2876115000, 0.2830989000, 0.2676883000, 0.2145112000, 0.0150183000, -0.7147117000, -3.3117412000", \
"0.2860525000, 0.2815646000, 0.2660890000, 0.2129496000, 0.0134014000, -0.7159605000, -3.3130906000", \
"0.2817781000, 0.2772253000, 0.2620755000, 0.2086753000, 0.0091081000, -0.7204169000, -3.3172778000", \
"0.2790186000, 0.2745090000, 0.2590912000, 0.2059181000, 0.0062938000, -0.7230656000, -3.3200475000", \
"0.2746309000, 0.2701255000, 0.2546809000, 0.2015271000, 0.0018025000, -0.7276620000, -3.3244913000", \
"0.2698580000, 0.2654501000, 0.2498003000, 0.1966780000, -0.0029358000, -0.7324912000, -3.3298113000", \
"0.2616965000, 0.2569485000, 0.2407851000, 0.1848965000, -0.0146816000, -0.7438349000, -3.3412374000", \
"0.2528660000, 0.2482394000, 0.2322646000, 0.1769362000, -0.0205990000, -0.7498534000, -3.3470483000", \
"0.2435393000, 0.2388999000, 0.2229477000, 0.1677164000, -0.0284459000, -0.7552750000, -3.3528713000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0017652300, 0.0062320700, 0.0220020000, 0.0776773000, 0.2742360000, 0.9681800000");
values("0.3385251000, 0.3428897000, 0.3597502000, 0.4201380000, 0.6239907000, 1.3170837000, 3.7670540000", \
"0.3385882000, 0.3432076000, 0.3597191000, 0.4203350000, 0.6241046000, 1.3175840000, 3.7746869000", \
"0.3387890000, 0.3435194000, 0.3600082000, 0.4211943000, 0.6235404000, 1.3212422000, 3.7680282000", \
"0.3375870000, 0.3423294000, 0.3593417000, 0.4193634000, 0.6229209000, 1.3165278000, 3.7645128000", \
"0.3348693000, 0.3393130000, 0.3561971000, 0.4165041000, 0.6198997000, 1.3171630000, 3.7738709000", \
"0.3321570000, 0.3366917000, 0.3534874000, 0.4140508000, 0.6180319000, 1.3110809000, 3.7594133000", \
"0.3281879000, 0.3327632000, 0.3498323000, 0.4098637000, 0.6131696000, 1.3068384000, 3.7690129000", \
"0.3247942000, 0.3290760000, 0.3457180000, 0.4067618000, 0.6092944000, 1.3017244000, 3.7572612000", \
"0.3200613000, 0.3244243000, 0.3406363000, 0.3983926000, 0.6014330000, 1.2938671000, 3.7439252000", \
"0.3139886000, 0.3183803000, 0.3345854000, 0.3938970000, 0.5966281000, 1.2921254000, 3.7348881000", \
"0.3060653000, 0.3104414000, 0.3266266000, 0.3859779000, 0.5922600000, 1.2899675000, 3.7379061000");
}
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0017652300, 0.0062320700, 0.0220020000, 0.0776773000, 0.2742360000, 0.9681800000");
values("0.2094334000, 0.2043961000, 0.1881896000, 0.1332791000, -0.0662678000, -0.7973837000, -3.4027724000", \
"0.2300374000, 0.2252435000, 0.2085888000, 0.1537994000, -0.0467401000, -0.7773083000, -3.3827977000", \
"0.2501800000, 0.2454398000, 0.2287480000, 0.1737044000, -0.0264717000, -0.7571026000, -3.3627490000", \
"0.2667791000, 0.2618367000, 0.2452659000, 0.1904412000, -0.0098646000, -0.7408200000, -3.3461495000", \
"0.2769432000, 0.2719128000, 0.2554876000, 0.2003929000, 0.0007755000, -0.7303257000, -3.3352758000", \
"0.2896789000, 0.2852999000, 0.2684879000, 0.2137360000, 0.0135954000, -0.7165487000, -3.3216120000", \
"0.2983643000, 0.2933328000, 0.2767156000, 0.2214956000, 0.0210350000, -0.7095060000, -3.3160319000", \
"0.3117162000, 0.3067824000, 0.2903046000, 0.2352857000, 0.0356119000, -0.6954551000, -3.3000788000", \
"0.3142275000, 0.3091456000, 0.2924918000, 0.2375880000, 0.0373948000, -0.6927587000, -3.2984090000", \
"0.3271931000, 0.3221707000, 0.3055537000, 0.2506434000, 0.0499063000, -0.6804790000, -3.2856556000", \
"0.3393348000, 0.3342656000, 0.3180892000, 0.2630778000, 0.0636665000, -0.6674897000, -3.2728183000");
}
related_pin : "SLEEP_B";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0017652300, 0.0062320700, 0.0220020000, 0.0776773000, 0.2742360000, 0.9681800000");
values("0.2476882000, 0.2524846000, 0.2695905000, 0.3310631000, 0.5392474000, 1.2525875000, 3.7734005000", \
"0.2519435000, 0.2565103000, 0.2731729000, 0.3351156000, 0.5432351000, 1.2563474000, 3.7730621000", \
"0.2561644000, 0.2609373000, 0.2775972000, 0.3395262000, 0.5476978000, 1.2607825000, 3.7779398000", \
"0.2445366000, 0.2493222000, 0.2664365000, 0.3279090000, 0.5360882000, 1.2491688000, 3.7665257000", \
"0.2084139000, 0.2132231000, 0.2303507000, 0.2918059000, 0.5000013000, 1.2122628000, 3.7307031000", \
"0.2053842000, 0.2099269000, 0.2266343000, 0.2889572000, 0.4970207000, 1.2090079000, 3.7352041000", \
"0.1991693000, 0.2037503000, 0.2204215000, 0.2823996000, 0.4906032000, 1.2037388000, 3.7190002000", \
"0.1963331000, 0.2009695000, 0.2177969000, 0.2799154000, 0.4891119000, 1.2003334000, 3.7257454000", \
"0.1982727000, 0.2026310000, 0.2185123000, 0.2776833000, 0.4823858000, 1.1935469000, 3.7195839000", \
"0.1993072000, 0.2036424000, 0.2196084000, 0.2785750000, 0.4836246000, 1.1965406000, 3.7000135000", \
"0.1987396000, 0.2030558000, 0.2190923000, 0.2783212000, 0.4835279000, 1.1948825000, 3.7053598000");
}
}
max_capacitance : 0.9681800000;
max_transition : 3.7542550000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0017652300, 0.0062320700, 0.0220020000, 0.0776773000, 0.2742360000, 0.9681800000");
values("0.7697583000, 0.7768973000, 0.7993942000, 0.8628284000, 1.0374959000, 1.5906090000, 3.5149419000", \
"0.7735202000, 0.7806329000, 0.8031362000, 0.8667423000, 1.0415538000, 1.5938180000, 3.5145353000", \
"0.7792025000, 0.7863405000, 0.8088225000, 0.8723438000, 1.0470291000, 1.5985531000, 3.5191150000", \
"0.7996958000, 0.8067869000, 0.8295322000, 0.8929760000, 1.0675748000, 1.6194825000, 3.5423684000", \
"0.8672891000, 0.8744804000, 0.8969807000, 0.9605434000, 1.1353393000, 1.6873809000, 3.6083436000", \
"0.9630475000, 0.9701853000, 0.9926612000, 1.0561909000, 1.2308453000, 1.7822558000, 3.7056889000", \
"1.1048389000, 1.1119737000, 1.1344268000, 1.1979653000, 1.3726323000, 1.9240129000, 3.8474561000", \
"1.2150568000, 1.2222129000, 1.2447258000, 1.3083697000, 1.4830066000, 2.0352895000, 3.9643497000", \
"1.4459395000, 1.4529983000, 1.4755500000, 1.5390540000, 1.7135857000, 2.2654725000, 4.2051647000", \
"1.5407028000, 1.5478308000, 1.5702757000, 1.6338264000, 1.8085076000, 2.3617102000, 4.2877519000", \
"1.6250725000, 1.6322196000, 1.6547325000, 1.7182322000, 1.8929331000, 2.4445366000, 4.3628514000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0017652300, 0.0062320700, 0.0220020000, 0.0776773000, 0.2742360000, 0.9681800000");
values("0.9759622000, 0.9818923000, 1.0006044000, 1.0510016000, 1.1776456000, 1.5652470000, 2.9167320000", \
"0.9802029000, 0.9862381000, 1.0050898000, 1.0556216000, 1.1823819000, 1.5702307000, 2.9266087000", \
"0.9855026000, 0.9915692000, 1.0103296000, 1.0610384000, 1.1875982000, 1.5748993000, 2.9277836000", \
"1.0062143000, 1.0122925000, 1.0312821000, 1.0814192000, 1.2082545000, 1.5964575000, 2.9441309000", \
"1.0675916000, 1.0736561000, 1.0925493000, 1.1429121000, 1.2696898000, 1.6570263000, 3.0123988000", \
"1.1390814000, 1.1450567000, 1.1637152000, 1.2142922000, 1.3411451000, 1.7288239000, 3.0825411000", \
"1.2422864000, 1.2483513000, 1.2672657000, 1.3174305000, 1.4442390000, 1.8313657000, 3.1844720000", \
"1.3212718000, 1.3272893000, 1.3460950000, 1.3967927000, 1.5233733000, 1.9106261000, 3.2655247000", \
"1.4854045000, 1.4914431000, 1.5100492000, 1.5606940000, 1.6873984000, 2.0746349000, 3.4270144000", \
"1.5521822000, 1.5582234000, 1.5768443000, 1.6274747000, 1.7543723000, 2.1417170000, 3.4991334000", \
"1.6100157000, 1.6160446000, 1.6346443000, 1.6853005000, 1.8122071000, 2.1995681000, 3.5490741000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0017652300, 0.0062320700, 0.0220020000, 0.0776773000, 0.2742360000, 0.9681800000");
values("0.0690902000, 0.0753082000, 0.0944396000, 0.1522018000, 0.3515343000, 1.0893383000, 3.7473078000", \
"0.0692706000, 0.0753084000, 0.0946373000, 0.1528896000, 0.3513779000, 1.0936290000, 3.7437795000", \
"0.0693467000, 0.0753367000, 0.0943673000, 0.1530425000, 0.3510756000, 1.0915874000, 3.7378727000", \
"0.0694033000, 0.0753153000, 0.0943296000, 0.1529844000, 0.3516021000, 1.0933645000, 3.7454493000", \
"0.0690691000, 0.0753853000, 0.0945117000, 0.1529714000, 0.3513808000, 1.0924173000, 3.7435233000", \
"0.0693468000, 0.0753327000, 0.0943727000, 0.1530370000, 0.3513165000, 1.0922929000, 3.7462629000", \
"0.0693518000, 0.0753325000, 0.0943957000, 0.1530379000, 0.3512187000, 1.0922393000, 3.7462855000", \
"0.0694114000, 0.0752083000, 0.0943926000, 0.1528832000, 0.3513008000, 1.0938320000, 3.7458885000", \
"0.0689237000, 0.0750439000, 0.0946701000, 0.1526483000, 0.3514040000, 1.0909305000, 3.7542551000", \
"0.0693504000, 0.0753184000, 0.0943964000, 0.1530262000, 0.3517180000, 1.0884093000, 3.7518011000", \
"0.0693316000, 0.0753498000, 0.0942928000, 0.1530395000, 0.3508619000, 1.0906728000, 3.7359214000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0017652300, 0.0062320700, 0.0220020000, 0.0776773000, 0.2742360000, 0.9681800000");
values("0.0624307000, 0.0662244000, 0.0803561000, 0.1226527000, 0.2651196000, 0.8067957000, 2.7573004000", \
"0.0613547000, 0.0663414000, 0.0802066000, 0.1234294000, 0.2652543000, 0.8057411000, 2.7619784000", \
"0.0611982000, 0.0656872000, 0.0804109000, 0.1235004000, 0.2651907000, 0.8064706000, 2.7631843000", \
"0.0618247000, 0.0666928000, 0.0810977000, 0.1233281000, 0.2648539000, 0.8049182000, 2.7513205000", \
"0.0614742000, 0.0657673000, 0.0809540000, 0.1233359000, 0.2651806000, 0.8066183000, 2.7624061000", \
"0.0623276000, 0.0661744000, 0.0807469000, 0.1232224000, 0.2651142000, 0.8066630000, 2.7651592000", \
"0.0617605000, 0.0660375000, 0.0809949000, 0.1232948000, 0.2647360000, 0.8063643000, 2.7602283000", \
"0.0611945000, 0.0668287000, 0.0804350000, 0.1234530000, 0.2652981000, 0.8058422000, 2.7587757000", \
"0.0616923000, 0.0661913000, 0.0801063000, 0.1229055000, 0.2652618000, 0.8049771000, 2.7634308000", \
"0.0618690000, 0.0663451000, 0.0806883000, 0.1230165000, 0.2653423000, 0.8049002000, 2.7624376000", \
"0.0620917000, 0.0664198000, 0.0805675000, 0.1231370000, 0.2653379000, 0.8068473000, 2.7552260000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0017652300, 0.0062320700, 0.0220020000, 0.0776773000, 0.2742360000, 0.9681800000");
values("1.9142674000, 1.9217614000, 1.9456512000, 2.0122251000, 2.1906055000, 2.7446172000, 4.6658086000", \
"1.9191464000, 1.9267037000, 1.9502311000, 2.0167121000, 2.1949691000, 2.7492444000, 4.6705231000", \
"1.9232216000, 1.9306867000, 1.9542612000, 2.0195428000, 2.1988631000, 2.7533766000, 4.6742281000", \
"1.9349373000, 1.9424100000, 1.9661177000, 2.0327056000, 2.2109181000, 2.7639291000, 4.6831810000", \
"1.9861901000, 1.9936510000, 2.0173632000, 2.0839890000, 2.2624693000, 2.8151526000, 4.7342308000", \
"2.0622781000, 2.0698785000, 2.0936279000, 2.1599129000, 2.3384477000, 2.8918707000, 4.8189954000", \
"2.2195481000, 2.2269906000, 2.2505684000, 2.3169302000, 2.4953475000, 3.0497815000, 4.9746509000", \
"2.3800952000, 2.3875917000, 2.4113438000, 2.4780051000, 2.6564100000, 3.2091592000, 5.1284070000", \
"2.7677647000, 2.7752640000, 2.7988674000, 2.8652614000, 3.0435516000, 3.5971726000, 5.5230536000", \
"2.9321587000, 2.9395923000, 2.9632494000, 3.0297509000, 3.2082244000, 3.7628252000, 5.6901421000", \
"3.0817562000, 3.0891560000, 3.1127949000, 3.1791689000, 3.3580771000, 3.9119428000, 5.8376703000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0017652300, 0.0062320700, 0.0220020000, 0.0776773000, 0.2742360000, 0.9681800000");
values("0.8778648000, 0.8839401000, 0.9028525000, 0.9533331000, 1.0802748000, 1.4679121000, 2.8243972000", \
"0.8815778000, 0.8876238000, 0.9065250000, 0.9570903000, 1.0840256000, 1.4716698000, 2.8283091000", \
"0.8858014000, 0.8918780000, 0.9107883000, 0.9612788000, 1.0882166000, 1.4758327000, 2.8323699000", \
"0.9010545000, 0.9071291000, 0.9260419000, 0.9765236000, 1.1034672000, 1.4910774000, 2.8474205000", \
"0.9510042000, 0.9570795000, 0.9759955000, 1.0264608000, 1.1533904000, 1.5410273000, 2.8972642000", \
"1.0264922000, 1.0325566000, 1.0514024000, 1.1020027000, 1.2289747000, 1.6164368000, 2.9685294000", \
"1.1525334000, 1.1585770000, 1.1774832000, 1.2280618000, 1.3550199000, 1.7426840000, 3.0998690000", \
"1.2393672000, 1.2454023000, 1.2644287000, 1.3150863000, 1.4420632000, 1.8291151000, 3.1821357000", \
"1.3981377000, 1.4042429000, 1.4231263000, 1.4742234000, 1.6012913000, 1.9894220000, 3.3402068000", \
"1.4545616000, 1.4606530000, 1.4793408000, 1.5305353000, 1.6578530000, 2.0458018000, 3.3933072000", \
"1.5000685000, 1.5061588000, 1.5251996000, 1.5763317000, 1.7035298000, 2.0913766000, 3.4394370000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0017652300, 0.0062320700, 0.0220020000, 0.0776773000, 0.2742360000, 0.9681800000");
values("0.0814191000, 0.0874555000, 0.1072343000, 0.1660140000, 0.3609402000, 1.0924083000, 3.7415600000", \
"0.0807058000, 0.0871437000, 0.1071903000, 0.1674041000, 0.3600467000, 1.0938490000, 3.7443662000", \
"0.0807072000, 0.0871233000, 0.1070899000, 0.1659120000, 0.3602213000, 1.0938372000, 3.7461114000", \
"0.0812843000, 0.0874881000, 0.1071480000, 0.1663051000, 0.3608299000, 1.0917267000, 3.7444079000", \
"0.0813796000, 0.0869859000, 0.1073995000, 0.1661732000, 0.3609460000, 1.0922763000, 3.7444305000", \
"0.0810412000, 0.0873037000, 0.1070854000, 0.1659061000, 0.3608195000, 1.0931414000, 3.7443399000", \
"0.0810557000, 0.0872412000, 0.1073200000, 0.1670980000, 0.3600769000, 1.0938712000, 3.7443559000", \
"0.0813113000, 0.0874383000, 0.1072685000, 0.1661418000, 0.3609592000, 1.0926848000, 3.7444301000", \
"0.0810514000, 0.0872051000, 0.1071481000, 0.1660826000, 0.3611353000, 1.0942215000, 3.7489061000", \
"0.0814561000, 0.0875269000, 0.1076006000, 0.1664219000, 0.3601703000, 1.0938985000, 3.7409444000", \
"0.0816223000, 0.0874733000, 0.1068179000, 0.1661405000, 0.3609062000, 1.0908335000, 3.7486507000");
}
related_pin : "SLEEP_B";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0017652300, 0.0062320700, 0.0220020000, 0.0776773000, 0.2742360000, 0.9681800000");
values("0.0622119000, 0.0665867000, 0.0811605000, 0.1237278000, 0.2657092000, 0.8053559000, 2.7604496000", \
"0.0616724000, 0.0666659000, 0.0805957000, 0.1237320000, 0.2655433000, 0.8056935000, 2.7607538000", \
"0.0622148000, 0.0666003000, 0.0806643000, 0.1237330000, 0.2656960000, 0.8052594000, 2.7605762000", \
"0.0622117000, 0.0665848000, 0.0811576000, 0.1237298000, 0.2657026000, 0.8051890000, 2.7601830000", \
"0.0622048000, 0.0665609000, 0.0811898000, 0.1237322000, 0.2657519000, 0.8052262000, 2.7602174000", \
"0.0618072000, 0.0659657000, 0.0816134000, 0.1237618000, 0.2650614000, 0.8060509000, 2.7592582000", \
"0.0617701000, 0.0667446000, 0.0806710000, 0.1238040000, 0.2655909000, 0.8057787000, 2.7616687000", \
"0.0618677000, 0.0663441000, 0.0816757000, 0.1238709000, 0.2650447000, 0.8067263000, 2.7604199000", \
"0.0621900000, 0.0666792000, 0.0822174000, 0.1244246000, 0.2654529000, 0.8060919000, 2.7616613000", \
"0.0628401000, 0.0673750000, 0.0818970000, 0.1246432000, 0.2661858000, 0.8045676000, 2.7547902000", \
"0.0628314000, 0.0671274000, 0.0826475000, 0.1249759000, 0.2659598000, 0.8049336000, 2.7529858000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon_1") {
leakage_power () {
value : 7.7076416000;
when : "!A&SLEEP_B";
}
leakage_power () {
value : 7.6447080000;
when : "!A&!SLEEP_B";
}
leakage_power () {
value : 7.8640383000;
when : "A&SLEEP_B";
}
leakage_power () {
value : 7.5255420000;
when : "A&!SLEEP_B";
}
area : 113.30880000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_isosrchvaon";
cell_leakage_power : 7.6854820000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
driver_waveform_fall : "ramp";
driver_waveform_rise : "ramp";
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0026630000;
clock : "false";
direction : "input";
fall_capacitance : 0.0025790000;
input_signal_level : "LVPWR";
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0262989000, 0.0260331000, 0.0257464000, 0.0263072000, 0.0280922000, 0.0287242000, 0.0300018000, 0.0302680000, 0.0310342000, 0.0314253000, 0.0318165000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0216101000, 0.0213374000, 0.0210432000, 0.0217840000, 0.0241327000, 0.0276096000, 0.0345774000, 0.0352152000, 0.0370180000, 0.0379470000, 0.0388762000");
}
}
level_shifter_data_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
rise_capacitance : 0.0027460000;
}
pin ("SLEEP_B") {
capacitance : 0.0203500000;
clock : "false";
direction : "input";
fall_capacitance : 0.0204070000;
internal_power () {
fall_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("0.0480590000, 0.0476722000, 0.0472543000, 0.0468968000, 0.0458077000, 0.0481311000, 0.0527920000, 0.0590829000, 0.0766407000, 0.0857483000, 0.0948559000");
}
rise_power ("hidden_pwr_template11") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7499999000");
values("-0.0041762000, -0.0048352000, -0.0055479000, -0.0060176000, -0.0074583000, -0.0051744000, -0.0005926000, 0.0056456000, 0.0230570000, 0.0320884000, 0.0411200000");
}
}
level_shifter_enable_pin : "true";
max_transition : 3.7500000000;
related_ground_pin : "VGND";
related_power_pin : "VPWR";
rise_capacitance : 0.0202940000;
}
pin ("X") {
direction : "output";
function : "(A&SLEEP_B)";
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012437500, 0.0030938500, 0.0076959800, 0.0191438000, 0.0476205000, 0.1184560000");
values("0.1000019000, 0.0961523000, 0.0871190000, 0.0687851000, 0.0278055000, -0.0747123000, -0.3335694000", \
"0.0997933000, 0.0958478000, 0.0868552000, 0.0686318000, 0.0278159000, -0.0746247000, -0.3333477000", \
"0.1000936000, 0.0961006000, 0.0870830000, 0.0687476000, 0.0280696000, -0.0743833000, -0.3331623000", \
"0.0992688000, 0.0955913000, 0.0866007000, 0.0681411000, 0.0275107000, -0.0751767000, -0.3340123000", \
"0.0969759000, 0.0931198000, 0.0841233000, 0.0664182000, 0.0255338000, -0.0772439000, -0.3360147000", \
"0.0959546000, 0.0920890000, 0.0832061000, 0.0649748000, 0.0241911000, -0.0781663000, -0.3369664000", \
"0.0947069000, 0.0905208000, 0.0817186000, 0.0635126000, 0.0225808000, -0.0795848000, -0.3382458000", \
"0.0943309000, 0.0905758000, 0.0816129000, 0.0633545000, 0.0224900000, -0.0802791000, -0.3389775000", \
"0.0938031000, 0.0897953000, 0.0808133000, 0.0625068000, 0.0216424000, -0.0806534000, -0.3392844000", \
"0.0939781000, 0.0900850000, 0.0810476000, 0.0628153000, 0.0218749000, -0.0806295000, -0.3393493000", \
"0.0941891000, 0.0902629000, 0.0812834000, 0.0628302000, 0.0229620000, -0.0798214000, -0.3388411000");
}
related_pin : "A";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012437500, 0.0030938500, 0.0076959800, 0.0191438000, 0.0476205000, 0.1184560000");
values("0.0396232000, 0.0450185000, 0.0584439000, 0.0893960000, 0.1524091000, 0.2694259000, 0.5252594000", \
"0.0397794000, 0.0450716000, 0.0586389000, 0.0897186000, 0.1529663000, 0.2696146000, 0.5255187000", \
"0.0399852000, 0.0453999000, 0.0588357000, 0.0899376000, 0.1533801000, 0.2698870000, 0.5256896000", \
"0.0391967000, 0.0444092000, 0.0579824000, 0.0889885000, 0.1519161000, 0.2688739000, 0.5248200000", \
"0.0362896000, 0.0416717000, 0.0550515000, 0.0859034000, 0.1487770000, 0.2657091000, 0.5219616000", \
"0.0324281000, 0.0376978000, 0.0511700000, 0.0820635000, 0.1449723000, 0.2613658000, 0.5178646000", \
"0.0253473000, 0.0304548000, 0.0438681000, 0.0744071000, 0.1372659000, 0.2536403000, 0.5096599000", \
"0.0250916000, 0.0304798000, 0.0437194000, 0.0744628000, 0.1368890000, 0.2532121000, 0.5090001000", \
"0.0425045000, 0.0452332000, 0.0520629000, 0.0723364000, 0.1350951000, 0.2505002000, 0.5063186000", \
"0.0515692000, 0.0543019000, 0.0612037000, 0.0782242000, 0.1339662000, 0.2494982000, 0.5055499000", \
"0.0587369000, 0.0614503000, 0.0683429000, 0.0853231000, 0.1335279000, 0.2491668000, 0.5050307000");
}
}
internal_power () {
fall_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012437500, 0.0030938500, 0.0076959800, 0.0191438000, 0.0476205000, 0.1184560000");
values("0.1900994000, 0.1861508000, 0.1768347000, 0.1577616000, 0.1163615000, 0.0139883000, -0.2439817000", \
"0.1901744000, 0.1862200000, 0.1769226000, 0.1579265000, 0.1163665000, 0.0139885000, -0.2439644000", \
"0.1899096000, 0.1860184000, 0.1766840000, 0.1576987000, 0.1160783000, 0.0137918000, -0.2441511000", \
"0.1881086000, 0.1840436000, 0.1748538000, 0.1557958000, 0.1143999000, 0.0115248000, -0.2463016000", \
"0.1808603000, 0.1771558000, 0.1680638000, 0.1494071000, 0.1079143000, 0.0046406000, -0.2533748000", \
"0.1742969000, 0.1701155000, 0.1608977000, 0.1419498000, 0.1007314000, -0.0021140000, -0.2601366000", \
"0.1652653000, 0.1613199000, 0.1520635000, 0.1333195000, 0.0918066000, -0.0107751000, -0.2688856000", \
"0.1582275000, 0.1543145000, 0.1450472000, 0.1259191000, 0.0846925000, -0.0180762000, -0.2760511000", \
"0.1559993000, 0.1518070000, 0.1427888000, 0.1238766000, 0.0821735000, -0.0204652000, -0.2786740000", \
"0.1595485000, 0.1558639000, 0.1465529000, 0.1274893000, 0.0862831000, -0.0165876000, -0.2746272000", \
"0.1652235000, 0.1613236000, 0.1519307000, 0.1331266000, 0.0916161000, -0.0109901000, -0.2691158000");
}
related_pin : "SLEEP_B";
rise_power ("pwr_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012437500, 0.0030938500, 0.0076959800, 0.0191438000, 0.0476205000, 0.1184560000");
values("0.0477375000, 0.0529135000, 0.0664755000, 0.0977825000, 0.1606374000, 0.2779831000, 0.5344710000", \
"0.0477519000, 0.0530533000, 0.0665569000, 0.0978937000, 0.1609016000, 0.2779705000, 0.5336234000", \
"0.0478438000, 0.0531354000, 0.0667427000, 0.0979635000, 0.1611264000, 0.2780574000, 0.5337630000", \
"0.0471679000, 0.0524699000, 0.0660643000, 0.0972974000, 0.1603984000, 0.2773749000, 0.5332480000", \
"0.0480018000, 0.0533364000, 0.0668219000, 0.0977310000, 0.1606554000, 0.2774680000, 0.5331340000", \
"0.0471258000, 0.0522673000, 0.0652413000, 0.0956030000, 0.1583251000, 0.2740627000, 0.5296115000", \
"0.0509014000, 0.0535751000, 0.0637305000, 0.0935579000, 0.1536496000, 0.2688903000, 0.5243166000", \
"0.0612958000, 0.0640063000, 0.0708127000, 0.0911930000, 0.1515883000, 0.2651296000, 0.5208375000", \
"0.0862764000, 0.0889637000, 0.0958017000, 0.1129347000, 0.1555513000, 0.2718896000, 0.5275463000", \
"0.0980178000, 0.1007189000, 0.1075565000, 0.1244620000, 0.1664954000, 0.2779217000, 0.5338128000", \
"0.1085735000, 0.1112657000, 0.1179948000, 0.1348983000, 0.1772885000, 0.2842783000, 0.5405401000");
}
}
max_capacitance : 0.1184560000;
max_transition : 3.7284760000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012437500, 0.0030938500, 0.0076959800, 0.0191438000, 0.0476205000, 0.1184560000");
values("2.5013065000, 2.5440838000, 2.6317835000, 2.7973119000, 3.0981684000, 3.6362680000, 4.6402415000", \
"2.5047644000, 2.5478937000, 2.6351517000, 2.8013010000, 3.1048484000, 3.6398697000, 4.6451816000", \
"2.5103537000, 2.5532245000, 2.6402938000, 2.8071796000, 3.1077625000, 3.6466639000, 4.6505630000", \
"2.5318092000, 2.5746885000, 2.6649456000, 2.8284146000, 3.1301874000, 3.6721362000, 4.6731388000", \
"2.5988913000, 2.6438238000, 2.7317533000, 2.8956229000, 3.1972522000, 3.7361381000, 4.7411408000", \
"2.6940652000, 2.7370612000, 2.8243947000, 2.9905705000, 3.2941025000, 3.8291867000, 4.8344648000", \
"2.8547520000, 2.8974498000, 2.9850411000, 3.1520540000, 3.4560947000, 3.9891681000, 4.9953446000", \
"2.9750973000, 3.0177250000, 3.1082621000, 3.2713976000, 3.5728900000, 4.1147556000, 5.1166027000", \
"3.2364358000, 3.2791723000, 3.3670304000, 3.5339893000, 3.8368215000, 4.3721493000, 5.3769411000", \
"3.3487295000, 3.3913519000, 3.4788212000, 3.6451173000, 3.9500172000, 4.4862034000, 5.4910414000", \
"3.4470775000, 3.4900016000, 3.5775378000, 3.7437067000, 4.0431476000, 4.5822404000, 5.5855827000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012437500, 0.0030938500, 0.0076959800, 0.0191438000, 0.0476205000, 0.1184560000");
values("0.4828841000, 0.5067778000, 0.5570872000, 0.6654901000, 0.9184188000, 1.5327796000, 3.0235243000", \
"0.4872044000, 0.5114419000, 0.5618812000, 0.6701387000, 0.9227513000, 1.5370638000, 3.0282057000", \
"0.4932308000, 0.5171700000, 0.5678098000, 0.6761401000, 0.9293781000, 1.5437261000, 3.0345899000", \
"0.5145756000, 0.5383872000, 0.5888188000, 0.6968778000, 0.9492263000, 1.5631941000, 3.0548042000", \
"0.5813059000, 0.6051779000, 0.6554760000, 0.7638145000, 1.0166938000, 1.6309019000, 3.1214253000", \
"0.6688078000, 0.6929978000, 0.7433672000, 0.8515645000, 1.1039426000, 1.7178328000, 3.2087417000", \
"0.8018903000, 0.8256156000, 0.8761545000, 0.9838198000, 1.2364425000, 1.8504401000, 3.3405712000", \
"0.9042629000, 0.9280187000, 0.9783343000, 1.0862207000, 1.3390995000, 1.9532256000, 3.4416685000", \
"1.1312888000, 1.1550800000, 1.2052429000, 1.3132911000, 1.5657992000, 2.1767326000, 3.6692462000", \
"1.2246939000, 1.2484799000, 1.2991246000, 1.4072619000, 1.6593147000, 2.2745432000, 3.7628745000", \
"1.3098730000, 1.3337299000, 1.3838081000, 1.4918697000, 1.7447976000, 2.3576361000, 3.8480348000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012437500, 0.0030938500, 0.0076959800, 0.0191438000, 0.0476205000, 0.1184560000");
values("0.2965680000, 0.3265428000, 0.3872572000, 0.5064444000, 0.7358167000, 1.1966189000, 2.2455615000", \
"0.2983357000, 0.3275411000, 0.3923107000, 0.5069828000, 0.7439948000, 1.2046116000, 2.2521571000", \
"0.2996486000, 0.3298730000, 0.3928038000, 0.5069993000, 0.7385350000, 1.2022091000, 2.2514179000", \
"0.2979720000, 0.3282700000, 0.3881637000, 0.5076488000, 0.7337362000, 1.2026385000, 2.2470577000", \
"0.2970967000, 0.3273626000, 0.3923630000, 0.5128410000, 0.7363514000, 1.1993407000, 2.2480311000", \
"0.2984498000, 0.3284579000, 0.3924154000, 0.5069963000, 0.7439787000, 1.2045125000, 2.2521289000", \
"0.2985042000, 0.3298357000, 0.3887535000, 0.5087701000, 0.7417397000, 1.2050034000, 2.2513669000", \
"0.2978346000, 0.3276104000, 0.3874810000, 0.5069421000, 0.7340880000, 1.2022828000, 2.2476989000", \
"0.2988174000, 0.3280232000, 0.3931097000, 0.5111128000, 0.7391951000, 1.1992406000, 2.2472251000", \
"0.2987406000, 0.3311311000, 0.3925432000, 0.5074891000, 0.7412225000, 1.1976458000, 2.2450439000", \
"0.2969518000, 0.3258111000, 0.3890823000, 0.5045948000, 0.7346741000, 1.2041866000, 2.2460209000");
}
related_pin : "A";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012437500, 0.0030938500, 0.0076959800, 0.0191438000, 0.0476205000, 0.1184560000");
values("0.0911268000, 0.1129359000, 0.1646162000, 0.2995972000, 0.6548795000, 1.5385173000, 3.7254244000", \
"0.0916844000, 0.1133325000, 0.1646248000, 0.2999759000, 0.6556591000, 1.5422204000, 3.7214667000", \
"0.0912328000, 0.1129237000, 0.1651784000, 0.2987092000, 0.6539855000, 1.5391549000, 3.7203556000", \
"0.0911718000, 0.1135396000, 0.1648644000, 0.2993721000, 0.6559053000, 1.5423963000, 3.7204035000", \
"0.0910418000, 0.1128293000, 0.1645371000, 0.2994720000, 0.6548171000, 1.5392640000, 3.7214207000", \
"0.0914672000, 0.1130919000, 0.1643646000, 0.2998101000, 0.6555144000, 1.5412831000, 3.7211381000", \
"0.0910643000, 0.1128125000, 0.1644026000, 0.2994212000, 0.6554140000, 1.5389183000, 3.7206151000", \
"0.0909068000, 0.1131851000, 0.1644596000, 0.2985698000, 0.6549060000, 1.5417961000, 3.7209336000", \
"0.0908901000, 0.1131399000, 0.1646126000, 0.2988525000, 0.6542419000, 1.5355742000, 3.7205310000", \
"0.0910956000, 0.1127881000, 0.1644778000, 0.2989964000, 0.6544921000, 1.5353514000, 3.7206431000", \
"0.0909004000, 0.1130676000, 0.1642421000, 0.2990950000, 0.6533659000, 1.5382178000, 3.7130068000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
timing () {
cell_fall ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012437500, 0.0030938500, 0.0076959800, 0.0191438000, 0.0476205000, 0.1184560000");
values("4.9792970000, 5.0236348000, 5.1164855000, 5.2917004000, 5.6084546000, 6.1742509000, 7.2120709000", \
"4.9811550000, 5.0262137000, 5.1181139000, 5.2934888000, 5.6114557000, 6.1758927000, 7.2139952000", \
"4.9820725000, 5.0277640000, 5.1196509000, 5.2945288000, 5.6114371000, 6.1770304000, 7.2148825000", \
"4.9644795000, 5.0103490000, 5.1021942000, 5.2768936000, 5.5955622000, 6.1573253000, 7.1985600000", \
"4.8330642000, 4.8784514000, 4.9726210000, 5.1474256000, 5.4610307000, 6.0276215000, 7.0658452000", \
"4.7375690000, 4.7821835000, 4.8744284000, 5.0486770000, 5.3637343000, 5.9280261000, 6.9684472000", \
"4.5948241000, 4.6398778000, 4.7321098000, 4.9078874000, 5.2229465000, 5.7902225000, 6.8278605000", \
"4.4970569000, 4.5420334000, 4.6342707000, 4.8093214000, 5.1272560000, 5.6904979000, 6.7300485000", \
"4.5713433000, 4.6162323000, 4.7086091000, 4.8824536000, 5.1999485000, 5.7631082000, 6.8019004000", \
"4.7164290000, 4.7613633000, 4.8544102000, 5.0288165000, 5.3435745000, 5.9076100000, 6.9479826000", \
"4.8928426000, 4.9379723000, 5.0299249000, 5.2059570000, 5.5213554000, 6.0880962000, 7.1260633000");
}
cell_rise ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012437500, 0.0030938500, 0.0076959800, 0.0191438000, 0.0476205000, 0.1184560000");
values("0.3341010000, 0.3578914000, 0.4084438000, 0.5167849000, 0.7697916000, 1.3840233000, 2.8755067000", \
"0.3357853000, 0.3598790000, 0.4102761000, 0.5183422000, 0.7707204000, 1.3851917000, 2.8768525000", \
"0.3390977000, 0.3630985000, 0.4137997000, 0.5218829000, 0.7751834000, 1.3898043000, 2.8806910000", \
"0.3521567000, 0.3761483000, 0.4268449000, 0.5349406000, 0.7882076000, 1.4028293000, 2.8936993000", \
"0.3720352000, 0.3959135000, 0.4463591000, 0.5541799000, 0.8074045000, 1.4217654000, 2.9125216000", \
"0.3655519000, 0.3899437000, 0.4409859000, 0.5493416000, 0.8019305000, 1.4155055000, 2.9059799000", \
"0.3162371000, 0.3406079000, 0.3920087000, 0.5011747000, 0.7548989000, 1.3663799000, 2.8571774000", \
"0.2522205000, 0.2769564000, 0.3287394000, 0.4378159000, 0.6908193000, 1.3037129000, 2.7920979000", \
"0.0283459000, 0.0539168000, 0.1076282000, 0.2185579000, 0.4701455000, 1.0863012000, 2.5811382000", \
"-0.1018575000, -0.0754289000, -0.0213146000, 0.0904484000, 0.3433051000, 0.9586240000, 2.4585993000", \
"-0.2385994000, -0.2121054000, -0.1576134000, -0.0452677000, 0.2084703000, 0.8241601000, 2.3277942000");
}
fall_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012437500, 0.0030938500, 0.0076959800, 0.0191438000, 0.0476205000, 0.1184560000");
values("0.3326917000, 0.3649723000, 0.4331834000, 0.5564120000, 0.7808492000, 1.2645607000, 2.3255835000", \
"0.3325740000, 0.3642370000, 0.4318534000, 0.5539325000, 0.7869848000, 1.2642536000, 2.3231086000", \
"0.3335981000, 0.3624901000, 0.4299726000, 0.5554012000, 0.7840397000, 1.2645027000, 2.3231395000", \
"0.3336754000, 0.3629675000, 0.4347135000, 0.5538156000, 0.7881356000, 1.2579552000, 2.3224271000", \
"0.3319857000, 0.3639644000, 0.4311721000, 0.5580919000, 0.7845469000, 1.2641376000, 2.3228754000", \
"0.3322462000, 0.3645576000, 0.4313109000, 0.5541241000, 0.7894956000, 1.2645314000, 2.3209366000", \
"0.3364134000, 0.3642974000, 0.4302257000, 0.5550972000, 0.7848911000, 1.2647998000, 2.3221138000", \
"0.3320739000, 0.3646145000, 0.4322204000, 0.5513303000, 0.7978607000, 1.2611951000, 2.3233964000", \
"0.3317427000, 0.3669123000, 0.4272528000, 0.5522627000, 0.7845208000, 1.2614950000, 2.3232880000", \
"0.3320536000, 0.3704258000, 0.4315000000, 0.5518744000, 0.7846641000, 1.2611123000, 2.3201059000", \
"0.3331522000, 0.3642232000, 0.4288142000, 0.5544542000, 0.7847335000, 1.2633712000, 2.3229068000");
}
related_pin : "SLEEP_B";
rise_transition ("delay_template11x7") {
index_1("0.0010000000, 0.0130000000, 0.0260000000, 0.0720000000, 0.2160000000, 0.4320000000, 0.8640000000, 1.2960000000, 2.5000000000, 3.1250000000, 3.7500000000");
index_2("0.0005000000, 0.0012437500, 0.0030938500, 0.0076959800, 0.0191438000, 0.0476205000, 0.1184560000");
values("0.0910073000, 0.1131289000, 0.1646768000, 0.2996677000, 0.6556734000, 1.5425273000, 3.7216197000", \
"0.0913669000, 0.1128536000, 0.1649949000, 0.3001172000, 0.6563321000, 1.5425893000, 3.7195296000", \
"0.0910836000, 0.1129862000, 0.1647730000, 0.2998927000, 0.6561233000, 1.5402460000, 3.7198951000", \
"0.0910622000, 0.1129895000, 0.1647087000, 0.2999003000, 0.6561328000, 1.5400029000, 3.7200358000", \
"0.0911158000, 0.1128141000, 0.1648515000, 0.2990964000, 0.6558711000, 1.5393112000, 3.7200247000", \
"0.0940331000, 0.1159979000, 0.1669309000, 0.3004056000, 0.6533974000, 1.5380472000, 3.7205174000", \
"0.0950163000, 0.1166565000, 0.1682612000, 0.3032051000, 0.6553503000, 1.5373863000, 3.7187196000", \
"0.0955363000, 0.1183093000, 0.1702730000, 0.3032622000, 0.6559761000, 1.5345069000, 3.7120500000", \
"0.1006099000, 0.1232735000, 0.1750232000, 0.3056536000, 0.6560129000, 1.5457196000, 3.7199550000", \
"0.1028460000, 0.1262752000, 0.1782703000, 0.3085462000, 0.6571702000, 1.5456784000, 3.7284762000", \
"0.1040687000, 0.1278735000, 0.1812100000, 0.3104204000, 0.6582536000, 1.5485025000, 3.7247356000");
}
timing_sense : "positive_unate";
timing_type : "combinational";
}
}
}
cell ("sky130_fd_sc_hvl__lsbuflv2hv_symmetric_1") {
area : 89.86560000;
cell_footprint : "sky130_fd_sc_hvl__lsbuflv2hv_symmetric";
cell_leakage_power : 0.0000000000;
input_voltage_range(1.2800000000, 1.9500000000);
output_voltage_range(1.6500000000, 5.5000000000);
is_level_shifter : "true";
level_shifter_type : "LH";
pg_pin ("LVPWR") {
pg_type : "primary_power";
voltage_name : "LVPWR";
}
pg_pin ("VGND") {
pg_type : "primary_ground";
related_bias_pin : "VPB";
voltage_name : "VGND";
}
pg_pin ("VNB") {
pg_type : "nwell";
physical_connection : "device_layer";
voltage_name : "VNB";
}
pg_pin ("VPB") {
pg_type : "pwell";
physical_connection : "device_layer";
voltage_name : "VPB";
}
pg_pin ("VPWR") {
pg_type : "primary_power";
related_bias_pin : "VNB";
voltage_name : "VPWR";
}
pin ("A") {
capacitance : 0.0029100000;
clock : "false";
direction : "input";
input_signal_level : "LVPWR";
level_shifter_data_pin : "true";
max_transition : 1.5000000000;
related_ground_pin : "VGND";
related_power_pin : "LVPWR";
}
pin ("X") {
direction : "output";
function : "A";
internal_power () {
fall_power ("pwr_template15x8") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0000000000, 0.0055300000, 0.0110600000, 0.0221200000, 0.0442400000, 0.0884800000, 0.1769600000, 0.3539100000");
values("0.1662700000, 0.1469300000, 0.1274200000, 0.0877300000, 0.0075700000, -0.1534400000, -0.4758200000, -1.1209700000", \
"0.1662100000, 0.1468500000, 0.1273500000, 0.0876800000, 0.0074900000, -0.1535000000, -0.4759000000, -1.1210100000", \
"0.1661700000, 0.1468100000, 0.1273000000, 0.0876200000, 0.0074400000, -0.1535600000, -0.4759400000, -1.1210800000", \
"0.1660400000, 0.1466800000, 0.1271900000, 0.0875200000, 0.0073300000, -0.1536600000, -0.4760500000, -1.1212300000", \
"0.1659200000, 0.1465600000, 0.1270800000, 0.0874000000, 0.0072100000, -0.1537700000, -0.4761700000, -1.1213500000", \
"0.1658100000, 0.1464400000, 0.1269500000, 0.0872800000, 0.0071000000, -0.1539000000, -0.4762900000, -1.1214700000", \
"0.1656900000, 0.1463300000, 0.1268200000, 0.0871300000, 0.0069600000, -0.1540500000, -0.4764300000, -1.1215900000", \
"0.1655600000, 0.1461900000, 0.1266800000, 0.0870200000, 0.0068300000, -0.1541600000, -0.4765500000, -1.1217200000", \
"0.1654100000, 0.1460600000, 0.1265600000, 0.0868600000, 0.0067000000, -0.1543100000, -0.4766900000, -1.1218900000", \
"0.1652800000, 0.1459300000, 0.1264300000, 0.0867600000, 0.0065700000, -0.1544200000, -0.4768300000, -1.1219500000", \
"0.1651300000, 0.1457700000, 0.1262700000, 0.0865800000, 0.0064100000, -0.1545900000, -0.4769700000, -1.1221100000", \
"0.1650700000, 0.1457100000, 0.1261900000, 0.0865200000, 0.0063700000, -0.1546500000, -0.4770300000, -1.1220900000", \
"0.1653800000, 0.1459700000, 0.1264600000, 0.0867800000, 0.0066300000, -0.1543800000, -0.4767500000, -1.1218700000", \
"0.1658800000, 0.1464300000, 0.1269200000, 0.0872400000, 0.0071000000, -0.1538700000, -0.4762400000, -1.1213500000", \
"0.1664000000, 0.1469900000, 0.1274100000, 0.0877100000, 0.0076000000, -0.1533800000, -0.4757300000, -1.1208000000");
}
related_pin : "A";
rise_power ("pwr_template15x8") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0000000000, 0.0055300000, 0.0110600000, 0.0221200000, 0.0442400000, 0.0884800000, 0.1769600000, 0.3539100000");
values("0.2579000000, 0.2782700000, 0.2987300000, 0.3394300000, 0.4196400000, 0.5792500000, 0.9018600000, 1.4763800000", \
"0.2579200000, 0.2782200000, 0.2988400000, 0.3394100000, 0.4195500000, 0.5797500000, 0.9019200000, 1.4760300000", \
"0.2578400000, 0.2781100000, 0.2987700000, 0.3392900000, 0.4194700000, 0.5791100000, 0.9018000000, 1.4759500000", \
"0.2578000000, 0.2780800000, 0.2987100000, 0.3393300000, 0.4193500000, 0.5795900000, 0.9003800000, 1.4751800000", \
"0.2576300000, 0.2779200000, 0.2985500000, 0.3392200000, 0.4192100000, 0.5788900000, 0.9015800000, 1.4745200000", \
"0.2574000000, 0.2776600000, 0.2982800000, 0.3389000000, 0.4189900000, 0.5791900000, 0.9015500000, 1.4728400000", \
"0.2568900000, 0.2772600000, 0.2978200000, 0.3384500000, 0.4187000000, 0.5781100000, 0.9008700000, 1.4710400000", \
"0.2563500000, 0.2767000000, 0.2972800000, 0.3378800000, 0.4181300000, 0.5783600000, 0.9004200000, 1.4676900000", \
"0.2557500000, 0.2760300000, 0.2966400000, 0.3372000000, 0.4174900000, 0.5775400000, 0.8997100000, 1.4628500000", \
"0.2551300000, 0.2754700000, 0.2960200000, 0.3366600000, 0.4169200000, 0.5769700000, 0.8990800000, 1.4833800000", \
"0.2546000000, 0.2748900000, 0.2955000000, 0.3361400000, 0.4162900000, 0.5763800000, 0.8985200000, 1.4763800000", \
"0.2541300000, 0.2744800000, 0.2950000000, 0.3356700000, 0.4158500000, 0.5760800000, 0.8979900000, 1.4884800000", \
"0.2537800000, 0.2741400000, 0.2947200000, 0.3353000000, 0.4155900000, 0.5756500000, 0.8978100000, 1.4758500000", \
"0.2536900000, 0.2740400000, 0.2945200000, 0.3351400000, 0.4151900000, 0.5755300000, 0.8960700000, 1.4856200000", \
"0.2536600000, 0.2739700000, 0.2946500000, 0.3352100000, 0.4153900000, 0.5756200000, 0.8974400000, 1.4949100000");
}
}
max_capacitance : 0.3539100000;
power_down_function : "(!LVPWR+!VPWR+VGND)";
related_ground_pin : "VGND";
related_power_pin : "VPWR";
timing () {
cell_fall ("delay_template15x8") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0000000000, 0.0055300000, 0.0110600000, 0.0221200000, 0.0442400000, 0.0884800000, 0.1769600000, 0.3539100000");
values("0.7489300000, 0.8440800000, 0.9173300000, 1.0491700000, 1.3011700000, 1.8026700000, 2.8045700000, 4.8088700000", \
"0.7517200000, 0.8468800000, 0.9201500000, 1.0519400000, 1.3039400000, 1.8054400000, 2.8060400000, 4.8136400000", \
"0.7552100000, 0.8503700000, 0.9236200000, 1.0554200000, 1.3074200000, 1.8089200000, 2.8107200000, 4.8171200000", \
"0.7606700000, 0.8558200000, 0.9291000000, 1.0608800000, 1.3130700000, 1.8143800000, 2.8163700000, 4.8220800000", \
"0.7690200000, 0.8641700000, 0.9374400000, 1.0692100000, 1.3214100000, 1.8228100000, 2.8247100000, 4.8310100000", \
"0.7819800000, 0.8771300000, 0.9504200000, 1.0822200000, 1.3343200000, 1.8357200000, 2.8376200000, 4.8424200000", \
"0.8018700000, 0.8970300000, 0.9703300000, 1.1021300000, 1.3541300000, 1.8556300000, 2.8575300000, 4.8637300000", \
"0.8328000000, 0.9279600000, 1.0012600000, 1.1330600000, 1.3852600000, 1.8862600000, 2.8884600000, 4.8940600000", \
"0.8797500000, 0.9748500000, 1.0481500000, 1.1799500000, 1.4319500000, 1.9334500000, 2.9353500000, 4.9415500000", \
"0.9502900000, 1.0454900000, 1.1187900000, 1.2505900000, 1.5026900000, 2.0040900000, 3.0053900000, 5.0107900000", \
"1.0523200000, 1.1475200000, 1.2207200000, 1.3525200000, 1.6046200000, 2.1061200000, 3.1080200000, 5.1126200000", \
"1.1908700000, 1.2860700000, 1.3592700000, 1.4910700000, 1.7433700000, 2.2446700000, 3.2458700000, 5.2527700000", \
"1.3682000000, 1.4633000000, 1.5366000000, 1.6684000000, 1.9207000000, 2.4221000000, 3.4237000000, 5.4297000000", \
"1.5201300000, 1.6153300000, 1.6885300000, 1.8204300000, 2.0728300000, 2.5736300000, 3.5760300000, 5.5807300000", \
"1.6554700000, 1.7506700000, 1.8239700000, 1.9558700000, 2.2077700000, 2.7089700000, 3.7105700000, 5.7181700000");
}
cell_rise ("delay_template15x8") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0000000000, 0.0055300000, 0.0110600000, 0.0221200000, 0.0442400000, 0.0884800000, 0.1769600000, 0.3539100000");
values("0.9587800000, 1.0606700000, 1.1355700000, 1.2666700000, 1.5136700000, 2.0001700000, 2.9583700000, 4.8662700000", \
"0.9621000000, 1.0640400000, 1.1389400000, 1.2700400000, 1.5169400000, 2.0034400000, 2.9606400000, 4.8709400000", \
"0.9659900000, 1.0679200000, 1.1428200000, 1.2738200000, 1.5208200000, 2.0073200000, 2.9655200000, 4.8738200000", \
"0.9718800000, 1.0738800000, 1.1486800000, 1.2797700000, 1.5269800000, 2.0132800000, 2.9701700000, 4.8783800000", \
"0.9806100000, 1.0825100000, 1.1574100000, 1.2884100000, 1.5354100000, 2.0219100000, 2.9802100000, 4.8884100000", \
"0.9938200000, 1.0957200000, 1.1706200000, 1.3017200000, 1.5487200000, 2.0352200000, 2.9924200000, 4.9038200000", \
"1.0134300000, 1.1153300000, 1.1902300000, 1.3213300000, 1.5682300000, 2.0549300000, 3.0129300000, 4.9210300000", \
"1.0426600000, 1.1446600000, 1.2195600000, 1.3505600000, 1.5976600000, 2.0840600000, 3.0412600000, 4.9488600000", \
"1.0829500000, 1.1848500000, 1.2597500000, 1.3908500000, 1.6378500000, 2.1243500000, 3.0816500000, 4.9927500000", \
"1.1347900000, 1.2366900000, 1.3115900000, 1.4426900000, 1.6896900000, 2.1761900000, 3.1343900000, 5.0421900000", \
"1.1997200000, 1.3016200000, 1.3765200000, 1.5076200000, 1.7546200000, 2.2410200000, 3.1992200000, 5.1077200000", \
"1.2802700000, 1.3821700000, 1.4570700000, 1.5881700000, 1.8351700000, 2.3215700000, 3.2790700000, 5.1900700000", \
"1.3794000000, 1.4813000000, 1.5562000000, 1.6873000000, 1.9344000000, 2.4208000000, 3.3790000000, 5.2870000000", \
"1.4623300000, 1.5642300000, 1.6390300000, 1.7700300000, 2.0173300000, 2.5036300000, 3.4608300000, 5.3692300000", \
"1.5340700000, 1.6359700000, 1.7107700000, 1.8417700000, 2.0888700000, 2.5753700000, 3.5334700000, 5.4423700000");
}
fall_transition ("delay_template15x8") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0000000000, 0.0055300000, 0.0110600000, 0.0221200000, 0.0442400000, 0.0884800000, 0.1769600000, 0.3539100000");
values("0.0656400000, 0.1507500000, 0.2297500000, 0.3924400000, 0.7293400000, 1.4182000000, 2.8029000000, 5.5711000000", \
"0.0655600000, 0.1508800000, 0.2297200000, 0.3923300000, 0.7295200000, 1.4181000000, 2.8038000000, 5.5770000000", \
"0.0656400000, 0.1508800000, 0.2297100000, 0.3924100000, 0.7291000000, 1.4183000000, 2.8025000000, 5.5706000000", \
"0.0655700000, 0.1506800000, 0.2298100000, 0.3924900000, 0.7301000000, 1.4181000000, 2.8038000000, 5.5726000000", \
"0.0655800000, 0.1506700000, 0.2298100000, 0.3924800000, 0.7301000000, 1.4181000000, 2.8039000000, 5.5738000000", \
"0.0655800000, 0.1506600000, 0.2297600000, 0.3925300000, 0.7301000000, 1.4182000000, 2.8029000000, 5.5715000000", \
"0.0656400000, 0.1506800000, 0.2297600000, 0.3926000000, 0.7295000000, 1.4182000000, 2.8040000000, 5.5705000000", \
"0.0655600000, 0.1508000000, 0.2298000000, 0.3924000000, 0.7303000000, 1.4189000000, 2.8027000000, 5.5699000000", \
"0.0656000000, 0.1507000000, 0.2297000000, 0.3924000000, 0.7292000000, 1.4183000000, 2.8025000000, 5.5730000000", \
"0.0656000000, 0.1507000000, 0.2298000000, 0.3926000000, 0.7301000000, 1.4182000000, 2.8050000000, 5.5741000000", \
"0.0656000000, 0.1507000000, 0.2298000000, 0.3925000000, 0.7295000000, 1.4182000000, 2.8028000000, 5.5725000000", \
"0.0656000000, 0.1509000000, 0.2303000000, 0.3920000000, 0.7301000000, 1.4185000000, 2.8037000000, 5.5798000000", \
"0.0658000000, 0.1509000000, 0.2300000000, 0.3925000000, 0.7300000000, 1.4186000000, 2.8082000000, 5.5754000000", \
"0.0658000000, 0.1512000000, 0.2301000000, 0.3926000000, 0.7305000000, 1.4198000000, 2.8028000000, 5.5729000000", \
"0.0661000000, 0.1513000000, 0.2301000000, 0.3921000000, 0.7295000000, 1.4223000000, 2.8047000000, 5.5727000000");
}
related_pin : "A";
rise_transition ("delay_template15x8") {
index_1("0.0100000000, 0.0173500000, 0.0260200000, 0.0390300000, 0.0585500000, 0.0878200000, 0.1317200000, 0.1975700000, 0.2963400000, 0.4444900000, 0.6667000000, 1.0000000000, 1.5000000000, 2.0000000000, 2.5000000000");
index_2("0.0000000000, 0.0055300000, 0.0110600000, 0.0221200000, 0.0442400000, 0.0884800000, 0.1769600000, 0.3539100000");
values("0.0766200000, 0.1672300000, 0.2473000000, 0.4120000000, 0.7566000000, 1.4503000000, 2.8400000000, 5.6320000000", \
"0.0765700000, 0.1670700000, 0.2472000000, 0.4120000000, 0.7559000000, 1.4504000000, 2.8416000000, 5.6283000000", \
"0.0765600000, 0.1671000000, 0.2471000000, 0.4116000000, 0.7560000000, 1.4528000000, 2.8404000000, 5.6294000000", \
"0.0764800000, 0.1671000000, 0.2473000000, 0.4120000000, 0.7561000000, 1.4501000000, 2.8406000000, 5.6335000000", \
"0.0765300000, 0.1670000000, 0.2471000000, 0.4118000000, 0.7560000000, 1.4517000000, 2.8404000000, 5.6293000000", \
"0.0766000000, 0.1670000000, 0.2472000000, 0.4119000000, 0.7559000000, 1.4499000000, 2.8403000000, 5.6290000000", \
"0.0765000000, 0.1671000000, 0.2471000000, 0.4120000000, 0.7562000000, 1.4532000000, 2.8402000000, 5.6299000000", \
"0.0765000000, 0.1672000000, 0.2471000000, 0.4119000000, 0.7566000000, 1.4508000000, 2.8388000000, 5.6345000000", \
"0.0765000000, 0.1671000000, 0.2471000000, 0.4120000000, 0.7564000000, 1.4499000000, 2.8405000000, 5.6339000000", \
"0.0765000000, 0.1672000000, 0.2471000000, 0.4120000000, 0.7561000000, 1.4503000000, 2.8403000000, 5.6328000000", \
"0.0766000000, 0.1672000000, 0.2473000000, 0.4120000000, 0.7561000000, 1.4499000000, 2.8404000000, 5.6281000000", \
"0.0765000000, 0.1672000000, 0.2471000000, 0.4120000000, 0.7566000000, 1.4506000000, 2.8406000000, 5.6340000000", \
"0.0765000000, 0.1672000000, 0.2474000000, 0.4119000000, 0.7567000000, 1.4499000000, 2.8399000000, 5.6313000000", \
"0.0765000000, 0.1671000000, 0.2471000000, 0.4117000000, 0.7561000000, 1.4526000000, 2.8427000000, 5.6300000000", \
"0.0766000000, 0.1671000000, 0.2472000000, 0.4117000000, 0.7563000000, 1.4506000000, 2.8404000000, 5.6316000000");
}
timing_sense : "positive_unate";
}
}
}
}