| `ifndef SKY130_HILAS_TOPLEVELPROTECTSTRUCTURE |
| `define SKY130_HILAS_TOPLEVELPROTECTSTRUCTURE |
| |
| /** |
| * sky130_hilas_TopLevelProtectStructure: top level test structure with the protection diodes |
| * |
| * Verilog wrapper for sky130_hilas_TopLevelProtectStructure. |
| * |
| * WARNING: This file is autogenerated, do not modify directly! |
| */ |
| |
| `timescale 1ns / 1ps |
| `default_nettype none |
| |
| |
| `ifdef USE_POWER_PINS |
| /*********************************************************/ |
| |
| `celldefine |
| module sky130_hilas_TopLevelProtectStructure ( |
| IO07, |
| IO08, |
| IO09, |
| IO10, |
| IO11, |
| IO12, |
| IO13, |
| IO25, |
| IO26, |
| IO27, |
| IO28, |
| IO29, |
| IO30, |
| IO31, |
| IO32, |
| IO33, |
| IO34, |
| IO35, |
| IO36, |
| IO37, |
| VSSA1, |
| ANALOG10, |
| ANALOG09, |
| ANALOG08, |
| ANALOG07, |
| ANALOG06, |
| ANALOG05, |
| ANALOG04, |
| ANALOG03, |
| ANALOG02, |
| ANALOG01, |
| ANALOG00, |
| VDDA1, |
| LADATAOUT01, |
| LADATAOUT00, |
| LADATAOUT02, |
| LADATAOUT03, |
| LADATAOUT04, |
| LADATAOUT05, |
| LADATAOUT06, |
| LADATAOUT07, |
| LADATAOUT08, |
| LADATAOUT09, |
| LADATAOUT10, |
| LADATAOUT11, |
| LADATAOUT12, |
| LADATAOUT13, |
| LADATAOUT14, |
| LADATAOUT15, |
| LADATA16, |
| LADATAOUT17, |
| LADATAOUT18, |
| LADATAOUT19, |
| LADATAOUT20, |
| LADATAOUT21, |
| LADATAOUT22, |
| LADATAOUT24, |
| LADATAIN00, |
| LADATAIN01, |
| LADATAIN02, |
| LADATAIN03, |
| VCCA, |
| VNB, |
| VPB |
| ); |
| inout IO07; |
| inout IO08; |
| inout IO09; |
| inout IO10; |
| inout IO11; |
| inout IO12; |
| inout IO13; |
| inout IO25; |
| inout IO26; |
| inout IO27; |
| inout IO28; |
| inout IO29; |
| inout IO30; |
| inout IO31; |
| inout IO32; |
| inout IO33; |
| inout IO34; |
| inout IO35; |
| inout IO36; |
| inout IO37; |
| inout VSSA1; |
| inout ANALOG10; |
| inout ANALOG09; |
| inout ANALOG08; |
| inout ANALOG07; |
| inout ANALOG06; |
| inout ANALOG05; |
| inout ANALOG04; |
| inout ANALOG03; |
| inout ANALOG02; |
| inout ANALOG01; |
| inout ANALOG00; |
| inout VDDA1; |
| inout LADATAOUT01; |
| inout LADATAOUT00; |
| inout LADATAOUT02; |
| inout LADATAOUT03; |
| inout LADATAOUT04; |
| inout LADATAOUT05; |
| inout LADATAOUT06; |
| inout LADATAOUT07; |
| inout LADATAOUT08; |
| inout LADATAOUT09; |
| inout LADATAOUT10; |
| inout LADATAOUT11; |
| inout LADATAOUT12; |
| inout LADATAOUT13; |
| inout LADATAOUT14; |
| inout LADATAOUT15; |
| inout LADATA16; |
| inout LADATAOUT17; |
| inout LADATAOUT18; |
| inout LADATAOUT19; |
| inout LADATAOUT20; |
| inout LADATAOUT21; |
| inout LADATAOUT22; |
| inout LADATAOUT24; |
| inout LADATAIN00; |
| inout LADATAIN01; |
| inout LADATAIN02; |
| inout LADATAIN03; |
| inout VCCA; |
| inout VNB; |
| inout VPB; |
| endmodule |
| `endcelldefine |
| |
| /*********************************************************/ |
| `else // If not USE_POWER_PINS |
| /*********************************************************/ |
| |
| `celldefine |
| module sky130_hilas_TopLevelProtectStructure ( |
| IO07, |
| IO08, |
| IO09, |
| IO10, |
| IO11, |
| IO12, |
| IO13, |
| IO25, |
| IO26, |
| IO27, |
| IO28, |
| IO29, |
| IO30, |
| IO31, |
| IO32, |
| IO33, |
| IO34, |
| IO35, |
| IO36, |
| IO37, |
| VSSA1, |
| ANALOG10, |
| ANALOG09, |
| ANALOG08, |
| ANALOG07, |
| ANALOG06, |
| ANALOG05, |
| ANALOG04, |
| ANALOG03, |
| ANALOG02, |
| ANALOG01, |
| ANALOG00, |
| VDDA1, |
| LADATAOUT01, |
| LADATAOUT00, |
| LADATAOUT02, |
| LADATAOUT03, |
| LADATAOUT04, |
| LADATAOUT05, |
| LADATAOUT06, |
| LADATAOUT07, |
| LADATAOUT08, |
| LADATAOUT09, |
| LADATAOUT10, |
| LADATAOUT11, |
| LADATAOUT12, |
| LADATAOUT13, |
| LADATAOUT14, |
| LADATAOUT15, |
| LADATA16, |
| LADATAOUT17, |
| LADATAOUT18, |
| LADATAOUT19, |
| LADATAOUT20, |
| LADATAOUT21, |
| LADATAOUT22, |
| LADATAOUT24, |
| LADATAIN00, |
| LADATAIN01, |
| LADATAIN02, |
| LADATAIN03, |
| VCCA |
| ); |
| inout IO07; |
| inout IO08; |
| inout IO09; |
| inout IO10; |
| inout IO11; |
| inout IO12; |
| inout IO13; |
| inout IO25; |
| inout IO26; |
| inout IO27; |
| inout IO28; |
| inout IO29; |
| inout IO30; |
| inout IO31; |
| inout IO32; |
| inout IO33; |
| inout IO34; |
| inout IO35; |
| inout IO36; |
| inout IO37; |
| inout VSSA1; |
| inout ANALOG10; |
| inout ANALOG09; |
| inout ANALOG08; |
| inout ANALOG07; |
| inout ANALOG06; |
| inout ANALOG05; |
| inout ANALOG04; |
| inout ANALOG03; |
| inout ANALOG02; |
| inout ANALOG01; |
| inout ANALOG00; |
| inout VDDA1; |
| inout LADATAOUT01; |
| inout LADATAOUT00; |
| inout LADATAOUT02; |
| inout LADATAOUT03; |
| inout LADATAOUT04; |
| inout LADATAOUT05; |
| inout LADATAOUT06; |
| inout LADATAOUT07; |
| inout LADATAOUT08; |
| inout LADATAOUT09; |
| inout LADATAOUT10; |
| inout LADATAOUT11; |
| inout LADATAOUT12; |
| inout LADATAOUT13; |
| inout LADATAOUT14; |
| inout LADATAOUT15; |
| inout LADATA16; |
| inout LADATAOUT17; |
| inout LADATAOUT18; |
| inout LADATAOUT19; |
| inout LADATAOUT20; |
| inout LADATAOUT21; |
| inout LADATAOUT22; |
| inout LADATAOUT24; |
| inout LADATAIN00; |
| inout LADATAIN01; |
| inout LADATAIN02; |
| inout LADATAIN03; |
| inout VCCA; |
| endmodule |
| `endcelldefine |
| |
| /*********************************************************/ |
| `endif // USE_POWER_PINS |
| |
| `default_nettype wire |
| `endif // SKY130_HILAS_TOPLEVELPROTECTSTRUCTURE |