blob: b90e24aeb48f6104c4760bb362eeafab51a73675 [file] [log] [blame]
// SPDX-FileCopyrightText: 2020 Efabless Corporation
//
// Licensed under the Apache License, Version 2.0 (the "License");
// you may not use this file except in compliance with the License.
// You may obtain a copy of the License at
//
// http://www.apache.org/licenses/LICENSE-2.0
//
// Unless required by applicable law or agreed to in writing, software
// distributed under the License is distributed on an "AS IS" BASIS,
// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
// See the License for the specific language governing permissions and
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0
`define flControl_default `flControl_tininessAfterRounding
`define HardFloat_propagateNaNPayloads
`define HardFloat_signDefaultNaN 0
`define HardFloat_fractDefaultNaN(sigWidth) {1'b1, {((sigWidth) - 2){1'b0}}}
`define round_near_even 3'b000
`define round_minMag 3'b001
`define round_min 3'b010
`define round_max 3'b011
`define round_near_maxMag 3'b100
`define round_odd 3'b110
`define floatControlWidth 1
`define flControl_tininessBeforeRounding 1'b0
`define flControl_tininessAfterRounding 1'b1
`define flRoundOpt_sigMSBitAlwaysZero 1
`define flRoundOpt_subnormsAlwaysExact 2
`define flRoundOpt_neverUnderflows 4
`define flRoundOpt_neverOverflows 8