remove degenerate shapes
diff --git a/gds/caravel_00020021.area0.gds.gz.00.split b/gds/caravel_00020021.area0.gds.gz.00.split
new file mode 100644
index 0000000..c45dc2c
--- /dev/null
+++ b/gds/caravel_00020021.area0.gds.gz.00.split
Binary files differ
diff --git a/gds/caravel_00020021.area0.gds.gz.01.split b/gds/caravel_00020021.area0.gds.gz.01.split
new file mode 100644
index 0000000..20e28c2
--- /dev/null
+++ b/gds/caravel_00020021.area0.gds.gz.01.split
Binary files differ
diff --git a/gds/caravel_00020021.area0.gds.gz.02.split b/gds/caravel_00020021.area0.gds.gz.02.split
new file mode 100644
index 0000000..bd2aba8
--- /dev/null
+++ b/gds/caravel_00020021.area0.gds.gz.02.split
Binary files differ
diff --git a/gds/caravel_00020021.area0.gds.gz.03.split b/gds/caravel_00020021.area0.gds.gz.03.split
new file mode 100644
index 0000000..6944d27
--- /dev/null
+++ b/gds/caravel_00020021.area0.gds.gz.03.split
Binary files differ
diff --git a/gds/caravel_00020021.area0.gds.gz.04.split b/gds/caravel_00020021.area0.gds.gz.04.split
new file mode 100644
index 0000000..40d8d93
--- /dev/null
+++ b/gds/caravel_00020021.area0.gds.gz.04.split
Binary files differ
diff --git a/gds/caravel_00020021.gds.gz.00.split b/gds/caravel_00020021.gds.gz.00.split
index 8314574..c45dc2c 100644
--- a/gds/caravel_00020021.gds.gz.00.split
+++ b/gds/caravel_00020021.gds.gz.00.split
Binary files differ
diff --git a/gds/caravel_00020021.gds.gz.01.split b/gds/caravel_00020021.gds.gz.01.split
index 549040c..20e28c2 100644
--- a/gds/caravel_00020021.gds.gz.01.split
+++ b/gds/caravel_00020021.gds.gz.01.split
Binary files differ
diff --git a/gds/caravel_00020021.gds.gz.02.split b/gds/caravel_00020021.gds.gz.02.split
index 6a85553..bd2aba8 100644
--- a/gds/caravel_00020021.gds.gz.02.split
+++ b/gds/caravel_00020021.gds.gz.02.split
Binary files differ
diff --git a/gds/caravel_00020021.gds.gz.03.split b/gds/caravel_00020021.gds.gz.03.split
index 9553760..6944d27 100644
--- a/gds/caravel_00020021.gds.gz.03.split
+++ b/gds/caravel_00020021.gds.gz.03.split
Binary files differ
diff --git a/gds/caravel_00020021.gds.gz.04.split b/gds/caravel_00020021.gds.gz.04.split
index 0e35865..40d8d93 100644
--- a/gds/caravel_00020021.gds.gz.04.split
+++ b/gds/caravel_00020021.gds.gz.04.split
Binary files differ
diff --git a/gds/caravel_00020021.oas b/gds/caravel_00020021.oas
index 913d4b0..0a87202 100644
--- a/gds/caravel_00020021.oas
+++ b/gds/caravel_00020021.oas
Binary files differ
diff --git a/signoff/cdrc.log b/signoff/cdrc.log
new file mode 100644
index 0000000..50431eb
--- /dev/null
+++ b/signoff/cdrc.log
@@ -0,0 +1,2 @@
+caldrc-put: caravel_00020021.gds 78755e756d318f8a07cf37cbfb568769dfffd533 2021-08-14.16:11:50.UTC md5=99b53e0a613fbac9310292e355583bde /mnt/shuttles/mpw-two/slot-033/digital_pll/gds/caravel_00020021.gds.gz [no-git-push]
+caldrc-post: caravel_00020021.gds put=78755e7 2021-08-14.16:41:38.UTC md5=(no-gds-file) output1205_pdk80-g15482273f_drc1125-g78755e7_prj1125-g78755e7_caravel_00020021
diff --git a/signoff/cdrcpost/caravel_00020021/caravel_00020021.drcmr_runset.log b/signoff/cdrcpost/caravel_00020021/caravel_00020021.drcmr_runset.log
new file mode 100644
index 0000000..56d749d
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/caravel_00020021.drcmr_runset.log
@@ -0,0 +1,8197 @@
+//  Calibre Interactive - DRC  v2018.4_34.26    Mon Dec 3 14:41:19 PST 2018
+//
+//        Copyright Mentor Graphics Corporation 1996-2018
+//                       All Rights Reserved.
+//   THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
+//      WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION
+//        OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
+//
+//  The registered trademark Linux is used pursuant to a sublicense from LMI, the
+//  exclusive licensee of Linus Torvalds, owner of the mark on a world-wide basis.
+//
+//  Mentor Graphics software executing under x86-64 Linux
+//
+//  Running on Linux tansell-u.c.googlers.com 5.10.40-1rodete2-amd64 #1 SMP Debian 5.10.40-1rodete2 (2021-06-22) x86_64
+//  64 bit virtual addressing enabled
+//
+//  Starting time: Sat ... XX XX:XX:XX 2...
+//
+//  calinteractive license acquired.
+//  Calibre Interactive authorized.
+// Calibre Interactive: Creating Run Directory directory /usr/local/google/home/tansell/work/openflow-drc-tests//torture_tests/caravel_00020021//drcmr
+MGC_HOME = /usr/local/google/edatools/mentor/calibre/2018.4.34.26/aoj_cal_2018.4_34.26/
+$MGC_HOME/bin/calibre -drc -hier -nowait /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+
+//  Calibre v2018.4_34.26    Mon Dec 3 14:41:18 PST 2018
+//  Calibre Utility Library   v0-9_13-2018-1    Fri Sep 14 02:48:37 PDT 2018
+//  Litho Libraries v2018.4_34.26  Mon Dec 3 14:41:18 PST 2018
+//
+//        Copyright Mentor Graphics Corporation 1996-2018
+//                       All Rights Reserved.
+//   THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
+//      WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION
+//        OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
+//
+//  The registered trademark Linux is used pursuant to a sublicense from LMI, the
+//  exclusive licensee of Linus Torvalds, owner of the mark on a world-wide basis.
+//
+//  Mentor Graphics software executing under x86-64 Linux
+//
+//  Running on Linux tansell-u.c.googlers.com 5.10.40-1rodete2-amd64 #1 SMP Debian 5.10.40-1rodete2 (2021-06-22) x86_64 glibc 2.31/NPTL 2.31
+//  OS: Unknown[5.10.40-1rodete2-amd64]
+//
+//  Entries in /proc/meminfo:
+//
+//  MemTotal:       131922168 kB
+//  MemFree:         3642372 kB
+//  MemAvailable:   127611384 kB
+//  Buffers:         2159544 kB
+//  Cached:         120923448 kB
+//  SwapCached:        29028 kB
+//  Active:         17231684 kB
+//  Inactive:       108201948 kB
+//  Active(anon):     478812 kB
+//  Inactive(anon):  1890564 kB
+//  Active(file):   16752872 kB
+//  Inactive(file): 106311384 kB
+//  Unevictable:       55264 kB
+//  Mlocked:           55264 kB
+//  SwapTotal:      70155256 kB
+//  SwapFree:       69996856 kB
+//  Dirty:           2312644 kB
+//  Writeback:          5776 kB
+//  AnonPages:       2181448 kB
+//  Mapped:           918516 kB
+//  Shmem:               448 kB
+//  KReclaimable:    2154080 kB
+//  Slab:            2418040 kB
+//  SReclaimable:    2154080 kB
+//  SUnreclaim:       263960 kB
+//  KernelStack:       33920 kB
+//  PageTables:        20060 kB
+//  NFS_Unstable:          0 kB
+//  Bounce:                0 kB
+//  WritebackTmp:          0 kB
+//  CommitLimit:    136116340 kB
+//  Committed_AS:    8240580 kB
+//  VmallocTotal:   34359738367 kB
+//  VmallocUsed:       70452 kB
+//  VmallocChunk:          0 kB
+//  Percpu:            58112 kB
+//  HardwareCorrupted:     0 kB
+//  AnonHugePages:   1302528 kB
+//  ShmemHugePages:        0 kB
+//  ShmemPmdMapped:        0 kB
+//  FileHugePages:         0 kB
+//  FilePmdMapped:         0 kB
+//  HugePages_Total:       0
+//  HugePages_Free:        0
+//  HugePages_Rsvd:        0
+//  HugePages_Surp:        0
+//  Hugepagesize:       2048 kB
+//  Hugetlb:               0 kB
+//  DirectMap4k:     1050608 kB
+//  DirectMap2M:    34600960 kB
+//  DirectMap1G:    100663296 kB
+//
+//  User limits:
+//
+//  Data size: unlimited
+//  RSS: unlimited
+//  Stack size: 8192 kB
+//  Max user processes: 131072
+//  VM: unlimited
+//  nf_conntrack_max: 262144
+//
+//  Processor Intel(R) Xeon(R) CPU @ 2.20GHz [06790] (4th generation)
+//  CPU Info: Cores = 8, SMT enabled with 8 additional virtual processors
+//  Max file descriptors: 131072
+//  64 bit virtual addressing enabled
+//  Running aoj_cal_2018.4_34.26//pkgs/icv/pvt/calibre -drc -hier -nowait /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+//  Process ID: 2300319
+//
+//  Starting time: Sat ... XX XX:XX:XX 2...
+//
+//  Running on 1 CPU (pending licensing) 
+//
+//
+
+--- CALIBRE::DRC-H - Sat ... XX XX:XX:XX 2...
+
+--------------------------------------------------------------------------------
+--------------------------------------------------------------------------------
+-----          STANDARD VERIFICATION RULE FILE COMPILATION MODULE          -----
+--------------------------------------------------------------------------------
+--------------------------------------------------------------------------------
+
+--- RULE FILE = /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+
+//
+//  Rule file generated on Sat Aug 14 12:25:28 EDT 2021
+//     by Calibre Interactive - DRC (v2018.4_34.26)
+//
+//      *** PLEASE DO NOT MODIFY THIS FILE ***
+//
+//
+
+LAYOUT PATH  "/usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/caravel_00020021.gds"
+LAYOUT PRIMARY "caravel_00020021"
+LAYOUT SYSTEM GDSII
+
+DRC RESULTS DATABASE "caravel_00020021.drc.results" ASCII 
+DRC MAXIMUM RESULTS 1000
+DRC MAXIMUM VERTEX 4096
+
+DRC CELL NAME YES CELL SPACE XFORM
+DRC SUMMARY REPORT "caravel_00020021.drc.summary" REPLACE HIER
+
+VIRTUAL CONNECT COLON NO
+VIRTUAL CONNECT REPORT NO
+
+DRC SELECT CHECK
+	"MR_dnwell.2"
+	"MR_nwell.1"
+	"MR_nwell.2a"
+	"MR_hvtp.1"
+	"MR_hvtp.2"
+	"MR_hvtr.1"
+	"MR_hvtr.2"
+	"MR_hvtr.2_a"
+	"MR_lvtn.1a"
+	"MR_lvtn.2"
+	"MR_ncm.1"
+	"MR_ncm.2a"
+	"MR_difftap.1"
+	"MR_difftap.1_a"
+	"MR_difftap.1_b"
+	"MR_difftap.1_c"
+	"MR_difftap.3"
+	"MR_tunm.1"
+	"MR_tunm.2"
+	"MR_poly.1a"
+	"MR_poly.2"
+	"MR_rpm.1a"
+	"MR_rpm.2"
+	"MR_urpm.1a"
+	"MR_urpm.2"
+	"MR_npc.1"
+	"MR_npc.2"
+	"MR_licon.1"
+	"MR_licon.1_a"
+	"MR_licon.1_b"
+	"MR_licon.13"
+	"MR_licon.13_a"
+	"MR_licon.17"
+	"MR_li.1"
+	"MR_li.3"
+	"MR_li.5"
+	"MR_li.6"
+	"MR_ct.1"
+	"MR_ct.1_a"
+	"MR_ct.1_b"
+	"MR_ct.2"
+	"MR_ct.3"
+	"MR_ct.3_a"
+	"MR_ct.3_b"
+	"MR_ct.4"
+	"MR_capm.1"
+	"MR_capm.2a"
+	"MR_capm.2b"
+	"MR_capm.2b_a"
+	"MR_capm.3"
+	"MR_capm.4"
+	"MR_capm.5"
+	"MR_cap2m.1"
+	"MR_cap2m.2a"
+	"MR_cap2m.2b"
+	"MR_cap2m.2b_a"
+	"MR_cap2m.3"
+	"MR_cap2m.4"
+	"MR_cap2m.5"
+	"MR_m1.1"
+	"MR_m1.2"
+	"MR_m1.3b"
+	"MR_m1.3a"
+	"MR_791_m1.4"
+	"MR_m1.4"
+	"MR_m1.4a"
+	"MR_m1.4a_a"
+	"MR_m1.5"
+	"MR_m1.6"
+	"MR_m1.7"
+	"MR_m1.7_a"
+	"MR_via.1a"
+	"MR_via.1a_a"
+	"MR_via.1a_b"
+	"MR_via.2"
+	"MR_via.3"
+	"MR_via.3_a"
+	"MR_via.3_b"
+	"MR_via.4a"
+	"MR_via.4a_a"
+	"MR_via.5a"
+	"MR_m2.1"
+	"MR_m2.2"
+	"MR_m2.3b"
+	"MR_m2.3a"
+	"MR_m2.4"
+	"MR_m2.4_a"
+	"MR_m2.5"
+	"MR_m2.6"
+	"MR_m2.7"
+	"MR_m2.7_a"
+	"MR_via2.1a"
+	"MR_via2.1a_a"
+	"MR_via2.1a_b"
+	"MR_via2.2"
+	"MR_via2.3"
+	"MR_via2.3_a"
+	"MR_via2.3_b"
+	"MR_via2.4"
+	"MR_via2.4_a"
+	"MR_via2.5"
+	"MR_m3.1"
+	"MR_m3.2"
+	"MR_m3.4"
+	"MR_m3.4_a"
+	"MR_m3.3d"
+	"MR_m3.3c"
+	"MR_via3.1"
+	"MR_via3.1_a"
+	"MR_via3.1_b"
+	"MR_via3.2"
+	"MR_via3.4"
+	"MR_via3.4_a"
+	"MR_via3.5"
+	"MR_m4.1"
+	"MR_m4.2"
+	"MR_m4.3"
+	"MR_m4.3_a"
+	"MR_m4.4a"
+	"MR_m4.5b"
+	"MR_m4.5a"
+	"MR_via4.1"
+	"MR_via4.1_a"
+	"MR_via4.1_b"
+	"MR_via4.2"
+	"MR_via4.3"
+	"MR_via4.3_a"
+	"MR_via4.3_b"
+	"MR_via4.4"
+	"MR_via4.4_a"
+	"MR_m5.1"
+	"MR_m5.2"
+	"MR_m5.3"
+	"MR_m5.3_a"
+	"MR_m5.4"
+	"MR_pad.2"
+	"MR_hvi.1"
+	"MR_hvi.2a"
+	"MR_hvntm.1"
+	"MR_hvntm.2"
+	"MR_cfom.waffle.1"
+	"MR_cfom.waffle.2"
+	"MR_cfom.waffle.2a"
+	"MR_cp1m.waffle.1"
+	"MR_cp1m.waffle.2a"
+	"MR_li1m.waffle.1"
+	"MR_li1m.waffle.2a"
+	"MR_cmm1.waffle.1"
+	"MR_cmm1.waffle.2"
+	"MR_cmm2.waffle.1"
+	"MR_cmm2.waffle.2"
+	"MR_cmm3.waffle.1"
+	"MR_cmm3.waffle.2"
+	"MR_cmm4.waffle.1"
+	"MR_cmm4.waffle.2"
+	"MR_cmm5.waffle.1"
+	"MR_cmm5.waffle.2"
+	"MR_cfom.pd.1d"
+	"MR_cfom.pd.1e"
+	"MR_cli1m.4"
+	"MR_cli1m.5"
+	"MR_cmm1.pd.3"
+	"MR_cmm1.pd.4"
+	"MR_cmm2.pd.3"
+	"MR_cmm2.pd.4"
+	"MR_cmm3.pd.3"
+	"MR_cmm3.pd.4"
+	"MR_cmm4.pd.3"
+	"MR_cmm4.pd.4"
+	"MR_cmm5.pd.4"
+	"MR_cmm5.pd.5"
+
+DRC ICSTATION YES
+
+
+INCLUDE "/usr/local/google/home/tansell/work/openflow-drc-tests//pdk/skywater/s8/V1.3.0-mr/DRC/Calibre/s8_drcRules_MR"
+
+
+
+--- STANDARD VERIFICATION RULE FILE COMPILATION MODULE COMPLETED.  CPU TIME = 0  REAL TIME = 0  LVHEAP = 1/3/4
+
+--- CALIBRE_* ENVIRONMENT VARIABLES:
+
+CALIBRE_ATOMIC_LVHEAP=0
+CALIBRE_CMD_LINE='/usr/local/google/edatools/mentor/calibre/2018.4.34.26/aoj_cal_2018.4_34.26//pkgs/icv/pvt/calibre  -drc -hier -nowait /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_'
+CALIBRE_DISABLE_RHEL5_WARNING=1
+CALIBRE_HOME=/usr/local/google/edatools/mentor/calibre/2018.4.34.26/aoj_cal_2018.4_34.26/
+CALIBRE_INITIAL_CMD_LINE='/usr/local/google/edatools/mentor/calibre/2018.4.34.26/aoj_cal_2018.4_34.26/bin/calibre -drc -hier -nowait /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_'
+CALIBRE_READDB_LD_LIBRARY_PATH=/usr/local/google/edatools/mentor/calibre/2018.4.34.26/aoj_cal_2018.4_34.26//shared/pkgs/icv/tools/calibre_client/lib/64:/usr/local/google/edatools/mentor/calibre/2018.4.34.26/aoj_cal_2018.4_34.26//pkgs/calibre_base/lib64:/usr/local/google/edatools/mentor/calibre/2018.4.34.26/aoj_cal_2018.4_34.26//pkgs/icv_lib/lib64:/usr/local/google/edatools/mentor/calibre/2018.4.34.26/aoj_cal_2018.4_34.26//pkgs/umc_libs/lib/lnx32:/usr/local/google/edatools/mentor/calibre/2018.4.34.26/aoj_cal_2018.4_34.26//pkgs/icv_oa/22.41p004/lib/linux_rhel68_gcc44x_64/opt
+CALIBRE_SKIP_OS_CHECKS=
+CALIBRE_VERSION_DASH=2018.4_34.26
+CALIBRE_VERSION_DOT=2018.4.34.26
+
+--- SELECTED RULE CHECKS:
+    MR_dnwell.2
+    MR_nwell.1
+    MR_nwell.2a
+    MR_hvtp.1
+    MR_hvtp.2
+    MR_hvtr.1
+    MR_hvtr.2
+    MR_hvtr.2_a
+    MR_lvtn.1a
+    MR_lvtn.2
+    MR_ncm.1
+    MR_ncm.2a
+    MR_difftap.1
+    MR_difftap.1_a
+    MR_difftap.1_b
+    MR_difftap.1_c
+    MR_difftap.3
+    MR_tunm.1
+    MR_tunm.2
+    MR_poly.1a
+    MR_poly.2
+    MR_rpm.1a
+    MR_rpm.2
+    MR_urpm.1a
+    MR_urpm.2
+    MR_npc.1
+    MR_npc.2
+    MR_licon.1
+    MR_licon.1_a
+    MR_licon.1_b
+    MR_licon.13
+    MR_licon.13_a
+    MR_licon.17
+    MR_li.1
+    MR_li.3
+    MR_li.5
+    MR_li.6
+    MR_ct.1
+    MR_ct.1_a
+    MR_ct.1_b
+    MR_ct.2
+    MR_ct.3
+    MR_ct.3_a
+    MR_ct.3_b
+    MR_ct.4
+    MR_capm.1
+    MR_capm.2a
+    MR_capm.2b
+    MR_capm.2b_a
+    MR_capm.3
+    MR_capm.4
+    MR_capm.5
+    MR_cap2m.1
+    MR_cap2m.2a
+    MR_cap2m.2b
+    MR_cap2m.2b_a
+    MR_cap2m.3
+    MR_cap2m.4
+    MR_cap2m.5
+    MR_m1.1
+    MR_m1.2
+    MR_m1.3b
+    MR_m1.3a
+    MR_791_m1.4
+    MR_m1.4
+    MR_m1.4a
+    MR_m1.4a_a
+    MR_m1.5
+    MR_m1.6
+    MR_m1.7
+    MR_m1.7_a
+    MR_via.1a
+    MR_via.1a_a
+    MR_via.1a_b
+    MR_via.2
+    MR_via.3
+    MR_via.3_a
+    MR_via.3_b
+    MR_via.4a
+    MR_via.4a_a
+    MR_via.5a
+    MR_m2.1
+    MR_m2.2
+    MR_m2.3b
+    MR_m2.3a
+    MR_m2.4
+    MR_m2.4_a
+    MR_m2.5
+    MR_m2.6
+    MR_m2.7
+    MR_m2.7_a
+    MR_via2.1a
+    MR_via2.1a_a
+    MR_via2.1a_b
+    MR_via2.2
+    MR_via2.3
+    MR_via2.3_a
+    MR_via2.3_b
+    MR_via2.4
+    MR_via2.4_a
+    MR_via2.5
+    MR_m3.1
+    MR_m3.2
+    MR_m3.4
+    MR_m3.4_a
+    MR_m3.3d
+    MR_m3.3c
+    MR_via3.1
+    MR_via3.1_a
+    MR_via3.1_b
+    MR_via3.2
+    MR_via3.4
+    MR_via3.4_a
+    MR_via3.5
+    MR_m4.1
+    MR_m4.2
+    MR_m4.3
+    MR_m4.3_a
+    MR_m4.4a
+    MR_m4.5b
+    MR_m4.5a
+    MR_via4.1
+    MR_via4.1_a
+    MR_via4.1_b
+    MR_via4.2
+    MR_via4.3
+    MR_via4.3_a
+    MR_via4.3_b
+    MR_via4.4
+    MR_via4.4_a
+    MR_m5.1
+    MR_m5.2
+    MR_m5.3
+    MR_m5.3_a
+    MR_m5.4
+    MR_pad.2
+    MR_hvi.1
+    MR_hvi.2a
+    MR_hvntm.1
+    MR_hvntm.2
+    MR_cfom.waffle.1
+    MR_cfom.waffle.2
+    MR_cfom.waffle.2a
+    MR_cp1m.waffle.1
+    MR_cp1m.waffle.2a
+    MR_li1m.waffle.1
+    MR_li1m.waffle.2a
+    MR_cmm1.waffle.1
+    MR_cmm1.waffle.2
+    MR_cmm2.waffle.1
+    MR_cmm2.waffle.2
+    MR_cmm3.waffle.1
+    MR_cmm3.waffle.2
+    MR_cmm4.waffle.1
+    MR_cmm4.waffle.2
+    MR_cmm5.waffle.1
+    MR_cmm5.waffle.2
+    MR_cfom.pd.1d
+    MR_cfom.pd.1e
+    MR_cli1m.4
+    MR_cli1m.5
+    MR_cmm1.pd.3
+    MR_cmm1.pd.4
+    MR_cmm2.pd.3
+    MR_cmm2.pd.4
+    MR_cmm3.pd.3
+    MR_cmm3.pd.4
+    MR_cmm4.pd.3
+    MR_cmm4.pd.4
+    MR_cmm5.pd.4
+    MR_cmm5.pd.5
+
+--- UNSELECTED RULE CHECKS:
+
+--------------------------------------------------------------------------------
+--------------------------------------------------------------------------------
+-----                  CALIBRE::DRC-H - LICENSING MODULE                   -----
+--------------------------------------------------------------------------------
+--------------------------------------------------------------------------------
+
+
+//  Applying licensing policy...
+//  calibrehdrc license acquired.
+//  calibredrc license acquired.
+
+//  Licensed Products
+//  -----------------
+//  Base products running on 1 core:
+//  - DRC (Hierarchical)
+
+--- CALIBRE::DRC-H LICENSING MODULE COMPLETED.  CPU TIME = 0  REAL TIME = 3
+
+--------------------------------------------------------------------------------
+--------------------------------------------------------------------------------
+-----                   CALIBRE LAYOUT DATA INPUT MODULE                   -----
+--------------------------------------------------------------------------------
+--------------------------------------------------------------------------------
+
+--- LAYOUT SYSTEM = GDS
+--- LAYOUT MAGNIFICATION = 1
+
+--------------------------------------------------------------------------------
+-----                     GDS FILE SUMMARY INFORMATION                     -----
+--------------------------------------------------------------------------------
+
+GDS FILENAME:        /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/caravel_00020021.gds
+GDS VERSION:         600
+LIBRARY NAME:        LIB
+LAST MODIFIED:       ON 2021/8/14 AT 9:2:11
+LAST ACCESSED:       ON 2021/8/14 AT 9:2:11
+DATABASE PRECISION:  0.001 user units per database unit
+PHYSICAL PRECISION:  1e-09 meters per database unit
+MAGNIFICATION:       1
+
+--------------------------------------------------------------------------------
+-----                 GDS INPUT DATA FOR INDIVIDUAL CELLS                  -----
+--------------------------------------------------------------------------------
+     CELL NAME                  PLACEMENTS   ARRAYS   POLYGONS    PATHS    TEXTS
+--------------------------------------------------------------------------------
+DN_R2_contact_17                         0        0          6        0        0
+DN_R2_contact_11                         0        0          7        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_5595914180851
+                                         0        0         17        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_5595914180839
+                                         0        0          4        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_5595914180833
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__dfl1sd__example_5595914180819
+                                         0        0         11        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808370
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__dfl1sd__example_559591418088
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808137
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808316
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__dfl1sd__example_559591418086
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808202
+                                         0        0         18        0        0
+DN_FM_sky130_fd_pr__hvdftpm1s2__example_55959141808659
+                                         0        0         66        0        0
+DN_FM_sky130_fd_pr__hvdftpl1s__example_55959141808646
+                                         0        0         34        0        0
+DN_FM_sky130_fd_pr__hvdftpm1s2__example_55959141808649
+                                         0        0         66        0        0
+DN_FM_sky130_fd_pr__dfl1sd__example_5595914180868
+                                         0        0          4        0        0
+DN_FM_sky130_fd_pr__dfl1sd2__example_5595914180875
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808122
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__dfl1sd__example_55959141808123
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__dfl1sd2__example_5595914180884
+                                         0        0         11        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808100
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__dfl1sd__example_55959141808106
+                                         0        0          8        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808140
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808278
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_5595914180894
+                                         0        0          4        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808115
+                                         0        0         11        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808306
+                                         0        0         11        0        0
+DN_FM_sky130_fd_pr__dfl1sd__example_5595914180811
+                                         0        0         12        0        0
+DN_FM_sky130_fd_pr__dfl1sd2__example_5595914180812
+                                         0        0         12        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808102
+                                         0        0         12        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808194
+                                         0        0         18        0        0
+DN_FM_sky130_fd_pr__dfl1sd2__example_55959141808633
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808143
+                                         0        0         12        0        0
+DN_R2_contact_7                          0        0          5        0        0
+DN_R2_contact_12                         0        0          6        0        0
+DN_R2_contact_24                         0        0          6        0        0
+DN_R2_contact_23                         0        0          7        0        0
+DN_R2_nmos_m1_w0_360_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m1_w1_120_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_nmos_m2_w0_740_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m2_w1_120_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_contact_18                         0        0          6        0        0
+DN_R2_contact_13                         0        0          7        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_559591418084
+                                         0        0          4        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_5595914180858
+                                         0        0          6        0        0
+DN_FM_sky130_fd_io__tk_em1s_cdns_55959141808288
+                                         0        0          7        0        3
+DN_FM_sky130_fd_pr__res_bent_po__example_5595914180862
+                                         2        0          6        0        0
+DN_FM_sky130_fd_pr__res_bent_po__example_5595914180863
+                                         2        0          6        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808260
+                                         0        0          4        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808261
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_5595914180897
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808274
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808127
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808326
+                                         0        0         13        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808128
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808290
+                                         0        0         10        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd__example_5595914180848
+                                         0        0         33        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd2__example_5595914180849
+                                         0        0         33        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808385
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_559591418083
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808369
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808371
+                                         2        0         13        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808657
+                                         0        0         10        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808658
+                                        13        0        179        0       28
+DN_FM_sky130_fd_pr__via_l1m1_centered__example_559591418084
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__via_l1m1_centered__example_559591418086
+                                         0        0          4        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808273
+                                         0        0         14        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808324
+                                         0        0         18        0        0
+DN_FM_sky130_fd_pr__res_bent_po__example_5595914180861
+                                         2        0         10        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_5595914180857
+                                         0        0          8        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808378
+                                         0        0         17        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808645
+                                         1        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808650
+                                         1        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808647
+                                         1        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808651
+                                        12        0        164        0       26
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808648
+                                        12        0        164        0       26
+DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808233
+                                         0        0         32        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd2__example_55959141808449
+                                         0        0         11        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808452
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__pfet_01v8__example_559591418085
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_559591418087
+                                         1        0          9        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_559591418089
+                                         1        0          9        0        2
+DN_FM_sky130_fd_pr__hvdfm1sd2__example_55959141808563
+                                         0        0         45        0        0
+DN_FM_sky130_fd_pr__dfl1sd2__example_5595914180869
+                                         0        0          4        0        0
+DN_FM_sky130_fd_pr__dfl1sd__example_5595914180815
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__dfl1sd__example_5595914180823
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__dfl1sd__example_55959141808510
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808581
+                                         0        0          9        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808418
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808462
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808200
+                                         0        0         11        0        0
+DN_FM_sky130_fd_pr__dfm1sd__example_55959141808258
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808476
+                                         0        0          8        0        0
+DN_FM_sky130_fd_pr__dfl1sd2__example_5595914180816
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808481
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808280
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808425
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808434
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_5595914180878
+                                         0        0          4        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808298
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808116
+                                         2        0         10        0        2
+DN_FM_sky130_fd_io__tk_em1o_cdns_5595914180880
+                                         0        0          8        0        3
+DN_FM_sky130_fd_io__tk_em1o_cdns_5595914180879
+                                         0        0          8        0        3
+DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180882
+                                         0        0          7        0        3
+DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180881
+                                         0        0          7        0        3
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808272
+                                         0        0          9        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808271
+                                         0        0          4        0        0
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808346
+                                         5        0         30        0        5
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808294
+                                         0        0         11        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808322
+                                         0        0         15        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808295
+                                         0        0         12        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808325
+                                         0        0         24        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808292
+                                         0        0         15        0        0
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808354
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808626
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808627
+                                         4        0         24        0        4
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808628
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808629
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808630
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808631
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808632
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808634
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808635
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808636
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808637
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808638
+                                         6        0         34        0        6
+DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808327
+                                         0        0          8        0        3
+DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808328
+                                         0        0          8        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808329
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808330
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808304
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808331
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808134
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808332
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808333
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808334
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808343
+                                         9        0         54        0        9
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808344
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808345
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808347
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808348
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808639
+                                         1        0         11        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808640
+                                         0        0         10        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808641
+                                         1        0         11        0        2
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808320
+                                         0        0         26        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808321
+                                         0        0         20        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808323
+                                         0        0         11        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808291
+                                         0        0          9        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_5595914180888
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808314
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808644
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808281
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808282
+                                         1        0          9        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808287
+                                         1        0          9        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808283
+                                         4        0         24        0        4
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808284
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__res_generic_po__example_55959141808285
+                                         2        0          5        0        0
+DN_FM_sky130_fd_pr__res_generic_po__example_55959141808286
+                                         2        0          5        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808275
+                                         0        0         13        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808276
+                                         0        0          5        0        0
+DN_FM_sky130_fd_io__tk_em1s_cdns_55959141808301
+                                         0        0          7        0        3
+DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808302
+                                         0        0          8        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808303
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808305
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808307
+                                         5        0         28        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808308
+                                         0        0          8        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808309
+                                         1        0          9        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808310
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808311
+                                         1        0          9        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808312
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808313
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808315
+                                         5        0         30        0        5
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808317
+                                         4        0         29        0        5
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808318
+                                         2        0         17        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808319
+                                         1        0         11        0        2
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808293
+                                         0        0         44        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808296
+                                         0        0         23        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808297
+                                         0        0         23        0        0
+DN_FM_sky130_fd_pr__tpl1__example_55959141808299
+                                         0        0         24        0        0
+DN_FM_sky130_fd_pr__tpl1__example_55959141808300
+                                         0        0         25        0        0
+DN_FM_sky130_fd_pr__via_l1m1_centered__example_559591418085
+                                         0        0        200        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808655
+                                         0        0         45        0        0
+DN_FM_sky130_fd_pr__via_l1m1_centered__example_559591418082
+                                         0        0        209        0        0
+DN_FM_sky130_fd_pr__via_l1m1_centered__example_559591418083
+                                         0        0        611        0        0
+DN_R2_nmos_m1_w0_740_sactive_dli         1        0          8        0        3
+DN_R2_nmos_m1_w0_740_sli_dactive         1        0          8        0        3
+DN_R2_pmos_m1_w1_120_sli_dli             2        0          9        0        3
+DN_R2_nmos_m10_w7_000_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m10_w7_000_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_nand2_dec                          0        0         52        0       10
+DN_R2_pinv_dec                           9        0         13        0        4
+DN_R2_nand3_dec                          0        0         74        0       14
+DN_R2_pinv                               5        0         20        0        4
+DN_FM_sky130_fd_pr__res_generic_po__example_5595914180838
+                                         2        0          5        0        0
+DN_FM_sky130_fd_io__res250_sub_small
+                                         0        0         42        0        0
+DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808289
+                                         0        0          8        0        3
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808264
+                                         0        0          8        0        0
+DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180859
+                                         0        0          7        0        3
+DN_FM_sky130_fd_pr__res_generic_po__example_5595914180864
+                                         2        0          5        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808372
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808350
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808400
+                                         0        0         14        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808402
+                                         0        0          8        0        0
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808422
+                                         3        0         19        0        3
+DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808421
+                                         3        0         20        0        3
+DN_FM_sky130_fd_io__hvsbt_inv_x1         6        0         14        0        5
+DN_FM_sky130_fd_io__tk_em2o_cdns_55959141808653
+                                         0        0          8        0        3
+DN_FM_sky130_fd_io__tk_em2s_cdns_55959141808652
+                                         0        0          7        0        3
+DN_FM_sky130_fd_pr__via_pol1_centered__example_559591418081
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808270
+                                         0        0          8        0        0
+DN_FM_sky130_fd_io__tk_em1o_cdns_5595914180860
+                                         0        0          8        0        3
+DN_FM_sky130_fd_io__com_res_weak_bentbigres
+                                         6        0          1        0        0
+DN_FM_sky130_fd_io__hvsbt_nand2         11        0         20        0        5
+DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808242
+                                         0        0         10        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808565
+                                         8        0         46        0        8
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808566
+                                         8        0         48        0        8
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808567
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808477
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808568
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808569
+                                         4        0         22        0        4
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808570
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808571
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808441
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808574
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808575
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__dfl1sd__example_55959141808504
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808580
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808496
+                                         3        0         17        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808497
+                                         3        0         17        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808582
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808583
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808498
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808419
+                                         3        0         19        0        3
+DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808420
+                                         1        0         11        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808584
+                                         1        0          9        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808455
+                                         2        0         11        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808445
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808447
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808248
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808457
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808450
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808451
+                                         2        0         13        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808585
+                                         1        0          9        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808446
+                                         2        0         11        0        2
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808587
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808588
+                                         2        0         13        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808475
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808589
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808460
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808590
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808463
+                                         5        0         28        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808464
+                                         5        0         29        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808465
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808466
+                                         5        0         28        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808467
+                                         5        0         28        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808468
+                                         5        0         29        0        5
+DN_FM_sky130_fd_pr__dfl1sd__example_55959141808517
+                                         0        0         17        0        0
+DN_FM_sky130_fd_pr__dfl1sd2__example_55959141808518
+                                         0        0         17        0        0
+DN_FM_sky130_fd_pr__dfl1sd__example_55959141808190
+                                         0        0         18        0        0
+DN_FM_sky130_fd_pr__dfl1sd2__example_55959141808191
+                                         0        0         18        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808423
+                                         3        0         15        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808424
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808426
+                                         3        0         17        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808379
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808380
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808382
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808383
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808427
+                                         5        0         28        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808428
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808429
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808430
+                                         3        0         17        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808431
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808432
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808433
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808435
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808394
+                                         0        0         17        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808375
+                                         5        0         27        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808376
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808377
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808381
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808384
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808386
+                                         3        0         17        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808387
+                                         2        0         11        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808388
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808389
+                                         3        0         17        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808390
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808391
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808392
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808393
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808373
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__tpl1__example_55959141808374
+                                         0        0         36        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808360
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808403
+                                         5        0         28        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808362
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808404
+                                         7        0         40        0        7
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808405
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808406
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808407
+                                         7        0         42        0        7
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808408
+                                         9        0         54        0        9
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808409
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808410
+                                         7        0         42        0        7
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808395
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808396
+                                         0        0         11        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808397
+                                         0        0         24        0        0
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808398
+                                         0        0          8        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808399
+                                         0        0         20        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808269
+                                         0        0         22        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808401
+                                         0        0         10        0        0
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808416
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808417
+                                         2        0         10        0        2
+DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong_nr2
+                                        18        0        418        0       12
+DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong_nr3
+                                        14        0        406        0       11
+DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2
+                                       144        0        332        0       57
+DN_FM_sky130_fd_io__gpiov2_octl_mux
+                                         4        0        123        0        5
+DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808642
+                                         2        0         13        0        2
+DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808141
+                                         1        0         12        0        2
+DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808184
+                                         2        0         14        0        2
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808643
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808183
+                                         2        0         13        0        2
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808139
+                                         3        0         21        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808364
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808363
+                                         4        0         24        0        4
+DN_FM_sky130_fd_pr__via_pol1__example_5595914180854
+                                         0        0          8        0        0
+DN_FM_sky130_fd_io__gpiov2_pupredrvr_strong_nd2_a
+                                        61        0        106        0       16
+DN_FM_sky130_fd_io__gpiov2_pupredrvr_strong_nd2
+                                        63        0        108        0       16
+DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2
+                                       142        0        274        0       53
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808144
+                                         1        0         10        0        2
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_5595914180899
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808142
+                                         3        0         20        0        3
+DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808101
+                                         2        0         13        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808365
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808366
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808361
+                                         3        0         18        0        3
+DN_FM_sky130_fd_io__pfet_con_diff_wo_abt_270v2
+                                        92        0      10504        0        0
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808656
+                                         5        0         30        0        5
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808654
+                                         5        0         30        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_5595914180850
+                                         2        0         10        0        2
+DN_FM_sky130_fd_io__nfet_con_diff_wo_abt_270v2
+                                        94        0       9385        0        3
+DN_R2_contact_9                          0        0          5        0        0
+DN_R2_contact_8                          0        0          5        0        0
+DN_R2_nmos_m7_w1_680_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m7_w2_000_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_nmos_m22_w2_000_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m22_w2_000_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_nmos_m3_w1_680_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m3_w1_650_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_nmos_m18_w2_000_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m18_w2_000_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_contact_28                         0        0          5        0        0
+DN_R2_contact_29                         0        0          5        0        0
+DN_R2_pinv_dec_0                         9        0         13        0        4
+DN_R2_contact_27                         0        0          5        0        0
+DN_R2_contact_26                         0        0          5        0        0
+DN_R2_and3_dec                           2        0         14        0        9
+DN_R2_and2_dec                           2        0         12        0        7
+DN_R2_nmos_m1_w2_880_sli_dli             2        0          8        0        3
+DN_R2_contact_15                         0        0          5        0        0
+DN_R2_contact_14                         0        0          5        0        0
+DN_R2_contact_16                         0        0          5        0        0
+DN_R2_pmos_m1_w0_550_sli_dli             2        0          9        0        3
+DN_R2_pdriver                            1        0          9        0        4
+DN_R2_pnand2                             6        0         28        0        5
+DN_FM_sky130_fd_pr__gendlring__example_559591418081
+                                         0        0          0        0        0
+DN_FM_sky130_fd_pr__genrivetdlring__example_559591418082
+                                         0        0        156        0        0
+DN_FM_sky130_fd_io__res250only_small
+                                         1        0         12        0        3
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808147
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__tpl1__example_55959141808148
+                                         0        0         19        0        0
+DN_FM_sky130_fd_pr__tpl1__example_55959141808149
+                                         0        0         13        0        0
+DN_FM_sky130_fd_pr__tpl1__example_55959141808150
+                                         0        0         22        0        0
+DN_FM_sky130_fd_pr__tpl1__example_55959141808151
+                                         0        0         32        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808152
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808153
+                                         0        0         27        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808154
+                                         0        0         22        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808155
+                                         0        0         14        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808156
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808157
+                                         0        0          4        0        0
+DN_FM_sky130_fd_pr__dfl1__example_55959141808158
+                                         0        0         17        0        0
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808615
+                                         5        0         33        0        5
+DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808616
+                                         5        0         34        0        5
+DN_FM_sky130_fd_pr__via_pol1__example_55959141808612
+                                         0        0          7        0        0
+DN_FM_sky130_fd_io__hvsbt_inv_x2         9        0         19        0        4
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808559
+                                         0        0         23        0        0
+DN_FM_sky130_fd_io__com_res_weak        25        0         18        0        2
+DN_FM_sky130_fd_io__inv_1                0        0         45        0       13
+DN_FM_sky130_fd_io__tap_1                0        0         36        0       11
+DN_FM_sky130_fd_pr__hvdfm1sd2__example_55959141808251
+                                         0        0         44        0        0
+DN_FM_sky130_fd_pr__dfm1sd2__example_55959141808561
+                                         0        0         44        0        0
+DN_FM_sky130_fd_io__gpiov2_amx_pucsd_inv
+                                         3        0         95        0        6
+DN_FM_sky130_fd_io__gpiov2_amux_drvr_lshv2hv2
+                                         8        0        275        0        8
+DN_FM_sky130_fd_io__gpiov2_amx_inv4
+                                         3        0         33        0        4
+DN_FM_sky130_fd_io__gpiov2_amux_drvr_lshv2hv
+                                         8        0        228        0        8
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808572
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808573
+                                         2        0         12        0        2
+DN_FM_sky130_fd_io__amx_inv1             3        0          3        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808576
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808577
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808578
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808579
+                                         2        0         10        0        2
+DN_FM_sky130_fd_io__gpiov2_amux_drvr_ls
+                                         9        0        270        0        9
+DN_FM_sky130_fd_io__xor2_1               0        0         70        0       11
+DN_FM_sky130_fd_io__nand2_1              0        0         49        0       12
+DN_FM_sky130_fd_io__nor2_1               0        0         45        0        9
+DN_FM_sky130_fd_io__hvsbt_nor           10        0         18        0        1
+DN_FM_sky130_fd_io__gpiov2_amux_nand5
+                                        10        0        200        0        8
+DN_FM_sky130_fd_io__gpiov2_amux_nand4
+                                         9        0        201        0        7
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808586
+                                         2        0         12        0        2
+DN_FM_sky130_fd_io__gpiov2_amux_ctl_lshv2hv2
+                                        13        0        388        0        9
+DN_FM_sky130_fd_io__gpiov2_amux_ctl_lshv2hv
+                                        10        0        276        0        8
+DN_FM_sky130_fd_io__gpiov2_amux_ctl_ls
+                                        12        0        429        0        9
+DN_FM_sky130_fd_io__gpiov2_amux_ctl_inv_1
+                                         0        0         45        0       13
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808608
+                                         5        0         28        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808533
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808230
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808529
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808609
+                                         5        0         28        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808604
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808610
+                                         6        0         34        0        6
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808549
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808548
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808611
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808189
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808537
+                                         6        0         36        0        6
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808600
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808528
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808550
+                                         4        0         22        0        4
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808607
+                                         5        0         28        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808601
+                                         4        0         21        0        4
+DN_FM_sky130_fd_pr__nfet_01v8__example_5595914180825
+                                         2        0          9        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808602
+                                         3        0         15        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808603
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808527
+                                         4        0         22        0        4
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808535
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808540
+                                         3        0         18        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808598
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808605
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808596
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808606
+                                         4        0         22        0        4
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808597
+                                         5        0         28        0        5
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808599
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808546
+                                         2        0          9        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808547
+                                         3        0         15        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808595
+                                         2        0         11        0        2
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808613
+                                         9        0         61        0        9
+DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808614
+                                         9        0         62        0        9
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808440
+                                         0        0         16        0        0
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808617
+                                         2        0         11        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_5595914180813
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_5595914180822
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808618
+                                         2        0         11        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808619
+                                         4        0         23        0        4
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808620
+                                         2        0          9        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808621
+                                         5        0         29        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808622
+                                         5        0         28        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808623
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808624
+                                         2        0         10        0        2
+DN_FM_sky130_fd_io__gpio_dat_ls_1v2
+                                        83        0        323        0       26
+DN_FM_sky130_fd_io__gpio_dat_lsv2
+                                        82        0        316        0       26
+DN_FM_sky130_fd_io__com_cclat          151        0        423        0       50
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808368
+                                         0        0         18        0        0
+DN_FM_sky130_fd_pr__tpl1__example_55959141808625
+                                         0        0         23        0        0
+DN_FM_sky130_fd_io__hvsbt_xor           18        0        107        0        5
+DN_FM_sky130_fd_io__hvsbt_xorv2         18        0        111        0        5
+DN_FM_sky130_fd_io__com_ctl_ls_octl
+                                        69        0        357        0       19
+DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong
+                                        51        0        612        0       41
+DN_FM_sky130_fd_io__com_pdpredrvr_strong_slowv2
+                                        17        0         41        0        8
+DN_FM_sky130_fd_io__com_pupredrvr_strong_slowv2
+                                        20        0         97        0        9
+DN_FM_sky130_fd_io__gpio_pupredrvr_strongv2
+                                        55        0        131        0       20
+DN_FM_sky130_fd_io__com_pdpredrvr_weakv2
+                                        17        0         66        0        8
+DN_FM_sky130_fd_io__feas_com_pupredrvr_weak
+                                        14        0         23        0        8
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808266
+                                         0        0         14        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808267
+                                         0        0         16        0        0
+DN_FM_sky130_fd_io__gpio_pudrvr_strongv2
+                                        19        0        595        0        8
+DN_FM_sky130_fd_io__com_pudrvr_weakv2
+                                         2        0        516        0        2
+DN_FM_sky130_fd_io__com_pudrvr_strong_slowv2
+                                         2        0        478        0        2
+DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180852
+                                         0        0          7        0        3
+DN_FM_sky130_fd_pr__res_generic_po__example_5595914180853
+                                         2        0          5        0        0
+DN_FM_sky130_fd_pr__res_generic_po__example_5595914180855
+                                         2        0          5        0        0
+DN_FM_sky130_fd_pr__res_generic_po__example_5595914180856
+                                         2        0          5        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_5595914180832
+                                         0        0         12        0        0
+DN_FM_sky130_fd_pr__via_pol1_centered__example_559591418080
+                                         0        0         13        0        0
+DN_FM_sky130_fd_io__gpio_pddrvr_weakv2
+                                        11        0        932        0        4
+DN_FM_sky130_fd_io__gpio_pddrvr_strong_slowv2
+                                         7        0       4755        0        3
+DN_FM_sky130_fd_io__gpiov2_pddrvr_strong
+                                        25        0        493        0       15
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808683
+                                         0        0         89        0        0
+DN_R2_dff                                0        0        314        0       11
+DN_R2_pinv_3                             5        0         20        0        4
+DN_R2_nmos_m40_w2_000_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m40_w2_000_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_nmos_m13_w2_000_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m13_w2_000_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_nmos_m5_w1_680_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m5_w2_000_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_nmos_m2_w1_260_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m2_w1_650_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_pinv_16                            5        0         20        0        4
+DN_R2_nmos_m1_w0_740_sactive_dactive
+                                         0        0          8        0        3
+DN_R2_nmos_m12_w2_000_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m12_w2_000_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_nmos_m4_w1_260_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m4_w2_000_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_nmos_m24_w2_000_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m24_w2_000_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_nmos_m8_w1_680_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m8_w2_000_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_nmos_m3_w2_000_sli_dli_da_p
+                                         2        0          8        0        3
+DN_R2_pmos_m3_w2_000_sli_dli_da_p
+                                         2        0          9        0        3
+DN_R2_pinv_2                             5        0         20        0        4
+DN_R2_pinv_1                             5        0         20        0        4
+DN_R2_pinv_17                            5        0         20        0        4
+DN_R2_wordline_driver                    2        0         12        0        7
+DN_R2_hierarchical_predecode2x4         82        0         80        0       16
+DN_R2_hierarchical_predecode3x8        189        0        164        0       33
+DN_R2_contact_22                         0        0          5        0        0
+DN_R2_contact_21                         0        0          5        0        0
+DN_R2_contact_20                         0        0          5        0        0
+DN_R2_contact_19                         0        0          6        0        0
+DN_R2_single_level_column_mux_0         10        0         27        0        6
+DN_R2_sense_amp                          0        0        174        0       16
+DN_R2_precharge_1                       16        0         23        0        4
+DN_R2_single_level_column_mux           10        0         27        0        6
+DN_R2_pand2                              2        0         12        0        5
+DN_R2_write_driver                       0        0        183        0       18
+DN_R2_precharge_0                       16        0         23        0        4
+DN_R2_sky130_fd_bd_sram__openram_dp_cell_cap_row
+                                         0        0         55        0        8
+DN_R2_sky130_fd_bd_sram__openram_dp_cell_cap_col
+                                         0        0         27        0       10
+DN_R2_sky130_fd_bd_sram__openram_dp_cell_dummy
+                                         0        0        316        0       48
+DN_R2_sky130_fd_bd_sram__openram_dp_cell_replica
+                                         0        0        344        0       52
+DN_R2_sky130_fd_bd_sram__openram_dp_cell
+                                         0        0        344        0       52
+DN_FM_sky130_fd_io__com_bus_slice
+                                         0        0         37        0        0
+DN_FM_sky130_fd_pr__padplhp__example_559591418080
+                                         2        0          7        0        3
+DN_FM_sky130_fd_io__signal_5_sym_hv_local_5term
+                                        23        0         30        0        8
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808555
+                                         2        0         10        0        2
+DN_FM_sky130_fd_io__hvsbt_inv_x4        12        0         50        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808558
+                                         9        0         60        0        9
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808560
+                                         8        0         53        0        8
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808562
+                                         7        0         48        0        7
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808564
+                                         6        0         41        0        6
+DN_FM_sky130_fd_io__gpiov2_amux_drvr
+                                        31        0       2098        0       49
+DN_FM_sky130_fd_io__gpiov2_amux_decoder
+                                        37        0        939        0       34
+DN_FM_sky130_fd_io__gpiov2_amux_ls
+                                         8        0        356        0       24
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808178
+                                         0        0         48        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808488
+                                         0        0          4        0        0
+DN_FM_sky130_fd_io__gpiov2_in_buf
+                                        26        0       1864        0       13
+DN_FM_sky130_fd_io__gpiov2_ipath_hvls
+                                        21        0       1515        0       11
+DN_FM_sky130_fd_io__gpiov2_vcchib_in_buf
+                                        16        0        952        0        6
+DN_FM_sky130_fd_io__gpiov2_ipath_lvls
+                                        18        0       1058        0       10
+DN_FM_sky130_fd_io__gpiov2_inbuf_lvinv_x1
+                                         2        0         71        0        4
+DN_FM_sky130_fd_io__hvsbt_nand2v2
+                                        11        0         20        0        5
+DN_FM_sky130_fd_io__hvsbt_inv_x8v2
+                                        18        0         79        0        4
+DN_FM_sky130_fd_io__com_ctl_ls          70        0        341        0       20
+DN_FM_sky130_fd_io__hvsbt_inv_x8        18        0         79        0        4
+DN_FM_sky130_fd_io__com_ctl_ls_en_1_v2
+                                        67        0        396        0       22
+DN_FM_sky130_fd_io__com_ctl_ls_v2
+                                        69        0        342        0       20
+DN_FM_sky130_fd_io__tk_em2o_cdns_55959141808439
+                                         0        0          8        0        3
+DN_FM_sky130_fd_io__tk_em2s_cdns_55959141808438
+                                         0        0          7        0        3
+DN_FM_sky130_fd_io__com_ctl_ls_1v2
+                                        70        0        341        0       20
+DN_FM_sky130_fd_io__com_ctl_lsv2        22        0        708        0       12
+DN_FM_sky130_fd_io__com_opath_datoev2
+                                        14        0       1420        0       18
+DN_FM_sky130_fd_io__gpiov2_octl         23        0        927        0       28
+DN_FM_sky130_fd_io__gpiov2_obpredrvr
+                                        21        0       1099        0       45
+DN_FM_sky130_fd_io__gpio_odrvr_subv2
+                                        15        0      14137        0       25
+DN_FM_sky130_fd_io__gnd2gnd_strap
+                                         1        0        203        0        0
+DN_R2_pinv_0                             5        0         20        0        4
+DN_R2_pdriver_0                          1        0          9        0        4
+DN_R2_pnand2_0                           6        0         28        0        5
+DN_R2_pinv_11                            3        0         16        0        4
+DN_R2_pinv_10                            3        0         16        0        4
+DN_R2_pinv_9                             3        0         16        0        4
+DN_R2_pinv_8                             3        0         16        0        4
+DN_R2_pinv_7                             3        0         16        0        4
+DN_R2_pinv_6                             5        0         20        0        4
+DN_R2_pdriver_3                          1        0          9        0        4
+DN_R2_pnand3                             9        0         31        0        6
+DN_R2_pinv_19                            3        0         16        0        4
+DN_R2_pinv_18                            3        0         16        0        4
+DN_R2_pinv_12                            3        0         16        0        4
+DN_R2_pinv_15                            3        0         16        0        4
+DN_R2_pinv_14                            3        0         16        0        4
+DN_R2_pinv_13                            3        0         16        0        4
+DN_R2_dff_buf_0                          9        0         23        0        6
+DN_R2_pinv_20                            5        0         20        0        4
+DN_R2_pdriver_4                          1        0          9        0        4
+DN_R2_wordline_driver_array            384        0        391        0      261
+DN_R2_hierarchical_decoder            3853        0       2976        0      828
+DN_R2_single_level_column_mux_array_0
+                                       576        0        686        0      236
+DN_R2_sense_amp_array                  352        0        387        0      225
+DN_R2_precharge_array_0                130        0        198        0      196
+DN_R2_single_level_column_mux_array
+                                       576        0        686        0      236
+DN_R2_write_mask_and_array              48        0         53        0       17
+DN_R2_write_driver_array               352        0        422        0      260
+DN_R2_precharge_array                  130        0        198        0      196
+DN_R2_row_cap_array_0                  390        0        457        0      455
+DN_R2_row_cap_array                    390        0        457        0      455
+DN_R2_col_cap_array                    128        0        322        0      320
+DN_R2_dummy_array                       64        0        452        0      450
+DN_R2_replica_column_0                 134        0        593        0      591
+DN_R2_replica_column                   134        0        593        0      591
+DN_R2_bitcell_array                   8192        0      21058        0    21056
+DN_FM_sky130_fd_io__com_bus_hookup
+                                         0       15       2415        0       60
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808664
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808680
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__dfl1sd__example_55959141808336
+                                         0        0         24        0        0
+DN_FM_sky130_fd_pr__dfl1sd2__example_55959141808666
+                                         0        0         24        0        0
+DN_FM_sky130_fd_pr__dfl1sd__example_55959141808678
+                                         0        0         23        0        0
+DN_FM_sky130_fd_pr__dfl1sd2__example_55959141808679
+                                         0        0         23        0        0
+DN_FM_sky130_fd_pr__hvdftpl1s__example_55959141808671
+                                         0        0        122        0        0
+DN_FM_sky130_fd_pr__hvdftpl1s2__example_55959141808672
+                                         0        0        183        0        0
+DN_FM_sky130_fd_pr__hvdftpl1s__example_55959141808675
+                                         0        0         64        0        0
+DN_FM_sky130_fd_pr__hvdftpl1s2__example_55959141808676
+                                         0        0         96        0        0
+DN_FM_sky130_fd_io__pad_esd              1        0          1        0        0
+DN_FM_sky130_fd_io__top_gpio_pad         1        0          0        0        0
+DN_FM_sky130_fd_io__com_bus_slice_m4
+                                         0        0         17        0        0
+DN_FM_sky130_fd_io__amux_switch_1v2b
+                                         6        0       3322        0       16
+DN_FM_sky130_fd_io__gpiov2_amux_ctl_logic
+                                         3        0        972        0       66
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808591
+                                         8        0         48        0        8
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808592
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808593
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808594
+                                        15        0         88        0       15
+DN_FM_sky130_fd_io__res75only_small
+                                         0        0         30        0        3
+DN_FM_sky130_fd_io__gpiov2_ibuf_se
+                                        10        0        406        0       12
+DN_FM_sky130_fd_io__gpiov2_buf_localesd
+                                         8        0        413        0        6
+DN_FM_sky130_fd_io__gpiov2_ictl_logic
+                                         9        0        329        0       15
+DN_FM_sky130_fd_io__com_ctl_hldv2
+                                        11        0        662        0       10
+DN_FM_sky130_fd_io__gpiov2_ctl_lsbank
+                                        30        0        408        0       28
+DN_FM_sky130_fd_io__gpiov2_octl_dat
+                                         3        0       1017        0       91
+DN_FM_sky130_fd_io__gpio_odrvrv2         1        0       2362        0       24
+DN_FM_sky130_fd_io__gnd2gnd_tap          1        0          2        0        0
+DN_FM_sky130_fd_io__gnd2gnd_diff         1        0          2        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808684
+                                         0        0         43        0        0
+DN_FM_sky130_fd_pr__tpl1__example_55959141808685
+                                         0        0         50        0        0
+DN_FM_sky130_fd_pr__tpl1__example_55959141808686
+                                         0        0         59        0        0
+DN_R2_contact_33                         0        0          5        0        0
+DN_R2_contact_32                         0        0          5        0        0
+DN_R2_pnand2_1                           8        0         32        0        5
+DN_R2_pand2_0                            2        0         12        0        5
+DN_R2_pdriver_1                          6        0         20        0        4
+DN_R2_pand3                              2        0         13        0        6
+DN_R2_pdriver_5                          4        0         18        0        4
+DN_R2_pdriver_2                          6        0         22        0        4
+DN_R2_dff_buf_array                     14        0         24        0       10
+DN_R2_delay_chain                      310        0        253        0       26
+DN_R2_pand3_0                            2        0         13        0        6
+DN_R2_pinvbuf                            6        0         20        0        6
+DN_R2_cr_0                              10        0         14        0        0
+DN_R2_cr_1                              10        0         14        0        0
+DN_R2_port_address                      10        0       1719        0      817
+DN_R2_port_data_0                        3        0       1353        0      391
+DN_R2_port_data                         17        0       1894        0      604
+DN_R2_replica_bitcell_array              9        0       1696        0     1694
+DN_FM_sky130_fd_io__sio_clamp_pcap_4x5
+                                         1        0        200        0        0
+DN_FM_sky130_fd_io__esd_rcclamp_nfetcap
+                                         1        0        269        0        0
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808665
+                                        51        0        306        0       51
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808677
+                                        16        0         94        0       16
+DN_FM_sky130_fd_pr__res_bent_po__example_55959141808667
+                                         2        0         42        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808660
+                                         0        0        104        0        0
+DN_FM_sky130_fd_pr__dfl1__example_55959141808663
+                                         0        0        119        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808673
+                                        12        0        147        0       23
+DN_FM_sky130_fd_pr__res_bent_po__example_55959141808668
+                                         2        0         50        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808670
+                                        10        0        121        0       19
+DN_FM_sky130_fd_pr__dfl1__example_55959141808662
+                                         0        0         59        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808661
+                                         0        0         56        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808674
+                                        12        0        147        0       23
+DN_FM_sky130_fd_pr__res_bent_po__example_55959141808669
+                                         2        0         15        0        0
+DN_FM_sky130_fd_io__com_busses_esd
+                                         2        0          0        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd2__example_55959141808717
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd2__example_55959141808719
+                                         0        0         21        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd2__example_5595914180890
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd2__example_55959141808243
+                                         0        0         10        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd2__example_55959141808765
+                                         0        0         20        0        0
+DN_FM_sky130_fd_pr__via_l1m1_centered__example_5595914180811
+                                         0        0        132        0        0
+DN_FM_sky130_fd_pr__via_l1m1_centered__example_5595914180812
+                                         0        0        134        0        0
+DN_FM_sky130_fd_pr__dfl1__example_55959141808187
+                                         0        0          4        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808338
+                                         0        0         24        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808337
+                                         0        0         24        0        0
+DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808700
+                                         0        0         23        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd2__example_5595914180827
+                                         0        0         32        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808237
+                                         0        0         61        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd2__example_5595914180829
+                                         0        0         61        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd__example_5595914180835
+                                         0        0         20        0        0
+DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808782
+                                         0        0         21        0        0
+DN_FM_sky130_fd_io__overlay_gpiov2_m4
+                                        17        0         35        0       35
+DN_FM_sky130_fd_io__gpiov2_amux         31        0       7943        0       46
+DN_FM_sky130_fd_io__gpiov2_ipath         3        0        272        0       26
+DN_FM_sky130_fd_io__gpiov2_ctl           5        0        243        0       32
+DN_FM_sky130_fd_io__gpio_opathv2         2        0        917        0       73
+DN_FM_sky130_fd_io__gnd2gnd_sub_dnwl
+                                         6        2         14        0        0
+DN_FM_sky130_fd_pr__dftpl1s2__example_55959141808702
+                                         0        0         69        0        0
+DN_FM_sky130_fd_pr__dftpl1s2__example_55959141808694
+                                         0        0         51        0        0
+DN_R2_contact_34                         0        0          5        0        0
+DN_R2_row_addr_dff                      50        0         54        0       23
+DN_R2_col_addr_dff                       8        0         12        0        5
+DN_R2_wmask_dff                         32        0         39        0       17
+DN_R2_control_logic_rw                 133        0        170        0       41
+DN_R2_cr_3                               4        0          7        0        0
+DN_R2_control_logic_r                    0        0       8499        0      870
+DN_R2_cr_2                             416        0        413        0        0
+DN_R2_data_dff                         256        0        291        0      129
+DN_R2_bank                             552        0       5501        0     2633
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808716
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808718
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808720
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808721
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808722
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808723
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__res_bent_po__example_55959141808715
+                                         2        0         10        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808551
+                                         0        0         10        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808552
+                                         0        0          8        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808724
+                                         0        0         12        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808259
+                                         0        0          7        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808553
+                                         0        0          8        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808725
+                                         0        0         22        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808554
+                                         0        0         32        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808726
+                                         0        0         35        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808727
+                                         0        0         14        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808728
+                                         0        0         10        0        0
+DN_FM_sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2
+                                        92        0      12212        0        0
+DN_FM_sky130_fd_io__xres_p_em1c_cdns_55959141808753
+                                         0        0          7        0        3
+DN_FM_sky130_fd_pr__res_generic_nd__example_55959141808754
+                                         2        0          6        0        0
+DN_FM_sky130_fd_pr__res_generic_nd__example_55959141808755
+                                         2        0          6        0        0
+DN_FM_sky130_fd_io__xres_tk_p_em1o_cdns_55959141808756
+                                         0        0          8        0        3
+DN_FM_sky130_fd_io__xres_tk_p_em1o_cdns_55959141808757
+                                         0        0          8        0        3
+DN_FM_sky130_fd_io__xres_tk_p_em1o_cdns_55959141808758
+                                         0        0          8        0        3
+DN_FM_sky130_fd_io__xres_tk_p_em1c_cdns_55959141808759
+                                         0        0          7        0        3
+DN_FM_sky130_fd_io__xres_tk_p_em1c_cdns_55959141808760
+                                         0        0          7        0        3
+DN_FM_sky130_fd_io__xres_tk_p_em1c_cdns_55959141808761
+                                         0        0          7        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808763
+                                        13        0         78        0       13
+DN_FM_sky130_fd_pr__dfl1__example_55959141808729
+                                         0        0          5        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808730
+                                         0        0         52        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808731
+                                         0        0        213        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808732
+                                         0        0         70        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808733
+                                         0        0         20        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808734
+                                         0        0         11        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808735
+                                         0        0          6        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808736
+                                         0        0        109        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808737
+                                         0        0         32        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808738
+                                         0        0         60        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808739
+                                         0        0          9        0        0
+DN_FM_sky130_fd_pr__via_m1m2__example_55959141808740
+                                         0        0         16        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808741
+                                         0        0         38        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808742
+                                         0        0         46        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808743
+                                         0        0        189        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808744
+                                         0        0         62        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808745
+                                         0        0         96        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808746
+                                         0        0         28        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808747
+                                         0        0         53        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808748
+                                         0        0         23        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808749
+                                         0        0         29        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808750
+                                         0        0         21        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808751
+                                         0        0         24        0        0
+DN_FM_sky130_fd_pr__via_l1m1__example_55959141808752
+                                         0        0         15        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808762
+                                        13        0         76        0       13
+DN_FM_sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2
+                                        90        0      12411        0        1
+DN_FM_sky130_fd_pr__res_bent_po__example_55959141808768
+                                         2        0         47        0        0
+DN_FM_sky130_fd_pr__res_bent_nd__example_55959141808769
+                                         2        0         75        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808770
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808772
+                                         2        0         11        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808773
+                                         2        0         11        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808775
+                                         5        0         28        0        5
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808776
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808777
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808779
+                                         2        0         11        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808781
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808785
+                                         4        0         24        0        4
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808771
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808778
+                                         3        0         16        0        3
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808784
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808783
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808774
+                                         4        0         22        0        4
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808780
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808786
+                                         2        0         12        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808787
+                                         2        0         12        0        2
+DN_FM_sky130_fd_io__top_gpiov2           9        0      27489        0       71
+DN_FM_sky130_fd_io__overlay_gpiov2
+                                         1        0       2648        0       61
+DN_FM_sky130_fd_io__gnd2gnd_120x2_lv_isosub
+                                         2        0         17        0       11
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808687
+                                        11        0         64        0       11
+DN_FM_sky130_fd_pr__res_bent_po__example_55959141808691
+                                         2        0         55        0        0
+DN_FM_sky130_fd_pr__res_bent_po__example_55959141808690
+                                         2        0         23        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808705
+                                        20        0        250        0       39
+DN_FM_sky130_fd_pr__dfl1__example_55959141808682
+                                         0        0         23        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808693
+                                        20        0        250        0       39
+DN_FM_sky130_fd_pr__dfl1__example_55959141808681
+                                         0        0         17        0        0
+DN_FM_sky130_fd_pr__res_bent_po__example_55959141808688
+                                         2        0         50        0        0
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808696
+                                         2        0         11        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808699
+                                         6        0         33        0        6
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808697
+                                         2        0          9        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808698
+                                         7        0         39        0        7
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808695
+                                        11        0        133        0       21
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808704
+                                        11        0        133        0       21
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808703
+                                        13        0        159        0       25
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808701
+                                        19        0        237        0       37
+DN_FM_sky130_fd_pr__res_bent_po__example_55959141808692
+                                         2        0         23        0        0
+DN_FM_sky130_fd_pr__res_bent_po__example_55959141808689
+                                         2        0         47        0        0
+DN_FM_sky130_fd_io__hvc_clampv2        178        3      77277        0        4
+sr_polygon00007                          0        0         16        0        0
+sr_polygon00001                          0        0         16        0        0
+sr_polygon00002                          0        0         16        0        0
+sr_polygon00003                          0        0         16        0        0
+sr_polygon00004                          0        0         16        0        0
+sr_polygon00005                          0        0         16        0        0
+sr_polygon00006                          0        0         16        0        0
+DN_R2_pk_sram_1rw1r_32_256_8_sky130
+                                    155825        0      11132        0     5338
+DN_RO_sky130_fd_sc_hd__decap_4           0        0         33        2        5
+DN_RO_sky130_fd_sc_hd__tapvpwrvgnd_1
+                                         0        0         22        0        3
+DN_RO_sky130_fd_sc_hd__decap_3           0        0         31        2        5
+DN_RO_sky130_fd_sc_hd__decap_8           0        0         45        2        5
+DN_RO_sky130_fd_sc_hd__decap_6           0        0         39        2        5
+DN_RO_sky130_fd_sc_hd__decap_12          0        0         55        2        5
+DN_RO_sky130_fd_sc_hd__fill_1            0        0         11        4        5
+DN_RO_sky130_fd_sc_hd__conb_1            0        0         36        2       11
+DN_TD_sky130_fd_sc_hd__decap_3           0        0         31        2        5
+DN_TD_sky130_fd_sc_hd__conb_1            0        0         36        2       11
+DN_TD_sky130_fd_sc_hd__fill_1            0        0         11        4        5
+DN_TD_sky130_fd_sc_hd__decap_8           0        0         45        2        5
+DN_TD_sky130_fd_sc_hd__fill_2            0        0         13        4        5
+DN_TD_sky130_fd_sc_hd__decap_6           0        0         39        2        5
+DN_TD_sky130_fd_sc_hd__tapvpwrvgnd_1
+                                         0        0         22        0        3
+DN_TD_sky130_fd_sc_hd__decap_4           0        0         33        2        5
+DN_TD_sky130_fd_sc_hd__decap_12          0        0         55        2        5
+DN_IH_sky130_fd_sc_hd__diode_2           0        0         33        4       17
+DN_IH_sky130_fd_sc_hd__inv_2             0        0         44        2        9
+DN_IH_sky130_fd_sc_hd__or2_2             0        0         51        2        8
+DN_IH_sky130_fd_sc_hd__buf_2             0        0         50        2       11
+DN_IH_sky130_fd_sc_hd__nor2_2            0        0         58        2        8
+DN_IH_sky130_fd_sc_hd__buf_1             0        0         43        2       11
+DN_IH_sky130_fd_sc_hd__o22a_2            0        0         81        2       10
+DN_IH_sky130_fd_sc_hd__or3_2             0        0         63        2       12
+DN_IH_sky130_fd_sc_hd__o221a_2           0        0         89        3       13
+DN_IH_sky130_fd_sc_hd__a22o_2            0        0         81        2       13
+DN_IH_sky130_fd_sc_hd__clkbuf_16         0        0        144        0       15
+DN_IH_sky130_fd_sc_hd__a32o_2            0        0         98        2       20
+DN_IH_sky130_fd_sc_hd__dfrtp_2           0        0        197        6       14
+DN_IH_sky130_fd_sc_hd__o21ai_2           0        0         75        2       14
+DN_IH_sky130_fd_sc_hd__clkbuf_1          0        0         41        2        9
+DN_IH_sky130_fd_sc_hd__mux2_1            0        0         77        2       13
+DN_IH_sky130_fd_sc_hd__o221ai_2          0        0        106        2       11
+DN_IH_sky130_fd_sc_hd__o211a_2           0        0         76        2       10
+DN_IH_sky130_fd_sc_hd__o2111ai_2         0        0        114        2       17
+DN_IH_sky130_fd_sc_hd__nand2_2           0        0         60        2       10
+DN_IH_sky130_fd_sc_hd__o21a_2            0        0         67        2        9
+DN_IH_sky130_fd_sc_hd__mux4_1            0        0        186        2       17
+DN_IH_sky130_fd_sc_hd__a221o_2           0        0         91        2       16
+DN_IH_sky130_fd_sc_hd__o2bb2a_2          0        0         82        2       10
+DN_IH_sky130_fd_sc_hd__and4bb_2          0        0         88        2       15
+DN_IH_sky130_fd_sc_hd__and2_2            0        0         61        2       14
+DN_IH_sky130_fd_sc_hd__a21o_2            0        0         66        2       10
+DN_IH_sky130_fd_sc_hd__a2bb2o_2          0        0         87        2       17
+DN_IH_sky130_fd_sc_hd__o22ai_2           0        0         98        2       10
+DN_IH_sky130_fd_sc_hd__a21bo_2           0        0         75        2        9
+DN_IH_sky130_fd_sc_hd__a31o_2            0        0         73        2       15
+DN_IH_sky130_fd_sc_hd__or4_2             0        0         73        2       16
+DN_IH_sky130_fd_sc_hd__a21oi_2           0        0         70        2       10
+DN_IH_sky130_fd_sc_hd__and3_2            0        0         65        0       13
+DN_IH_sky130_fd_sc_hd__o32a_2            0        0         93        2       16
+DN_IH_sky130_fd_sc_hd__and4_2            0        0         83        2       18
+DN_IH_sky130_fd_sc_hd__and4b_2           0        0         91        2       21
+DN_IH_sky130_fd_sc_hd__o41a_2            0        0        107        2       23
+DN_IH_sky130_fd_sc_hd__a22oi_2           0        0        100        2       19
+DN_IH_sky130_fd_sc_hd__clkbuf_2          0        0         52        0       13
+DN_IH_sky130_fd_sc_hd__ebufn_2           0        0         84        2       15
+DN_IH_sky130_fd_sc_hd__dfxtp_1           0        0        144        0       10
+DN_IH_sky130_fd_sc_hd__dlclkp_1          0        0        116        2       10
+DN_IH_sky130_fd_sc_hd__inv_1             0        0         44        2        8
+DN_IH_sky130_fd_sc_hd__and2_1            0        0         54        2       14
+DN_IH_sky130_fd_sc_hd__nor4b_2           0        0        118        2       10
+DN_IH_sky130_fd_sc_hd__and3_4            0        0         83        2        9
+DN_IH_sky130_fd_sc_hd__nor3b_4           0        0        121        2       11
+DN_IH_sky130_fd_sc_hd__and3b_4           0        0         78        2        9
+DN_IH_sky130_fd_sc_hd__clkbuf_4          0        0         58        2       10
+DN_IH_sky130_fd_sc_hd__and2b_2           0        0         61        2       13
+DN_IH_sky130_fd_sc_hd__o31a_2            0        0         83        2       18
+DN_IH_sky130_fd_sc_hd__o311a_2           0        0         97        2       21
+DN_IH_sky130_fd_sc_hd__a311o_2           0        0         87        2       16
+DN_IH_sky130_fd_sc_hd__o2bb2ai_2         0        0        103        2       10
+DN_IH_sky130_fd_sc_hd__clkinv_1          0        0         41        2       13
+DN_IH_sky130_fd_sc_hd__einvp_2           0        0         71        2       14
+DN_IH_sky130_fd_sc_hd__clkinv_2          0        0         48        2       11
+DN_IH_sky130_fd_sc_hd__einvn_4           0        0         91        2       12
+DN_IH_sky130_fd_sc_hd__clkinv_8          0        0        104        2       17
+DN_IH_sky130_fd_sc_hd__einvn_8           0        0        142        2       17
+DN_IH_sky130_fd_sc_hd__einvp_1           0        0         52        2       12
+DN_NK_sky130_fd_sc_hd__decap_12          0        0         55        2        5
+DN_NK_sky130_fd_sc_hd__decap_3           0        0         31        2        5
+DN_NK_sky130_fd_sc_hd__fill_2            0        0         13        4        5
+DN_NK_sky130_fd_sc_hd__tapvpwrvgnd_1
+                                         0        0         22        0        3
+DN_NK_sky130_fd_sc_hd__fill_1            0        0         11        4        5
+DN_NK_sky130_fd_sc_hd__decap_8           0        0         45        2        5
+DN_NK_sky130_fd_sc_hd__decap_4           0        0         33        2        5
+DN_NK_sky130_fd_sc_hd__decap_6           0        0         39        2        5
+DN_NK_sky130_fd_sc_hd__conb_1            0        0         36        2       11
+DN_NK_sky130_fd_sc_hvl__fill_2           0        0         36        0       13
+DN_NK_sky130_fd_sc_hvl__lsbufhv2lv_1
+                                         0        0        258        0       34
+DN_NK_sky130_fd_sc_hvl__conb_1           0        0         84        0       31
+DN_NK_sky130_fd_sc_hvl__fill_1           0        0         30        0       13
+DN_FM_sky130_ef_io__com_bus_slice_20um
+                                         0        0         87        0       56
+DN_FM_sky130_fd_io__corner_bus_overlay
+                                         0        0       4050        0       64
+DN_FM_sky130_ef_io__com_bus_slice_5um
+                                         0        0         87        0       56
+DN_FM_sky130_ef_io__com_bus_slice_1um
+                                         0        0         59        0       28
+DN_FM_sky130_ef_io__hvc_vdda_overlay
+                                         0        0        369        0        0
+DN_FM_sky130_fd_io__overlay_vssa_hvc
+                                         1        0       1602        0       60
+DN_FM_sky130_fd_io__top_ground_hvc_wpad
+                                       178        3      62163        0       74
+DN_FM_sky130_fd_io__xres_inv_hysv2
+                                         8        0        244        0        3
+DN_FM_sky130_fd_io__tk_tie_r_out_esd
+                                         1        0         24        0        2
+DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808764
+                                         2        0         10        0        2
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808767
+                                         2        0         12        0        2
+DN_FM_sky130_fd_io__com_res_weak_v2
+                                        23        0         85        0        0
+DN_FM_sky130_fd_io__gpio_buf_localesdv2
+                                        69        0        296        0        6
+DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808766
+                                         5        0         30        0        5
+DN_FM_sky130_fd_io__gpio_pudrvr_strong_axres4v2
+                                        19        0        411        0        5
+DN_FM_sky130_fd_pr__via_m2m3__example_55959141808714
+                                         0        0          8        0        0
+DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2
+                                       688        0       7458        0        4
+DN_FM_sky130_fd_io__gpio_pddrvr_strong_xres4v2
+                                        28        0        348        0        9
+DN_FM_sky130_fd_io__com_busses           2        0          0        0        0
+DN_FM_sky130_fd_io__xres4v2_in_buf
+                                        38        0      18131        0       13
+DN_FM_sky130_ef_io__gpiov2_pad           2        0        176        0       87
+DN_FM_sky130_ef_io__lvc_vccdx_overlay
+                                         0        0       4815        0        0
+DN_FM_sky130_fd_io__overlay_vssd_lvc
+                                         1        0       1375        0       62
+DN_FM_sky130_fd_io__top_ground_lvc_wpad
+                                       413        0      55871        0       72
+DN_FM_sky130_ef_io__hvc_vssio_overlay
+                                         0        0       4277        0        0
+DN_FM_sky130_fd_io__overlay_vssio_hvc
+                                         1        0       7355        0       61
+DN_FM_sky130_fd_io__overlay_vdda_hvc
+                                         1        0       1008        0       60
+DN_FM_sky130_fd_io__top_power_hvc_wpadv2
+                                         1        0         70        0       70
+DN_FM_sky130_fd_io__overlay_vccd_lvc
+                                         1        0       1364        0       62
+DN_FM_sky130_fd_io__top_power_lvc_wpad
+                                       413        0      55565        0       72
+DN_FM_sky130_ef_io__hvc_vddio_overlay
+                                         0        0       5671        0        0
+DN_FM_sky130_fd_io__overlay_vddio_hvc
+                                         1        0       9692        0       60
+DN_FM_sky130_ef_io__lvc_vccd_overlay
+                                         0        0       7881        0        0
+DN_FM_sky130_fd_io__simple_pad_and_busses
+                                         1        0       4150        0        0
+DN_via2                                  0        0          1        0        0
+DN_via4                                  0        0          1        0        0
+DN_via3                                  0        0          1        0        0
+DN_via2$1                                0        0          1        0        0
+DN_via2$2                                0        0          1        0        0
+DN_via2$3                                0        0          1        0        0
+DN_via3$1                                0        0          1        0        0
+DN_via2$4                                0        0          1        0        0
+DN_via2$5                                0        0          1        0        0
+DN_via2$6                                0        0          1        0        0
+DN_via4$1                                0        0          1        0        0
+DN_via3$2                                0        0          1        0        0
+DN_via2$7                                0        0          1        0        0
+DN_via2$10                               0        0          1        0        0
+DN_via2$12                               0        0          1        0        0
+DN_via2$8                                0        0          1        0        0
+DN_via2$9                                0        0          1        0        0
+DN_via2$11                               0        0          1        0        0
+DN_via2$13                               0        0          1        0        0
+DN_via2$14                               0        0          1        0        0
+DN_via2$16                               0        0          1        0        0
+DN_via4$2                                0        0          1        0        0
+DN_via3$3                                0        0          1        0        0
+DN_via2$15                               0        0          1        0        0
+DN_via4$3                                0        0          1        0        0
+DN_sky130_fd_sc_hd__conb_1               0        0         38        2       11
+DN_sky130_fd_sc_hd__fill_1               0        0         11        4        5
+DN_sky130_fd_sc_hd__decap_8              0        0         45        2        5
+DN_sky130_fd_sc_hd__fill_2               0        0         13        4        5
+DN_sky130_fd_sc_hd__tapvpwrvgnd_1
+                                         0        0         22        0        3
+DN_sky130_fd_sc_hd__decap_4              0        0         33        2        5
+DN_sky130_fd_sc_hd__decap_12             0        0         55        2        5
+DN_sky130_fd_sc_hd__decap_3              0        0         31        2        5
+DN_sky130_fd_sc_hd__decap_6              0        0         39        2        5
+DN_sky130_fd_sc_hd__buf_4                0        0         67        2       11
+DN_sky130_fd_sc_hd__buf_2                0        0         56        2       11
+DN_sky130_fd_sc_hd__buf_1                0        0         49        2       11
+DN_sky130_fd_sc_hd__clkbuf_2             0        0         58        0       13
+DN_sky130_fd_sc_hd__diode_2              0        0         45        4       17
+DN_sky130_fd_sc_hd__dfrtp_1              0        0        197        2       14
+DN_sky130_fd_sc_hd__clkbuf_1             0        0         45        2        9
+DN_sky130_fd_sc_hd__inv_2                0        0         48        2        9
+DN_sky130_fd_sc_hd__einvn_2              0        0         80        2       14
+DN_sky130_fd_sc_hd__clkinv_2             0        0         54        2       11
+DN_sky130_fd_sc_hd__einvp_1              0        0         59        2       12
+DN_sky130_fd_sc_hd__o31a_1               0        0         87        2       17
+DN_sky130_fd_sc_hd__or2_1                0        0         55        2        8
+DN_sky130_fd_sc_hd__clkinv_1             0        0         49        2       13
+DN_sky130_fd_sc_hd__o21ai_1              0        0         62        2       13
+DN_sky130_fd_sc_hd__or2_2                0        0         56        2        8
+DN_sky130_fd_sc_hd__einvn_8              0        0        154        2       17
+DN_sky130_fd_sc_hd__einvp_4              0        0        101        2       14
+DN_sky130_fd_sc_hd__nor2_1               0        0         46        2        8
+DN_sky130_fd_sc_hd__nand2_1              0        0         51        2       10
+DN_sky130_fd_sc_hd__o41a_2               0        0        125        2       23
+DN_sky130_fd_sc_hd__o41a_1               0        0        112        2       22
+DN_sky130_fd_sc_hd__a221o_1              0        0         95        2       16
+DN_sky130_fd_sc_hd__or4_4                0        0         93        2       12
+DN_sky130_fd_sc_hd__o2bb2a_1             0        0         79        2       10
+DN_sky130_fd_sc_hd__a32o_1               0        0        100        2       20
+DN_sky130_fd_sc_hd__a31o_1               0        0         87        2       16
+DN_sky130_fd_sc_hd__o311a_1              0        0        104        2       20
+DN_sky130_fd_sc_hd__o21a_2               0        0         71        2        9
+DN_sky130_fd_sc_hd__and3_1               0        0         94        2       10
+DN_sky130_fd_sc_hd__einvp_2              0        0         80        2       14
+DN_sky130_fd_sc_hd__o22a_1               0        0         79        2       10
+DN_sky130_fd_sc_hd__o22ai_1              0        0         62        2       10
+DN_sky130_fd_sc_hd__and2_1               0        0         64        2       14
+DN_sky130_fd_sc_hd__o32a_1               0        0         94        2       17
+DN_sky130_fd_sc_hd__a22o_1               0        0         82        2       13
+DN_sky130_fd_sc_hd__mux2_1               0        0         85        2       13
+DN_sky130_fd_sc_hd__or3_2                0        0         70        2       12
+DN_sky130_fd_sc_hd__einvp_8              0        0        160        2       21
+DN_sky130_fd_sc_hd__a2bb2o_2             0        0         99        2       17
+DN_sky130_fd_sc_hd__clkinv_8             0        0        116        2       17
+DN_sky130_fd_sc_hd__o221a_2              0        0         97        3       13
+DN_sky130_fd_sc_hd__o221ai_4             0        0        169        2       11
+DN_sky130_fd_sc_hd__o211a_1              0        0         91        2       12
+DN_sky130_fd_sc_hd__a21oi_2              0        0         75        2       10
+DN_sky130_fd_sc_hd__o2111ai_4            0        0        199        2       28
+DN_sky130_fd_sc_hd__nor2_2               0        0         63        2        8
+DN_sky130_fd_sc_hd__and4_1               0        0         85        2       18
+DN_sky130_fd_sc_hd__o221a_1              0        0         96        2       13
+DN_sky130_fd_sc_hd__nand2_2              0        0         67        2       10
+DN_sky130_fd_sc_hd__o2bb2ai_2            0        0        108        2       10
+DN_sky130_fd_sc_hd__a21bo_2              0        0         79        2        9
+DN_sky130_fd_sc_hd__a21oi_1              0        0         61        2       13
+DN_sky130_fd_sc_hd__or3_1                0        0         63        2       12
+DN_sky130_fd_sc_hd__nand2_4              0        0         99        2       13
+DN_sky130_fd_sc_hd__a21oi_4              0        0        106        2        9
+DN_sky130_fd_sc_hd__nor2_8               0        0        141        2        8
+DN_sky130_fd_sc_hd__a2bb2o_1             0        0         91        2       17
+DN_sky130_fd_sc_hd__a21o_1               0        0         81        2       16
+DN_sky130_fd_sc_hd__a311o_1              0        0         85        2       14
+DN_sky130_fd_sc_hd__o211a_4              0        0        113        2       10
+DN_sky130_fd_sc_hd__dfrtp_4              0        0        225       14       12
+DN_sky130_fd_sc_hd__o31a_2               0        0         96        2       18
+DN_sky130_fd_sc_hd__o2bb2a_2             0        0         88        2       10
+DN_sky130_fd_sc_hd__dfrtp_2              0        0        206        6       14
+DN_sky130_fd_sc_hd__nand2_8              0        0        158        2       18
+DN_sky130_fd_sc_hd__clkbuf_4             0        0         63        2       10
+DN_sky130_fd_sc_hd__buf_6                0        0         87        2       12
+DN_sky130_fd_sc_hd__inv_4                0        0         63        2       12
+DN_sky130_fd_sc_hd__a22oi_4              0        0        156        2       10
+DN_sky130_fd_sc_hd__or2_4                0        0         72        2        8
+sealring_slots                           0        0          4        0        0
+sr_polygon00011                          0        0         93        0        0
+sr_polygon00039                          0        0          4        0        0
+sr_polygon00035                          0        0          7        0        0
+sr_polygon00015                          0        0          9        0        0
+nikon_sealring_shape                     7        0         72        0        0
+sr_polygon00019                          0        0         49        0        0
+sr_polygon00023                          0        0         48        0        0
+sr_polygon00027                          0        0         47        0        0
+sr_polygon00031                          0        0         45        0        0
+sr_polygon00036                          0        0          2        0        0
+sr_polygon00032                          0        0          2        0        0
+sr_polygon00016                          0        0          2        0        0
+sr_polygon00020                          0        0          2        0        0
+sr_polygon00024                          0        0          2        0        0
+sr_polygon00028                          0        0          2        0        0
+DN_font_4A                               0        0          6        0        0
+DN_font_75                               0        0          6        0        0
+DN_font_6E                               0        0          6        0        0
+DN_font_65                               0        0         10        0        0
+DN_font_32                               0        0          6        0        0
+DN_font_30                               0        0          5        0        0
+DN_font_31                               0        0          5        0        0
+DN_font_56                               0        0          7        0        0
+DN_font_73                               0        0         12        0        0
+DN_font_62                               0        0         10        0        0
+DN_font_6C                               0        0          2        0        0
+DN_font_66                               0        0          6        0        0
+DN_font_61                               0        0         10        0        0
+DN_font_43                               0        0          8        0        0
+DN_font_29                               0        0          8        0        0
+DN_font_20                               0        0          1        0        0
+DN_font_28                               0        0          8        0        0
+DN_font_76                               0        0          6        0        0
+DN_font_72                               0        0          6        0        0
+DN_font_2D                               0        0          2        0        0
+DN_font_4B                               0        0         10        0        0
+DN_font_44                               0        0          9        0        0
+DN_font_50                               0        0         10        0        0
+DN_font_70                               0        0         10        0        0
+DN_font_6F                               0        0          9        0        0
+DN_font_74                               0        0          4        0        0
+DN_font_57                               0        0          9        0        0
+DN_font_6B                               0        0         10        0        0
+DN_font_79                               0        0         10        0        0
+DN_font_53                               0        0         13        0        0
+DN_font_67                               0        0         13        0        0
+DN_font_47                               0        0         10        0        0
+DN_R2_sky130_fd_sc_hd__decap_3           0        0         31        2        5
+DN_R2_sky130_fd_sc_hd__decap_8           0        0         45        2        5
+DN_R2_sky130_fd_sc_hd__diode_2           0        0         33        4       17
+DN_R2_sky130_fd_sc_hd__fill_2            0        0         13        4        5
+DN_R2_sky130_fd_sc_hd__decap_12          0        0         55        2        5
+DN_R2_sky130_fd_sc_hd__decap_4           0        0         33        2        5
+DN_R2_sky130_fd_sc_hd__tapvpwrvgnd_1
+                                         0        0         22        0        3
+DN_R2_sky130_fd_sc_hd__fill_1            0        0         11        4        5
+DN_R2_sky130_fd_sc_hd__decap_6           0        0         39        2        5
+DN_R2_sky130_fd_sc_hd__conb_1            0        0         36        2       11
+DN_R2_sky130_fd_sc_hd__buf_8             0        0        102        2       13
+DN_R2_sram_1rw1r_32_256_8_sky130         1        0        279        0      128
+DN_R2_sky130_fd_sc_hd__buf_4             0        0         61        2       11
+DN_DN_sky130_fd_sc_hvl__decap_4          0        0         64        0       13
+DN_DN_sky130_fd_sc_hvl__decap_8          0        0         95        0       13
+DN_DN_sky130_fd_sc_hvl__fill_1           0        0         30        0       13
+DN_DN_sky130_fd_sc_hvl__fill_2           0        0         36        0       13
+DN_DN_sky130_fd_sc_hvl__diode_2          0        0         57        0       41
+DN_DN_sky130_fd_sc_hvl__lsbufhv2lv_1
+                                         0        0        258        0       34
+DN_alpha_1                               0        0          5        0        0
+DN_alpha_2                               0        0          6        0        0
+DN_alpha_0                               0        0          5        0        0
+DN_RO_gpio_logic_high                   34        0        154        0        6
+DN_RO_sky130_fd_sc_hd__dfrtp_2           0        0        197        6       14
+DN_RO_sky130_fd_sc_hd__fill_2            0        0         13        4        5
+DN_RO_sky130_fd_sc_hd__buf_1             0        0         43        2       11
+DN_RO_sky130_fd_sc_hd__inv_2             0        0         44        2        9
+DN_RO_sky130_fd_sc_hd__dfstp_2           0        0        186        0       20
+DN_RO_sky130_fd_sc_hd__diode_2           0        0         33        4       17
+DN_RO_sky130_fd_sc_hd__mux2_1            0        0         77        2       13
+DN_RO_sky130_fd_sc_hd__nand2b_2          0        0         79        2       12
+DN_RO_sky130_fd_sc_hd__clkbuf_1          0        0         41        2        9
+DN_RO_sky130_fd_sc_hd__einvp_8           0        0        144        2       21
+DN_RO_sky130_fd_sc_hd__ebufn_2           0        0         84        2       15
+DN_RO_sky130_fd_sc_hd__and2_2            0        0         61        2       14
+DN_RO_sky130_fd_sc_hd__clkbuf_16         0        0        144        0       15
+DN_RO_sky130_fd_sc_hd__dlygate4sd3_1
+                                         0        0         68        2       15
+DN_RO_sky130_fd_sc_hd__or2_2             0        0         51        2        8
+DN_RO_sky130_fd_sc_hd__nor2b_2           0        0         73        2        8
+DN_RO_sky130_fd_sc_hd__buf_2             0        0         50        2       11
+DN_UP_sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
+                                         0        0         94        0        0
+DN_UP_sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ
+                                         0        0        333        0        0
+DN_UP_sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC
+                                         0        0         77        0        0
+DN_UP_sky130_fd_pr__nfet_g5v0d10v5_TGFUGS
+                                         0        0        276        0        0
+DN_UP_sky130_fd_pr__pfet_g5v0d10v5_YEUEBV
+                                         0        0        299        0        0
+DN_UP_sky130_fd_pr__pfet_g5v0d10v5_YUHPBG
+                                         0        0        100        0        0
+DN_UP_sky130_fd_pr__nfet_g5v0d10v5_PKVMTM
+                                         0        0         83        0        0
+DN_UP_sky130_fd_pr__pfet_g5v0d10v5_YUHPXE
+                                         0        0        100        0        0
+DN_UP_sky130_fd_sc_hvl__buf_8            0        0        273        0       18
+DN_UP_sky130_fd_sc_hvl__schmittbuf_1
+                                         0        0        147        0       19
+DN_UP_sky130_fd_sc_hvl__inv_8            0        0        234        0       16
+DN_UP_sky130_fd_sc_hvl__fill_4           0        0         48        0        7
+DN_UP_sky130_fd_pr__res_xhigh_po_0p69_S5N9F3
+                                         0        0       1011        0        0
+DN_UP_sky130_fd_pr__cap_mim_m3_2_W5U4AW
+                                         0        0        312        0        0
+DN_UP_sky130_fd_pr__cap_mim_m3_1_WRT4AW
+                                         0        0        600        0        0
+DN_IH_sky130_fd_sc_hd__dlygate4sd3_1
+                                         0        0         68        2       15
+DN_IH_sky130_fd_sc_hd__dfxtp_2           0        0        149        0       10
+DN_IH_sky130_fd_sc_hd__or2b_2            0        0         65        2        8
+DN_IH_sky130_fd_sc_hd__o211ai_2          0        0         90        2       10
+DN_IH_sky130_fd_sc_hd__a211oi_2          0        0        104        2       21
+DN_IH_sky130_fd_sc_hd__o2111a_2          0        0        101        2       14
+DN_IH_sky130_fd_sc_hd__nor3_2            0        0         82        2        9
+DN_IH_sky130_fd_sc_hd__dfstp_2           0        0        186        0       20
+DN_IH_sky130_fd_sc_hd__mux2_2            0        0         80        2       16
+DN_IH_sky130_fd_sc_hd__and3b_2           0        0         77        0       11
+DN_IH_sky130_fd_sc_hd__a221oi_2          0        0        111        2       13
+DN_IH_sky130_fd_sc_hd__nor4_2            0        0         96        2       10
+DN_IH_sky130_fd_sc_hd__a2111oi_2         0        0         99        2       11
+DN_IH_sky130_fd_sc_hd__or4b_2            0        0         70        2       11
+DN_IH_sky130_fd_sc_hd__or4bb_2           0        0         88        2       10
+DN_IH_sky130_fd_sc_hd__o21ba_2           0        0         75        2        9
+DN_IH_sky130_fd_sc_hd__nand4_2           0        0        107        2       16
+DN_IH_DFFRAM                         49265        0     859527        0       88
+DN_IH_sky130_fd_sc_hd__a2bb2oi_2         0        0        109        2       10
+DN_IH_sky130_fd_sc_hd__a31oi_2           0        0        104        2       23
+DN_IH_sky130_fd_sc_hd__a21boi_2          0        0         80        2        9
+DN_IH_sky130_fd_sc_hd__or3b_2            0        0         65        2        9
+DN_IH_sky130_fd_sc_hd__a211o_2           0        0         83        2       10
+DN_IH_sky130_fd_sc_hd__nand2b_2          0        0         79        2       12
+DN_IH_sky130_fd_sc_hd__o21bai_2          0        0         87        2        9
+DN_IH_sky130_fd_sc_hd__a2111o_2          0        0        103        2       26
+DN_IH_sky130_fd_sc_hd__a41o_2            0        0         96        2       20
+DN_IH_sky130_fd_sc_hd__nor2b_2           0        0         73        2        8
+DN_IH_sky130_fd_sc_hd__o31ai_2           0        0        105        2       20
+DN_IH_sky130_fd_sc_hd__nand4b_2          0        0        121        2       18
+DN_IH_digital_pll                      807        0      10912        0       40
+DN_NK_sky130_fd_sc_hd__inv_8             0        0         86        2       13
+DN_NK_sky130_fd_sc_hd__diode_2           0        0         33        4       17
+DN_NK_sky130_fd_sc_hd__inv_2             0        0         44        2        9
+DN_NK_sky130_fd_sc_hd__and2_1            0        0         54        2       14
+DN_NK_sky130_fd_sc_hd__nand2_4           0        0         90        2       13
+DN_NK_sky130_fd_sc_hd__buf_8             0        0        102        2       13
+DN_NK_sky130_fd_sc_hd__einvp_8           0        0        144        2       21
+DN_NK_mprj2_logic_high                  65        0         56        0        8
+DN_NK_sky130_fd_sc_hd__and2b_1           0        0         61        2       13
+DN_NK_mgmt_protect_hv                    7        0        220        0       36
+DN_NK_mprj_logic_high                  875        0       6175        0      477
+DN_FM_sky130_ef_io__corner_pad           1        0        124        0       62
+DN_FM_sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um
+                                         0        0        825        0       56
+DN_FM_sky130_ef_io__com_bus_slice_10um
+                                         0        0         87        0       56
+DN_FM_sky130_ef_io__vssa_hvc_clamped_pad
+                                         3        0        132        0       63
+DN_FM_sky130_fd_io__top_xres4v2         37        0      63712        0       96
+DN_FM_sky130_ef_io__gpiov2_pad_wrapped
+                                         1        0        328        0       87
+DN_FM_sky130_ef_io__vssd_lvc_clamped_pad
+                                         3        0        132        0       63
+DN_FM_sky130_ef_io__vssio_hvc_clamped_pad
+                                         3        0        133        0       63
+DN_FM_sky130_ef_io__vdda_hvc_clamped_pad
+                                         3        0        130        0       65
+DN_FM_sky130_ef_io__vccd_lvc_clamped_pad
+                                         3        0        132        0       63
+DN_FM_sky130_ef_io__disconnect_vdda_slice_5um
+                                         0        0         65        0       42
+DN_FM_sky130_ef_io__disconnect_vccd_slice_5um
+                                         0        0         75        0       48
+DN_FM_sky130_ef_io__vddio_hvc_clamped_pad
+                                         3        0        130        0       63
+DN_FM_sky130_ef_io__vssd_lvc_clamped2_pad
+                                         3        0        132        0       63
+DN_FM_sky130_ef_io__vccd_lvc_clamped2_pad
+                                         3        0        132        0       63
+DN_FM_sky130_ef_io__analog_pad           1        0        124        0       64
+DN_FM_sky130_ef_io__top_power_hvc
+                                        11        0        174        0       69
+DN_via_new$18                            0        1          0        2        0
+DN_via_new$19                            0        3          0        4        0
+DN_via_new$17                            0        1          0        2        0
+DN_via_new$16                            0        1          0        2        0
+DN_via_new$10                            0        1          0        2        0
+DN_LDO                                   0        0     278624     5821       27
+DN_via_new$15                            0        1          0        2        0
+DN_via_new$14                            0        1          0        2        0
+DN_via_new$12                            0        1          0        2        0
+DN_via_new$13                            0        3          0        4        0
+DN_via_new$8                             0        1          0        2        0
+DN_via_new$4                             0        1          0        2        0
+DN_via_new$11                            0        1          0        2        0
+DN_via_new$9                             0        1          0        2        0
+DN_Bandgap1v8                            0        0      20305      545       12
+DN_Error_amplifier                       0        0     237319     4760        9
+DN_via_new$7                             0        1          0        2        0
+DN_via_new$3                             0        1          0        2        0
+DN_via_new$5                             0        1          0        2        0
+DN_via_new$2                             0        1          0        2        0
+DN_via_new                               0        3          0        4        0
+DN_via_new$1                             0        1          0        2        0
+DN_dpll                              44148        0      32544        0       44
+seal_ring_slots_array                    0        2          0        0        0
+seal_ring_corner                        15        0          2        0        0
+R2_caravel_00020021_fill_pattern_0_0
+                                         0        0     826494        0        0
+R2_caravel_00020021_fill_pattern_1_0
+                                         0        0    1021411        0        0
+R2_caravel_00020021_fill_pattern_0_1
+                                         0        0     967363        0        0
+R2_caravel_00020021_fill_pattern_1_1
+                                         0        0    1133307        0        0
+R2_caravel_00020021_fill_pattern_2_0
+                                         0        0     972072        0        0
+R2_caravel_00020021_fill_pattern_2_1
+                                         0        0     914174        0        0
+R2_caravel_00020021_fill_pattern_3_0
+                                         0        0     996192        0        0
+R2_caravel_00020021_fill_pattern_3_1
+                                         0        0     944471        0        0
+R2_caravel_00020021_fill_pattern_4_0
+                                         0        0    1001883        0        0
+R2_caravel_00020021_fill_pattern_4_1
+                                         0        0    1101080        0        0
+R2_caravel_00020021_fill_pattern_5_0
+                                         0        0     110820        0        0
+R2_caravel_00020021_fill_pattern_5_1
+                                         0        0     129303        0        0
+R2_caravel_00020021_fill_pattern_0_2
+                                         0        0     929627        0        0
+R2_caravel_00020021_fill_pattern_1_2
+                                         0        0     840622        0        0
+R2_caravel_00020021_fill_pattern_2_2
+                                         0        0     840622        0        0
+R2_caravel_00020021_fill_pattern_3_2
+                                         0        0     840622        0        0
+R2_caravel_00020021_fill_pattern_4_2
+                                         0        0     896194        0        0
+R2_caravel_00020021_fill_pattern_5_2
+                                         0        0     128981        0        0
+R2_caravel_00020021_fill_pattern_0_3
+                                         0        0     900924        0        0
+R2_caravel_00020021_fill_pattern_1_3
+                                         0        0     845486        0        0
+R2_caravel_00020021_fill_pattern_2_3
+                                         0        0     845726        0        0
+R2_caravel_00020021_fill_pattern_3_3
+                                         0        0     844589        0        0
+R2_caravel_00020021_fill_pattern_4_3
+                                         0        0     884497        0        0
+R2_caravel_00020021_fill_pattern_5_3
+                                         0        0     121896        0        0
+R2_caravel_00020021_fill_pattern_0_4
+                                         0        0     932394        0        0
+R2_caravel_00020021_fill_pattern_1_4
+                                         0        0     855109        0        0
+R2_caravel_00020021_fill_pattern_2_4
+                                         0        0     917974        0        0
+R2_caravel_00020021_fill_pattern_3_4
+                                         0        0     863759        0        0
+R2_caravel_00020021_fill_pattern_4_4
+                                         0        0     911020        0        0
+R2_caravel_00020021_fill_pattern_5_4
+                                         0        0     128971        0        0
+R2_caravel_00020021_fill_pattern_0_5
+                                         0        0     911133        0        0
+R2_caravel_00020021_fill_pattern_1_5
+                                         0        0     849426        0        0
+R2_caravel_00020021_fill_pattern_2_5
+                                         0        0     878890        0        0
+R2_caravel_00020021_fill_pattern_3_5
+                                         0        0     857407        0        0
+R2_caravel_00020021_fill_pattern_4_5
+                                         0        0     877421        0        0
+R2_caravel_00020021_fill_pattern_5_5
+                                         0        0     116796        0        0
+R2_caravel_00020021_fill_pattern_0_6
+                                         0        0     848657        0        0
+R2_caravel_00020021_fill_pattern_1_6
+                                         0        0     854443        0        0
+R2_caravel_00020021_fill_pattern_2_6
+                                         0        0     841160        0        0
+R2_caravel_00020021_fill_pattern_3_6
+                                         0        0     859287        0        0
+R2_caravel_00020021_fill_pattern_4_6
+                                         0        0     862953        0        0
+R2_caravel_00020021_fill_pattern_5_6
+                                         0        0     117845        0        0
+R2_caravel_00020021_fill_pattern_0_7
+                                         0        0     347386        0        0
+R2_caravel_00020021_fill_pattern_1_7
+                                         0        0     347686        0        0
+R2_caravel_00020021_fill_pattern_2_7
+                                         0        0     338880        0        0
+R2_caravel_00020021_fill_pattern_3_7
+                                         0        0     356906        0        0
+R2_caravel_00020021_fill_pattern_4_7
+                                         0        0     352213        0        0
+R2_caravel_00020021_fill_pattern_5_7
+                                         0        0      40220        0        0
+DN_copyright_block_a                    55        0         12        0        0
+DN_storage                            5859        0      23690        0      200
+DN_sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped
+                                        16        0        106        0       12
+DN_open_source                           0        0        182        0        0
+DN_user_id_textblock                     8        0          4        0        0
+DN_gpio_control_block                  225        0       3218        0       70
+DN_simple_por                           19        0       1898        0        6
+DN_user_id_programming                 113        0        991        0       34
+DN_mgmt_core                        172457        0    1541026        0     1067
+DN_mgmt_protect                      10849        0     105072        0     1172
+DN_chip_io_alt                         790        0       3342        0      559
+DN_caravan_power_routing                 0        0     203644        0       12
+DN_user_analog_project_wrapper          65        0       2092       11     1356
+advSeal_6um_gen                          6        0          0        0        0
+caravel_00020021_fill_pattern           48        0          0        0        0
+caravan                                 39        0      39435        0       79
+caravel_00020021                         3        0          1        0        0
+
+NOTE: UNUSED geometric data is present on the following layer/datatype pairs:
+    LAYER = 11 DATATYPE = 0
+    LAYER = 20 DATATYPE = 0
+    LAYER = 21 DATATYPE = 0
+    LAYER = 22 DATATYPE = 0
+    LAYER = 22 DATATYPE = 21
+    LAYER = 22 DATATYPE = 22
+    LAYER = 22 DATATYPE = 24
+    LAYER = 25 DATATYPE = 0
+    LAYER = 27 DATATYPE = 0
+    LAYER = 30 DATATYPE = 0
+    LAYER = 32 DATATYPE = 0
+    LAYER = 33 DATATYPE = 42
+    LAYER = 33 DATATYPE = 43
+    LAYER = 35 DATATYPE = 0
+    LAYER = 37 DATATYPE = 0
+    LAYER = 39 DATATYPE = 0
+    LAYER = 40 DATATYPE = 0
+    LAYER = 43 DATATYPE = 0
+    LAYER = 44 DATATYPE = 0
+    LAYER = 46 DATATYPE = 0
+    LAYER = 48 DATATYPE = 0
+    LAYER = 49 DATATYPE = 0
+    LAYER = 50 DATATYPE = 0
+    LAYER = 58 DATATYPE = 0
+    LAYER = 61 DATATYPE = 20
+    LAYER = 62 DATATYPE = 24
+    LAYER = 64 DATATYPE = 16
+    LAYER = 65 DATATYPE = 13
+    LAYER = 65 DATATYPE = 14
+    LAYER = 66 DATATYPE = 9
+    LAYER = 66 DATATYPE = 13
+    LAYER = 66 DATATYPE = 14
+    LAYER = 66 DATATYPE = 15
+    LAYER = 67 DATATYPE = 15
+    LAYER = 67 DATATYPE = 16
+    LAYER = 68 DATATYPE = 5
+    LAYER = 68 DATATYPE = 15
+    LAYER = 68 DATATYPE = 16
+    LAYER = 69 DATATYPE = 5
+    LAYER = 69 DATATYPE = 15
+    LAYER = 69 DATATYPE = 16
+    LAYER = 70 DATATYPE = 5
+    LAYER = 70 DATATYPE = 15
+    LAYER = 70 DATATYPE = 16
+    LAYER = 71 DATATYPE = 5
+    LAYER = 71 DATATYPE = 15
+    LAYER = 71 DATATYPE = 16
+    LAYER = 72 DATATYPE = 5
+    LAYER = 72 DATATYPE = 15
+    LAYER = 72 DATATYPE = 16
+    LAYER = 81 DATATYPE = 4
+    LAYER = 81 DATATYPE = 6
+    LAYER = 81 DATATYPE = 8
+    LAYER = 81 DATATYPE = 14
+    LAYER = 81 DATATYPE = 19
+    LAYER = 81 DATATYPE = 20
+    LAYER = 81 DATATYPE = 23
+    LAYER = 81 DATATYPE = 51
+    LAYER = 81 DATATYPE = 52
+    LAYER = 82 DATATYPE = 44
+    LAYER = 83 DATATYPE = 44
+    LAYER = 88 DATATYPE = 0
+    LAYER = 93 DATATYPE = 44
+    LAYER = 94 DATATYPE = 20
+    LAYER = 96 DATATYPE = 0
+    LAYER = 97 DATATYPE = 0
+    LAYER = 98 DATATYPE = 0
+    LAYER = 105 DATATYPE = 52
+    LAYER = 107 DATATYPE = 24
+    LAYER = 112 DATATYPE = 4
+    LAYER = 122 DATATYPE = 16
+    LAYER = 235 DATATYPE = 0
+    LAYER = 235 DATATYPE = 4
+    LAYER = 236 DATATYPE = 0
+
+NOTE: USED geometric data is present on the following layer/datatype pairs:
+    SIMPLE LAYER = 1000
+        LAYER = 64 DATATYPE = 20
+    SIMPLE LAYER = 1001
+        LAYER = 65 DATATYPE = 20
+    SIMPLE LAYER = 1002
+        LAYER = 64 DATATYPE = 18
+    SIMPLE LAYER = 1003
+        LAYER = 65 DATATYPE = 44
+    SIMPLE LAYER = 1004
+        LAYER = 125 DATATYPE = 44
+    SIMPLE LAYER = 1005
+        LAYER = 78 DATATYPE = 44
+    SIMPLE LAYER = 1006
+        LAYER = 75 DATATYPE = 20
+    SIMPLE LAYER = 1008
+        LAYER = 66 DATATYPE = 20
+    SIMPLE LAYER = 1009
+        LAYER = 95 DATATYPE = 20
+    SIMPLE LAYER = 1012
+        LAYER = 66 DATATYPE = 44
+    SIMPLE LAYER = 1013
+        LAYER = 67 DATATYPE = 20
+    SIMPLE LAYER = 1014
+        LAYER = 67 DATATYPE = 44
+    SIMPLE LAYER = 1015
+        LAYER = 68 DATATYPE = 20
+    SIMPLE LAYER = 1016
+        LAYER = 68 DATATYPE = 44
+    SIMPLE LAYER = 1017
+        LAYER = 69 DATATYPE = 20
+    SIMPLE LAYER = 1019
+        LAYER = 69 DATATYPE = 44
+    SIMPLE LAYER = 1020
+        LAYER = 70 DATATYPE = 20
+    SIMPLE LAYER = 1021
+        LAYER = 70 DATATYPE = 44
+    SIMPLE LAYER = 1022
+        LAYER = 71 DATATYPE = 20
+    SIMPLE LAYER = 1023
+        LAYER = 71 DATATYPE = 44
+    SIMPLE LAYER = 1024
+        LAYER = 72 DATATYPE = 20
+    SIMPLE LAYER = 1026
+        LAYER = 76 DATATYPE = 20
+    SIMPLE LAYER = 1028
+        LAYER = 125 DATATYPE = 20
+    SIMPLE LAYER = 1064
+        LAYER = 81 DATATYPE = 1
+    SIMPLE LAYER = 1069
+        LAYER = 81 DATATYPE = 2
+    SIMPLE LAYER = 1194
+        LAYER = 59 DATATYPE = 0
+    SIMPLE LAYER = 1196
+        LAYER = 51 DATATYPE = 0
+    SIMPLE LAYER = 1198
+        LAYER = 34 DATATYPE = 0
+    SIMPLE LAYER = 1200
+        LAYER = 41 DATATYPE = 0
+    SIMPLE LAYER = 1202
+        LAYER = 36 DATATYPE = 0
+    SIMPLE LAYER = 1204
+        LAYER = 56 DATATYPE = 0
+    SIMPLE LAYER = 1212
+        LAYER = 28 DATATYPE = 0
+    SIMPLE LAYER = 1221
+        LAYER = 23 DATATYPE = 0
+    SIMPLE LAYER = 1229
+        LAYER = 89 DATATYPE = 44
+    SIMPLE LAYER = 1230
+        LAYER = 97 DATATYPE = 44
+    SIMPLE LAYER = 1300
+        LAYER = 79 DATATYPE = 20
+    SIMPLE LAYER = 1417
+        LAYER = 23 DATATYPE = 28
+    SIMPLE LAYER = 1418
+        LAYER = 28 DATATYPE = 28
+    SIMPLE LAYER = 1419
+        LAYER = 56 DATATYPE = 28
+    SIMPLE LAYER = 1420
+        LAYER = 36 DATATYPE = 28
+    SIMPLE LAYER = 1421
+        LAYER = 41 DATATYPE = 28
+    SIMPLE LAYER = 1422
+        LAYER = 34 DATATYPE = 28
+    SIMPLE LAYER = 1423
+        LAYER = 51 DATATYPE = 28
+    SIMPLE LAYER = 1424
+        LAYER = 59 DATATYPE = 28
+
+NOTE: The following required simple layers are EMPTY:
+    1007
+    1032
+    1035
+    1036
+    1037
+    1062
+
+NOTE: The following simple layers which are LAYOUT BASE LAYERs are EMPTY:
+    1054
+    1055
+    1056
+    1057
+    1058
+    1059
+    1060
+    1061
+    1087
+    1088
+
+--- LAYOUT DATABASE CONSTRUCTOR COMPLETED.  CPU TIME = 12  REAL TIME = 13  LVHEAP = 93/94/95
+
+CONSTRUCTING HIERARCHICAL DATABASE
+    COPYING LAYOUT DATABASE
+    COPY COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/97/98
+    (P=465496 A=30(53348) AX=3(152) AY=4(275) D=13)
+    LITHO HEURISTICS OFF
+    MDP HEURISTICS OFF
+    CLONE STATE: LCTP(Y/N/U) = U LCRP(Y/N/U) = U LITHO(0/1/2) = 0 DFM(0/1/2) = 0
+    EXECUTING EXTENT CELL OPERATIONS
+        EXTENT CELL s8fs_cmux4_fm ORIGINAL
+
+WARNING: Cell name parameter s8fs_cmux4_fm for EXTENT CELL operation not located.
+
+    EXTENT CELL OPERATIONS COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/97/98
+    PROCESSING TEXT
+    ELIMINATING DUPLICATE TEXT
+    DUPLICATE TEXT ELIMINATION COMPLETE (0 -> 0 = 0). CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/97/98
+    ELIMINATING EMPTY CELLS
+        DN_FM_sky130_fd_pr__gendlring__example_559591418081
+        DN_font_20
+        sr_polygon00032
+        sr_polygon00006
+        sr_polygon00005
+        sr_polygon00004
+        sr_polygon00003
+        sr_polygon00002
+        sr_polygon00001
+        sr_polygon00007
+        sr_polygon00015
+        sr_polygon00035
+        sr_polygon00011
+        seal_ring_slots_array
+        sealring_slots
+    EMPTY CELL ELIMINATION COMPLETE CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/97/98
+    COMPUTING RECTANGULAR EXTENTS
+    RECTANGULAR EXTENTS COMPLETE. CPU TIME = 3  REAL TIME = 3  LVHEAP = 93/97/98
+    IDENTIFYING TOP LAYER CELLS
+        DN_via2
+        DN_via_new$18
+        DN_via4
+        DN_via3
+        DN_via2$1
+        DN_via_new$19
+        DN_via2$2
+        DN_via_new$17
+        DN_via2$3
+        DN_via_new$16
+        DN_via3$1
+        DN_via_new$10
+        DN_via2$4
+        DN_via_new$15
+        DN_via2$5
+        DN_via_new$14
+        DN_via2$6
+        DN_via_new$12
+        DN_via4$1
+        DN_via3$2
+        DN_via2$7
+        DN_via_new$13
+        DN_via2$10
+        DN_via_new$8
+        DN_via2$12
+        DN_via_new$4
+        DN_via2$8
+        DN_via_new$11
+        DN_via2$9
+        DN_via_new$9
+        DN_via2$11
+        DN_via_new$7
+        DN_via2$13
+        DN_via_new$3
+        DN_via2$14
+        DN_via_new$5
+        DN_via2$16
+        DN_via_new$2
+        DN_via4$2
+        DN_via3$3
+        DN_via2$15
+        DN_via_new
+        DN_via4$3
+        DN_via_new$1
+        DN_FM_sky130_ef_io__com_bus_slice_1um
+        DN_FM_sky130_ef_io__com_bus_slice_5um
+        DN_FM_sky130_ef_io__com_bus_slice_10um
+        DN_FM_sky130_ef_io__com_bus_slice_20um
+        DN_FM_sky130_ef_io__hvc_vdda_overlay
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808660
+        DN_FM_sky130_fd_pr__via_l1m1__example_559591418084
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808661
+        DN_FM_sky130_fd_io__com_bus_slice
+        DN_FM_sky130_fd_io__com_bus_hookup
+        DN_FM_sky130_fd_pr__genrivetdlring__example_559591418082
+        DN_FM_sky130_fd_pr__padplhp__example_559591418080
+        DN_FM_sky130_fd_io__pad_esd
+        DN_FM_sky130_fd_io__com_busses_esd
+        DN_FM_sky130_fd_io__overlay_vdda_hvc
+        DN_FM_sky130_ef_io__disconnect_vccd_slice_5um
+        DN_FM_sky130_ef_io__disconnect_vdda_slice_5um
+        DN_FM_sky130_fd_io__top_gpio_pad
+        DN_FM_sky130_fd_io__com_bus_slice_m4
+        DN_FM_sky130_fd_io__overlay_gpiov2_m4
+        DN_FM_sky130_fd_io__overlay_gpiov2
+        DN_FM_sky130_fd_pr__via_l1m1__example_5595914180832
+        DN_FM_sky130_fd_pr__via_l1m1__example_5595914180858
+        DN_FM_sky130_fd_io__tk_em1o_cdns_5595914180860
+        DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180859
+        DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180852
+        DN_FM_sky130_fd_io__tk_em2s_cdns_55959141808652
+        DN_FM_sky130_fd_io__tk_em2o_cdns_55959141808653
+        DN_FM_sky130_fd_pr__via_l1m1_centered__example_559591418086
+        DN_FM_sky130_fd_pr__via_l1m1_centered__example_559591418084
+        DN_FM_sky130_fd_pr__via_l1m1_centered__example_559591418085
+        DN_FM_sky130_fd_pr__via_l1m1_centered__example_559591418083
+        DN_FM_sky130_fd_pr__via_l1m1_centered__example_559591418082
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808267
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808266
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808264
+        DN_FM_sky130_fd_pr__via_l1m1__example_5595914180897
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808127
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808260
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808128
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808271
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808270
+        DN_FM_sky130_fd_pr__via_l1m1__example_5595914180878
+        DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808289
+        DN_FM_sky130_fd_io__tk_em1o_cdns_5595914180880
+        DN_FM_sky130_fd_io__tk_em1s_cdns_55959141808288
+        DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180882
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808261
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808292
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808291
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808290
+        DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180881
+        DN_FM_sky130_fd_io__tk_em1s_cdns_55959141808301
+        DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808302
+        DN_FM_sky130_fd_pr__via_l1m1__example_5595914180857
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808293
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808276
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808324
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808323
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808326
+        DN_FM_sky130_fd_io__tk_em1o_cdns_5595914180879
+        DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808327
+        DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808328
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808325
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808372
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808350
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808368
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808269
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808399
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808402
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808401
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808400
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808440
+        DN_FM_sky130_fd_io__tk_em2s_cdns_55959141808438
+        DN_FM_sky130_fd_io__tk_em2o_cdns_55959141808439
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808157
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808156
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808155
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808154
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808153
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808152
+        DN_FM_sky130_ef_io__connect_vcchib_vccd_and_vswitch_vddio_slice_20um
+        DN_FM_sky130_ef_io__hvc_vssio_overlay
+        DN_FM_sky130_fd_io__overlay_vssio_hvc
+        DN_FM_sky130_fd_io__corner_bus_overlay
+        DN_FM_sky130_ef_io__corner_pad
+        DN_FM_sky130_ef_io__lvc_vccd_overlay
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808684
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808683
+        DN_FM_sky130_fd_io__gnd2gnd_strap
+        DN_FM_sky130_fd_io__overlay_vssd_lvc
+        DN_FM_sky130_fd_io__overlay_vssa_hvc
+        DN_FM_sky130_ef_io__lvc_vccdx_overlay
+        DN_FM_sky130_ef_io__hvc_vddio_overlay
+        DN_FM_sky130_fd_io__overlay_vddio_hvc
+        DN_FM_sky130_fd_io__overlay_vccd_lvc
+        DN_FM_sky130_fd_pr__via_m2m3__example_55959141808714
+        DN_FM_sky130_fd_io__com_busses
+        DN_FM_sky130_fd_pr__via_l1m1_centered__example_5595914180812
+        DN_FM_sky130_fd_pr__via_l1m1_centered__example_5595914180811
+        DN_FM_sky130_fd_io__xres_tk_p_em1o_cdns_55959141808757
+        DN_FM_sky130_fd_io__xres_tk_p_em1c_cdns_55959141808761
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808740
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808750
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808748
+        DN_FM_sky130_fd_io__xres_p_em1c_cdns_55959141808753
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808749
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808742
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808730
+        DN_FM_sky130_fd_io__xres_tk_p_em1c_cdns_55959141808759
+        DN_FM_sky130_fd_io__xres_tk_p_em1o_cdns_55959141808758
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808553
+        DN_FM_sky130_fd_io__xres_tk_p_em1o_cdns_55959141808756
+        DN_FM_sky130_fd_io__xres_tk_p_em1c_cdns_55959141808760
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808735
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808259
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808752
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808751
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808739
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808746
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808737
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808747
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808738
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808733
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808734
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808741
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808745
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808744
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808743
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808736
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808732
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808731
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808728
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808727
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808726
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808554
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808725
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808724
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808552
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808551
+        DN_UP_sky130_fd_pr__cap_mim_m3_1_WRT4AW
+        DN_UP_sky130_fd_pr__cap_mim_m3_2_W5U4AW
+        DN_R2_contact_34
+        DN_R2_contact_9
+        DN_R2_contact_8
+        DN_R2_contact_33
+        DN_R2_contact_32
+        DN_R2_contact_7
+        DN_R2_cr_3
+        DN_R2_cr_2
+        DN_R2_contact_28
+        DN_R2_contact_29
+        DN_R2_cr_0
+        DN_R2_cr_1
+        DN_R2_contact_27
+        DN_R2_contact_26
+        DN_R2_contact_22
+        DN_R2_contact_21
+        DN_R2_contact_20
+        DN_R2_contact_15
+        DN_R2_contact_14
+        DN_R2_contact_16
+        DN_R2_sky130_fd_bd_sram__openram_dp_cell_cap_col
+        DN_R2_col_cap_array
+        DN_caravan_power_routing
+        DN_alpha_0
+        DN_alpha_2
+        DN_alpha_1
+        DN_user_id_textblock
+        DN_open_source
+        DN_font_47
+        DN_font_6F
+        DN_font_67
+        DN_font_65
+        DN_font_6C
+        DN_font_53
+        DN_font_79
+        DN_font_6B
+        DN_font_57
+        DN_font_74
+        DN_font_61
+        DN_font_72
+        DN_font_70
+        DN_font_6E
+        DN_font_50
+        DN_font_44
+        DN_font_4B
+        DN_font_2D
+        DN_font_43
+        DN_font_76
+        DN_font_31
+        DN_font_28
+        DN_font_29
+        DN_font_66
+        DN_font_62
+        DN_font_73
+        DN_font_56
+        DN_font_32
+        DN_font_30
+        DN_font_75
+        DN_font_4A
+        DN_copyright_block_a
+        R2_caravel_00020021_fill_pattern_5_7
+        R2_caravel_00020021_fill_pattern_4_7
+        R2_caravel_00020021_fill_pattern_3_7
+        R2_caravel_00020021_fill_pattern_2_7
+        R2_caravel_00020021_fill_pattern_1_7
+        R2_caravel_00020021_fill_pattern_0_7
+        R2_caravel_00020021_fill_pattern_5_6
+        R2_caravel_00020021_fill_pattern_4_6
+        R2_caravel_00020021_fill_pattern_3_6
+        R2_caravel_00020021_fill_pattern_2_6
+        R2_caravel_00020021_fill_pattern_1_6
+        R2_caravel_00020021_fill_pattern_0_6
+        R2_caravel_00020021_fill_pattern_5_5
+        R2_caravel_00020021_fill_pattern_4_5
+        R2_caravel_00020021_fill_pattern_3_5
+        R2_caravel_00020021_fill_pattern_2_5
+        R2_caravel_00020021_fill_pattern_1_5
+        R2_caravel_00020021_fill_pattern_0_5
+        R2_caravel_00020021_fill_pattern_5_4
+        R2_caravel_00020021_fill_pattern_4_4
+        R2_caravel_00020021_fill_pattern_3_4
+        R2_caravel_00020021_fill_pattern_2_4
+        R2_caravel_00020021_fill_pattern_1_4
+        R2_caravel_00020021_fill_pattern_0_4
+        R2_caravel_00020021_fill_pattern_5_3
+        R2_caravel_00020021_fill_pattern_4_3
+        R2_caravel_00020021_fill_pattern_3_3
+        R2_caravel_00020021_fill_pattern_2_3
+        R2_caravel_00020021_fill_pattern_1_3
+        R2_caravel_00020021_fill_pattern_0_3
+        R2_caravel_00020021_fill_pattern_5_2
+        R2_caravel_00020021_fill_pattern_4_2
+        R2_caravel_00020021_fill_pattern_3_2
+        R2_caravel_00020021_fill_pattern_2_2
+        R2_caravel_00020021_fill_pattern_1_2
+        R2_caravel_00020021_fill_pattern_0_2
+        R2_caravel_00020021_fill_pattern_5_1
+        R2_caravel_00020021_fill_pattern_5_0
+        R2_caravel_00020021_fill_pattern_4_1
+        R2_caravel_00020021_fill_pattern_4_0
+        R2_caravel_00020021_fill_pattern_3_1
+        R2_caravel_00020021_fill_pattern_3_0
+        R2_caravel_00020021_fill_pattern_2_1
+        R2_caravel_00020021_fill_pattern_2_0
+        R2_caravel_00020021_fill_pattern_1_1
+        R2_caravel_00020021_fill_pattern_0_1
+        R2_caravel_00020021_fill_pattern_1_0
+        R2_caravel_00020021_fill_pattern_0_0
+        caravel_00020021_fill_pattern
+        sr_polygon00036
+        nikon_sealring_shape
+        sr_polygon00039
+        sr_polygon00028 (ADDITIONAL 2 2)
+        sr_polygon00024 (ADDITIONAL 2 2)
+        sr_polygon00020 (ADDITIONAL 2 2)
+        sr_polygon00016 (ADDITIONAL 2 2)
+        sr_polygon00031 (ADDITIONAL 45 45)
+        sr_polygon00027 (ADDITIONAL 47 47)
+        sr_polygon00023 (ADDITIONAL 48 48)
+        sr_polygon00019 (ADDITIONAL 49 49)
+        seal_ring_corner (ADDITIONAL 197 227)
+        advSeal_6um_gen (ADDITIONAL 788 908)
+    TOP LAYER CELL IDENTIFICATION COMPLETE CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/97/98
+    IDENTIFYING VERY SMALL CELLS
+        DN_via2
+        DN_via4
+        DN_via3
+        DN_via2$1
+        DN_via2$2
+        DN_via2$3
+        DN_via3$1
+        DN_via2$4
+        DN_via2$5
+        DN_via2$6
+        DN_via4$1
+        DN_via3$2
+        DN_via2$7
+        DN_via2$10
+        DN_via2$12
+        DN_via_new$4
+        DN_via2$8
+        DN_via2$9
+        DN_via2$11
+        DN_via_new$7
+        DN_via2$13
+        DN_via_new$3
+        DN_via2$14
+        DN_via_new$5
+        DN_via2$16
+        DN_via4$2
+        DN_via3$3
+        DN_via2$15
+        DN_via4$3
+        DN_sky130_fd_sc_hd__fill_1
+        DN_FM_sky130_fd_pr__via_l1m1__example_559591418084
+        DN_FM_sky130_fd_pr__via_pol1__example_5595914180839
+        DN_FM_sky130_fd_pr__via_l1m1__example_5595914180858
+        DN_FM_sky130_fd_pr__via_pol1__example_5595914180833
+        DN_FM_sky130_fd_pr__res_generic_po__example_5595914180864
+        DN_FM_sky130_fd_io__tk_em1o_cdns_5595914180860
+        DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180859
+        DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180852
+        DN_FM_sky130_fd_pr__via_pol1_centered__example_559591418081
+        DN_FM_sky130_fd_io__tk_em2s_cdns_55959141808652
+        DN_FM_sky130_fd_io__tk_em2o_cdns_55959141808653
+        DN_FM_sky130_fd_pr__via_l1m1_centered__example_559591418086
+        DN_FM_sky130_fd_pr__via_l1m1_centered__example_559591418084
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808264
+        DN_FM_sky130_fd_pr__via_l1m1__example_5595914180897
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808127
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808260
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808298
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808128
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808274
+        DN_FM_sky130_fd_pr__via_pol1__example_559591418083
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808271
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808270
+        DN_FM_sky130_fd_pr__via_l1m1__example_5595914180878
+        DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808100
+        DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808289
+        DN_FM_sky130_fd_io__tk_em1o_cdns_5595914180880
+        DN_FM_sky130_fd_io__tk_em1s_cdns_55959141808288
+        DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180882
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808261
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808291
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808290
+        DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180881
+        DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808137
+        DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808316
+        DN_FM_sky130_fd_io__tk_em1s_cdns_55959141808301
+        DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808302
+        DN_FM_sky130_fd_pr__via_l1m1__example_5595914180857
+        DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808122
+        DN_FM_sky130_fd_pr__hvdfl1sd__example_5595914180894
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808276
+        DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808140
+        DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808370
+        DN_FM_sky130_fd_pr__dfl1sd__example_559591418086
+        DN_FM_sky130_fd_pr__dfl1sd__example_559591418088
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808323
+        DN_FM_sky130_fd_io__tk_em1o_cdns_5595914180879
+        DN_FM_sky130_fd_pr__dfl1sd__example_5595914180868
+        DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808327
+        DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808328
+        DN_FM_sky130_fd_pr__dfl1sd__example_55959141808123
+        DN_FM_sky130_fd_pr__dfl1sd__example_55959141808106
+        DN_FM_sky130_fd_pr__dfl1sd2__example_5595914180875
+        DN_FM_sky130_fd_pr__dfl1sd2__example_55959141808633
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808372
+        DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808434
+        DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808425
+        DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808280
+        DN_FM_sky130_fd_pr__dfl1sd2__example_5595914180816
+        DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808385
+        DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808418
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808350
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808396
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808395
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808402
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808401
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808373
+        DN_FM_sky130_fd_pr__dfl1sd__example_5595914180815
+        DN_FM_sky130_fd_pr__dfl1sd__example_55959141808510
+        DN_FM_sky130_fd_pr__dfl1sd__example_5595914180823
+        DN_FM_sky130_fd_io__tk_em2s_cdns_55959141808438
+        DN_FM_sky130_fd_io__tk_em2o_cdns_55959141808439
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808612
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808157
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808156
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808152
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808147
+        DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808488
+        DN_FM_sky130_fd_pr__hvdfl1sd__example_55959141808476
+        DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808452
+        DN_FM_sky130_fd_pr__hvdfm1sd2__example_55959141808449
+        DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808200
+        DN_FM_sky130_fd_pr__dfm1sd__example_55959141808258
+        DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808581
+        DN_FM_sky130_fd_pr__dfl1sd2__example_5595914180869
+        DN_FM_sky130_fd_pr__via_m2m3__example_55959141808714
+        DN_FM_sky130_fd_pr__hvdfm1sd2__example_55959141808717
+        DN_FM_sky130_fd_pr__dfl1__example_55959141808187
+        DN_FM_sky130_fd_pr__dfl1__example_55959141808729
+        DN_FM_sky130_fd_io__xres_tk_p_em1o_cdns_55959141808757
+        DN_FM_sky130_fd_io__xres_tk_p_em1c_cdns_55959141808761
+        DN_FM_sky130_fd_io__xres_p_em1c_cdns_55959141808753
+        DN_FM_sky130_fd_io__xres_tk_p_em1c_cdns_55959141808759
+        DN_FM_sky130_fd_io__xres_tk_p_em1o_cdns_55959141808758
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808553
+        DN_FM_sky130_fd_io__xres_tk_p_em1o_cdns_55959141808756
+        DN_FM_sky130_fd_io__xres_tk_p_em1c_cdns_55959141808760
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808735
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808259
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808739
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808734
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808728
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808552
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808551
+        DN_FM_sky130_fd_pr__hvdfm1sd2__example_5595914180890
+        DN_RO_sky130_fd_sc_hd__fill_1
+        DN_NK_sky130_fd_sc_hd__fill_1
+        DN_TD_sky130_fd_sc_hd__fill_1
+        DN_R2_sky130_fd_sc_hd__fill_1
+        DN_R2_contact_34
+        DN_R2_contact_9
+        DN_R2_contact_8
+        DN_R2_contact_33
+        DN_R2_contact_32
+        DN_R2_contact_7
+        DN_R2_contact_12
+        DN_R2_contact_24
+        DN_R2_contact_23
+        DN_R2_contact_17
+        DN_R2_contact_11
+        DN_R2_contact_28
+        DN_R2_contact_29
+        DN_R2_contact_18
+        DN_R2_contact_13
+        DN_R2_contact_27
+        DN_R2_contact_26
+        DN_R2_contact_22
+        DN_R2_contact_21
+        DN_R2_contact_20
+        DN_R2_contact_19
+        DN_R2_contact_15
+        DN_R2_contact_14
+        DN_R2_contact_16
+        DN_font_6F
+        DN_font_72
+        DN_font_6E
+        DN_font_2D
+        DN_font_76
+        DN_font_75
+    VERY SMALL CELL IDENTIFICATION COMPLETE CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/97/98
+    CHECKING ACUTE/SKEW/ANGLED/OFFGRID
+    REMOVING EXCLUSIVE INSIDE/EXTENT CELL INPUT LAYERS
+    ELIMINATING DUPLICATE PLACEMENTS
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,3.765)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,7.715)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,11.665)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,15.615)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,19.565)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,23.515)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,27.465)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,31.415)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,35.365)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,39.315)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,43.265)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,47.215)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,51.165)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,55.115)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,59.065)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,63.015)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,66.965)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,70.915)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,74.865)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,78.815)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,82.765)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,86.715)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,90.665)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,94.615)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,98.565)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,102.515)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,106.465)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,110.415)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,114.365)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,118.315)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,122.265)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,126.215)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,134.115)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,138.065)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,142.015)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,145.965)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,149.915)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,153.865)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,157.815)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,161.765)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,165.715)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,169.665)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,173.615)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,177.565)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,181.515)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,185.465)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,189.415)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,193.365)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,197.315)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,201.265)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,205.215)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,209.165)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,213.115)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,217.065)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,221.015)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,224.965)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,228.915)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,232.865)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,236.815)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,240.765)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,244.715)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,248.665)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,252.615)
+        DN_R2_contact_9 in DN_R2_row_cap_array at (1.035,256.565)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,3.765)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,7.715)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,11.665)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,15.615)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,19.565)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,23.515)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,27.465)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,31.415)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,35.365)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,39.315)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,43.265)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,47.215)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,51.165)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,55.115)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,59.065)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,63.015)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,66.965)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,70.915)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,74.865)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,78.815)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,82.765)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,86.715)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,90.665)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,94.615)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,98.565)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,102.515)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,106.465)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,110.415)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,114.365)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,118.315)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,122.265)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,126.215)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,134.115)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,138.065)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,142.015)
+        DN_R2_contact_9 in DN_R2_row_cap_array_0 at (1.755,145.965)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,2.075)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,4.155)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,6.025)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,8.105)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,9.975)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,12.055)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,13.925)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,16.005)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,17.875)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,19.955)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,21.825)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,23.905)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,25.775)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,27.855)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,29.725)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,31.805)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,33.675)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,35.755)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,37.625)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,39.705)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,41.575)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,43.655)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,45.525)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,47.605)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,49.475)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,51.555)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,53.425)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,55.505)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,57.375)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,59.455)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,61.325)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,63.405)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,65.275)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,67.355)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,69.225)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,71.305)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,73.175)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,75.255)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,77.125)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,79.205)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,81.075)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,83.155)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,85.025)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,87.105)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,88.975)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,91.055)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,92.925)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,95.005)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,96.875)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,98.955)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,100.825)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,102.905)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,104.775)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,106.855)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,108.725)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,110.805)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,112.675)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,114.755)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,116.625)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,118.705)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,120.575)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,122.655)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,124.525)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,126.605)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,128.475)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,130.555)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,132.425)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,134.505)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,136.375)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,138.455)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,140.325)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,144.275)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,146.355)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,148.225)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,150.305)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,152.175)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,154.255)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,156.125)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,158.205)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,160.075)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,162.155)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,164.025)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,166.105)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,167.975)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,170.055)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,171.925)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,174.005)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,175.875)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,177.955)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,179.825)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,181.905)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,183.775)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,185.855)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,187.725)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,189.805)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,191.675)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,193.755)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,195.625)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,197.705)
+        DN_R2_contact_8 in DN_R2_hierarchical_decoder at (28.965,199.575)
+        DN_R2_contact_7 in DN_R2_control_logic_rw at (16.205,17.43)
+        DN_R2_contact_7 in DN_R2_control_logic_rw at (16.32,11.385)
+        DN_R2_contact_7 in DN_R2_control_logic_rw at (21.315,3.37)
+        DN_R2_contact_7 in DN_R2_control_logic_rw at (21.625,6.905)
+        DN_R2_contact_7 in DN_R2_control_logic_rw at (21.625,13.975)
+        DN_R2_contact_7 in DN_R2_control_logic_rw at (21.625,21.045)
+        DN_R2_contact_7 in DN_R2_control_logic_rw at (21.625,28.115)
+        DN_R2_contact_7 in DN_R2_control_logic_rw at (21.625,35.185)
+        DN_R2_contact_7 in DN_R2_control_logic_rw at (21.625,42.255)
+        DN_R2_contact_7 in DN_R2_control_logic_rw at (21.625,49.325)
+        DN_R2_contact_7 in DN_R2_delay_chain at (0.26,2.445)
+        DN_R2_contact_7 in DN_R2_delay_chain at (3.135,5.435)
+        DN_R2_contact_7 in DN_R2_delay_chain at (3.135,11.035)
+        DN_R2_contact_7 in DN_R2_delay_chain at (3.135,16.635)
+        DN_R2_contact_7 in DN_R2_delay_chain at (3.135,22.235)
+        DN_R2_contact_7 in DN_R2_delay_chain at (3.135,27.835)
+        DN_R2_contact_7 in DN_R2_delay_chain at (3.135,33.435)
+        DN_R2_contact_7 in DN_R2_delay_chain at (3.135,39.035)
+        DN_R2_contact_7 in DN_R2_delay_chain at (3.135,44.635)
+        DN_R2_contact_7 in DN_R2_delay_chain at (6.815,5.435)
+        DN_R2_contact_7 in DN_R2_delay_chain at (6.815,11.035)
+        DN_R2_contact_7 in DN_R2_delay_chain at (6.815,16.635)
+        DN_R2_contact_7 in DN_R2_delay_chain at (6.815,22.235)
+        DN_R2_contact_7 in DN_R2_delay_chain at (6.815,27.835)
+        DN_R2_contact_7 in DN_R2_delay_chain at (6.815,33.435)
+        DN_R2_contact_7 in DN_R2_delay_chain at (6.815,39.035)
+        DN_R2_contact_7 in DN_R2_delay_chain at (6.815,44.635)
+        DN_R2_contact_7 in DN_R2_delay_chain at (7.62,47.245)
+        DN_R2_contact_7 in DN_R2_dff_buf_array at (-0.145,6.905)
+        DN_R2_contact_7 in DN_R2_row_addr_dff at (2.775,6.905)
+        DN_R2_contact_7 in DN_R2_row_addr_dff at (2.775,13.975)
+        DN_R2_contact_7 in DN_R2_row_addr_dff at (2.775,21.045)
+        DN_R2_contact_7 in DN_R2_row_addr_dff at (2.775,28.115)
+        DN_R2_contact_7 in DN_R2_row_addr_dff at (2.775,35.185)
+        DN_R2_contact_7 in DN_R2_row_addr_dff at (2.775,42.255)
+        598 additional placements of DN_R2_contact_8
+        719 additional placements of DN_R2_contact_9
+    DUPLICATE PLACEMENT ELIMINATION COMPLETE (465415 -> 463863 = 1552). CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/97/98
+    FLATTENING SELECTED LAYERS
+    EXPANDING UNIQUE ICV PLACEMENTS
+    COMPUTING RECTILINEAR EXTENTS
+    RECTILINEAR EXTENTS COMPLETE. CPU TIME = 5  REAL TIME = 5  LVHEAP = 93/97/98
+    SORTING PLACEMENTS VERTICALLY
+    SORT COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/97/98
+    ELIMINATING DUPLICATE PLACEMENTS
+    DUPLICATE PLACEMENT ELIMINATION COMPLETE (463863 -> 463863 = 0). CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/97/98
+    EXPANDING UNIQUE TRANSPARENT CELL PLACEMENTS
+        DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808680 in DN_FM_sky130_fd_io__esd_rcclamp_nfetcap at (0.45,0.45)
+        DN_FM_sky130_fd_io__hvc_clampv2 in DN_FM_sky130_fd_io__top_power_hvc_wpadv2 at (0,0)
+        DN_FM_sky130_fd_io__res250_sub_small in DN_FM_sky130_fd_io__res250only_small at (0,0)
+        DN_FM_sky130_fd_io__com_res_weak_bentbigres in DN_FM_sky130_fd_io__com_res_weak at (-0.79,5.07)
+        DN_FM_sky130_fd_io__gpio_odrvr_subv2 in DN_FM_sky130_fd_io__gpio_odrvrv2 at (-4.99,-68.065)
+        DN_FM_sky130_fd_io__top_gpiov2 in DN_FM_sky130_ef_io__gpiov2_pad at (-0.715,-2.035)
+        DN_FM_sky130_ef_io__gpiov2_pad in DN_FM_sky130_ef_io__gpiov2_pad_wrapped at (-0.715,10.965)
+        DN_FM_sky130_fd_io__simple_pad_and_busses in DN_FM_sky130_ef_io__analog_pad at (0,0)
+        DN_R2_pinv_3 in DN_R2_pdriver_0 at (-0.18,-0.085)
+        DN_R2_pinv_16 in DN_R2_pdriver_3 at (-0.18,-0.085)
+        DN_R2_pinv_17 in DN_R2_pdriver_4 at (-0.18,-0.085)
+        DN_R2_pinv in DN_R2_pdriver at (-0.18,-0.085)
+        DN_R2_pk_sram_1rw1r_32_256_8_sky130 in DN_R2_sram_1rw1r_32_256_8_sky130 at (5,5)
+        caravan in caravel_00020021 at (6,6)
+    EXPAND COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/97/98
+    EXPANDING UNIQUE LIGHT-WEIGHT CELL PLACEMENTS
+        sr_polygon00028 in seal_ring_corner at (3.25,11.845)
+        sr_polygon00031 in seal_ring_corner at (3.25,3.25)
+        sr_polygon00024 in seal_ring_corner at (2.65,11.595)
+        sr_polygon00027 in seal_ring_corner at (2.65,2.65)
+        sr_polygon00020 in seal_ring_corner at (2.05,11.345)
+        sr_polygon00023 in seal_ring_corner at (2.05,2.05)
+        nikon_sealring_shape in seal_ring_corner at (1.8,1.8)
+        sr_polygon00016 in seal_ring_corner at (1.45,11.095)
+        sr_polygon00019 in seal_ring_corner at (1.45,1.45)
+        sr_polygon00039 in seal_ring_corner at (0,0)
+        sr_polygon00036 in seal_ring_corner at (0,0)
+        DN_FM_sky130_fd_pr__genrivetdlring__example_559591418082 in DN_FM_sky130_fd_pr__padplhp__example_559591418080 at (-2.39,-2.39)
+        DN_FM_sky130_fd_io__pad_esd in DN_FM_sky130_fd_io__com_busses_esd at (4.8,94.955)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808683 in DN_FM_sky130_fd_io__gnd2gnd_strap at (0.245,0.665)
+        DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808581 in DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808580 at (-0.445,-0.18)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808496 in DN_FM_sky130_fd_io__gpiov2_amux_drvr_ls at (6.195,0.61)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808582 in DN_FM_sky130_fd_io__gpiov2_amux_drvr_ls at (4.205,2.74)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808497 in DN_FM_sky130_fd_io__gpiov2_amux_drvr_ls at (3.245,0.61)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808575 in DN_FM_sky130_fd_io__amx_inv1 at (0.15,0)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808574 in DN_FM_sky130_fd_io__amx_inv1 at (0,1.58)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808571 in DN_FM_sky130_fd_io__gpiov2_amx_inv4 at (0.15,0)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808441 in DN_FM_sky130_fd_io__gpiov2_amx_inv4 at (0,1.42)
+        DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808422 in DN_FM_sky130_fd_io__hvsbt_inv_x2 at (0.15,0.54)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808565 in DN_FM_sky130_fd_io__gpiov2_amx_pucsd_inv at (0.155,0.045)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808566 in DN_FM_sky130_fd_io__gpiov2_amx_pucsd_inv at (0.005,1.465)
+        DN_FM_sky130_fd_io__gpiov2_amx_pucsd_inv in DN_FM_sky130_fd_io__gpiov2_amux_drvr at (115.95,-63.23)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808576 in DN_FM_sky130_fd_io__gpiov2_amux_drvr at (99.27,-47.725)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808572 in DN_FM_sky130_fd_io__gpiov2_amux_drvr at (90.97,-52.98)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808573 in DN_FM_sky130_fd_io__gpiov2_amux_drvr at (88.69,-52.98)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808577 in DN_FM_sky130_fd_io__gpiov2_amux_drvr at (85.78,-51.975)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808578 in DN_FM_sky130_fd_io__gpiov2_amux_drvr at (85.78,-52.755)
+        DN_FM_sky130_fd_io__gpiov2_amux_drvr_lshv2hv in DN_FM_sky130_fd_io__gpiov2_amux_drvr at (81.005,-40.555)
+        DN_FM_sky130_fd_io__gpiov2_amux_drvr_lshv2hv2 in DN_FM_sky130_fd_io__gpiov2_amux_drvr at (80.435,-40.555)
+        DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808587 in DN_FM_sky130_fd_io__gpiov2_amux_ctl_lshv2hv2 at (1.945,7.325)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808464 in DN_FM_sky130_fd_io__gpiov2_amux_ctl_ls at (5.665,5.26)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808468 in DN_FM_sky130_fd_io__gpiov2_amux_ctl_ls at (5.665,3.61)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808463 in DN_FM_sky130_fd_io__gpiov2_amux_ctl_ls at (3.235,0.635)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808467 in DN_FM_sky130_fd_io__gpiov2_amux_ctl_ls at (2.585,2.905)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808466 in DN_FM_sky130_fd_io__gpiov2_amux_ctl_ls at (0.865,2.905)
+        DN_FM_sky130_fd_io__gpiov2_amux_ctl_ls in DN_FM_sky130_fd_io__gpiov2_amux_ls at (73.53,6.72)
+        DN_FM_sky130_fd_io__gpiov2_amux_ctl_lshv2hv in DN_FM_sky130_fd_io__gpiov2_amux_ls at (9.345,55.39)
+        DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808586 in DN_FM_sky130_fd_io__gpiov2_amux_ls at (6.25,56.17)
+        DN_FM_sky130_fd_io__gpiov2_amux_ctl_lshv2hv2 in DN_FM_sky130_fd_io__gpiov2_amux_ls at (4.6,70.875)
+        DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808419 in DN_FM_sky130_fd_io__hvsbt_nor at (0.15,0.54)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808455 in DN_FM_sky130_fd_io__gpiov2_amux_nand5 at (0.115,4.91)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808584 in DN_FM_sky130_fd_io__gpiov2_amux_nand5 at (0.115,3.25)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808446 in DN_FM_sky130_fd_io__gpiov2_amux_nand4 at (0.115,4.91)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808585 in DN_FM_sky130_fd_io__gpiov2_amux_nand4 at (0.115,3.245)
+        DN_FM_sky130_fd_io__xor2_1 in DN_FM_sky130_fd_io__gpiov2_amux_decoder at (7.57,9.865)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808558 in DN_FM_sky130_fd_io__amux_switch_1v2b at (42.165,2.535)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808564 in DN_FM_sky130_fd_io__amux_switch_1v2b at (10.845,2.045)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808562 in DN_FM_sky130_fd_io__amux_switch_1v2b at (1.425,2.045)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808594 in DN_FM_sky130_fd_io__gpiov2_amux at (67.97,1.54)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808591 in DN_FM_sky130_fd_io__gpiov2_amux at (6.08,36.345)
+        DN_FM_sky130_fd_pr__hvdfl1sd2__example_55959141808425 in DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808424 at (1,-0.02)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808618 in DN_FM_sky130_fd_io__com_ctl_lsv2 at (26.815,0.47)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808621 in DN_FM_sky130_fd_io__com_ctl_lsv2 at (23.32,4.66)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808619 in DN_FM_sky130_fd_io__com_ctl_lsv2 at (23.32,3.01)
+        DN_FM_sky130_fd_io__tk_em2o_cdns_55959141808439 in DN_FM_sky130_fd_io__gpiov2_ctl_lsbank at (74.685,0.515)
+        DN_FM_sky130_fd_io__com_ctl_ls_1v2 in DN_FM_sky130_fd_io__gpiov2_ctl_lsbank at (61.935,0)
+        DN_FM_sky130_fd_io__com_ctl_ls_en_1_v2 in DN_FM_sky130_fd_io__gpiov2_ctl_lsbank at (10.78,0)
+        DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808615 in DN_FM_sky130_fd_io__hvsbt_inv_x4 at (0.15,0.54)
+        DN_FM_sky130_fd_io__hvsbt_inv_x8 in DN_FM_sky130_fd_io__com_ctl_hldv2 at (27.87,17.18)
+        DN_FM_sky130_fd_io__hvsbt_inv_x8v2 in DN_FM_sky130_fd_io__com_ctl_hldv2 at (20.83,17.18)
+        DN_FM_sky130_fd_io__com_ctl_ls in DN_FM_sky130_fd_io__com_ctl_hldv2 at (20.105,5.99)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808656 in DN_FM_sky130_fd_io__com_pudrvr_weakv2 at (3.45,0.39)
+        DN_FM_sky130_fd_pr__res_bent_po__example_5595914180861 in DN_FM_sky130_fd_io__com_res_weak at (1.315,5.07)
+        DN_FM_sky130_fd_io__tk_em1o_cdns_5595914180860 in DN_FM_sky130_fd_io__com_res_weak at (-0.735,36.905)
+        DN_FM_sky130_fd_pr__res_generic_po__example_5595914180856 in DN_FM_sky130_fd_io__gpio_odrvrv2 at (51.81,39.43)
+        DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180852 in DN_FM_sky130_fd_io__gpio_odrvrv2 at (49.145,39.975)
+        DN_FM_sky130_fd_pr__res_generic_po__example_5595914180853 in DN_FM_sky130_fd_io__gpio_odrvrv2 at (48.3,39.43)
+        DN_FM_sky130_fd_pr__res_generic_po__example_5595914180855 in DN_FM_sky130_fd_io__gpio_odrvrv2 at (42.79,39.43)
+        DN_FM_sky130_fd_pr__via_l1m1__example_5595914180832 in DN_FM_sky130_fd_io__gpio_odrvrv2 at (42.485,39.63)
+        DN_FM_sky130_fd_io__com_pudrvr_strong_slowv2 in DN_FM_sky130_fd_io__gpio_odrvrv2 at (17.31,-0.115)
+        DN_FM_sky130_fd_io__com_pudrvr_weakv2 in DN_FM_sky130_fd_io__gpio_odrvrv2 at (0.16,-0.115)
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808320 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (88.495,21.42)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808348 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (87.8,20.53)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808641 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (66.435,15.06)
+        DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808327 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (65.99,17.35)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808640 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (65.725,15.06)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808347 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (65.64,19.035)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808639 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (65,15.06)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808345 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (64.2,15.53)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808134 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (63.46,21.375)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808333 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (62.71,15.68)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808334 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (61.99,15.68)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808332 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (60.02,21.375)
+        DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808328 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (57.615,23.24)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808331 in DN_FM_sky130_fd_io__com_pdpredrvr_pbiasv2 at (57.46,21.375)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808627 in DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong_nr2 at (23.29,-4.9)
+        DN_FM_sky130_fd_pr__dfl1sd2__example_55959141808633 in DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808632 at (0.32,-0.18)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808635 in DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong_nr3 at (12.9,1.95)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808638 in DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong_nr3 at (8.32,-6.545)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808632 in DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong_nr3 at (8.21,1.95)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808637 in DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong_nr3 at (6.56,-6.545)
+        DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808183 in DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong at (45.72,7.935)
+        DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808184 in DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong at (45.57,3.485)
+        DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong_nr3 in DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong at (44.93,9.465)
+        DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808139 in DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong at (43.82,7.935)
+        DN_FM_sky130_fd_io__gpiov2_octl_mux in DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong at (-2.18,1.83)
+        DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_55959141808643 in DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong at (-7.31,2.1)
+        DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808642 in DN_FM_sky130_fd_io__gpiov2_pdpredrvr_strong at (-7.46,3.64)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808312 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (15.695,5.89)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808305 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (15.485,0.92)
+        DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808302 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (14.185,8.605)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808310 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (13.925,0.92)
+        DN_FM_sky130_fd_pr__tpl1__example_55959141808300 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (12.86,9.64)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808317 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (12.34,5.89)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808303 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (9.12,4.77)
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808297 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (5.69,5.51)
+        DN_FM_sky130_fd_pr__tpl1__example_55959141808299 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (4.155,8.51)
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808296 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (4.105,9.56)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808319 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (3.525,9.74)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808309 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (3.525,4.39)
+        DN_FM_sky130_fd_io__tk_em1s_cdns_55959141808301 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (3.51,8.385)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808308 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (2.755,4.39)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808311 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (0.855,4.89)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808293 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (0.515,11.095)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808318 in DN_FM_sky130_fd_io__feascom_pupredrvr_nbiasv2 at (0.155,6.655)
+        DN_FM_sky130_fd_io__gpiov2_pupredrvr_strong_nd2 in DN_FM_sky130_fd_io__gpio_pupredrvr_strongv2 at (28.94,0)
+        DN_FM_sky130_fd_io__gpiov2_pupredrvr_strong_nd2_a in DN_FM_sky130_fd_io__gpio_pupredrvr_strongv2 at (22.52,0)
+        DN_FM_sky130_fd_pr__model__nfet_highvoltage__example_5595914180899 in DN_FM_sky130_fd_io__gpio_pupredrvr_strongv2 at (3.13,5.715)
+        DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808101 in DN_FM_sky130_fd_io__gpio_pupredrvr_strongv2 at (2.88,7.48)
+        DN_FM_sky130_fd_pr__model__pfet_highvoltage__example_55959141808142 in DN_FM_sky130_fd_io__gpio_pupredrvr_strongv2 at (1.12,7.48)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808361 in DN_FM_sky130_fd_io__feas_com_pupredrvr_weak at (0.985,3.995)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808365 in DN_FM_sky130_fd_io__com_pdpredrvr_weakv2 at (1.795,4)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808366 in DN_FM_sky130_fd_io__com_pdpredrvr_weakv2 at (0.035,4)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808363 in DN_FM_sky130_fd_io__com_pupredrvr_strong_slowv2 at (0.855,4)
+        DN_FM_sky130_fd_io__com_pupredrvr_strong_slowv2 in DN_FM_sky130_fd_io__gpiov2_obpredrvr at (46.33,13.985)
+        DN_FM_sky130_fd_io__com_pdpredrvr_strong_slowv2 in DN_FM_sky130_fd_io__gpiov2_obpredrvr at (42.575,13.985)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808266 in DN_FM_sky130_fd_io__gpiov2_obpredrvr at (42.255,5.185)
+        DN_FM_sky130_fd_io__com_pdpredrvr_weakv2 in DN_FM_sky130_fd_io__gpiov2_obpredrvr at (38.53,13.985)
+        DN_FM_sky130_fd_io__feas_com_pupredrvr_weak in DN_FM_sky130_fd_io__gpiov2_obpredrvr at (35.3,13.985)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808267 in DN_FM_sky130_fd_io__gpiov2_obpredrvr at (1.635,22.125)
+        DN_FM_sky130_fd_io__com_ctl_ls_octl in DN_FM_sky130_fd_io__gpiov2_octl at (20.92,5.87)
+        DN_FM_sky130_fd_io__hvsbt_xor in DN_FM_sky130_fd_io__gpiov2_octl at (19.5,22.585)
+        DN_FM_sky130_fd_io__hvsbt_xorv2 in DN_FM_sky130_fd_io__gpiov2_octl at (19.335,16.59)
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808395 in DN_FM_sky130_fd_io__com_cclat at (27.175,5.115)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808269 in DN_FM_sky130_fd_io__com_cclat at (16.69,5.51)
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808397 in DN_FM_sky130_fd_io__com_cclat at (16.575,5.455)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808399 in DN_FM_sky130_fd_io__com_cclat at (16.43,5.11)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808408 in DN_FM_sky130_fd_io__com_cclat at (15.89,5.635)
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808398 in DN_FM_sky130_fd_io__com_cclat at (14.495,5.015)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808407 in DN_FM_sky130_fd_io__com_cclat at (11.21,5.635)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808410 in DN_FM_sky130_fd_io__com_cclat at (6.53,5.635)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808403 in DN_FM_sky130_fd_io__com_cclat at (3.89,1.215)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808405 in DN_FM_sky130_fd_io__com_cclat at (1.565,1.215)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808401 in DN_FM_sky130_fd_io__com_cclat at (-0.555,2.39)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808368 in DN_FM_sky130_fd_io__com_opath_datoev2 at (58.865,5.505)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808157 in DN_FM_sky130_fd_io__signal_5_sym_hv_local_5term at (3.565,9.035)
+        DN_FM_sky130_fd_pr__via_pol1__example_55959141808147 in DN_FM_sky130_fd_io__signal_5_sym_hv_local_5term at (3.28,8.96)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808608 in DN_FM_sky130_fd_io__gpiov2_in_buf at (21.64,-0.115)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808529 in DN_FM_sky130_fd_io__gpiov2_in_buf at (21.64,-4.19)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808549 in DN_FM_sky130_fd_io__gpiov2_in_buf at (12.16,8.995)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808609 in DN_FM_sky130_fd_io__gpiov2_in_buf at (11.88,-4.19)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808537 in DN_FM_sky130_fd_io__gpiov2_ipath_hvls at (15.57,14.05)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808605 in DN_FM_sky130_fd_io__gpiov2_vcchib_in_buf at (6.88,16.48)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808606 in DN_FM_sky130_fd_io__gpiov2_vcchib_in_buf at (2.88,12.2)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808540 in DN_FM_sky130_fd_io__gpiov2_vcchib_in_buf at (2.88,7.31)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808527 in DN_FM_sky130_fd_io__gpiov2_vcchib_in_buf at (2.51,2.795)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808601 in DN_FM_sky130_fd_io__gpiov2_vcchib_in_buf at (1.01,-0.795)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808603 in DN_FM_sky130_fd_io__gpiov2_vcchib_in_buf at (0.88,2.795)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808595 in DN_FM_sky130_fd_io__gpiov2_inbuf_lvinv_x1 at (0,1.97)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808597 in DN_FM_sky130_fd_io__gpiov2_ipath_lvls at (4.67,13.59)
+        DN_FM_sky130_fd_io__hvsbt_nand2v2 in DN_FM_sky130_fd_io__gpiov2_ictl_logic at (-0.21,0)
+        DN_FM_sky130_fd_io__gpiov2_buf_localesd in DN_FM_sky130_fd_io__gpiov2_ipath at (0,180.98)
+        DN_FM_sky130_fd_io__gpiov2_ctl in DN_FM_sky130_ef_io__gpiov2_pad_wrapped at (-0.415,10.965)
+        DN_FM_sky130_fd_io__overlay_vdda_hvc in DN_FM_sky130_ef_io__vdda_hvc_clamped_pad at (0,0)
+        DN_FM_sky130_fd_pr__via_l1m1_centered__example_5595914180811 in DN_FM_sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2 at (74.475,2.04)
+        DN_FM_sky130_fd_pr__via_l1m1_centered__example_5595914180812 in DN_FM_sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2 at (0.565,1.595)
+        DN_FM_sky130_fd_pr__hvdfm1sd__example_55959141808237 in DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808772 at (-0.265,-0.08)
+        DN_FM_sky130_fd_pr__hvdfm1sd__example_5595914180835 in DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808777 at (-0.265,-0.08)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808785 in DN_FM_sky130_fd_io__xres4v2_in_buf at (14.83,14.395)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808781 in DN_FM_sky130_fd_io__xres4v2_in_buf at (13.415,14.395)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808774 in DN_FM_sky130_fd_io__xres4v2_in_buf at (13.265,2.215)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808780 in DN_FM_sky130_fd_io__xres4v2_in_buf at (4.89,12.57)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808775 in DN_FM_sky130_fd_io__xres4v2_in_buf at (4.715,4.325)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808771 in DN_FM_sky130_fd_io__xres4v2_in_buf at (2.57,4.325)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808773 in DN_FM_sky130_fd_io__xres4v2_in_buf at (0.535,1.785)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808772 in DN_FM_sky130_fd_io__xres4v2_in_buf at (0.535,-0.03)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808776 in DN_FM_sky130_fd_io__xres4v2_in_buf at (0.395,4.325)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808770 in DN_FM_sky130_fd_io__xres4v2_in_buf at (-1.34,4.325)
+        DN_FM_sky130_fd_pr__res_bent_po__example_55959141808768 in DN_FM_sky130_fd_io__xres4v2_in_buf at (-57.865,-43.565)
+        DN_FM_sky130_fd_pr__res_bent_nd__example_55959141808769 in DN_FM_sky130_fd_io__xres4v2_in_buf at (-157.64,-43.695)
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808728 in DN_FM_sky130_fd_io__gpio_buf_localesdv2 at (17.62,3.86)
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808725 in DN_FM_sky130_fd_io__gpio_buf_localesdv2 at (14.74,16.235)
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808551 in DN_FM_sky130_fd_io__gpio_buf_localesdv2 at (12.275,22.55)
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808726 in DN_FM_sky130_fd_io__gpio_buf_localesdv2 at (4.495,14.62)
+        DN_FM_sky130_fd_pr__res_bent_po__example_55959141808715 in DN_FM_sky130_fd_io__com_res_weak_v2 at (1.39,5.57)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808716 in DN_FM_sky130_fd_io__xres_inv_hysv2 at (5.11,4.125)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808721 in DN_FM_sky130_fd_io__xres_inv_hysv2 at (5.1,0.54)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808722 in DN_FM_sky130_fd_io__xres_inv_hysv2 at (3.36,0.54)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808718 in DN_FM_sky130_fd_io__xres_inv_hysv2 at (3.21,2.69)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808742 in DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 at (57.98,10.145)
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808730 in DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 at (57.895,10.13)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808741 in DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 at (52.63,29.965)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808745 in DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 at (34.19,39.89)
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808736 in DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 at (34.02,39.875)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808746 in DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 at (23.77,39.89)
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808733 in DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 at (23.755,29.95)
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808737 in DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 at (23.58,39.875)
+        DN_FM_sky130_fd_pr__via_l1m1__example_55959141808743 in DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 at (4.515,0.22)
+        DN_FM_sky130_fd_pr__via_m1m2__example_55959141808731 in DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 at (4.4,0.205)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808762 in DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 at (2.28,11.425)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808763 in DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 at (2.03,21.105)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808766 in DN_FM_sky130_fd_io__top_xres4v2 at (27.255,35.295)
+        DN_FM_sky130_fd_io__xres_inv_hysv2 in DN_FM_sky130_fd_io__top_xres4v2 at (25.365,9.17)
+        DN_FM_sky130_fd_io__com_res_weak_v2 in DN_FM_sky130_fd_io__top_xres4v2 at (5.605,42.335)
+        DN_FM_sky130_fd_io__com_busses in DN_FM_sky130_fd_io__top_xres4v2 at (0,2.035)
+        DN_FM_sky130_ef_io__vssd_lvc_clamped_pad in DN_chip_io_alt at (1194.805,0)
+        DN_FM_sky130_ef_io__vccd_lvc_clamped_pad in DN_chip_io_alt at (0,340)
+        DN_RO_sky130_fd_sc_hd__and2_2 in DN_gpio_control_block at (44.89,32.4)
+        DN_RO_sky130_fd_sc_hd__nor2b_2 in DN_gpio_control_block at (44.43,46)
+        DN_RO_sky130_fd_sc_hd__or2_2 in DN_gpio_control_block at (44.43,40.56)
+        DN_RO_sky130_fd_sc_hd__ebufn_2 in DN_gpio_control_block at (39.83,35.12)
+        DN_RO_sky130_fd_sc_hd__clkbuf_16 in DN_gpio_control_block at (25.11,46)
+        DN_RO_sky130_fd_sc_hd__einvp_8 in DN_gpio_control_block at (12.69,32.4)
+        DN_RO_gpio_logic_high in DN_gpio_control_block at (5.79,12.16)
+        DN_R2_nmos_m3_w1_680_sli_dli_da_p in DN_R2_pinv_2 at (0.27,0.125)
+        DN_R2_pmos_m3_w1_650_sli_dli_da_p in DN_R2_pinv_2 at (-0.025,4.895)
+        DN_R2_nmos_m2_w1_260_sli_dli_da_p in DN_R2_pinv_8 at (0.27,0.125)
+        DN_R2_pmos_m2_w1_650_sli_dli_da_p in DN_R2_pinv_8 at (-0.025,4.895)
+        DN_R2_nmos_m5_w1_680_sli_dli_da_p in DN_R2_pinv_9 at (0.27,0.125)
+        DN_R2_pmos_m5_w2_000_sli_dli_da_p in DN_R2_pinv_9 at (-0.025,4.545)
+        DN_R2_nmos_m13_w2_000_sli_dli_da_p in DN_R2_pinv_10 at (0.27,0.125)
+        DN_R2_pmos_m13_w2_000_sli_dli_da_p in DN_R2_pinv_10 at (-0.025,4.545)
+        DN_R2_nmos_m40_w2_000_sli_dli_da_p in DN_R2_pinv_11 at (0.27,0.125)
+        DN_R2_pmos_m40_w2_000_sli_dli_da_p in DN_R2_pinv_11 at (-0.025,4.545)
+        DN_R2_pinv_11 in DN_R2_pdriver_1 at (6.82,-0.085)
+        DN_R2_pinv_10 in DN_R2_pdriver_1 at (5.53,-0.085)
+        DN_R2_pinv_9 in DN_R2_pdriver_1 at (4.24,-0.085)
+        DN_R2_pinv_8 in DN_R2_pdriver_1 at (2.95,-0.085)
+        DN_R2_nmos_m7_w1_680_sli_dli_da_p in DN_R2_pdriver_0 at (0.27,0.125)
+        DN_R2_pmos_m7_w2_000_sli_dli_da_p in DN_R2_pdriver_0 at (-0.025,4.545)
+        DN_R2_pdriver_0 in DN_R2_pand2_0 at (1.71,-0.085)
+        DN_R2_pnand2_0 in DN_R2_pand2_0 at (-0.18,-0.085)
+        DN_R2_nmos_m3_w2_000_sli_dli_da_p in DN_R2_pinv_13 at (0.27,0.125)
+        DN_R2_pmos_m3_w2_000_sli_dli_da_p in DN_R2_pinv_13 at (-0.025,4.545)
+        DN_R2_nmos_m8_w1_680_sli_dli_da_p in DN_R2_pinv_14 at (0.27,0.125)
+        DN_R2_pmos_m8_w2_000_sli_dli_da_p in DN_R2_pinv_14 at (-0.025,4.545)
+        DN_R2_nmos_m24_w2_000_sli_dli_da_p in DN_R2_pinv_15 at (0.27,0.125)
+        DN_R2_pmos_m24_w2_000_sli_dli_da_p in DN_R2_pinv_15 at (-0.025,4.545)
+        DN_R2_pinv_15 in DN_R2_pdriver_2 at (6.82,-0.085)
+        DN_R2_pinv_14 in DN_R2_pdriver_2 at (5.53,-0.085)
+        DN_R2_pinv_13 in DN_R2_pdriver_2 at (4.24,-0.085)
+        DN_R2_nmos_m1_w0_740_sactive_dactive in DN_R2_pnand3 at (0.77,0.125)
+        DN_R2_nmos_m22_w2_000_sli_dli_da_p in DN_R2_pdriver_3 at (0.27,0.125)
+        DN_R2_pmos_m22_w2_000_sli_dli_da_p in DN_R2_pdriver_3 at (-0.025,4.545)
+        DN_R2_pdriver_3 in DN_R2_pand3 at (2.21,-0.085)
+        DN_R2_nmos_m18_w2_000_sli_dli_da_p in DN_R2_pdriver_4 at (0.27,0.125)
+        DN_R2_pmos_m18_w2_000_sli_dli_da_p in DN_R2_pdriver_4 at (-0.025,4.545)
+        DN_R2_pdriver_4 in DN_R2_pand3_0 at (2.21,-0.085)
+        DN_R2_nmos_m4_w1_260_sli_dli_da_p in DN_R2_pinv_18 at (0.27,0.125)
+        DN_R2_pmos_m4_w2_000_sli_dli_da_p in DN_R2_pinv_18 at (-0.025,4.545)
+        DN_R2_nmos_m12_w2_000_sli_dli_da_p in DN_R2_pinv_19 at (0.27,0.125)
+        DN_R2_pmos_m12_w2_000_sli_dli_da_p in DN_R2_pinv_19 at (-0.025,4.545)
+        DN_R2_pinv_19 in DN_R2_pdriver_5 at (4.24,-0.085)
+        DN_R2_pinv_18 in DN_R2_pdriver_5 at (2.95,-0.085)
+        DN_R2_pdriver_5 in DN_R2_control_logic_rw at (15.64,35.065)
+        DN_R2_pand3_0 in DN_R2_control_logic_rw at (13.3,49.205)
+        DN_R2_pnand2_1 in DN_R2_control_logic_rw at (13.3,35.065)
+        DN_R2_pand3 in DN_R2_control_logic_rw at (13.3,28.195)
+        DN_R2_pdriver_2 in DN_R2_control_logic_rw at (13.3,20.925)
+        DN_R2_pdriver_1 in DN_R2_control_logic_rw at (13.3,-0.085)
+        DN_R2_dff_buf_array in DN_R2_control_logic_rw at (-0.245,-0.255)
+        DN_R2_nand3_dec in DN_R2_and3_dec at (-0.02,-0.3)
+        DN_R2_pmos_m10_w7_000_sli_dli_da_p in DN_R2_pinv_dec_0 at (8.16,0.315)
+        DN_R2_nmos_m10_w7_000_sli_dli_da_p in DN_R2_pinv_dec_0 at (0.68,0.61)
+        DN_R2_pinv_dec_0 in DN_R2_wordline_driver at (4.5,0)
+        DN_R2_pdriver in DN_R2_pand2 at (1.71,-0.085)
+        DN_R2_pnand2 in DN_R2_pand2 at (-0.18,-0.085)
+        DN_R2_write_mask_and_array in DN_R2_port_data at (2.94,41.04)
+        DN_R2_cr_1 in DN_R2_bank at (264.76,319.825)
+        DN_R2_cr_0 in DN_R2_bank at (34.26,27.305)
+        DN_R2_cr_3 in DN_R2_sram_1rw1r_32_256_8_sky130 at (327.585,427.57)
+        DN_R2_wmask_dff in DN_R2_sram_1rw1r_32_256_8_sky130 at (39.11,5.235)
+        DN_R2_cr_2 in DN_R2_sram_1rw1r_32_256_8_sky130 at (38.51,5.48)
+        DN_R2_sky130_fd_sc_hd__buf_4 in DN_storage at (422.09,704.24)
+        DN_sky130_fd_sc_hd__inv_4 in DN_dpll at (805.73,366.96)
+        DN_sky130_fd_sc_hd__nand2_4 in DN_dpll at (619.89,122.16)
+        DN_sky130_fd_sc_hd__o221ai_4 in DN_dpll at (604.71,124.88)
+        DN_sky130_fd_sc_hd__a21bo_2 in DN_dpll at (592.75,138.48)
+        DN_sky130_fd_sc_hd__a21oi_1 in DN_dpll at (588.61,143.92)
+        DN_sky130_fd_sc_hd__nand2_2 in DN_dpll at (584.47,143.92)
+        DN_sky130_fd_sc_hd__nor2_2 in DN_dpll at (582.63,146.64)
+        DN_sky130_fd_sc_hd__o221a_2 in DN_dpll at (573.43,105.84)
+        DN_sky130_fd_sc_hd__o31a_2 in DN_dpll at (571.59,152.08)
+        DN_sky130_fd_sc_hd__o2bb2ai_2 in DN_dpll at (569.29,143.92)
+        DN_sky130_fd_sc_hd__o211a_1 in DN_dpll at (569.29,133.04)
+        DN_sky130_fd_sc_hd__o2111ai_4 in DN_dpll at (562.85,149.36)
+        DN_sky130_fd_sc_hd__a21o_1 in DN_dpll at (561.93,184.72)
+        DN_sky130_fd_sc_hd__a21oi_4 in DN_dpll at (561.01,141.2)
+        DN_sky130_fd_sc_hd__or2_4 in DN_dpll at (560.09,328.88)
+        DN_sky130_fd_sc_hd__nor2_8 in DN_dpll at (559.63,146.64)
+        DN_sky130_fd_sc_hd__o2bb2a_2 in DN_dpll at (559.17,152.08)
+        DN_sky130_fd_sc_hd__a2bb2o_1 in DN_dpll at (557.79,124.88)
+        DN_sky130_fd_sc_hd__or4_4 in DN_dpll at (551.81,124.88)
+        DN_sky130_fd_sc_hd__dfrtp_4 in DN_dpll at (550.89,162.96)
+        DN_sky130_fd_sc_hd__a311o_1 in DN_dpll at (550.43,157.52)
+        DN_sky130_fd_sc_hd__dfrtp_2 in DN_dpll at (547.67,152.08)
+        DN_sky130_fd_sc_hd__and2_1 in DN_dpll at (528.35,146.64)
+        DN_sky130_fd_sc_hd__a22oi_4 in DN_dpll at (526.97,326.16)
+        DN_sky130_fd_sc_hd__o211a_4 in DN_dpll at (520.07,288.08)
+        DN_sky130_fd_sc_hd__o21a_2 in DN_dpll at (519.15,133.04)
+        DN_sky130_fd_sc_hd__o22ai_1 in DN_dpll at (515.47,138.48)
+        DN_sky130_fd_sc_hd__and3_1 in DN_dpll at (512.71,130.32)
+        DN_sky130_fd_sc_hd__clkinv_8 in DN_dpll at (505.81,116.72)
+        DN_sky130_fd_sc_hd__einvp_4 in DN_dpll at (498.45,119.44)
+        DN_sky130_fd_sc_hd__diode_2 in DN_dpll at (452.45,62.32)
+        DN_sky130_fd_sc_hd__conb_1 in DN_dpll at (444.63,100.4)
+        DN_sky130_fd_sc_hd__nand2_8 in DN_dpll at (232.57,26.96)
+        DN_sky130_fd_sc_hd__buf_6 in DN_dpll at (224.75,584.56)
+        DN_sky130_fd_sc_hd__clkbuf_4 in DN_dpll at (74.79,10.64)
+        DN_via_new$18 in DN_user_analog_project_wrapper at (2832.97,3468.66)
+        DN_via_new$12 in DN_user_analog_project_wrapper at (570.36,3217.825)
+        DN_via_new$14 in DN_user_analog_project_wrapper at (570.355,3380.625)
+        DN_via_new$13 in DN_user_analog_project_wrapper at (207.39,3174.65)
+        DN_alpha_1 in DN_user_id_textblock at (148.87,10.8)
+        DN_DN_sky130_fd_sc_hvl__lsbufhv2lv_1 in DN_sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped at (8.31,7.875)
+        DN_DN_sky130_fd_sc_hvl__diode_2 in DN_sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped at (7.35,11.795)
+        DN_font_4B in DN_copyright_block_a at (167.99,-21.41)
+        DN_font_44 in DN_copyright_block_a at (160.79,-21.41)
+        DN_font_50 in DN_copyright_block_a at (153.59,-21.41)
+        DN_font_70 in DN_copyright_block_a at (124.79,-25.01)
+        DN_font_62 in DN_copyright_block_a at (122.8,0)
+        DN_font_66 in DN_copyright_block_a at (108.4,0)
+        DN_font_74 in DN_copyright_block_a at (88.79,-21.41)
+        DN_font_29 in DN_copyright_block_a at (88.6,0)
+        DN_font_28 in DN_copyright_block_a at (76,0)
+        DN_font_57 in DN_copyright_block_a at (70.79,-21.41)
+        DN_font_79 in DN_copyright_block_a at (63.59,-25.01)
+        DN_font_6B in DN_copyright_block_a at (56.39,-21.41)
+        DN_font_56 in DN_copyright_block_a at (55.64,0.075)
+        DN_font_53 in DN_copyright_block_a at (49.19,-21.41)
+        DN_font_30 in DN_copyright_block_a at (43.38,-46.605)
+        DN_font_2D in DN_copyright_block_a at (40.19,-17.81)
+        DN_font_76 in DN_copyright_block_a at (28.8,0)
+        DN_font_67 in DN_copyright_block_a at (22.19,-25.01)
+        DN_font_75 in DN_copyright_block_a at (8.5,-46.37)
+        DN_font_4A in DN_copyright_block_a at (1.13,-46.37)
+        DN_font_47 in DN_copyright_block_a at (0.59,-21.41)
+        DN_NK_sky130_fd_sc_hvl__fill_1 in DN_NK_mgmt_protect_hv at (31.35,7.875)
+        DN_NK_mgmt_protect_hv in DN_mgmt_protect at (941.44,42.255)
+        DN_NK_mprj2_logic_high in DN_mgmt_protect at (10.43,37.28)
+        DN_IH_sky130_fd_sc_hd__einvp_1 in DN_IH_digital_pll at (19.13,43.28)
+        DN_IH_sky130_fd_sc_hd__nand4b_2 in DN_mgmt_core at (975.01,587.28)
+        DN_UP_sky130_fd_sc_hvl__fill_4 in DN_simple_por at (51.885,31.755)
+        DN_UP_sky130_fd_sc_hvl__inv_8 in DN_simple_por at (46.655,36.925)
+        DN_UP_sky130_fd_sc_hvl__schmittbuf_1 in DN_simple_por at (37.005,31.755)
+        DN_UP_sky130_fd_pr__pfet_g5v0d10v5_YUHPXE in DN_simple_por at (29.1,36.72)
+        DN_UP_sky130_fd_pr__cap_mim_m3_2_W5U4AW in DN_simple_por at (23.955,0.25)
+        DN_UP_sky130_fd_pr__pfet_g5v0d10v5_YEUEBV in DN_simple_por at (20.13,36.72)
+        DN_UP_sky130_fd_pr__cap_mim_m3_1_WRT4AW in DN_simple_por at (19.455,0.255)
+        DN_UP_sky130_fd_pr__pfet_g5v0d10v5_YUHPBG in DN_simple_por at (12.84,36.72)
+        DN_UP_sky130_fd_pr__nfet_g5v0d10v5_PKVMTM in DN_simple_por at (11.755,31.555)
+        DN_UP_sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ in DN_simple_por at (2.78,36.72)
+        DN_UP_sky130_fd_pr__nfet_g5v0d10v5_TGFUGS in DN_simple_por at (2.76,31.55)
+        DN_UP_sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC in DN_simple_por at (0.31,31.55)
+        DN_UP_sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 in DN_simple_por at (0.15,0.15)
+        DN_open_source in caravel_00020021 at (1037.55,45.67)
+        DN_copyright_block_a in caravel_00020021 at (753.91,40.785)
+        DN_user_id_textblock in caravel_00020021 at (487.36,51.25)
+    EXPAND COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/97/98
+    EXPANDING TRIVIAL CELL PLACEMENTS
+        DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180882 (simple=16 array=0 total=16)
+        DN_FM_sky130_fd_io__tk_em1o_cdns_5595914180880 (simple=13 array=0 total=13)
+        DN_FM_sky130_fd_io__tk_em1o_cdns_5595914180879 (simple=6 array=0 total=6)
+        DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180881 (simple=8 array=0 total=8)
+        DN_FM_sky130_fd_io__tk_em2s_cdns_55959141808438 (simple=2 array=0 total=2)
+        DN_FM_sky130_fd_io__tk_em2o_cdns_55959141808653 (simple=50 array=0 total=50)
+        DN_FM_sky130_fd_io__tk_em2s_cdns_55959141808652 (simple=25 array=0 total=25)
+        DN_FM_sky130_fd_io__tk_em1s_cdns_5595914180859 (simple=9 array=0 total=9)
+        DN_FM_sky130_fd_io__tk_em1s_cdns_55959141808288 (simple=6 array=0 total=6)
+        DN_FM_sky130_fd_io__tk_em1o_cdns_55959141808289 (simple=4 array=0 total=4)
+        DN_FM_sky130_fd_io__xres_tk_p_em1o_cdns_55959141808758 (simple=8 array=0 total=8)
+        DN_FM_sky130_fd_io__xres_tk_p_em1c_cdns_55959141808760 (simple=2 array=0 total=2)
+        DN_FM_sky130_fd_io__xres_tk_p_em1o_cdns_55959141808756 (simple=2 array=0 total=2)
+        DN_FM_sky130_fd_io__xres_tk_p_em1c_cdns_55959141808759 (simple=4 array=0 total=4)
+        DN_FM_sky130_fd_io__xres_p_em1c_cdns_55959141808753 (simple=24 array=0 total=24)
+        DN_FM_sky130_fd_io__xres_tk_p_em1o_cdns_55959141808757 (simple=30 array=0 total=30)
+        DN_FM_sky130_fd_io__xres_tk_p_em1c_cdns_55959141808761 (simple=2 array=0 total=2)
+        DN_via2$12 (simple=0 array=1 total=4)
+        DN_via2$8 (simple=0 array=1 total=1020)
+        DN_via2$10 (simple=0 array=1 total=3600)
+        DN_via2$4 (simple=0 array=1 total=1922)
+        DN_via3$2 (simple=0 array=1 total=372)
+        DN_via2$7 (simple=0 array=1 total=372)
+        DN_via4$1 (simple=0 array=1 total=21)
+        DN_via2$9 (simple=0 array=1 total=720)
+        DN_via3$1 (simple=0 array=1 total=361)
+        DN_via2$5 (simple=0 array=1 total=961)
+        DN_via2$6 (simple=0 array=1 total=589)
+        DN_via4$3 (simple=0 array=1 total=62)
+        DN_via2$11 (simple=2 array=0 total=2)
+        DN_via2$16 (simple=0 array=1 total=3720)
+        DN_via4$2 (simple=0 array=1 total=930)
+        DN_via2$3 (simple=0 array=1 total=1178)
+        DN_via2$2 (simple=0 array=1 total=3844)
+        DN_via2$13 (simple=2 array=0 total=2)
+        DN_via2$14 (simple=2 array=0 total=2)
+        DN_via3 (simple=0 array=1 total=744)
+        DN_via2$1 (simple=0 array=1 total=744)
+        DN_via4 (simple=0 array=1 total=45)
+        DN_via2 (simple=0 array=1 total=744)
+        DN_alpha_0 (simple=5 array=0 total=5)
+        DN_alpha_2 (simple=2 array=0 total=2)
+        DN_font_43 (simple=2 array=0 total=2)
+        DN_font_61 (simple=5 array=0 total=5)
+        DN_font_6F (simple=3 array=0 total=3)
+        DN_font_72 (simple=2 array=0 total=2)
+        DN_font_6E (simple=3 array=0 total=3)
+        DN_font_65 (simple=6 array=0 total=6)
+        DN_font_6C (simple=2 array=0 total=2)
+        DN_font_32 (simple=2 array=0 total=2)
+        DN_font_31 (simple=2 array=0 total=2)
+    EXPAND COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/97/98
+    EXPANDING VERY SPARSE ARRAY PLACEMENTS
+    EXPANDING LARGE CELL ARRAY PLACEMENTS
+    EXPANDING VERY SPARSE CELL PLACEMENTS
+        seal_ring_corner (simple=4 array=0 total=4)
+        advSeal_6um_gen (simple=1 array=0 total=1)
+        DN_chip_io_alt (simple=1 array=0 total=1)
+    EXPAND COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/97/98
+    EXPANDING VERY SPARSE CELL PLACEMENTS
+    ELIMINATING DUPLICATE SUPER-HIERARCHICAL PLACEMENTS
+        DN_FM_sky130_fd_io__overlay_gpiov2_m4 in DN_FM_sky130_ef_io__gpiov2_pad_wrapped at (0,13)
+        DN_FM_sky130_fd_io__com_bus_hookup in DN_FM_sky130_ef_io__vdda_hvc_clamped_pad at (0,0)
+    DUPLICATE SUPER-HIERARCHICAL PLACEMENT ELIMINATION COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/97/98
+    EXPANDING DENSE OVERLAPS
+        DN_FM_sky130_fd_io__com_busses_esd in DN_FM_sky130_fd_io__top_power_lvc_wpad at (0,0.035)
+        DN_FM_sky130_fd_io__com_busses_esd in DN_FM_sky130_fd_io__top_power_hvc_wpadv2 at (0,2.035)
+        DN_FM_sky130_fd_io__overlay_gpiov2 in DN_FM_sky130_ef_io__gpiov2_pad_wrapped at (0,13)
+        DN_FM_sky130_fd_io__com_busses_esd in DN_FM_sky130_fd_io__top_ground_lvc_wpad at (0,0.035)
+        DN_FM_sky130_fd_io__com_busses_esd in DN_FM_sky130_fd_io__top_ground_hvc_wpad at (0,2.035)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (3396.035,4839)
+        DN_FM_sky130_ef_io__corner_pad in caravel_00020021 at (3394,4990)
+        DN_FM_sky130_ef_io__corner_pad in caravel_00020021 at (3390,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (3376,4996.035)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (3372,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (3215,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (3103,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (2946,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (2867,4996.035)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (2834,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (2677,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (2560,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (2403,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (2286,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (2129,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (2057,4996.035)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (2012,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (1855,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (1738,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (1658,4996.035)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (1581,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (1548,4996.035)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (1464,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (1307,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (1195,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (1101,4996.035)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (1038,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (921,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (764,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (652,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (495,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (383,6)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (226,6)
+        DN_FM_sky130_ef_io__corner_pad in caravel_00020021 at (6,4994)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,4977)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,4550)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,4339)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,4128)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,3912)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,3696)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,3480)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,3264)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,3048)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,2832)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,2616)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,2405)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,2194)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,1978)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,1762)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,1546)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,1330)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,1114)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,902)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,541)
+        DN_FM_sky130_ef_io__com_bus_slice_10um in caravel_00020021 at (6,330)
+        DN_FM_sky130_ef_io__corner_pad in caravel_00020021 at (6,6)
+        DN_caravan_power_routing in caravel_00020021 at (33.215,202.535)
+        caravel_00020021_fill_pattern in caravel_00020021 at (7.5,7.5)
+    EXPAND COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/97/98
+    EXPANDING DENSE OVERLAPS
+        DN_FM_sky130_fd_io__overlay_gpiov2_m4 in DN_FM_sky130_ef_io__gpiov2_pad_wrapped at (0,13)
+        DN_FM_sky130_fd_io__corner_bus_overlay in caravel_00020021 at (3394,4990.335)
+        DN_FM_sky130_fd_io__corner_bus_overlay in caravel_00020021 at (3390.335,6)
+        DN_FM_sky130_fd_io__corner_bus_overlay in caravel_00020021 at (6,4994)
+        DN_FM_sky130_fd_io__corner_bus_overlay in caravel_00020021 at (6,6)
+        R2_caravel_00020021_fill_pattern_5_4 in caravel_00020021 at (3507.5,2807.65)
+        R2_caravel_00020021_fill_pattern_5_3 in caravel_00020021 at (3507.5,2107.65)
+        R2_caravel_00020021_fill_pattern_5_2 in caravel_00020021 at (3507.5,1407.65)
+        R2_caravel_00020021_fill_pattern_5_1 in caravel_00020021 at (3507.5,707.5)
+        R2_caravel_00020021_fill_pattern_4_6 in caravel_00020021 at (2807.5,4207.5)
+        R2_caravel_00020021_fill_pattern_4_5 in caravel_00020021 at (2807.5,3507.5)
+        R2_caravel_00020021_fill_pattern_4_4 in caravel_00020021 at (2807.5,2807.65)
+        R2_caravel_00020021_fill_pattern_4_3 in caravel_00020021 at (2807.5,2107.5)
+        R2_caravel_00020021_fill_pattern_4_2 in caravel_00020021 at (2807.5,1407.5)
+        R2_caravel_00020021_fill_pattern_4_1 in caravel_00020021 at (2807.5,707.5)
+        R2_caravel_00020021_fill_pattern_4_0 in caravel_00020021 at (2807.5,7.5)
+        R2_caravel_00020021_fill_pattern_3_6 in caravel_00020021 at (2107.5,4207.5)
+        R2_caravel_00020021_fill_pattern_3_5 in caravel_00020021 at (2107.5,3507.5)
+        R2_caravel_00020021_fill_pattern_3_4 in caravel_00020021 at (2107.5,2807.5)
+        R2_caravel_00020021_fill_pattern_3_3 in caravel_00020021 at (2107.5,2107.5)
+        R2_caravel_00020021_fill_pattern_3_1 in caravel_00020021 at (2107.5,707.65)
+        R2_caravel_00020021_fill_pattern_3_0 in caravel_00020021 at (2107.5,7.5)
+        R2_caravel_00020021_fill_pattern_2_6 in caravel_00020021 at (1407.5,4207.5)
+        R2_caravel_00020021_fill_pattern_2_5 in caravel_00020021 at (1407.5,3507.7)
+        R2_caravel_00020021_fill_pattern_2_4 in caravel_00020021 at (1407.5,2807.5)
+        R2_caravel_00020021_fill_pattern_2_3 in caravel_00020021 at (1407.5,2107.5)
+        R2_caravel_00020021_fill_pattern_2_1 in caravel_00020021 at (1407.5,707.65)
+        R2_caravel_00020021_fill_pattern_2_0 in caravel_00020021 at (1407.5,7.65)
+        R2_caravel_00020021_fill_pattern_1_6 in caravel_00020021 at (707.5,4207.5)
+        R2_caravel_00020021_fill_pattern_1_5 in caravel_00020021 at (707.5,3507.65)
+        R2_caravel_00020021_fill_pattern_1_4 in caravel_00020021 at (707.5,2807.5)
+        R2_caravel_00020021_fill_pattern_1_3 in caravel_00020021 at (707.5,2107.5)
+        R2_caravel_00020021_fill_pattern_1_1 in caravel_00020021 at (707.5,707.5)
+        R2_caravel_00020021_fill_pattern_1_0 in caravel_00020021 at (707.5,7.5)
+        R2_caravel_00020021_fill_pattern_0_6 in caravel_00020021 at (7.5,4207.5)
+        R2_caravel_00020021_fill_pattern_0_5 in caravel_00020021 at (7.5,3507.5)
+        R2_caravel_00020021_fill_pattern_0_4 in caravel_00020021 at (7.5,2807.5)
+        R2_caravel_00020021_fill_pattern_0_3 in caravel_00020021 at (7.5,2107.5)
+        R2_caravel_00020021_fill_pattern_0_2 in caravel_00020021 at (7.5,1407.5)
+        R2_caravel_00020021_fill_pattern_0_1 in caravel_00020021 at (7.5,707.5)
+        R2_caravel_00020021_fill_pattern_0_0 in caravel_00020021 at (7.5,7.5)
+    EXPAND COMPLETE. CPU TIME = 6  REAL TIME = 6  LVHEAP = 95/97/98
+    EXPANDING DENSE OVERLAPS
+    EXPANDING UNIQUE META-CELL PLACEMENTS
+        DN_FM_sky130_fd_io__overlay_vddio_hvc in DN_FM_sky130_ef_io__vddio_hvc_clamped_pad at (0,0)
+        DN_FM_sky130_fd_io__gpiov2_pddrvr_strong in DN_FM_sky130_fd_io__gpio_odrvrv2 at (-4.575,-68.065)
+        DN_FM_sky130_fd_io__overlay_vssa_hvc in DN_FM_sky130_ef_io__vssa_hvc_clamped_pad at (0,0)
+        DN_FM_sky130_fd_io__com_busses_esd in DN_FM_sky130_ef_io__analog_pad at (0,2.035)
+        DN_R2_row_cap_array_0 in DN_R2_replica_bitcell_array at (209.04,1.645)
+        DN_R2_replica_column_0 in DN_R2_replica_bitcell_array at (205.71,0)
+        DN_R2_replica_column in DN_R2_replica_bitcell_array at (3.12,0)
+        DN_R2_row_cap_array in DN_R2_replica_bitcell_array at (-0.21,1.645)
+        DN_R2_single_level_column_mux_array in DN_R2_port_data at (3.12,6.29)
+        DN_R2_write_driver_array in DN_R2_port_data at (2.36,30.01)
+        DN_R2_precharge_array in DN_R2_port_data at (0,1.19)
+        DN_R2_single_level_column_mux_array_0 in DN_R2_port_data_0 at (0,6.29)
+        DN_R2_precharge_array_0 in DN_R2_port_data_0 at (0,1.19)
+        DN_R2_data_dff in DN_R2_sram_1rw1r_32_256_8_sky130 at (62.47,5.235)
+        DN_FM_sky130_fd_io__xres4v2_in_buf in DN_FM_sky130_fd_io__top_xres4v2 at (0.34,3.3)
+        DN_FM_sky130_fd_io__overlay_vssio_hvc in DN_FM_sky130_ef_io__vssio_hvc_clamped_pad at (0,0)
+    EXPAND COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/97/98
+    EXPANDING DENSE OVERLAPS
+    ANALYZING HIERARCHY FOR AUTOMATIC TURBO FLEX
+    ANALYSIS COMPLETE (TA=18720176.0004). CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/97/98
+    IDENTIFYING MULTI-CORE CELLS
+    INJECTING HIERARCHY
+      INJECTION PREP COMPLETE CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/101/101
+        caravel_00020021 (bin BC=918 FBC=31 F=48 A=18720176.0004) FAUX BINS:
+            DN_FM_sky130_fd_io__top_xres4v2 (15232.5231)
+            DN_storage (435170.1583)
+            DN_mgmt_core (1890354.303)
+            DN_gpio_control_block (12764.0792)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_mgmt_protect (163216.9)
+            DN_gpio_control_block (12764.0792)
+            DN_user_analog_project_wrapper (10330113.1204)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_gpio_control_block (12764.0792)
+            DN_gpio_control_block (12764.0792)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_gpio_control_block (12764.0792)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_FM_sky130_ef_io__vssa_hvc_clamped_pad (15005.5004)
+            DN_FM_sky130_ef_io__vdda_hvc_clamped_pad (15005.5004)
+            DN_gpio_control_block (12764.0792)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_gpio_control_block (12764.0792)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_gpio_control_block (12764.0792)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_FM_sky130_ef_io__gpiov2_pad_wrapped (17232.199875)
+            DN_FM_sky130_ef_io__vccd_lvc_clamped2_pad (20291.987175)
+            DN_FM_sky130_ef_io__vccd_lvc_clamped2_pad (20291.987175)
+            DN_FM_sky130_ef_io__analog_pad (15005.5004)
+        DN_mgmt_core (bin BC=8 FBC=1 F=8 A=1890354.303) FAUX BINS:
+            DN_IH_DFFRAM (391218.653)
+        DN_IH_DFFRAM (bin BC=9 FBC=0 F=8 A=391218.653)
+        DN_mgmt_protect (bin BC=9 FBC=1 F=8 A=163216.9) FAUX BINS:
+            DN_NK_mprj_logic_high (9120.5406)
+        DN_Error_amplifier (bin BC=8 FBC=0 F=8 A=5995.19205)
+        DN_LDO (bin BC=8 FBC=0 F=8 A=34163.8973)
+        DN_R2_sram_1rw1r_32_256_8_sky130 (bin BC=16 FBC=3 F=8 A=176342.5575) FAUX BINS:
+            DN_R2_control_logic_rw (2432.3256)
+            DN_R2_bank (111425.2948)
+            DN_R2_control_logic_r (2075.2563)
+      BIN INJECTION COMPLETE CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/101/102
+        DN_FM_sky130_fd_io__top_power_lvc_wpad (priority8)
+        DN_FM_sky130_fd_io__top_power_hvc_wpadv2 (priority8)
+        DN_FM_sky130_fd_io__amux_switch_1v2b (priority4)
+        DN_FM_sky130_fd_io__gpiov2_amux (priority8)
+        DN_FM_sky130_fd_io__pfet_con_diff_wo_abt_270v2 (priority4)
+        DN_FM_sky130_fd_io__nfet_con_diff_wo_abt_270v2 (priority4)
+        DN_FM_sky130_fd_io__gpio_pupredrvr_strongv2 (priority4)
+        DN_FM_sky130_fd_io__gpiov2_octl (priority8)
+        DN_FM_sky130_fd_io__gpio_dat_lsv2 (priority8)
+        DN_FM_sky130_fd_io__gpio_dat_ls_1v2 (priority8)
+        DN_FM_sky130_fd_io__com_cclat (priority4)
+        DN_FM_sky130_fd_io__top_ground_lvc_wpad (priority8)
+        DN_FM_sky130_fd_io__top_ground_hvc_wpad (priority8)
+        DN_gpio_control_block (priority8)
+        DN_FM_sky130_fd_io__nfet_con_diff_wo_abt_270_xres4v2 (priority4)
+        DN_FM_sky130_fd_io__pfet_con_diff_wo_abt_270_xres4v2 (priority4)
+        DN_user_id_programming (priority8)
+        DN_FM_sky130_fd_pr__nfet_01v8__example_55959141808677 (priority4)
+        DN_R2_sram_1rw1r_32_256_8_sky130(BIN8) (priority16)
+        DN_FM_sky130_fd_io__xres2v2_rcfilter_lpfv2 (priority8)
+        DN_IH_DFFRAM(BIN0) (priority16)
+        DN_IH_DFFRAM(BIN1) (priority16)
+        DN_IH_DFFRAM(BIN2) (priority16)
+        DN_IH_DFFRAM(BIN3) (priority16)
+        DN_IH_DFFRAM(BIN4) (priority16)
+        DN_IH_DFFRAM(BIN5) (priority16)
+        DN_IH_DFFRAM(BIN6) (priority16)
+        DN_IH_DFFRAM(BIN7) (priority16)
+        DN_IH_DFFRAM(BIN8) (priority16)
+        DN_IH_digital_pll (priority8)
+        DN_R2_delay_chain (priority4)
+        DN_R2_port_data (priority8)
+        DN_mgmt_protect(BIN3) (priority16)
+        DN_mgmt_protect(BIN4) (priority16)
+        DN_FM_sky130_fd_pr__pfet_01v8__example_55959141808665 (priority4)
+        DN_R2_dummy_array (priority4)
+        DN_R2_port_data_0 (priority4)
+        DN_mgmt_protect(BIN1) (priority16)
+        DN_mgmt_protect(BIN2) (priority16)
+        DN_mgmt_protect(BIN5) (priority16)
+        DN_mgmt_protect(BIN6) (priority16)
+        DN_mgmt_protect(BIN7) (priority16)
+        DN_mgmt_core(BIN5) (priority16)
+        DN_mgmt_core(BIN6) (priority16)
+        DN_R2_hierarchical_decoder (priority4)
+        DN_R2_wordline_driver_array (priority4)
+        DN_R2_replica_bitcell_array (priority4)
+        DN_mgmt_core(BIN2) (priority16)
+        DN_mgmt_core(BIN4) (priority16)
+        DN_mgmt_core(BIN7) (priority16)
+        DN_R2_bitcell_array (priority4)
+        DN_NK_mprj_logic_high (priority8)
+        DN_mgmt_core(BIN3) (priority16)
+        DN_storage (priority4)
+        DN_mgmt_core(BIN1) (priority16)
+        DN_mgmt_core(BIN0) (priority16)
+        DN_dpll (priority4)
+      PRIORITY INJECTION COMPLETE CPU TIME = 1  REAL TIME = 1  LVHEAP = 95/101/102
+    INJECTION POST COMPLETE (281 257). CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/101/102
+    INJECTION COMPLETE. CPU TIME = 1  REAL TIME = 1  LVHEAP = 95/101/102
+    COMPUTING RECTANGULAR EXTENTS
+    RECTANGULAR EXTENTS COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/101/102
+    COMPUTING RECTILINEAR EXTENTS
+    RECTILINEAR EXTENTS COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/101/102
+    SORTING PLACEMENTS VERTICALLY
+    SORT COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/101/102
+    PUSHING VERY SMALL CELL PLACEMENTS
+    PUSH COMPLETE (P=124050 PA1=0 PA2=0) CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/101/102
+    ELIMINATING DUPLICATE PLACEMENTS
+        DN_R2_contact_8 in DN_R2_hierarchical_predecode3x8 at (0.71,2.805)
+        DN_R2_contact_8 in DN_R2_hierarchical_predecode3x8 at (1.11,4.775)
+    DUPLICATE PLACEMENT ELIMINATION COMPLETE (299897 -> 299895 = 2). CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/101/102
+    PUSHING TOP LAYER CELL PLACEMENTS
+    PUSH COMPLETE (P=14 PA1=0 PA2=0) CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/101/102
+    ELIMINATING DUPLICATE PLACEMENTS
+    DUPLICATE PLACEMENT ELIMINATION COMPLETE (299895 -> 299895 = 0). CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/101/102
+    COMPUTING CELL-TO-WORLD TRANSFORMS
+    COMPUTE COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/101/102
+    SORTING PLACEMENTS BY CELL
+    SORT COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/101/102
+    PACKING HIERARCHY. (C=29 CN=6 P1=683 P2=1 XF=0) LVHEAP = 95/101/102
+    PACKING COMPLETE. (C=26 CN=3 P=294 XF=0) CPU TIME = 0  REAL TIME = 0  LVHEAP = 89/101/102
+    COMPUTING PLACEMENT OVERLAPS
+    COMPUTE COMPLETE (OC=271518 V1=100259 V2=113 SC=229 FC=184463). CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/111
+    COMPUTING CELL OVERLAP AREAS
+    COMPUTE COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112
+    COMPUTING PLACEMENT / OVERLAP AREA INTERSECTIONS
+    COMPUTE COMPLETE. CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112
+HIERARCHICAL DATABASE CONSTRUCTOR COMPLETE. CPU TIME = 21  REAL TIME = 21  LVHEAP = 93/111/112
+(C=26 CN=3 P=294 G=5240 GH=81 D=0 TXT=0 XF=0 PO=118)
+
+--------------------------------------------------------------------------------
+-----               TEXT OBJECTS FOR CONNECTIVITY EXTRACTION               -----
+--------------------------------------------------------------------------------
+
+
+--------------------------------------------------------------------------------
+-----                TEXT OBJECTS FOR WITH TEXT OPERATIONS                 -----
+--------------------------------------------------------------------------------
+
+
+--------------------------------------------------------------------------------
+-----               TEXT OBJECTS FOR EXPAND TEXT OPERATIONS                -----
+--------------------------------------------------------------------------------
+
+
+--------------------------------------------------------------------------------
+-----                  TEXT OBJECTS FOR CAPI OPERATIONS                    -----
+--------------------------------------------------------------------------------
+
+
+--------------------------------------------------------------------------------
+-----             LAYER READ SUMMARY (SIMPLE LAYER GEOMETRIES)             -----
+--------------------------------------------------------------------------------
+SIMPLE LAYER      GEOMETRIES                                                    
+--------------------------------------------------------------------------------
+
+  1000                1316
+  1001                3750
+  1002                  26
+  1003                1042
+  1004                  38
+  1005                 274
+  1006                 546
+  1007                   0
+  1008                8154
+  1009                2523
+  1012              162839
+  1013              225305
+  1014              392401
+  1015             1134225
+  1016              601048
+  1017              543187
+  1019              288586
+  1020              152458
+  1021              445589
+  1022                9881
+  1023               31986
+  1024                1745
+  1026                   1
+  1028                  30
+  1032                   0
+  1035                   0
+  1036                   0
+  1037                   0
+  1062                   0
+  1064                   6
+  1069                   4
+  1194                   3
+  1196                   3
+  1198                   3
+  1200                   3
+  1202                   3
+  1204                   3
+  1212                   3
+  1221                   3
+  1229                   1
+  1230                   8
+  1300                   4
+  1417              485268
+  1418             3774966
+  1419             2427406
+  1420            12145671
+  1421             9473714
+  1422             3786763
+  1423             3121603
+  1424              304604
+
+--------------------------------------------------------------------------------
+-----            LAYER READ SUMMARY (ORIGINAL LAYER GEOMETRIES)            -----
+--------------------------------------------------------------------------------
+ORIGINAL LAYER       INITIAL GEOMETRIES                 FINAL GEOMETRIES        
+--------------------------------------------------------------------------------
+
+COREID                     4 (17680)                        4 (17680)           
+ncm                        0 (0)                            0 (0)               
+diff                    3750 (923769)                    4341 (923769)          
+tap                     1042 (150511)                    1042 (150511)          
+poly                    8154 (1202338)                   8154 (1202338)         
+licon1                162839 (7077839)                 162839 (7077839)         
+diffTap                 4792 (1074280)                   5383 (1074280)         
+urpm                       4 (6)                            4 (6)               
+rpm                        0 (0)                            0 (0)               
+li1                   225305 (2374240)                 225305 (2374092)         
+mcon                  392401 (6648234)                 392401 (6648020)         
+nwell                   1316 (398139)                    1316 (398139)          
+npc                     2523 (328751)                    2523 (328751)          
+capm                       1 (1)                            1 (1)               
+via3                  445589 (1308266)                 447063 (1308266)         
+cap2m                      8 (12)                           8 (12)              
+via4                   31986 (261140)                   44218 (246488)          
+met3                  152458 (516826)                  152458 (513816)          
+met4                    9881 (376625)                   11249 (366389)          
+met1                 1134225 (2698678)                1134642 (2695748)         
+via                   601048 (1561614)                 601048 (1558832)         
+moduleCutAREA              0 (0)                            0 (0)               
+met2                  543187 (1113714)                 543458 (1107922)         
+via2                  288586 (1002418)                 307994 (999408)          
+met5                    1745 (26959)                     3023 (25918)           
+hvi                      546 (40383)                      546 (40383)           
+hvntm                     30 (48)                          30 (48)              
+SEALID                     6 (24)                          24 (24)              
+FOM_FILL              485268 (485268)                  485268 (485268)          
+FOMmk                      3 (12)                          12 (12)              
+P1Mmk                      3 (12)                          12 (12)              
+P1M_FILL             3774966 (3774966)                3774966 (3774966)         
+MM1_FILL            12145671 (12145671)              12145671 (12145671)        
+MM1mk                      3 (12)                          12 (12)              
+MM2_FILL             9473714 (9473714)                9473714 (9473714)         
+MM2mk                      3 (12)                          12 (12)              
+MM3_FILL             3786763 (3786763)                3786763 (3786763)         
+MM3mk                      3 (12)                          12 (12)              
+MM4_FILL             3121603 (3121603)                3121603 (3121603)         
+MM4mk                      3 (12)                          12 (12)              
+MM5_FILL              304604 (304604)                  304604 (304604)          
+MM5mk                      3 (12)                          12 (12)              
+LI1Mmk                     3 (12)                          12 (12)              
+LI1M_FILL            2427406 (2427406)                2427406 (2427406)         
+dnwell                    26 (423)                         26 (423)             
+hvtp                     274 (312936)                     274 (312936)          
+hvtr                       0 (0)                            0 (0)               
+lvtn                      38 (4460)                        38 (4460)            
+tunm                       0 (0)                            0 (0)               
+pad                        1 (96)                           1 (63)              
+rdl                        0 (0)                            0 (0)               
+
+--------------------------------------------------------------------------------
+-----         LAYER READ SUMMARY (TEXT FOR CONNECTIVITY EXTRACTION)        -----
+--------------------------------------------------------------------------------
+SIMPLE LAYER              TEXTS                                                 
+--------------------------------------------------------------------------------
+
+
+--------------------------------------------------------------------------------
+-----          LAYER READ SUMMARY (TEXT FOR WITH TEXT OPERATIONS)          -----
+--------------------------------------------------------------------------------
+SIMPLE LAYER              TEXTS                                                 
+--------------------------------------------------------------------------------
+
+
+--------------------------------------------------------------------------------
+-----         LAYER READ SUMMARY (TEXT FOR EXPAND TEXT OPERATIONS)         -----
+--------------------------------------------------------------------------------
+SIMPLE LAYER              TEXTS                                                 
+--------------------------------------------------------------------------------
+
+
+--------------------------------------------------------------------------------
+-----            LAYER READ SUMMARY (TEXT FOR CAPI OPERATIONS)             -----
+--------------------------------------------------------------------------------
+SIMPLE LAYER              TEXTS                                                 
+--------------------------------------------------------------------------------
+
+
+--------------------------------------------------------------------------------
+-----                      CELL AND PLACEMENT SUMMARY                      -----
+--------------------------------------------------------------------------------
+CELL TYPE                 CELLS           PLACEMENTS       FLAT PLACEMENTS      
+--------------------------------------------------------------------------------
+
+USER                        780               270645                916460
+ VERY SMALL                 107               215183                534648
+ TOP LAYER                  125               195989                444073
+  VERY SMALL                 52               194880                439284
+PSEUDO                     1379                60310                181744
+TOTAL                      2159               330955               1098204
+
+--------------------------------------------------------------------------------
+-----                   LAYOUT DATA INPUT MODULE SUMMARY                   -----
+--------------------------------------------------------------------------------
+
+--- TOTAL GEOMETRIES READ FROM SIMPLE LAYERS = 39526992
+--- TOTAL GEOMETRIES READ FROM ORIGINAL LAYERS = 39531784 (64940501)
+--- TOTAL GEOMETRIES WRITTEN TO ORIGINAL LAYERS = 39569504 (64896653)
+--- LVHEAP = 93/111/112
+--- DATABASE EXTENT = [ 0 , 0 ] -> [ 3600 , 5200 ]
+--- GEOMETRIC DEPTH = ALL
+--- TEXT DEPTH FOR CONNECTIVITY EXTRACTION = PRIMARY
+--- TOTAL TEXT OBJECTS FOR CONNECTIVITY EXTRACTION = 0 (0)
+--- TOTAL TEXT OBJECTS FOR WITH TEXT OPERATIONS = 0 (0)
+--- TOTAL TEXT OBJECTS FOR EXPAND TEXT OPERATIONS = 0 (0)
+--- TOTAL TEXT OBJECTS FOR CAPI OPERATIONS = 0 (0)
+--- GEOMETRY FLAGGING = ACUTE (NO)  SKEW (NO)  ANGLED (NO)  OFFGRID (NO)
+                        NONSIMPLE POLYGON (NO)  NONSIMPLE PATH (NO)
+--- PRIMARY CELL = caravel_00020021
+--- EXCLUDED CELLS =
+--- LAYOUT BASE LAYER = diff tap poly lvtn hvtp
+                        hvi npc nsdm psdm DIODEID
+                        ESDID COREID diffres polyres li1res
+                        fuse metop1 metop2 metop3 metop4
+                        metop5 metop6 metop7 metop8
+--- LAYOUT TOP LAYER = (NOT SPECIFIED)
+
+--- CALIBRE LAYOUT DATA INPUT MODULE COMPLETED.  CPU TIME = 33  REAL TIME = 34
+
+--------------------------------------------------------------------------------
+--------------------------------------------------------------------------------
+-----        CALIBRE::DRC-H - RESULTS DATABASE INITIALIZATION MODULE       -----
+--------------------------------------------------------------------------------
+--------------------------------------------------------------------------------
+
+--- GLOBAL DRC RESULTS DATABASE FILE = caravel_00020021.drc.results (ASCII)
+--- GLOBAL MAXIMUM RESULTS PER RULECHECK = 1000
+--- GLOBAL MAXIMUM VERTICES PER RESULT POLYGON = 4096
+--- CHECK TEXT MAPPING = COMMENTS + RULE FILE INFORMATION
+--- KEEP EMPTY RULE CHECKS = YES
+--- DRC RESULTS MAGNIFICATION = 1
+--- DRC RESULTS DATABASE PRECISION = 1000
+
+--------------------------------------------------------------------------------
+-----              DRC RULECHECK -> RESULTS DATABASE MAPPING               -----
+--------------------------------------------------------------------------------
+                                                          DATA        MAX    MAX
+RULECHECK            RESULTS DATABASE         TYPE LAYER  TYPE     RESULT VERTEX
+--------------------------------------------------------------------------------
+MR_dnwell.2          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_nwell.1           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_nwell.2a          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_hvtp.1            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_hvtp.2            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_hvtr.1            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_hvtr.2            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_hvtr.2_a          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_lvtn.1a           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_lvtn.2            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_ncm.1             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_ncm.2a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_difftap.1         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_difftap.1_a       caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_difftap.1_b       caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_difftap.1_c       caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_difftap.3         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_tunm.1            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_tunm.2            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_poly.1a           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_poly.2            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_rpm.1a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_rpm.2             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_urpm.1a           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_urpm.2            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_npc.1             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_npc.2             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_licon.1           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_licon.1_a         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_licon.1_b         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_licon.13          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_licon.13_a        caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_licon.17          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_li.1              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_li.3              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_li.5              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_li.6              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_ct.1              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_ct.1_a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_ct.1_b            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_ct.2              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_ct.3              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_ct.3_a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_ct.3_b            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_ct.4              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_capm.1            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_capm.2a           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_capm.2b           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_capm.2b_a         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_capm.3            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_capm.4            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_capm.5            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cap2m.1           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cap2m.2a          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cap2m.2b          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cap2m.2b_a        caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cap2m.3           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cap2m.4           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cap2m.5           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m1.1              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m1.2              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m1.3b             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m1.3a             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_791_m1.4          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m1.4              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m1.4a             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m1.4a_a           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m1.5              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m1.6              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m1.7              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m1.7_a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via.1a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via.1a_a          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via.1a_b          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via.2             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via.3             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via.3_a           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via.3_b           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via.4a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via.4a_a          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via.5a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m2.1              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m2.2              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m2.3b             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m2.3a             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m2.4              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m2.4_a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m2.5              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m2.6              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m2.7              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m2.7_a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via2.1a           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via2.1a_a         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via2.1a_b         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via2.2            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via2.3            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via2.3_a          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via2.3_b          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via2.4            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via2.4_a          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via2.5            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m3.1              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m3.2              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m3.4              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m3.4_a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m3.3d             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m3.3c             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via3.1            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via3.1_a          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via3.1_b          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via3.2            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via3.4            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via3.4_a          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via3.5            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m4.1              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m4.2              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m4.3              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m4.3_a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m4.4a             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m4.5b             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m4.5a             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via4.1            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via4.1_a          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via4.1_b          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via4.2            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via4.3            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via4.3_a          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via4.3_b          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via4.4            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_via4.4_a          caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m5.1              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m5.2              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m5.3              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m5.3_a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_m5.4              caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_pad.2             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_hvi.1             caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_hvi.2a            caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_hvntm.1           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_hvntm.2           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cfom.waffle.1     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cfom.waffle.2     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cfom.waffle.2a    caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cp1m.waffle.1     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cp1m.waffle.2a    caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_li1m.waffle.1     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_li1m.waffle.2a    caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm1.waffle.1     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm1.waffle.2     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm2.waffle.1     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm2.waffle.2     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm3.waffle.1     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm3.waffle.2     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm4.waffle.1     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm4.waffle.2     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm5.waffle.1     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm5.waffle.2     caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cfom.pd.1d        caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cfom.pd.1e        caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cli1m.4           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cli1m.5           caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm1.pd.3         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm1.pd.4         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm2.pd.3         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm2.pd.4         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm3.pd.3         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm3.pd.4         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm4.pd.3         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm4.pd.4         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm5.pd.4         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+MR_cmm5.pd.5         caravel_00020021.drc.results
+                                             ASCII   N/A   N/A       1000   4096
+
+--- CALIBRE::DRC-H RESULTS DATABASE INITIALIZATION MODULE COMPLETED.  CPU TIME = 0  REAL TIME = 0
+
+--------------------------------------------------------------------------------
+--------------------------------------------------------------------------------
+-----                   CALIBRE::DRC-H - EXECUTIVE MODULE                  -----
+--------------------------------------------------------------------------------
+--------------------------------------------------------------------------------
+
+dnwell = OR dnwell
+------------------
+dnwell (HIER TYP=1 CFG=1 HGC=14 FGC=327 HEC=90 FEC=2088 IGC=301 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 1 OF 408  ELAPSED TIME = 37
+
+Original Layer dnwell DELETED -- LVHEAP = 93/111/112
+
+MR_dnwell.2::<1> = INT dnwell < 3 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+-------------------------------------------------------------------------
+MR_dnwell.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 2 OF 408  ELAPSED TIME = 37
+
+Layer MR_dnwell.2::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_dnwell.2 COMPLETED. Number of Results = 0 (0)
+
+nwell = OR nwell
+----------------
+nwell (HIER TYP=1 CFG=1 HGC=579 FGC=378724 HEC=2752 FEC=1529301 IGC=2697 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 3 OF 408  ELAPSED TIME = 38
+
+Original Layer nwell DELETED -- LVHEAP = 93/111/112
+
+MR_nwell.2a::<1> = EXT nwell < 1.27 REGION ABUT < 90 SINGULAR
+MR_nwell.1::<1> = INT nwell < 0.84 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+--------------------------------------------------------------------------
+MR_nwell.2a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_nwell.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 5 OF 408  ELAPSED TIME = 38
+
+Layer MR_nwell.1::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_nwell.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_nwell.2a::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_nwell.2a COMPLETED. Number of Results = 0 (0)
+
+hvtp = OR hvtp
+--------------
+hvtp (HIER TYP=1 CFG=1 HGC=255 FGC=310309 HEC=1042 FEC=1241578 IGC=2110 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 6 OF 408  ELAPSED TIME = 38
+
+Original Layer hvtp DELETED -- LVHEAP = 93/111/112
+
+MR_hvtp.2::<1> = EXT hvtp < 0.38 REGION ABUT < 90 SINGULAR
+MR_hvtp.1::<1> = INT hvtp < 0.38 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+------------------------------------------------------------------------
+MR_hvtp.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_hvtp.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 8 OF 408  ELAPSED TIME = 38
+
+Layer MR_hvtp.1::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_hvtp.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_hvtp.2::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_hvtp.2 COMPLETED. Number of Results = 0 (0)
+
+hvtr = OR hvtr
+--------------
+hvtr (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 9 OF 408  ELAPSED TIME = 38
+
+Original Layer hvtr DELETED -- LVHEAP = 93/111/112
+
+MR_hvtr.1::<1> = INT hvtr < 0.38 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+------------------------------------------------------------------------
+MR_hvtr.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 10 OF 408  ELAPSED TIME = 38
+
+Layer MR_hvtr.1::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_hvtr.1 COMPLETED. Number of Results = 0 (0)
+
+MR_hvtr.2::<1> = EXT hvtr hvtp < 0.38 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+-----------------------------------------------------------------------------
+MR_hvtr.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 11 OF 408  ELAPSED TIME = 38
+
+Layer MR_hvtr.2::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_hvtr.2 COMPLETED. Number of Results = 0 (0)
+
+MR_hvtr.2_a::<1> = hvtr AND hvtp
+--------------------------------
+MR_hvtr.2_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 12 OF 408  ELAPSED TIME = 38
+
+Layer hvtr DELETED -- LVHEAP = 93/111/112
+
+Layer hvtp DELETED -- LVHEAP = 93/111/112
+
+Layer MR_hvtr.2_a::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_hvtr.2_a COMPLETED. Number of Results = 0 (0)
+
+lvtn = OR lvtn
+--------------
+lvtn (HIER TYP=1 CFG=1 HGC=21 FGC=3798 HEC=138 FEC=17238 IGC=132 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 13 OF 408  ELAPSED TIME = 38
+
+Original Layer lvtn DELETED -- LVHEAP = 93/111/112
+
+MR_lvtn.2::<1> = EXT lvtn < 0.38 REGION ABUT < 90 SINGULAR
+MR_lvtn.1a::<1> = INT lvtn < 0.38 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+-------------------------------------------------------------------------
+MR_lvtn.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_lvtn.1a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 15 OF 408  ELAPSED TIME = 38
+
+Layer lvtn DELETED -- LVHEAP = 93/111/112
+
+Layer MR_lvtn.1a::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_lvtn.1a COMPLETED. Number of Results = 0 (0)
+
+Layer MR_lvtn.2::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_lvtn.2 COMPLETED. Number of Results = 0 (0)
+
+ncm = OR ncm
+------------
+ncm (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 16 OF 408  ELAPSED TIME = 38
+
+Original Layer ncm DELETED -- LVHEAP = 93/111/112
+
+COREID = OR COREID
+------------------
+COREID (HIER TYP=1 CFG=1 HGC=4 FGC=17680 HEC=16 FEC=70720 IGC=41 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 17 OF 408  ELAPSED TIME = 38
+
+Original Layer COREID DELETED -- LVHEAP = 93/111/112
+
+ncmPeri_drc = ncm NOT COREID
+----------------------------
+ncmPeri_drc (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 18 OF 408  ELAPSED TIME = 38
+
+Layer ncm DELETED -- LVHEAP = 93/111/112
+
+MR_ncm.2a::<1> = EXT ncmPeri_drc < 0.38 REGION ABUT < 90 SINGULAR
+MR_ncm.1::<1> = INT ncmPeri_drc < 0.38 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+------------------------------------------------------------------------------
+MR_ncm.2a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_ncm.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 20 OF 408  ELAPSED TIME = 38
+
+Layer ncmPeri_drc DELETED -- LVHEAP = 93/111/112
+
+Layer MR_ncm.1::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_ncm.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_ncm.2a::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_ncm.2a COMPLETED. Number of Results = 0 (0)
+
+diff = OR diff
+--------------
+diff (HIER TYP=1 CFG=1 HGC=2463 FGC=774556 HEC=10572 FEC=3535328 IGC=4493 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 21 OF 408  ELAPSED TIME = 38
+
+Original Layer diff DELETED -- LVHEAP = 93/111/112
+
+q8diff = diff NOT COREID
+------------------------
+q8diff (HIER TYP=1 CFG=1 HGC=2449 FGC=689016 HEC=10492 FEC=3055888 IGC=4493 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 22 OF 408  ELAPSED TIME = 38
+
+q7diff = INT q8diff < 0.15 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+------------------------------------------------------------------
+q7diff (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 23 OF 408  ELAPSED TIME = 39
+
+Layer q8diff DELETED -- LVHEAP = 93/111/112
+
+q1diff = INT diff < 0.15 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+----------------------------------------------------------------
+q1diff (HIER TYP=1 CFG=1 HGC=2 FGC=16900 HEC=8 FEC=67600 IGC=60 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 24 OF 408  ELAPSED TIME = 39
+
+Layer diff DELETED -- LVHEAP = 93/111/112
+
+q4diff = q1diff OUTSIDE COREID
+TMP<1> = q1diff CUT COREID
+------------------------------
+q4diff (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+TMP<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 26 OF 408  ELAPSED TIME = 39
+
+q2diff = q7diff INSIDE TMP<1>
+-----------------------------
+q2diff (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 27 OF 408  ELAPSED TIME = 39
+
+Layer q7diff DELETED -- LVHEAP = 93/111/112
+
+Layer TMP<1> DELETED -- LVHEAP = 93/111/112
+
+q3diff = SIZE q2diff BY 0.005 INSIDE OF q1diff STEP 0.15
+--------------------------------------------------------
+q3diff (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 28 OF 408  ELAPSED TIME = 39
+
+Layer q2diff DELETED -- LVHEAP = 93/111/112
+
+Layer q1diff DELETED -- LVHEAP = 93/111/112
+
+Layer q3diff DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_difftap.1 COMPLETED. Number of Results = 0 (0)
+
+Layer q4diff DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_difftap.1_a COMPLETED. Number of Results = 0 (0)
+
+tap = OR tap
+------------
+tap (HIER TYP=1 CFG=1 HGC=600 FGC=143966 HEC=3651 FEC=592616 IGC=1928 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 29 OF 408  ELAPSED TIME = 39
+
+Original Layer tap DELETED -- LVHEAP = 93/111/112
+
+q7tap = tap NOT COREID
+----------------------
+q7tap (HIER TYP=1 CFG=1 HGC=592 FGC=92746 HEC=3619 FEC=387736 IGC=1850 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 30 OF 408  ELAPSED TIME = 39
+
+q6tap = INT q7tap < 0.15 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+----------------------------------------------------------------
+q6tap (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 31 OF 408  ELAPSED TIME = 39
+
+Layer q7tap DELETED -- LVHEAP = 93/111/112
+
+q0tap = INT tap < 0.15 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+--------------------------------------------------------------
+q0tap (HIER TYP=1 CFG=1 HGC=2 FGC=16900 HEC=8 FEC=67600 IGC=31 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 32 OF 408  ELAPSED TIME = 39
+
+q3tap = q0tap OUTSIDE COREID
+TMP<2> = q0tap CUT COREID
+----------------------------
+q3tap (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+TMP<2> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 34 OF 408  ELAPSED TIME = 39
+
+q1tap = q6tap INSIDE TMP<2>
+---------------------------
+q1tap (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 35 OF 408  ELAPSED TIME = 39
+
+Layer q6tap DELETED -- LVHEAP = 93/111/112
+
+Layer TMP<2> DELETED -- LVHEAP = 93/111/112
+
+q2tap = SIZE q1tap BY 0.005 INSIDE OF q0tap STEP 0.15
+-----------------------------------------------------
+q2tap (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 36 OF 408  ELAPSED TIME = 39
+
+Layer q1tap DELETED -- LVHEAP = 93/111/112
+
+Layer q0tap DELETED -- LVHEAP = 93/111/112
+
+Layer q2tap DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_difftap.1_b COMPLETED. Number of Results = 0 (0)
+
+Layer q3tap DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_difftap.1_c COMPLETED. Number of Results = 0 (0)
+
+diffTap = OR diffTap
+--------------------
+diffTap (HIER TYP=1 CFG=1 HGC=3028 FGC=841544 HEC=14083 FEC=3820032 IGC=4990 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 37 OF 408  ELAPSED TIME = 39
+
+Original Layer diffTap DELETED -- LVHEAP = 93/111/112
+
+MR_difftap.3::<1> = EXT diffTap < 0.27 REGION ABUT < 90 SINGULAR
+----------------------------------------------------------------
+MR_difftap.3::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 38 OF 408  ELAPSED TIME = 39
+
+Layer MR_difftap.3::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_difftap.3 COMPLETED. Number of Results = 0 (0)
+
+tunm = OR tunm
+--------------
+tunm (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 39 OF 408  ELAPSED TIME = 39
+
+Original Layer tunm DELETED -- LVHEAP = 93/111/112
+
+MR_tunm.2::<1> = EXT tunm < 0.5 REGION ABUT < 90 SINGULAR
+MR_tunm.1::<1> = INT tunm < 0.41 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+------------------------------------------------------------------------
+MR_tunm.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_tunm.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 41 OF 408  ELAPSED TIME = 39
+
+Layer tunm DELETED -- LVHEAP = 93/111/112
+
+Layer MR_tunm.1::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_tunm.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_tunm.2::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_tunm.2 COMPLETED. Number of Results = 0 (0)
+
+poly = OR poly
+--------------
+poly (HIER TYP=1 CFG=1 HGC=4242 FGC=818653 HEC=32397 FEC=7532161 IGC=4731 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 42 OF 408  ELAPSED TIME = 40
+
+Original Layer poly DELETED -- LVHEAP = 93/111/112
+
+MR_poly.1a::<1> = INT poly < 0.15 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+-------------------------------------------------------------------------
+MR_poly.1a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 43 OF 408  ELAPSED TIME = 40
+
+Layer MR_poly.1a::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_poly.1a COMPLETED. Number of Results = 0 (0)
+
+poly_PERI = poly NOT COREID
+---------------------------
+poly_PERI (HIER TYP=1 CFG=1 HGC=4224 FGC=731293 HEC=32129 FEC=6082401 IGC=4731 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 44 OF 408  ELAPSED TIME = 40
+
+MR_poly.2::<1> = EXT poly_PERI < 0.21 REGION ABUT < 90 SINGULAR
+---------------------------------------------------------------
+MR_poly.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 45 OF 408  ELAPSED TIME = 40
+
+Layer poly_PERI DELETED -- LVHEAP = 93/111/112
+
+Layer MR_poly.2::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_poly.2 COMPLETED. Number of Results = 0 (0)
+
+rpm = OR rpm
+------------
+rpm (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 46 OF 408  ELAPSED TIME = 40
+
+Original Layer rpm DELETED -- LVHEAP = 93/111/112
+
+MR_rpm.2::<1> = EXT rpm < 0.84 REGION ABUT < 90 SINGULAR
+MR_rpm.1a::<1> = INT rpm < 1.27 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+-----------------------------------------------------------------------
+MR_rpm.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_rpm.1a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 48 OF 408  ELAPSED TIME = 40
+
+Layer MR_rpm.1a::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_rpm.1a COMPLETED. Number of Results = 0 (0)
+
+Layer MR_rpm.2::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_rpm.2 COMPLETED. Number of Results = 0 (0)
+
+urpm = OR urpm
+--------------
+urpm (HIER TYP=1 CFG=1 HGC=4 FGC=6 HEC=16 FEC=24 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 49 OF 408  ELAPSED TIME = 40
+
+Original Layer urpm DELETED -- LVHEAP = 93/111/112
+
+MR_urpm.2::<1> = EXT urpm < 0.84 REGION ABUT < 90 SINGULAR
+MR_urpm.1a::<1> = INT urpm < 1.27 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+-------------------------------------------------------------------------
+MR_urpm.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_urpm.1a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 51 OF 408  ELAPSED TIME = 40
+
+Layer MR_urpm.1a::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_urpm.1a COMPLETED. Number of Results = 0 (0)
+
+Layer MR_urpm.2::<1> DELETED -- LVHEAP = 93/111/112
+
+DRC RuleCheck MR_urpm.2 COMPLETED. Number of Results = 0 (0)
+
+npc = OR npc
+------------
+npc (HIER TYP=1 CFG=1 HGC=2315 FGC=298424 HEC=10130 FEC=1834144 IGC=3261 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 52 OF 408  ELAPSED TIME = 40
+
+Original Layer npc DELETED -- LVHEAP = 93/111/112
+
+MR_npc.2::<1> = EXT npc < 0.27 REGION ABUT < 90 SINGULAR
+MR_npc.1::<1> = INT npc < 0.27 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+----------------------------------------------------------------------
+MR_npc.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_npc.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 54 OF 408  ELAPSED TIME = 41
+
+Layer MR_npc.1::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_npc.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_npc.2::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_npc.2 COMPLETED. Number of Results = 0 (0)
+
+licon1 = OR licon1
+------------------
+licon1 (HIER TYP=1 CFG=1 HGC=162861 FGC=6788283 HEC=651444 FEC=27153132 IGC=50570 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 93/111/112  OPS COMPLETE = 55 OF 408  ELAPSED TIME = 41
+
+Original Layer licon1 DELETED -- LVHEAP = 93/111/112
+
+ringLCON1 = DONUT licon1
+------------------------
+ringLCON1 (HIER-FMF TYP=1 CFG=0 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 93/111/112  OPS COMPLETE = 56 OF 408  ELAPSED TIME = 41
+
+rectLCON1 = licon1 NOT ringLCON1
+--------------------------------
+rectLCON1 (HIER TYP=1 CFG=0 HGC=162861 FGC=6788283 HEC=651444 FEC=27153132 IGC=50570 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 57 OF 408  ELAPSED TIME = 41
+
+Layer ringLCON1 DELETED -- LVHEAP = 94/111/112
+
+TMP<9> = rpm OR urpm
+--------------------
+TMP<9> (HIER TYP=1 CFG=0 HGC=4 FGC=6 HEC=16 FEC=24 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 58 OF 408  ELAPSED TIME = 41
+
+Layer rpm DELETED -- LVHEAP = 94/111/112
+
+Layer urpm DELETED -- LVHEAP = 94/111/112
+
+rectLCON1OutRpm = rectLCON1 NOT TMP<9>
+--------------------------------------
+rectLCON1OutRpm (HIER TYP=1 CFG=1 HGC=162473 FGC=6787599 HEC=649892 FEC=27150396 IGC=50570 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 59 OF 408  ELAPSED TIME = 41
+
+Layer rectLCON1 DELETED -- LVHEAP = 94/111/112
+
+Layer TMP<9> DELETED -- LVHEAP = 94/111/112
+
+q0rectLCON1OutRpm = NOT RECTANGLE rectLCON1OutRpm ORTHOGONAL ONLY
+-----------------------------------------------------------------
+q0rectLCON1OutRpm (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 60 OF 408  ELAPSED TIME = 41
+
+Layer q0rectLCON1OutRpm DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_licon.1 COMPLETED. Number of Results = 0 (0)
+
+q1rectLCON1OutRpm = INT rectLCON1OutRpm < 0.17 REGION
+-----------------------------------------------------
+q1rectLCON1OutRpm (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 94/111/112  OPS COMPLETE = 61 OF 408  ELAPSED TIME = 42
+
+Layer q1rectLCON1OutRpm DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_licon.1_a COMPLETED. Number of Results = 0 (0)
+
+TMP<10> = LENGTH rectLCON1OutRpm > 0.17
+---------------------------------------
+TMP<10> (HIER-PMF TYP=2 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 94/111/112  OPS COMPLETE = 62 OF 408  ELAPSED TIME = 43
+
+q2rectLCON1OutRpm = rectLCON1OutRpm WITH EDGE TMP<10>
+-----------------------------------------------------
+q2rectLCON1OutRpm (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 63 OF 408  ELAPSED TIME = 43
+
+Layer rectLCON1OutRpm DELETED -- LVHEAP = 94/111/112
+
+Layer TMP<10> DELETED -- LVHEAP = 94/111/112
+
+Layer q2rectLCON1OutRpm DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_licon.1_b COMPLETED. Number of Results = 0 (0)
+
+xfom = diffTap NOT poly
+-----------------------
+xfom (HIER TYP=1 CFG=0 HGC=6891 FGC=2138096 HEC=29539 FEC=9006520 IGC=4990 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 64 OF 408  ELAPSED TIME = 43
+
+TMP<3> = licon1 AND xfom
+------------------------
+TMP<3> (HIER TYP=1 CFG=1 HGC=148301 FGC=5271028 HEC=593204 FEC=21084112 IGC=48765 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 65 OF 408  ELAPSED TIME = 44
+
+Layer xfom DELETED -- LVHEAP = 94/111/112
+
+licon1ToXfom = licon1 INTERACT TMP<3>
+-------------------------------------
+licon1ToXfom (HIER TYP=1 CFG=0 HGC=148129 FGC=5271028 HEC=592516 FEC=21084112 IGC=50570 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 66 OF 408  ELAPSED TIME = 44
+
+Layer TMP<3> DELETED -- LVHEAP = 94/111/112
+
+licon1ToXfom_PERI = licon1ToXfom NOT COREID
+-------------------------------------------
+licon1ToXfom_PERI (HIER TYP=1 CFG=1 HGC=148086 FGC=4981388 HEC=592344 FEC=19925552 IGC=50570 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 67 OF 408  ELAPSED TIME = 44
+
+Layer licon1ToXfom DELETED -- LVHEAP = 94/111/112
+
+MR_licon.13::<1> = EXT licon1ToXfom_PERI npc < 0.09 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+-------------------------------------------------------------------------------------------
+MR_licon.13::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 94/111/112  OPS COMPLETE = 68 OF 408  ELAPSED TIME = 45
+
+Layer MR_licon.13::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_licon.13 COMPLETED. Number of Results = 0 (0)
+
+MR_licon.13_a::<1> = licon1ToXfom_PERI AND npc
+----------------------------------------------
+MR_licon.13_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 69 OF 408  ELAPSED TIME = 45
+
+Layer licon1ToXfom_PERI DELETED -- LVHEAP = 94/111/112
+
+Layer MR_licon.13_a::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_licon.13_a COMPLETED. Number of Results = 0 (0)
+
+TMP<11> = licon1 AND poly
+-------------------------
+TMP<11> (HIER TYP=1 CFG=1 HGC=14732 FGC=1517255 HEC=58940 FEC=6137660 IGC=4903 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 70 OF 408  ELAPSED TIME = 45
+
+liconOverPoly = licon1 INTERACT TMP<11>
+---------------------------------------
+liconOverPoly (HIER TYP=1 CFG=0 HGC=14732 FGC=1517255 HEC=58928 FEC=6069020 IGC=4903 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 71 OF 408  ELAPSED TIME = 46
+
+Layer TMP<11> DELETED -- LVHEAP = 94/111/112
+
+MR_licon.17::<1> = liconOverPoly AND diffTap
+--------------------------------------------
+MR_licon.17::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 72 OF 408  ELAPSED TIME = 46
+
+Layer liconOverPoly DELETED -- LVHEAP = 94/111/112
+
+Layer MR_licon.17::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_licon.17 COMPLETED. Number of Results = 0 (0)
+
+li1 = OR li1
+------------
+li1 (HIER TYP=1 CFG=1 HGC=215804 FGC=1816760 HEC=888583 FEC=13361773 IGC=8876 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 94/111/112  OPS COMPLETE = 73 OF 408  ELAPSED TIME = 47
+
+Original Layer li1 DELETED -- LVHEAP = 94/111/112
+
+li1_PERI = li1 NOT COREID
+-------------------------
+li1_PERI (HIER TYP=1 CFG=1 HGC=216176 FGC=1611884 HEC=889959 FEC=12053997 IGC=8876 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 74 OF 408  ELAPSED TIME = 47
+
+MR_li.3::<1> = EXT li1_PERI < 0.17 REGION ABUT < 90 SINGULAR
+MR_li.1::<1> = INT li1_PERI < 0.17 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+--------------------------------------------------------------------------
+MR_li.3::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_li.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 9  REAL TIME = 9  LVHEAP = 94/111/112  OPS COMPLETE = 76 OF 408  ELAPSED TIME = 56
+
+Layer li1_PERI DELETED -- LVHEAP = 94/111/112
+
+Layer MR_li.1::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_li.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_li.3::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_li.3 COMPLETED. Number of Results = 0 (0)
+
+licon1_PERI = licon1 NOT COREID
+-------------------------------
+licon1_PERI (HIER TYP=1 CFG=1 HGC=162803 FGC=6412843 HEC=651212 FEC=25651372 IGC=50570 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 77 OF 408  ELAPSED TIME = 56
+
+MR_li.5::q2li1enc = ENC [licon1_PERI] li1 < 0.08 MEASURE ALL PROJECTING > 0 ABUT < 90 PARALLEL ONLY
+---------------------------------------------------------------------------------------------------
+MR_li.5::q2li1enc (HIER-PMF TYP=2 CFG=0 HGC=796396 FGC=5554789 HEC=796396 FEC=5554789 IGC=61160 VHC=F VPC=F)
+CPU TIME = 14  REAL TIME = 14  LVHEAP = 96/111/112  OPS COMPLETE = 78 OF 408  ELAPSED TIME = 70
+
+Layer licon1_PERI DELETED -- LVHEAP = 96/111/112
+
+MR_li.5::TMP<12> = EXPAND EDGE MR_li.5::q2li1enc INSIDE BY 0.005
+----------------------------------------------------------------
+MR_li.5::TMP<12> (HIER TYP=1 CFG=1 HGC=795837 FGC=5544922 HEC=3183348 FEC=22179688 IGC=59691 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 96/111/112  OPS COMPLETE = 79 OF 408  ELAPSED TIME = 71
+
+Layer MR_li.5::q2li1enc DELETED -- LVHEAP = 96/111/112
+
+MR_li.5::<1> = NOT RECTANGLE MR_li.5::TMP<12> ORTHOGONAL ONLY
+-------------------------------------------------------------
+MR_li.5::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 80 OF 408  ELAPSED TIME = 72
+
+Layer MR_li.5::TMP<12> DELETED -- LVHEAP = 94/111/112
+
+Layer MR_li.5::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_li.5 COMPLETED. Number of Results = 0 (0)
+
+MR_li.6::<1> = AREA li1 < 0.0561
+--------------------------------
+MR_li.6::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 3  REAL TIME = 3  LVHEAP = 94/111/112  OPS COMPLETE = 81 OF 408  ELAPSED TIME = 75
+
+Layer MR_li.6::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_li.6 COMPLETED. Number of Results = 0 (0)
+
+mcon = OR mcon
+--------------
+mcon (HIER TYP=1 CFG=1 HGC=383172 FGC=6407656 HEC=1532688 FEC=25630624 IGC=49095 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 94/111/112  OPS COMPLETE = 82 OF 408  ELAPSED TIME = 76
+
+Original Layer mcon DELETED -- LVHEAP = 94/111/112
+
+ringMCON = DONUT mcon
+---------------------
+ringMCON (HIER-FMF TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 94/111/112  OPS COMPLETE = 83 OF 408  ELAPSED TIME = 77
+
+rectMCON = mcon NOT ringMCON
+----------------------------
+rectMCON (HIER TYP=1 CFG=1 HGC=383172 FGC=6407656 HEC=1532688 FEC=25630624 IGC=49095 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 84 OF 408  ELAPSED TIME = 77
+
+q0rectMCON = NOT RECTANGLE rectMCON ORTHOGONAL ONLY
+---------------------------------------------------
+q0rectMCON (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 94/111/112  OPS COMPLETE = 85 OF 408  ELAPSED TIME = 79
+
+Layer q0rectMCON DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_ct.1 COMPLETED. Number of Results = 0 (0)
+
+q1rectMCON = INT rectMCON < 0.17 REGION
+---------------------------------------
+q1rectMCON (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 3  REAL TIME = 3  LVHEAP = 94/111/112  OPS COMPLETE = 86 OF 408  ELAPSED TIME = 81
+
+Layer q1rectMCON DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_ct.1_a COMPLETED. Number of Results = 0 (0)
+
+TMP<13> = LENGTH rectMCON > 0.17
+--------------------------------
+TMP<13> (HIER-PMF TYP=2 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 6  REAL TIME = 6  LVHEAP = 94/111/112  OPS COMPLETE = 87 OF 408  ELAPSED TIME = 88
+
+q2rectMCON = rectMCON WITH EDGE TMP<13>
+---------------------------------------
+q2rectMCON (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 88 OF 408  ELAPSED TIME = 88
+
+Layer rectMCON DELETED -- LVHEAP = 94/111/112
+
+Layer TMP<13> DELETED -- LVHEAP = 94/111/112
+
+Layer q2rectMCON DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_ct.1_b COMPLETED. Number of Results = 0 (0)
+
+MR_ct.2::<1> = EXT mcon < 0.19 REGION ABUT < 90 SINGULAR
+--------------------------------------------------------
+MR_ct.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 3  REAL TIME = 3  LVHEAP = 94/111/112  OPS COMPLETE = 89 OF 408  ELAPSED TIME = 90
+
+Layer MR_ct.2::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_ct.2 COMPLETED. Number of Results = 0 (0)
+
+MR_ct.3::<1> = INT ringMCON < 0.17 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+--------------------------------------------------------------------------
+MR_ct.3::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 90 OF 408  ELAPSED TIME = 90
+
+Layer MR_ct.3::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_ct.3 COMPLETED. Number of Results = 0 (0)
+
+MR_ct.3_a::q0ringMCON = SIZE ringMCON BY -0.087
+-----------------------------------------------
+MR_ct.3_a::q0ringMCON (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 91 OF 408  ELAPSED TIME = 90
+
+MR_ct.3_a::<1> = SIZE MR_ct.3_a::q0ringMCON BY 0.087
+----------------------------------------------------
+MR_ct.3_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 92 OF 408  ELAPSED TIME = 90
+
+Layer MR_ct.3_a::q0ringMCON DELETED -- LVHEAP = 94/111/112
+
+Layer MR_ct.3_a::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_ct.3_a COMPLETED. Number of Results = 0 (0)
+
+SEALID = OR SEALID
+------------------
+SEALID (HIER TYP=1 CFG=1 HGC=134 FGC=134 HEC=548 FEC=548 IGC=318 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 93 OF 408  ELAPSED TIME = 90
+
+Original Layer SEALID DELETED -- LVHEAP = 94/111/112
+
+MR_ct.3_b::<1> = ringMCON NOT SEALID
+------------------------------------
+MR_ct.3_b::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 94 OF 408  ELAPSED TIME = 90
+
+Layer ringMCON DELETED -- LVHEAP = 94/111/112
+
+Layer MR_ct.3_b::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_ct.3_b COMPLETED. Number of Results = 0 (0)
+
+mcon_PERI = mcon NOT COREID
+---------------------------
+mcon_PERI (HIER TYP=1 CFG=1 HGC=383540 FGC=6201484 HEC=1534160 FEC=24805936 IGC=49095 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 95 OF 408  ELAPSED TIME = 90
+
+MR_ct.4::<1> = mcon_PERI NOT li1
+--------------------------------
+MR_ct.4::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 96 OF 408  ELAPSED TIME = 91
+
+Layer MR_ct.4::<1> DELETED -- LVHEAP = 94/111/112
+
+DRC RuleCheck MR_ct.4 COMPLETED. Number of Results = 0 (0)
+
+NTAP = tap AND nwell
+--------------------
+NTAP (HIER TYP=1 CFG=1 HGC=309 FGC=61637 HEC=1788 FEC=253920 IGC=1353 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 97 OF 408  ELAPSED TIME = 91
+
+npccon = npc AND licon1
+-----------------------
+npccon (HIER TYP=1 CFG=1 HGC=14732 FGC=1517255 HEC=58928 FEC=6069020 IGC=4903 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 94/111/112  OPS COMPLETE = 98 OF 408  ELAPSED TIME = 91
+
+Layer npc DELETED -- LVHEAP = 94/111/112
+
+met1 = OR met1
+--------------
+met1 (HIER TYP=1 CFG=1 HGC=210910 FGC=1179083 HEC=2943161 FEC=7857350 IGC=10318 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 92/111/112  OPS COMPLETE = 99 OF 408  ELAPSED TIME = 93
+
+Original Layer met1 DELETED -- LVHEAP = 92/111/112
+
+via = OR via
+------------
+via (HIER TYP=1 CFG=1 HGC=419357 FGC=1199928 HEC=1677428 FEC=4799712 IGC=107479 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 92/111/112  OPS COMPLETE = 100 OF 408  ELAPSED TIME = 94
+
+Original Layer via DELETED -- LVHEAP = 92/111/112
+
+met2 = OR met2
+--------------
+met2 (HIER TYP=1 CFG=1 HGC=180340 FGC=339687 HEC=2109764 FEC=3237452 IGC=23984 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 91/111/112  OPS COMPLETE = 101 OF 408  ELAPSED TIME = 96
+
+Original Layer met2 DELETED -- LVHEAP = 91/111/112
+
+via2 = OR via2
+--------------
+via2 (HIER TYP=1 CFG=1 HGC=191631 FGC=824206 HEC=766524 FEC=3296824 IGC=114816 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 91/111/112  OPS COMPLETE = 102 OF 408  ELAPSED TIME = 97
+
+Original Layer via2 DELETED -- LVHEAP = 91/111/112
+
+met3 = OR met3
+--------------
+met3 (HIER TYP=1 CFG=3 HGC=44114 FGC=381968 HEC=393514 FEC=1774706 IGC=11225 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 91/111/112  OPS COMPLETE = 103 OF 408  ELAPSED TIME = 97
+
+Original Layer met3 DELETED -- LVHEAP = 91/111/112
+
+CONNECT started.  LVHEAP = 91/111/112  ELAPSED TIME = 97
+
+CONNECT dnwell nwell ...
+CONNECT nwell tap BY NTAP ...
+CONNECT tap li1 BY licon1 ...
+CONNECT poly li1 BY npccon ...
+CONNECT li1 met1 BY mcon ...
+CONNECT met1 met2 BY via ...
+CONNECT met2 met3 BY via2 ...
+
+NODE UPDATE: met3
+
+NODE UPDATE completed. CPU TIME = 0  REAL TIME = 0  LVHEAP = 100/136/136
+
+CONNECT completed.  CPU TIME = 14  REAL TIME = 14  LVHEAP = 100/135/136  ELAPSED TIME = 111
+
+NETS = 132490 (8193674)  EPINS = 50449 (2990461)
+
+Layer dnwell DELETED -- LVHEAP = 100/135/136
+
+Layer NTAP DELETED -- LVHEAP = 100/135/136
+
+Layer nwell DELETED -- LVHEAP = 100/135/136
+
+Layer licon1 DELETED -- LVHEAP = 99/135/136
+
+Layer tap DELETED -- LVHEAP = 99/135/136
+
+Layer npccon DELETED -- LVHEAP = 99/135/136
+
+Layer mcon DELETED -- LVHEAP = 99/135/136
+
+HIERARCHICAL CONNECTIVITY marked on layer met3
+
+via3 = OR via3
+--------------
+via3 (HIER TYP=1 CFG=1 HGC=336779 FGC=1142031 HEC=1347116 FEC=4568124 IGC=83690 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 98/135/136  OPS COMPLETE = 104 OF 408  ELAPSED TIME = 111
+
+Original Layer via3 DELETED -- LVHEAP = 98/135/136
+
+capm = OR capm
+--------------
+capm (HIER TYP=1 CFG=1 HGC=1 FGC=1 HEC=4 FEC=4 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/135/136  OPS COMPLETE = 105 OF 408  ELAPSED TIME = 111
+
+Original Layer capm DELETED -- LVHEAP = 98/135/136
+
+capm_via3 = via3 AND capm
+-------------------------
+capm_via3 (HIER TYP=1 CFG=1 HGC=518 FGC=518 HEC=2072 FEC=2072 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/135/136  OPS COMPLETE = 106 OF 408  ELAPSED TIME = 112
+
+via3_notcapm = via3 NOT capm_via3
+---------------------------------
+via3_notcapm (HIER TYP=1 CFG=1 HGC=336261 FGC=1141513 HEC=1345044 FEC=4566052 IGC=83690 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/135/136  OPS COMPLETE = 107 OF 408  ELAPSED TIME = 112
+
+met4 = OR met4
+--------------
+met4 (HIER TYP=1 CFG=3 HGC=11112 FGC=363534 HEC=61711 FEC=1482527 IGC=8291 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/135/136  OPS COMPLETE = 108 OF 408  ELAPSED TIME = 112
+
+Original Layer met4 DELETED -- LVHEAP = 98/135/136
+
+CONNECT started.  LVHEAP = 99/136/136  ELAPSED TIME = 112
+
+CONNECT met3 met4 BY via3_notcapm ...
+CONNECT capm met4 BY capm_via3 ...
+
+NODE UPDATE: met3
+NODE UPDATE: met4
+
+NODE UPDATE completed. CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/136/136
+
+CONNECT completed.  CPU TIME = 2  REAL TIME = 2  LVHEAP = 98/135/136  ELAPSED TIME = 114
+
+NETS = 127288 (8216047)  EPINS = 49902 (3020492)
+
+Layer via3_notcapm DELETED -- LVHEAP = 97/135/136
+
+Layer capm_via3 DELETED -- LVHEAP = 97/135/136
+
+HIERARCHICAL CONNECTIVITY marked on layer met3
+
+HIERARCHICAL CONNECTIVITY marked on layer met4
+
+via4 = OR via4
+--------------
+via4 (HIER TYP=1 CFG=1 HGC=38046 FGC=237180 HEC=152184 FEC=948720 IGC=17342 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 109 OF 408  ELAPSED TIME = 114
+
+Original Layer via4 DELETED -- LVHEAP = 97/135/136
+
+cap2m = OR cap2m
+----------------
+cap2m (HIER TYP=1 CFG=1 HGC=21 FGC=31 HEC=84 FEC=124 IGC=26 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 110 OF 408  ELAPSED TIME = 114
+
+Original Layer cap2m DELETED -- LVHEAP = 97/135/136
+
+cap2m_via4 = via4 AND cap2m
+---------------------------
+cap2m_via4 (HIER TYP=1 CFG=1 HGC=2052 FGC=3096 HEC=8208 FEC=12384 IGC=14 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 111 OF 408  ELAPSED TIME = 114
+
+via4_notcap2m = via4 NOT cap2m_via4
+-----------------------------------
+via4_notcap2m (HIER TYP=1 CFG=1 HGC=35994 FGC=234084 HEC=143976 FEC=936336 IGC=17342 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 112 OF 408  ELAPSED TIME = 114
+
+met5 = OR met5
+--------------
+met5 (HIER TYP=1 CFG=1 HGC=2332 FGC=21927 HEC=11570 FEC=90280 IGC=4350 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 113 OF 408  ELAPSED TIME = 114
+
+Original Layer met5 DELETED -- LVHEAP = 97/135/136
+
+pad = OR pad
+------------
+pad (HIER TYP=1 CFG=1 HGC=1 FGC=63 HEC=8 FEC=504 IGC=82 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 114 OF 408  ELAPSED TIME = 114
+
+Original Layer pad DELETED -- LVHEAP = 97/135/136
+
+rdl = OR rdl
+------------
+rdl (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 115 OF 408  ELAPSED TIME = 114
+
+Original Layer rdl DELETED -- LVHEAP = 97/135/136
+
+CONNECT started.  LVHEAP = 98/136/136  ELAPSED TIME = 114
+
+CONNECT met4 met5 BY via4_notcap2m ...
+CONNECT cap2m met5 BY cap2m_via4 ...
+CONNECT met5 pad ...
+CONNECT rdl pad ...
+
+NODE UPDATE: met3
+NODE UPDATE: met4
+
+NODE UPDATE completed. CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/136/136
+
+CONNECT completed.  CPU TIME = 1  REAL TIME = 1  LVHEAP = 97/135/136  ELAPSED TIME = 115
+
+NETS = 126984 (8212798)  EPINS = 49756 (3017703)
+
+Layer via4_notcap2m DELETED -- LVHEAP = 97/135/136
+
+Layer cap2m_via4 DELETED -- LVHEAP = 97/135/136
+
+Layer rdl DELETED -- LVHEAP = 97/135/136
+
+HIERARCHICAL CONNECTIVITY marked on layer met3
+
+HIERARCHICAL CONNECTIVITY marked on layer met4
+
+TMP<14> = capm AND met3
+-----------------------
+TMP<14> (HIER TYP=1 CFG=1 HGC=1 FGC=1 HEC=4 FEC=4 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 116 OF 408  ELAPSED TIME = 115
+
+m3_bot_plate = SIZE TMP<14> BY 0.14
+-----------------------------------
+m3_bot_plate (HIER TYP=1 CFG=3 HGC=1 FGC=1 HEC=4 FEC=4 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 117 OF 408  ELAPSED TIME = 115
+
+Layer TMP<14> DELETED -- LVHEAP = 97/135/136
+
+TMP<15> = EXT m3_bot_plate < 1.2 REGION
+---------------------------------------
+TMP<15> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 118 OF 408  ELAPSED TIME = 115
+
+m3_bot_plate_err = TMP<15> INTERACT met3 > 1 BY NET
+---------------------------------------------------
+m3_bot_plate_err (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 119 OF 408  ELAPSED TIME = 115
+
+Layer TMP<15> DELETED -- LVHEAP = 97/135/136
+
+CONNECT started.  LVHEAP = 97/136/136  ELAPSED TIME = 115
+
+CONNECT m3_bot_plate met3 ...
+
+NODE UPDATE: met3
+NODE UPDATE: m3_bot_plate
+
+NODE UPDATE completed. CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/136/136
+
+CONNECT completed.  CPU TIME = 1  REAL TIME = 1  LVHEAP = 97/135/136  ELAPSED TIME = 116
+
+NETS = 126984 (8212798)  EPINS = 49756 (3017703)
+
+HIERARCHICAL CONNECTIVITY marked on layer met3
+
+HIERARCHICAL CONNECTIVITY marked on layer met4
+
+HIERARCHICAL CONNECTIVITY marked on layer m3_bot_plate
+
+MR_capm.2a::<1> = EXT capm < 0.84 REGION ABUT < 90 SINGULAR
+MR_capm.1::<1> = INT capm < 1 REGION ABUT < 90 SINGULAR
+-----------------------------------------------------------
+MR_capm.2a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_capm.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 121 OF 408  ELAPSED TIME = 116
+
+Layer MR_capm.1::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_capm.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_capm.2a::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_capm.2a COMPLETED. Number of Results = 0 (0)
+
+MR_capm.2b::<1> = COPY m3_bot_plate_err
+---------------------------------------
+MR_capm.2b::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 122 OF 408  ELAPSED TIME = 116
+
+Layer m3_bot_plate_err DELETED -- LVHEAP = 97/135/136
+
+Layer MR_capm.2b::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_capm.2b COMPLETED. Number of Results = 0 (0)
+
+MR_capm.2b_a::<1> = EXT m3_bot_plate < 1.2 REGION NOT CONNECTED ABUT < 90 SINGULAR
+----------------------------------------------------------------------------------
+MR_capm.2b_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 123 OF 408  ELAPSED TIME = 116
+
+Layer m3_bot_plate DELETED -- LVHEAP = 97/135/136
+
+Layer MR_capm.2b_a::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_capm.2b_a COMPLETED. Number of Results = 0 (0)
+
+MR_capm.3::q1capmand = capm AND met3
+------------------------------------
+MR_capm.3::q1capmand (HIER TYP=1 CFG=1 HGC=1 FGC=1 HEC=4 FEC=4 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 124 OF 408  ELAPSED TIME = 116
+
+MR_capm.3::<1> = ENC MR_capm.3::q1capmand met3 < 0.14 MEASURE ALL ABUT < 90 SINGULAR
+------------------------------------------------------------------------------------
+MR_capm.3::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 125 OF 408  ELAPSED TIME = 116
+
+Layer MR_capm.3::q1capmand DELETED -- LVHEAP = 97/135/136
+
+Layer MR_capm.3::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_capm.3 COMPLETED. Number of Results = 0 (0)
+
+MR_capm.4::q3via3and = via3 AND capm
+------------------------------------
+MR_capm.4::q3via3and (HIER TYP=1 CFG=1 HGC=518 FGC=518 HEC=2072 FEC=2072 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 126 OF 408  ELAPSED TIME = 116
+
+MR_capm.4::<1> = ENC MR_capm.4::q3via3and capm < 0.14 MEASURE ALL ABUT < 90 SINGULAR
+------------------------------------------------------------------------------------
+MR_capm.4::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 127 OF 408  ELAPSED TIME = 116
+
+Layer MR_capm.4::q3via3and DELETED -- LVHEAP = 97/135/136
+
+Layer MR_capm.4::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_capm.4 COMPLETED. Number of Results = 0 (0)
+
+MR_capm.5::<1> = EXT capm via3 < 0.14 REGION ABUT < 90 SINGULAR
+---------------------------------------------------------------
+MR_capm.5::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 128 OF 408  ELAPSED TIME = 116
+
+Layer capm DELETED -- LVHEAP = 97/135/136
+
+Layer MR_capm.5::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_capm.5 COMPLETED. Number of Results = 0 (0)
+
+TMP<16> = cap2m AND met4
+------------------------
+TMP<16> (HIER TYP=1 CFG=1 HGC=21 FGC=31 HEC=84 FEC=124 IGC=26 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 129 OF 408  ELAPSED TIME = 116
+
+m4_bot_plate = SIZE TMP<16> BY 0.14
+-----------------------------------
+m4_bot_plate (HIER TYP=1 CFG=3 HGC=28 FGC=38 HEC=112 FEC=152 IGC=26 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 130 OF 408  ELAPSED TIME = 116
+
+Layer TMP<16> DELETED -- LVHEAP = 97/135/136
+
+TMP<17> = EXT m4_bot_plate < 1.2 REGION
+---------------------------------------
+TMP<17> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 131 OF 408  ELAPSED TIME = 116
+
+m4_bot_plate_err = TMP<17> INTERACT met4 > 1 BY NET
+---------------------------------------------------
+m4_bot_plate_err (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 132 OF 408  ELAPSED TIME = 116
+
+Layer TMP<17> DELETED -- LVHEAP = 97/135/136
+
+CONNECT started.  LVHEAP = 97/136/136  ELAPSED TIME = 116
+
+CONNECT m4_bot_plate met4 ...
+
+NODE UPDATE: met4
+NODE UPDATE: m4_bot_plate
+
+NODE UPDATE completed. CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/136/136
+
+CONNECT completed.  CPU TIME = 1  REAL TIME = 1  LVHEAP = 97/135/136  ELAPSED TIME = 117
+
+NETS = 126984 (8212798)  EPINS = 49756 (3017703)
+
+HIERARCHICAL CONNECTIVITY marked on layer met3
+
+HIERARCHICAL CONNECTIVITY marked on layer met4
+
+HIERARCHICAL CONNECTIVITY marked on layer m4_bot_plate
+
+MR_cap2m.2a::<1> = EXT cap2m < 0.84 REGION ABUT < 90 SINGULAR
+MR_cap2m.1::<1> = INT cap2m < 1 REGION ABUT < 90 SINGULAR
+-------------------------------------------------------------
+MR_cap2m.2a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_cap2m.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 134 OF 408  ELAPSED TIME = 117
+
+Layer MR_cap2m.1::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_cap2m.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_cap2m.2a::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_cap2m.2a COMPLETED. Number of Results = 0 (0)
+
+MR_cap2m.2b::<1> = COPY m4_bot_plate_err
+----------------------------------------
+MR_cap2m.2b::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 135 OF 408  ELAPSED TIME = 117
+
+Layer m4_bot_plate_err DELETED -- LVHEAP = 97/135/136
+
+Layer MR_cap2m.2b::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_cap2m.2b COMPLETED. Number of Results = 0 (0)
+
+MR_cap2m.2b_a::<1> = EXT m4_bot_plate < 1.2 REGION NOT CONNECTED ABUT < 90 SINGULAR
+-----------------------------------------------------------------------------------
+MR_cap2m.2b_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 136 OF 408  ELAPSED TIME = 117
+
+Layer m4_bot_plate DELETED -- LVHEAP = 97/135/136
+
+Layer MR_cap2m.2b_a::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_cap2m.2b_a COMPLETED. Number of Results = 0 (0)
+
+MR_cap2m.3::q1cap2mand = cap2m AND met4
+---------------------------------------
+MR_cap2m.3::q1cap2mand (HIER TYP=1 CFG=1 HGC=21 FGC=31 HEC=84 FEC=124 IGC=26 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 137 OF 408  ELAPSED TIME = 117
+
+MR_cap2m.3::<1> = ENC MR_cap2m.3::q1cap2mand met4 < 0.14 MEASURE ALL ABUT < 90 SINGULAR
+---------------------------------------------------------------------------------------
+MR_cap2m.3::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 138 OF 408  ELAPSED TIME = 117
+
+Layer MR_cap2m.3::q1cap2mand DELETED -- LVHEAP = 97/135/136
+
+Layer MR_cap2m.3::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_cap2m.3 COMPLETED. Number of Results = 0 (0)
+
+MR_cap2m.4::q3via4and = via4 AND cap2m
+--------------------------------------
+MR_cap2m.4::q3via4and (HIER TYP=1 CFG=1 HGC=2052 FGC=3096 HEC=8208 FEC=12384 IGC=14 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 139 OF 408  ELAPSED TIME = 117
+
+MR_cap2m.4::<1> = ENC MR_cap2m.4::q3via4and cap2m < 0.2 MEASURE ALL ABUT < 90 SINGULAR
+--------------------------------------------------------------------------------------
+MR_cap2m.4::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 140 OF 408  ELAPSED TIME = 117
+
+Layer MR_cap2m.4::q3via4and DELETED -- LVHEAP = 97/135/136
+
+Layer MR_cap2m.4::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_cap2m.4 COMPLETED. Number of Results = 0 (0)
+
+MR_cap2m.5::<1> = EXT cap2m via4 < 0.2 REGION ABUT < 90 SINGULAR
+----------------------------------------------------------------
+MR_cap2m.5::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 141 OF 408  ELAPSED TIME = 117
+
+Layer cap2m DELETED -- LVHEAP = 97/135/136
+
+Layer MR_cap2m.5::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_cap2m.5 COMPLETED. Number of Results = 0 (0)
+
+MR_m1.2::<1> = EXT met1 < 0.14 REGION ABUT < 90 SINGULAR
+MR_m1.1::<1> = INT met1 < 0.14 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+----------------------------------------------------------------------
+MR_m1.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_m1.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 4  REAL TIME = 4  LVHEAP = 97/135/136  OPS COMPLETE = 143 OF 408  ELAPSED TIME = 121
+
+Layer MR_m1.1::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_m1.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_m1.2::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_m1.2 COMPLETED. Number of Results = 0 (0)
+
+q0Hugemet1 = met1 WITH WIDTH > 3
+--------------------------------
+q0Hugemet1 (HIER TYP=1 CFG=1 HGC=66 FGC=500 HEC=698 FEC=4244 IGC=175 VHC=F VPC=F)
+CPU TIME = 3  REAL TIME = 3  LVHEAP = 97/135/136  OPS COMPLETE = 144 OF 408  ELAPSED TIME = 124
+
+q1Hugemet1 = SIZE q0Hugemet1 BY 0.28 INSIDE OF met1 STEP 0.28
+-------------------------------------------------------------
+q1Hugemet1 (HIER TYP=1 CFG=0 HGC=74 FGC=587 HEC=1022 FEC=6114 IGC=186 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 145 OF 408  ELAPSED TIME = 124
+
+q2Hugemet1 = q1Hugemet1 NOT q0Hugemet1
+--------------------------------------
+q2Hugemet1 (HIER TYP=1 CFG=1 HGC=186 FGC=1317 HEC=813 FEC=5989 IGC=336 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 146 OF 408  ELAPSED TIME = 124
+
+Layer q1Hugemet1 DELETED -- LVHEAP = 97/135/136
+
+TMP<18> = q2Hugemet1 COINCIDENT OUTSIDE EDGE q0Hugemet1
+-------------------------------------------------------
+TMP<18> (HIER-PMF TYP=2 CFG=1 HGC=206 FGC=1506 HEC=206 FEC=1506 IGC=113 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 147 OF 408  ELAPSED TIME = 124
+
+q3Hugemet1 = q2Hugemet1 WITH EDGE TMP<18>
+-----------------------------------------
+q3Hugemet1 (HIER TYP=1 CFG=0 HGC=178 FGC=1230 HEC=788 FEC=5695 IGC=336 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 148 OF 408  ELAPSED TIME = 124
+
+Layer q2Hugemet1 DELETED -- LVHEAP = 97/135/136
+
+Layer TMP<18> DELETED -- LVHEAP = 97/135/136
+
+q4Hugemet1 = q3Hugemet1 OR q0Hugemet1
+-------------------------------------
+q4Hugemet1 (HIER TYP=1 CFG=1 HGC=66 FGC=500 HEC=997 FEC=5820 IGC=185 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 149 OF 408  ELAPSED TIME = 124
+
+Layer q3Hugemet1 DELETED -- LVHEAP = 97/135/136
+
+q5Hugemet1 = SNAP q4Hugemet1 1
+------------------------------
+q5Hugemet1 (HIER TYP=1 CFG=1 HGC=92 FGC=1102 HEC=1111 FEC=8494 IGC=162 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 150 OF 408  ELAPSED TIME = 124
+
+Layer q4Hugemet1 DELETED -- LVHEAP = 97/135/136
+
+q6Hugemet1 = met1 NOT q5Hugemet1
+--------------------------------
+q6Hugemet1 (HIER TYP=1 CFG=1 HGC=210984 FGC=1179643 HEC=2942968 FEC=7855501 IGC=10326 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 100/135/136  OPS COMPLETE = 151 OF 408  ELAPSED TIME = 126
+
+q7Hugemet1 = EXT q0Hugemet1 q6Hugemet1 <= 0.275 REGION
+------------------------------------------------------
+q7Hugemet1 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 152 OF 408  ELAPSED TIME = 126
+
+Layer q0Hugemet1 DELETED -- LVHEAP = 97/135/136
+
+Layer q6Hugemet1 DELETED -- LVHEAP = 97/135/136
+
+TMP<19> = q7Hugemet1 INSIDE met1
+--------------------------------
+TMP<19> (HIER TYP=1 CFG=0 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 153 OF 408  ELAPSED TIME = 126
+
+q8Hugemet1 = q7Hugemet1 NOT TMP<19>
+-----------------------------------
+q8Hugemet1 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 154 OF 408  ELAPSED TIME = 126
+
+Layer q7Hugemet1 DELETED -- LVHEAP = 97/135/136
+
+Layer TMP<19> DELETED -- LVHEAP = 97/135/136
+
+Layer q8Hugemet1 DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_m1.3b COMPLETED. Number of Results = 0 (0)
+
+q9Hugemet1 = EXT q5Hugemet1 < 0.28 REGION ABUT < 90
+---------------------------------------------------
+q9Hugemet1 (HIER TYP=1 CFG=1 HGC=1 FGC=33 HEC=3 FEC=99 IGC=6 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 155 OF 408  ELAPSED TIME = 126
+
+Layer q5Hugemet1 DELETED -- LVHEAP = 97/135/136
+
+TMP<21> = q9Hugemet1 AND met1
+-----------------------------
+TMP<21> (HIER TYP=1 CFG=1 HGC=1 FGC=33 HEC=3 FEC=99 IGC=6 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 156 OF 408  ELAPSED TIME = 126
+
+TMP<20> = q9Hugemet1 INTERACT TMP<21>
+-------------------------------------
+TMP<20> (HIER TYP=1 CFG=0 HGC=1 FGC=33 HEC=3 FEC=99 IGC=6 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 157 OF 408  ELAPSED TIME = 126
+
+Layer TMP<21> DELETED -- LVHEAP = 97/135/136
+
+q10Hugemet1 = q9Hugemet1 NOT TMP<20>
+------------------------------------
+q10Hugemet1 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 158 OF 408  ELAPSED TIME = 126
+
+Layer q9Hugemet1 DELETED -- LVHEAP = 97/135/136
+
+Layer TMP<20> DELETED -- LVHEAP = 97/135/136
+
+Layer q10Hugemet1 DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_m1.3a COMPLETED. Number of Results = 0 (0)
+
+s8spf_cells_m1_4 = EXTENT CELL ORIGINAL
+                   s8fs_cmux4_fm
+---------------------------------------
+s8spf_cells_m1_4 (HIER TYP=1 CFG=0 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 159 OF 408  ELAPSED TIME = 126
+
+mcon_PERI_4a = mcon_PERI AND s8spf_cells_m1_4
+---------------------------------------------
+mcon_PERI_4a (HIER TYP=1 CFG=0 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 160 OF 408  ELAPSED TIME = 126
+
+Layer s8spf_cells_m1_4 DELETED -- LVHEAP = 97/135/136
+
+mcon_PERI_4 = mcon_PERI NOT mcon_PERI_4a
+----------------------------------------
+mcon_PERI_4 (HIER TYP=1 CFG=0 HGC=383540 FGC=6201484 HEC=1534160 FEC=24805936 IGC=49095 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/135/136  OPS COMPLETE = 161 OF 408  ELAPSED TIME = 126
+
+MR_791_m1.4::q0mcon_PERI_4and = mcon_PERI_4 AND met1
+----------------------------------------------------
+MR_791_m1.4::q0mcon_PERI_4and (HIER TYP=1 CFG=1 HGC=383540 FGC=6201484 HEC=1534160 FEC=24805936 IGC=49102 VHC=F VPC=F)
+CPU TIME = 4  REAL TIME = 4  LVHEAP = 98/135/136  OPS COMPLETE = 162 OF 408  ELAPSED TIME = 130
+
+MR_791_m1.4::<1> = ENC MR_791_m1.4::q0mcon_PERI_4and met1 < 0.03 MEASURE ALL ABUT < 90 SINGULAR
+-----------------------------------------------------------------------------------------------
+MR_791_m1.4::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 98/135/136  OPS COMPLETE = 163 OF 408  ELAPSED TIME = 131
+
+Layer MR_791_m1.4::q0mcon_PERI_4and DELETED -- LVHEAP = 98/135/136
+
+Layer MR_791_m1.4::<1> DELETED -- LVHEAP = 98/135/136
+
+DRC RuleCheck MR_791_m1.4 COMPLETED. Number of Results = 0 (0)
+
+MR_m1.4::<1> = mcon_PERI_4 NOT met1
+-----------------------------------
+MR_m1.4::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 97/135/136  OPS COMPLETE = 164 OF 408  ELAPSED TIME = 131
+
+Layer mcon_PERI_4 DELETED -- LVHEAP = 97/135/136
+
+Layer MR_m1.4::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_m1.4 COMPLETED. Number of Results = 0 (0)
+
+MR_m1.4a::q0mcon_PERI_4aand = mcon_PERI_4a AND met1
+---------------------------------------------------
+MR_m1.4a::q0mcon_PERI_4aand (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 165 OF 408  ELAPSED TIME = 131
+
+MR_m1.4a::<1> = ENC MR_m1.4a::q0mcon_PERI_4aand met1 < 0.005 MEASURE ALL ABUT < 90 SINGULAR
+-------------------------------------------------------------------------------------------
+MR_m1.4a::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 166 OF 408  ELAPSED TIME = 131
+
+Layer MR_m1.4a::q0mcon_PERI_4aand DELETED -- LVHEAP = 97/135/136
+
+Layer MR_m1.4a::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_m1.4a COMPLETED. Number of Results = 0 (0)
+
+MR_m1.4a_a::<1> = mcon_PERI_4a NOT met1
+---------------------------------------
+MR_m1.4a_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 167 OF 408  ELAPSED TIME = 131
+
+Layer mcon_PERI_4a DELETED -- LVHEAP = 97/135/136
+
+Layer MR_m1.4a_a::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_m1.4a_a COMPLETED. Number of Results = 0 (0)
+
+MR_m1.5::q0met1enc = ENC [mcon_PERI] met1 < 0.06 MEASURE ALL PROJECTING > 0 ABUT < 90 PARALLEL ONLY
+---------------------------------------------------------------------------------------------------
+MR_m1.5::q0met1enc (HIER-PMF TYP=2 CFG=0 HGC=790772 FGC=1967091 HEC=790772 FEC=1967091 IGC=66945 VHC=F VPC=F)
+CPU TIME = 8  REAL TIME = 8  LVHEAP = 98/135/136  OPS COMPLETE = 168 OF 408  ELAPSED TIME = 139
+
+Layer mcon_PERI DELETED -- LVHEAP = 98/135/136
+
+MR_m1.5::TMP<22> = EXPAND EDGE MR_m1.5::q0met1enc INSIDE BY 0.005
+-----------------------------------------------------------------
+MR_m1.5::TMP<22> (HIER TYP=1 CFG=1 HGC=790772 FGC=1967091 HEC=3163088 FEC=7868364 IGC=66594 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 98/135/136  OPS COMPLETE = 169 OF 408  ELAPSED TIME = 140
+
+Layer MR_m1.5::q0met1enc DELETED -- LVHEAP = 98/135/136
+
+MR_m1.5::<1> = NOT RECTANGLE MR_m1.5::TMP<22> ORTHOGONAL ONLY
+-------------------------------------------------------------
+MR_m1.5::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 170 OF 408  ELAPSED TIME = 140
+
+Layer MR_m1.5::TMP<22> DELETED -- LVHEAP = 96/135/136
+
+Layer MR_m1.5::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m1.5 COMPLETED. Number of Results = 0 (0)
+
+MR_m1.6::<1> = AREA met1 < 0.083
+--------------------------------
+MR_m1.6::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 96/135/136  OPS COMPLETE = 171 OF 408  ELAPSED TIME = 141
+
+Layer MR_m1.6::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m1.6 COMPLETED. Number of Results = 0 (0)
+
+met1Hole = HOLES met1
+met1HoleEmpty = HOLES met1 INNER
+--------------------------------
+met1Hole (HIER TYP=1 CFG=1 HGC=130 FGC=2734 HEC=1128 FEC=20163 IGC=125 VHC=F VPC=F)
+met1HoleEmpty (HIER TYP=1 CFG=1 HGC=130 FGC=1434 HEC=1045 FEC=11769 IGC=157 VHC=F VPC=F)
+CPU TIME = 4  REAL TIME = 4  LVHEAP = 97/135/136  OPS COMPLETE = 173 OF 408  ELAPSED TIME = 145
+
+MR_m1.7::<1> = AREA met1Hole < 0.14
+-----------------------------------
+MR_m1.7::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 174 OF 408  ELAPSED TIME = 145
+
+Layer met1Hole DELETED -- LVHEAP = 96/135/136
+
+Layer MR_m1.7::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m1.7 COMPLETED. Number of Results = 0 (0)
+
+MR_m1.7_a::<1> = AREA met1HoleEmpty < 0.14
+------------------------------------------
+MR_m1.7_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 175 OF 408  ELAPSED TIME = 145
+
+Layer met1HoleEmpty DELETED -- LVHEAP = 96/135/136
+
+Layer MR_m1.7_a::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m1.7_a COMPLETED. Number of Results = 0 (0)
+
+ringVIA = DONUT via
+-------------------
+ringVIA (HIER-FMF TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 176 OF 408  ELAPSED TIME = 145
+
+rectVIA = via NOT ringVIA
+-------------------------
+rectVIA (HIER TYP=1 CFG=1 HGC=419357 FGC=1199928 HEC=1677428 FEC=4799712 IGC=107479 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 177 OF 408  ELAPSED TIME = 145
+
+moduleCutAREA = OR moduleCutAREA
+--------------------------------
+moduleCutAREA (HIER TYP=1 CFG=0 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 178 OF 408  ELAPSED TIME = 145
+
+Original Layer moduleCutAREA DELETED -- LVHEAP = 97/135/136
+
+rectVIAnoMT = rectVIA NOT moduleCutAREA
+---------------------------------------
+rectVIAnoMT (HIER TYP=1 CFG=1 HGC=419357 FGC=1199928 HEC=1677428 FEC=4799712 IGC=107479 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/135/136  OPS COMPLETE = 179 OF 408  ELAPSED TIME = 145
+
+q0rectVIAnoMT = NOT RECTANGLE rectVIAnoMT ORTHOGONAL ONLY
+---------------------------------------------------------
+q0rectVIAnoMT (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/135/136  OPS COMPLETE = 180 OF 408  ELAPSED TIME = 145
+
+Layer q0rectVIAnoMT DELETED -- LVHEAP = 98/135/136
+
+DRC RuleCheck MR_via.1a COMPLETED. Number of Results = 0 (0)
+
+q1rectVIAnoMT = INT rectVIAnoMT < 0.15 REGION
+---------------------------------------------
+q1rectVIAnoMT (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/135/136  OPS COMPLETE = 181 OF 408  ELAPSED TIME = 146
+
+Layer q1rectVIAnoMT DELETED -- LVHEAP = 98/135/136
+
+DRC RuleCheck MR_via.1a_a COMPLETED. Number of Results = 0 (0)
+
+TMP<25> = LENGTH rectVIAnoMT > 0.15
+-----------------------------------
+TMP<25> (HIER-PMF TYP=2 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 98/135/136  OPS COMPLETE = 182 OF 408  ELAPSED TIME = 146
+
+q2rectVIAnoMT = rectVIAnoMT WITH EDGE TMP<25>
+---------------------------------------------
+q2rectVIAnoMT (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 183 OF 408  ELAPSED TIME = 146
+
+Layer rectVIAnoMT DELETED -- LVHEAP = 97/135/136
+
+Layer TMP<25> DELETED -- LVHEAP = 97/135/136
+
+Layer q2rectVIAnoMT DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_via.1a_b COMPLETED. Number of Results = 0 (0)
+
+MR_via.2::<1> = EXT via < 0.17 REGION ABUT < 90 SINGULAR
+--------------------------------------------------------
+MR_via.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 184 OF 408  ELAPSED TIME = 146
+
+Layer MR_via.2::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_via.2 COMPLETED. Number of Results = 0 (0)
+
+MR_via.3::<1> = INT ringVIA < 0.2 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+-------------------------------------------------------------------------
+MR_via.3::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 185 OF 408  ELAPSED TIME = 146
+
+Layer MR_via.3::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_via.3 COMPLETED. Number of Results = 0 (0)
+
+MR_via.3_a::q0ringVIA = SIZE ringVIA BY -0.102
+----------------------------------------------
+MR_via.3_a::q0ringVIA (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 186 OF 408  ELAPSED TIME = 146
+
+MR_via.3_a::<1> = SIZE MR_via.3_a::q0ringVIA BY 0.102
+-----------------------------------------------------
+MR_via.3_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 187 OF 408  ELAPSED TIME = 146
+
+Layer MR_via.3_a::q0ringVIA DELETED -- LVHEAP = 97/135/136
+
+Layer MR_via.3_a::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_via.3_a COMPLETED. Number of Results = 0 (0)
+
+MR_via.3_b::<1> = ringVIA NOT SEALID
+------------------------------------
+MR_via.3_b::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 188 OF 408  ELAPSED TIME = 146
+
+Layer ringVIA DELETED -- LVHEAP = 97/135/136
+
+Layer MR_via.3_b::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_via.3_b COMPLETED. Number of Results = 0 (0)
+
+rectVIAa = RECTANGLE rectVIA == 0.15 BY == 0.15 ORTHOGONAL ONLY
+---------------------------------------------------------------
+rectVIAa (HIER TYP=1 CFG=1 HGC=419357 FGC=1199928 HEC=1677428 FEC=4799712 IGC=107479 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 189 OF 408  ELAPSED TIME = 147
+
+Layer rectVIA DELETED -- LVHEAP = 97/135/136
+
+MR_via.4a::q0rectVIAaand = rectVIAa AND met1
+--------------------------------------------
+MR_via.4a::q0rectVIAaand (HIER TYP=1 CFG=1 HGC=419371 FGC=1199942 HEC=1677488 FEC=4799772 IGC=107491 VHC=F VPC=F)
+CPU TIME = 3  REAL TIME = 3  LVHEAP = 98/135/136  OPS COMPLETE = 190 OF 408  ELAPSED TIME = 150
+
+MR_via.4a::<1> = ENC MR_via.4a::q0rectVIAaand met1 < 0.055 MEASURE ALL ABUT < 90 SINGULAR
+-----------------------------------------------------------------------------------------
+MR_via.4a::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 97/135/136  OPS COMPLETE = 191 OF 408  ELAPSED TIME = 151
+
+Layer MR_via.4a::q0rectVIAaand DELETED -- LVHEAP = 97/135/136
+
+Layer MR_via.4a::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_via.4a COMPLETED. Number of Results = 0 (0)
+
+MR_via.4a_a::<1> = rectVIAa NOT met1
+------------------------------------
+MR_via.4a_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 97/135/136  OPS COMPLETE = 192 OF 408  ELAPSED TIME = 152
+
+Layer MR_via.4a_a::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_via.4a_a COMPLETED. Number of Results = 0 (0)
+
+MR_via.5a::q1met1enc = ENC [rectVIAa] met1 < 0.085 MEASURE ALL PROJECTING > 0 ABUT < 90 PARALLEL ONLY
+-----------------------------------------------------------------------------------------------------
+MR_via.5a::q1met1enc (HIER-PMF TYP=2 CFG=0 HGC=563761 FGC=998875 HEC=563761 FEC=998875 IGC=106082 VHC=F VPC=F)
+CPU TIME = 7  REAL TIME = 7  LVHEAP = 97/135/136  OPS COMPLETE = 193 OF 408  ELAPSED TIME = 158
+
+Layer rectVIAa DELETED -- LVHEAP = 97/135/136
+
+MR_via.5a::TMP<26> = EXPAND EDGE MR_via.5a::q1met1enc INSIDE BY 0.005
+---------------------------------------------------------------------
+MR_via.5a::TMP<26> (HIER TYP=1 CFG=1 HGC=563761 FGC=998875 HEC=2255044 FEC=3995500 IGC=105656 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 97/135/136  OPS COMPLETE = 194 OF 408  ELAPSED TIME = 159
+
+Layer MR_via.5a::q1met1enc DELETED -- LVHEAP = 97/135/136
+
+MR_via.5a::<1> = NOT RECTANGLE MR_via.5a::TMP<26> ORTHOGONAL ONLY
+-----------------------------------------------------------------
+MR_via.5a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 195 OF 408  ELAPSED TIME = 159
+
+Layer MR_via.5a::TMP<26> DELETED -- LVHEAP = 96/135/136
+
+Layer MR_via.5a::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via.5a COMPLETED. Number of Results = 0 (0)
+
+MR_m2.2::<1> = EXT met2 < 0.14 REGION ABUT < 90 SINGULAR
+MR_m2.1::<1> = INT met2 < 0.14 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+----------------------------------------------------------------------
+MR_m2.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_m2.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 96/135/136  OPS COMPLETE = 197 OF 408  ELAPSED TIME = 161
+
+Layer MR_m2.1::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m2.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_m2.2::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m2.2 COMPLETED. Number of Results = 0 (0)
+
+q0Hugemet2 = met2 WITH WIDTH > 3
+--------------------------------
+q0Hugemet2 (HIER TYP=1 CFG=1 HGC=114 FGC=753 HEC=1005 FEC=6756 IGC=384 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 96/135/136  OPS COMPLETE = 198 OF 408  ELAPSED TIME = 162
+
+q1Hugemet2 = SIZE q0Hugemet2 BY 0.28 INSIDE OF met2 STEP 0.28
+-------------------------------------------------------------
+q1Hugemet2 (HIER TYP=1 CFG=0 HGC=112 FGC=780 HEC=1083 FEC=7385 IGC=391 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 199 OF 408  ELAPSED TIME = 163
+
+q2Hugemet2 = q1Hugemet2 NOT q0Hugemet2
+--------------------------------------
+q2Hugemet2 (HIER TYP=1 CFG=1 HGC=67 FGC=496 HEC=296 FEC=2210 IGC=202 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 200 OF 408  ELAPSED TIME = 163
+
+Layer q1Hugemet2 DELETED -- LVHEAP = 97/135/136
+
+TMP<27> = q2Hugemet2 COINCIDENT OUTSIDE EDGE q0Hugemet2
+-------------------------------------------------------
+TMP<27> (HIER-PMF TYP=2 CFG=1 HGC=76 FGC=499 HEC=76 FEC=499 IGC=106 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 201 OF 408  ELAPSED TIME = 163
+
+q3Hugemet2 = q2Hugemet2 WITH EDGE TMP<27>
+-----------------------------------------
+q3Hugemet2 (HIER TYP=1 CFG=0 HGC=66 FGC=463 HEC=293 FEC=2111 IGC=202 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 202 OF 408  ELAPSED TIME = 163
+
+Layer q2Hugemet2 DELETED -- LVHEAP = 97/135/136
+
+Layer TMP<27> DELETED -- LVHEAP = 97/135/136
+
+q4Hugemet2 = q3Hugemet2 OR q0Hugemet2
+-------------------------------------
+q4Hugemet2 (HIER TYP=1 CFG=1 HGC=116 FGC=756 HEC=1084 FEC=7306 IGC=397 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 203 OF 408  ELAPSED TIME = 163
+
+Layer q3Hugemet2 DELETED -- LVHEAP = 97/135/136
+
+q5Hugemet2 = SNAP q4Hugemet2 1
+------------------------------
+q5Hugemet2 (HIER TYP=1 CFG=1 HGC=129 FGC=737 HEC=1106 FEC=6624 IGC=357 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 204 OF 408  ELAPSED TIME = 163
+
+Layer q4Hugemet2 DELETED -- LVHEAP = 97/135/136
+
+q6Hugemet2 = met2 NOT q5Hugemet2
+--------------------------------
+q6Hugemet2 (HIER TYP=1 CFG=1 HGC=180275 FGC=339026 HEC=2109025 FEC=3231237 IGC=23991 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 98/135/136  OPS COMPLETE = 205 OF 408  ELAPSED TIME = 164
+
+q7Hugemet2 = EXT q0Hugemet2 q6Hugemet2 <= 0.275 REGION
+------------------------------------------------------
+q7Hugemet2 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 206 OF 408  ELAPSED TIME = 164
+
+Layer q0Hugemet2 DELETED -- LVHEAP = 96/135/136
+
+Layer q6Hugemet2 DELETED -- LVHEAP = 96/135/136
+
+TMP<28> = q7Hugemet2 INSIDE met2
+--------------------------------
+TMP<28> (HIER TYP=1 CFG=0 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 207 OF 408  ELAPSED TIME = 164
+
+q8Hugemet2 = q7Hugemet2 NOT TMP<28>
+-----------------------------------
+q8Hugemet2 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 208 OF 408  ELAPSED TIME = 164
+
+Layer q7Hugemet2 DELETED -- LVHEAP = 96/135/136
+
+Layer TMP<28> DELETED -- LVHEAP = 96/135/136
+
+Layer q8Hugemet2 DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m2.3b COMPLETED. Number of Results = 0 (0)
+
+q9Hugemet2 = EXT q5Hugemet2 < 0.28 REGION ABUT < 90
+---------------------------------------------------
+q9Hugemet2 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 209 OF 408  ELAPSED TIME = 164
+
+Layer q5Hugemet2 DELETED -- LVHEAP = 96/135/136
+
+TMP<30> = q9Hugemet2 AND met2
+-----------------------------
+TMP<30> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 210 OF 408  ELAPSED TIME = 164
+
+TMP<29> = q9Hugemet2 INTERACT TMP<30>
+-------------------------------------
+TMP<29> (HIER TYP=1 CFG=0 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 211 OF 408  ELAPSED TIME = 164
+
+Layer TMP<30> DELETED -- LVHEAP = 96/135/136
+
+q10Hugemet2 = q9Hugemet2 NOT TMP<29>
+------------------------------------
+q10Hugemet2 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 212 OF 408  ELAPSED TIME = 164
+
+Layer q9Hugemet2 DELETED -- LVHEAP = 96/135/136
+
+Layer TMP<29> DELETED -- LVHEAP = 96/135/136
+
+Layer q10Hugemet2 DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m2.3a COMPLETED. Number of Results = 0 (0)
+
+via_PERI = via NOT COREID
+-------------------------
+via_PERI (HIER TYP=1 CFG=0 HGC=419739 FGC=1079296 HEC=1678956 FEC=4317184 IGC=107479 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 97/135/136  OPS COMPLETE = 213 OF 408  ELAPSED TIME = 165
+
+MR_m2.4::q0via_PERIand = via_PERI AND met2
+------------------------------------------
+MR_m2.4::q0via_PERIand (HIER TYP=1 CFG=1 HGC=419740 FGC=1079297 HEC=1678960 FEC=4317188 IGC=107480 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 98/135/136  OPS COMPLETE = 214 OF 408  ELAPSED TIME = 166
+
+MR_m2.4::<1> = ENC MR_m2.4::q0via_PERIand met2 < 0.055 MEASURE ALL ABUT < 90 SINGULAR
+-------------------------------------------------------------------------------------
+MR_m2.4::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 97/135/136  OPS COMPLETE = 215 OF 408  ELAPSED TIME = 166
+
+Layer MR_m2.4::q0via_PERIand DELETED -- LVHEAP = 97/135/136
+
+Layer MR_m2.4::<1> DELETED -- LVHEAP = 97/135/136
+
+DRC RuleCheck MR_m2.4 COMPLETED. Number of Results = 0 (0)
+
+MR_m2.4_a::<1> = via_PERI NOT met2
+----------------------------------
+MR_m2.4_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 216 OF 408  ELAPSED TIME = 167
+
+Layer via_PERI DELETED -- LVHEAP = 96/135/136
+
+Layer MR_m2.4_a::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m2.4_a COMPLETED. Number of Results = 0 (0)
+
+MR_m2.5::q0met2enc = ENC [via] met2 < 0.085 MEASURE ALL PROJECTING > 0 ABUT < 90 PARALLEL ONLY
+----------------------------------------------------------------------------------------------
+MR_m2.5::q0met2enc (HIER-PMF TYP=2 CFG=0 HGC=567261 FGC=861261 HEC=567261 FEC=861261 IGC=110261 VHC=F VPC=F)
+CPU TIME = 4  REAL TIME = 4  LVHEAP = 97/135/136  OPS COMPLETE = 217 OF 408  ELAPSED TIME = 171
+
+Layer via DELETED -- LVHEAP = 97/135/136
+
+MR_m2.5::TMP<31> = EXPAND EDGE MR_m2.5::q0met2enc INSIDE BY 0.005
+-----------------------------------------------------------------
+MR_m2.5::TMP<31> (HIER TYP=1 CFG=1 HGC=567261 FGC=861261 HEC=2269044 FEC=3445044 IGC=110072 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 97/135/136  OPS COMPLETE = 218 OF 408  ELAPSED TIME = 172
+
+Layer MR_m2.5::q0met2enc DELETED -- LVHEAP = 97/135/136
+
+MR_m2.5::<1> = NOT RECTANGLE MR_m2.5::TMP<31> ORTHOGONAL ONLY
+-------------------------------------------------------------
+MR_m2.5::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 219 OF 408  ELAPSED TIME = 172
+
+Layer MR_m2.5::TMP<31> DELETED -- LVHEAP = 96/135/136
+
+Layer MR_m2.5::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m2.5 COMPLETED. Number of Results = 0 (0)
+
+MR_m2.6::<1> = AREA met2 < 0.0676
+---------------------------------
+MR_m2.6::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 220 OF 408  ELAPSED TIME = 173
+
+Layer MR_m2.6::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m2.6 COMPLETED. Number of Results = 0 (0)
+
+met2Hole = HOLES met2
+met2HoleEmpty = HOLES met2 INNER
+--------------------------------
+met2Hole (HIER TYP=1 CFG=1 HGC=3 FGC=7 HEC=20 FEC=52 IGC=4 VHC=F VPC=F)
+met2HoleEmpty (HIER TYP=1 CFG=1 HGC=3 FGC=7 HEC=20 FEC=52 IGC=4 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 96/135/136  OPS COMPLETE = 222 OF 408  ELAPSED TIME = 174
+
+MR_m2.7::<1> = AREA met2Hole < 0.14
+-----------------------------------
+MR_m2.7::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 223 OF 408  ELAPSED TIME = 174
+
+Layer met2Hole DELETED -- LVHEAP = 96/135/136
+
+Layer MR_m2.7::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m2.7 COMPLETED. Number of Results = 0 (0)
+
+MR_m2.7_a::<1> = AREA met2HoleEmpty < 0.14
+------------------------------------------
+MR_m2.7_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 224 OF 408  ELAPSED TIME = 174
+
+Layer met2HoleEmpty DELETED -- LVHEAP = 96/135/136
+
+Layer MR_m2.7_a::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m2.7_a COMPLETED. Number of Results = 0 (0)
+
+ringVIA2 = DONUT via2
+---------------------
+ringVIA2 (HIER-FMF TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 225 OF 408  ELAPSED TIME = 174
+
+rectVIA2 = via2 NOT ringVIA2
+----------------------------
+rectVIA2 (HIER TYP=1 CFG=0 HGC=191631 FGC=824206 HEC=766524 FEC=3296824 IGC=114816 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 226 OF 408  ELAPSED TIME = 174
+
+rectVIA2noMT = rectVIA2 NOT moduleCutAREA
+-----------------------------------------
+rectVIA2noMT (HIER TYP=1 CFG=1 HGC=191631 FGC=824206 HEC=766524 FEC=3296824 IGC=114816 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 227 OF 408  ELAPSED TIME = 174
+
+Layer rectVIA2 DELETED -- LVHEAP = 96/135/136
+
+q0rectVIA2noMT = NOT RECTANGLE rectVIA2noMT ORTHOGONAL ONLY
+-----------------------------------------------------------
+q0rectVIA2noMT (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 228 OF 408  ELAPSED TIME = 174
+
+Layer q0rectVIA2noMT DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via2.1a COMPLETED. Number of Results = 0 (0)
+
+q1rectVIA2noMT = INT rectVIA2noMT < 0.2 REGION
+----------------------------------------------
+q1rectVIA2noMT (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 229 OF 408  ELAPSED TIME = 175
+
+Layer q1rectVIA2noMT DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via2.1a_a COMPLETED. Number of Results = 0 (0)
+
+TMP<35> = LENGTH rectVIA2noMT > 0.2
+-----------------------------------
+TMP<35> (HIER-PMF TYP=2 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 230 OF 408  ELAPSED TIME = 175
+
+q2rectVIA2noMT = rectVIA2noMT WITH EDGE TMP<35>
+-----------------------------------------------
+q2rectVIA2noMT (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 231 OF 408  ELAPSED TIME = 175
+
+Layer rectVIA2noMT DELETED -- LVHEAP = 96/135/136
+
+Layer TMP<35> DELETED -- LVHEAP = 96/135/136
+
+Layer q2rectVIA2noMT DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via2.1a_b COMPLETED. Number of Results = 0 (0)
+
+MR_via2.2::<1> = EXT via2 < 0.2 REGION ABUT < 90 SINGULAR
+---------------------------------------------------------
+MR_via2.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 232 OF 408  ELAPSED TIME = 175
+
+Layer MR_via2.2::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via2.2 COMPLETED. Number of Results = 0 (0)
+
+MR_via2.3::<1> = INT ringVIA2 < 0.2 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+---------------------------------------------------------------------------
+MR_via2.3::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 233 OF 408  ELAPSED TIME = 175
+
+Layer MR_via2.3::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via2.3 COMPLETED. Number of Results = 0 (0)
+
+MR_via2.3_a::q0ringVIA2 = SIZE ringVIA2 BY -0.102
+-------------------------------------------------
+MR_via2.3_a::q0ringVIA2 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 234 OF 408  ELAPSED TIME = 175
+
+MR_via2.3_a::<1> = SIZE MR_via2.3_a::q0ringVIA2 BY 0.102
+--------------------------------------------------------
+MR_via2.3_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 235 OF 408  ELAPSED TIME = 175
+
+Layer MR_via2.3_a::q0ringVIA2 DELETED -- LVHEAP = 96/135/136
+
+Layer MR_via2.3_a::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via2.3_a COMPLETED. Number of Results = 0 (0)
+
+MR_via2.3_b::<1> = ringVIA2 NOT SEALID
+--------------------------------------
+MR_via2.3_b::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 236 OF 408  ELAPSED TIME = 175
+
+Layer ringVIA2 DELETED -- LVHEAP = 96/135/136
+
+Layer MR_via2.3_b::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via2.3_b COMPLETED. Number of Results = 0 (0)
+
+MR_via2.4::q0via2and = via2 AND met2
+------------------------------------
+MR_via2.4::q0via2and (HIER TYP=1 CFG=1 HGC=207130 FGC=824206 HEC=828520 FEC=3296824 IGC=114818 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 96/135/136  OPS COMPLETE = 237 OF 408  ELAPSED TIME = 176
+
+MR_via2.4::<1> = ENC MR_via2.4::q0via2and met2 < 0.04 MEASURE ALL ABUT < 90 SINGULAR
+------------------------------------------------------------------------------------
+MR_via2.4::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 1  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 238 OF 408  ELAPSED TIME = 176
+
+Layer MR_via2.4::q0via2and DELETED -- LVHEAP = 96/135/136
+
+Layer MR_via2.4::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via2.4 COMPLETED. Number of Results = 0 (0)
+
+MR_via2.4_a::<1> = via2 NOT met2
+--------------------------------
+MR_via2.4_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 239 OF 408  ELAPSED TIME = 176
+
+Layer MR_via2.4_a::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via2.4_a COMPLETED. Number of Results = 0 (0)
+
+MR_via2.5::q1met2enc = ENC [via2] met2 < 0.085 MEASURE ALL PROJECTING > 0 ABUT < 90 PARALLEL ONLY
+-------------------------------------------------------------------------------------------------
+MR_via2.5::q1met2enc (HIER-PMF TYP=2 CFG=0 HGC=115563 FGC=195329 HEC=115563 FEC=195329 IGC=101318 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 96/135/136  OPS COMPLETE = 240 OF 408  ELAPSED TIME = 178
+
+MR_via2.5::TMP<36> = EXPAND EDGE MR_via2.5::q1met2enc INSIDE BY 0.005
+---------------------------------------------------------------------
+MR_via2.5::TMP<36> (HIER TYP=1 CFG=1 HGC=115563 FGC=195329 HEC=462252 FEC=781316 IGC=101283 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 241 OF 408  ELAPSED TIME = 178
+
+Layer MR_via2.5::q1met2enc DELETED -- LVHEAP = 96/135/136
+
+MR_via2.5::<1> = NOT RECTANGLE MR_via2.5::TMP<36> ORTHOGONAL ONLY
+-----------------------------------------------------------------
+MR_via2.5::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 242 OF 408  ELAPSED TIME = 178
+
+Layer MR_via2.5::TMP<36> DELETED -- LVHEAP = 96/135/136
+
+Layer MR_via2.5::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via2.5 COMPLETED. Number of Results = 0 (0)
+
+MR_m3.2::<1> = EXT met3 < 0.3 REGION ABUT < 90 SINGULAR
+MR_m3.1::<1> = INT met3 < 0.3 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+---------------------------------------------------------------------
+MR_m3.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_m3.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 96/135/136  OPS COMPLETE = 244 OF 408  ELAPSED TIME = 179
+
+Layer MR_m3.1::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m3.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_m3.2::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m3.2 COMPLETED. Number of Results = 0 (0)
+
+MR_m3.4::q1via2and = via2 AND met3
+----------------------------------
+MR_m3.4::q1via2and (HIER TYP=1 CFG=1 HGC=207132 FGC=824208 HEC=828528 FEC=3296832 IGC=114840 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 245 OF 408  ELAPSED TIME = 179
+
+MR_m3.4::<1> = ENC MR_m3.4::q1via2and met3 < 0.065 MEASURE ALL ABUT < 90 SINGULAR
+---------------------------------------------------------------------------------
+MR_m3.4::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 246 OF 408  ELAPSED TIME = 180
+
+Layer MR_m3.4::q1via2and DELETED -- LVHEAP = 96/135/136
+
+Layer MR_m3.4::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_m3.4 COMPLETED. Number of Results = 0 (0)
+
+MR_m3.4_a::<1> = via2 NOT met3
+------------------------------
+MR_m3.4_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 247 OF 408  ELAPSED TIME = 180
+
+Layer via2 DELETED -- LVHEAP = 95/135/136
+
+Layer MR_m3.4_a::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m3.4_a COMPLETED. Number of Results = 0 (0)
+
+q0Hugemet3 = met3 WITH WIDTH > 3
+--------------------------------
+q0Hugemet3 (HIER TYP=1 CFG=1 HGC=520 FGC=1865 HEC=2945 FEC=12690 IGC=1333 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 95/135/136  OPS COMPLETE = 248 OF 408  ELAPSED TIME = 180
+
+q1Hugemet3 = SIZE q0Hugemet3 BY 0.4 INSIDE OF met3 STEP 0.4
+-----------------------------------------------------------
+q1Hugemet3 (HIER TYP=1 CFG=0 HGC=550 FGC=1927 HEC=3965 FEC=14014 IGC=1336 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 249 OF 408  ELAPSED TIME = 180
+
+q2Hugemet3 = q1Hugemet3 NOT q0Hugemet3
+--------------------------------------
+q2Hugemet3 (HIER TYP=1 CFG=1 HGC=251 FGC=641 HEC=1015 FEC=2799 IGC=208 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 250 OF 408  ELAPSED TIME = 180
+
+Layer q1Hugemet3 DELETED -- LVHEAP = 95/135/136
+
+TMP<37> = q2Hugemet3 COINCIDENT OUTSIDE EDGE q0Hugemet3
+-------------------------------------------------------
+TMP<37> (HIER-PMF TYP=2 CFG=1 HGC=254 FGC=708 HEC=254 FEC=708 IGC=134 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 251 OF 408  ELAPSED TIME = 180
+
+q3Hugemet3 = q2Hugemet3 WITH EDGE TMP<37>
+-----------------------------------------
+q3Hugemet3 (HIER TYP=1 CFG=0 HGC=249 FGC=607 HEC=1009 FEC=2697 IGC=208 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 252 OF 408  ELAPSED TIME = 180
+
+Layer q2Hugemet3 DELETED -- LVHEAP = 95/135/136
+
+Layer TMP<37> DELETED -- LVHEAP = 95/135/136
+
+q4Hugemet3 = q3Hugemet3 OR q0Hugemet3
+-------------------------------------
+q4Hugemet3 (HIER TYP=1 CFG=1 HGC=521 FGC=1866 HEC=3855 FEC=13866 IGC=1346 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 253 OF 408  ELAPSED TIME = 180
+
+Layer q3Hugemet3 DELETED -- LVHEAP = 95/135/136
+
+q5Hugemet3 = SNAP q4Hugemet3 1
+------------------------------
+q5Hugemet3 (HIER TYP=1 CFG=1 HGC=637 FGC=4896 HEC=4315 FEC=26398 IGC=1175 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 254 OF 408  ELAPSED TIME = 180
+
+Layer q4Hugemet3 DELETED -- LVHEAP = 95/135/136
+
+q6Hugemet3 = met3 NOT q5Hugemet3
+--------------------------------
+q6Hugemet3 (HIER TYP=1 CFG=1 HGC=43562 FGC=380103 HEC=389792 FEC=1762129 IGC=11222 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 255 OF 408  ELAPSED TIME = 181
+
+q7Hugemet3 = EXT q0Hugemet3 q6Hugemet3 <= 0.395 REGION
+------------------------------------------------------
+q7Hugemet3 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 256 OF 408  ELAPSED TIME = 181
+
+Layer q0Hugemet3 DELETED -- LVHEAP = 95/135/136
+
+Layer q6Hugemet3 DELETED -- LVHEAP = 95/135/136
+
+TMP<38> = q7Hugemet3 INSIDE met3
+--------------------------------
+TMP<38> (HIER TYP=1 CFG=0 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 257 OF 408  ELAPSED TIME = 181
+
+q8Hugemet3 = q7Hugemet3 NOT TMP<38>
+-----------------------------------
+q8Hugemet3 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 258 OF 408  ELAPSED TIME = 181
+
+Layer q7Hugemet3 DELETED -- LVHEAP = 95/135/136
+
+Layer TMP<38> DELETED -- LVHEAP = 95/135/136
+
+Layer q8Hugemet3 DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m3.3d COMPLETED. Number of Results = 0 (0)
+
+q9Hugemet3 = EXT q5Hugemet3 < 0.4 REGION ABUT < 90
+--------------------------------------------------
+q9Hugemet3 (HIER TYP=1 CFG=1 HGC=1 FGC=33 HEC=4 FEC=132 IGC=14 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 259 OF 408  ELAPSED TIME = 181
+
+Layer q5Hugemet3 DELETED -- LVHEAP = 95/135/136
+
+TMP<40> = q9Hugemet3 AND met3
+-----------------------------
+TMP<40> (HIER TYP=1 CFG=1 HGC=1 FGC=33 HEC=4 FEC=132 IGC=14 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 260 OF 408  ELAPSED TIME = 181
+
+TMP<39> = q9Hugemet3 INTERACT TMP<40>
+-------------------------------------
+TMP<39> (HIER TYP=1 CFG=0 HGC=1 FGC=33 HEC=4 FEC=132 IGC=14 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 261 OF 408  ELAPSED TIME = 181
+
+Layer TMP<40> DELETED -- LVHEAP = 95/135/136
+
+q10Hugemet3 = q9Hugemet3 NOT TMP<39>
+------------------------------------
+q10Hugemet3 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 262 OF 408  ELAPSED TIME = 181
+
+Layer q9Hugemet3 DELETED -- LVHEAP = 95/135/136
+
+Layer TMP<39> DELETED -- LVHEAP = 95/135/136
+
+Layer q10Hugemet3 DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m3.3c COMPLETED. Number of Results = 0 (0)
+
+ringVIA3 = DONUT via3
+---------------------
+ringVIA3 (HIER-FMF TYP=1 CFG=0 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 263 OF 408  ELAPSED TIME = 181
+
+rectVIA3 = via3 NOT ringVIA3
+----------------------------
+rectVIA3 (HIER TYP=1 CFG=0 HGC=336779 FGC=1142031 HEC=1347116 FEC=4568124 IGC=83690 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 264 OF 408  ELAPSED TIME = 181
+
+Layer ringVIA3 DELETED -- LVHEAP = 96/135/136
+
+rectVIA3noMT = rectVIA3 NOT moduleCutAREA
+-----------------------------------------
+rectVIA3noMT (HIER TYP=1 CFG=1 HGC=336779 FGC=1142031 HEC=1347116 FEC=4568124 IGC=83690 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 265 OF 408  ELAPSED TIME = 181
+
+Layer moduleCutAREA DELETED -- LVHEAP = 96/135/136
+
+q0rectVIA3noMT = NOT RECTANGLE rectVIA3noMT ORTHOGONAL ONLY
+-----------------------------------------------------------
+q0rectVIA3noMT (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 266 OF 408  ELAPSED TIME = 181
+
+Layer q0rectVIA3noMT DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via3.1 COMPLETED. Number of Results = 0 (0)
+
+q1rectVIA3noMT = INT rectVIA3noMT < 0.2 REGION
+----------------------------------------------
+q1rectVIA3noMT (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 267 OF 408  ELAPSED TIME = 182
+
+Layer q1rectVIA3noMT DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via3.1_a COMPLETED. Number of Results = 0 (0)
+
+TMP<43> = LENGTH rectVIA3noMT > 0.2
+-----------------------------------
+TMP<43> (HIER-PMF TYP=2 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 96/135/136  OPS COMPLETE = 268 OF 408  ELAPSED TIME = 182
+
+q2rectVIA3noMT = rectVIA3noMT WITH EDGE TMP<43>
+-----------------------------------------------
+q2rectVIA3noMT (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 269 OF 408  ELAPSED TIME = 182
+
+Layer rectVIA3noMT DELETED -- LVHEAP = 96/135/136
+
+Layer TMP<43> DELETED -- LVHEAP = 96/135/136
+
+Layer q2rectVIA3noMT DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via3.1_b COMPLETED. Number of Results = 0 (0)
+
+MR_via3.2::<1> = EXT via3 < 0.2 REGION ABUT < 90 SINGULAR
+---------------------------------------------------------
+MR_via3.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 270 OF 408  ELAPSED TIME = 182
+
+Layer MR_via3.2::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via3.2 COMPLETED. Number of Results = 0 (0)
+
+MR_via3.4::q0rectVIA3and = rectVIA3 AND met3
+--------------------------------------------
+MR_via3.4::q0rectVIA3and (HIER TYP=1 CFG=1 HGC=352278 FGC=1142031 HEC=1409112 FEC=4568124 IGC=83693 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 271 OF 408  ELAPSED TIME = 183
+
+MR_via3.4::<1> = ENC MR_via3.4::q0rectVIA3and met3 < 0.06 MEASURE ALL ABUT < 90 SINGULAR
+----------------------------------------------------------------------------------------
+MR_via3.4::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 272 OF 408  ELAPSED TIME = 183
+
+Layer MR_via3.4::q0rectVIA3and DELETED -- LVHEAP = 96/135/136
+
+Layer MR_via3.4::<1> DELETED -- LVHEAP = 96/135/136
+
+DRC RuleCheck MR_via3.4 COMPLETED. Number of Results = 0 (0)
+
+MR_via3.4_a::<1> = rectVIA3 NOT met3
+------------------------------------
+MR_via3.4_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 273 OF 408  ELAPSED TIME = 183
+
+Layer rectVIA3 DELETED -- LVHEAP = 95/135/136
+
+Layer MR_via3.4_a::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_via3.4_a COMPLETED. Number of Results = 0 (0)
+
+MR_via3.5::q0met3enc = ENC [via3] met3 < 0.09 MEASURE ALL PROJECTING > 0 ABUT < 90 PARALLEL ONLY
+------------------------------------------------------------------------------------------------
+MR_via3.5::q0met3enc (HIER-PMF TYP=2 CFG=0 HGC=120847 FGC=174044 HEC=120847 FEC=174044 IGC=58420 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 96/135/136  OPS COMPLETE = 274 OF 408  ELAPSED TIME = 185
+
+MR_via3.5::TMP<44> = EXPAND EDGE MR_via3.5::q0met3enc INSIDE BY 0.005
+---------------------------------------------------------------------
+MR_via3.5::TMP<44> (HIER TYP=1 CFG=1 HGC=120847 FGC=174044 HEC=483388 FEC=696176 IGC=58360 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 275 OF 408  ELAPSED TIME = 185
+
+Layer MR_via3.5::q0met3enc DELETED -- LVHEAP = 96/135/136
+
+MR_via3.5::<1> = NOT RECTANGLE MR_via3.5::TMP<44> ORTHOGONAL ONLY
+-----------------------------------------------------------------
+MR_via3.5::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 276 OF 408  ELAPSED TIME = 185
+
+Layer MR_via3.5::TMP<44> DELETED -- LVHEAP = 95/135/136
+
+Layer MR_via3.5::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_via3.5 COMPLETED. Number of Results = 0 (0)
+
+MR_m4.2::<1> = EXT met4 < 0.3 REGION ABUT < 90 SINGULAR
+MR_m4.1::<1> = INT met4 < 0.3 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+---------------------------------------------------------------------
+MR_m4.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_m4.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 278 OF 408  ELAPSED TIME = 186
+
+Layer MR_m4.1::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m4.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_m4.2::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m4.2 COMPLETED. Number of Results = 0 (0)
+
+MR_m4.3::q0via3and = via3 AND met4
+----------------------------------
+MR_m4.3::q0via3and (HIER TYP=1 CFG=1 HGC=352278 FGC=1142031 HEC=1409112 FEC=4568124 IGC=84851 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 279 OF 408  ELAPSED TIME = 186
+
+MR_m4.3::<1> = ENC MR_m4.3::q0via3and met4 < 0.065 MEASURE ALL ABUT < 90 SINGULAR
+---------------------------------------------------------------------------------
+MR_m4.3::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 280 OF 408  ELAPSED TIME = 186
+
+Layer MR_m4.3::q0via3and DELETED -- LVHEAP = 95/135/136
+
+Layer MR_m4.3::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m4.3 COMPLETED. Number of Results = 0 (0)
+
+MR_m4.3_a::<1> = via3 NOT met4
+------------------------------
+MR_m4.3_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 281 OF 408  ELAPSED TIME = 186
+
+Layer via3 DELETED -- LVHEAP = 95/135/136
+
+Layer MR_m4.3_a::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m4.3_a COMPLETED. Number of Results = 0 (0)
+
+MR_m4.4a::<1> = AREA met4 < 0.24
+--------------------------------
+MR_m4.4a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 282 OF 408  ELAPSED TIME = 186
+
+Layer MR_m4.4a::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m4.4a COMPLETED. Number of Results = 0 (0)
+
+q0Hugemet4 = met4 WITH WIDTH > 3
+--------------------------------
+q0Hugemet4 (HIER TYP=1 CFG=1 HGC=7839 FGC=30674 HEC=32547 FEC=125911 IGC=1604 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 283 OF 408  ELAPSED TIME = 187
+
+q1Hugemet4 = SIZE q0Hugemet4 BY 0.4 INSIDE OF met4 STEP 0.4
+-----------------------------------------------------------
+q1Hugemet4 (HIER TYP=1 CFG=0 HGC=3180 FGC=26193 HEC=14261 FEC=117921 IGC=1621 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 284 OF 408  ELAPSED TIME = 187
+
+q2Hugemet4 = q1Hugemet4 NOT q0Hugemet4
+--------------------------------------
+q2Hugemet4 (HIER TYP=1 CFG=1 HGC=16 FGC=144 HEC=70 FEC=582 IGC=50 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 285 OF 408  ELAPSED TIME = 187
+
+Layer q1Hugemet4 DELETED -- LVHEAP = 95/135/136
+
+TMP<45> = q2Hugemet4 COINCIDENT OUTSIDE EDGE q0Hugemet4
+-------------------------------------------------------
+TMP<45> (HIER-PMF TYP=2 CFG=1 HGC=18 FGC=146 HEC=18 FEC=146 IGC=31 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 286 OF 408  ELAPSED TIME = 187
+
+q3Hugemet4 = q2Hugemet4 WITH EDGE TMP<45>
+-----------------------------------------
+q3Hugemet4 (HIER TYP=1 CFG=0 HGC=16 FGC=144 HEC=70 FEC=582 IGC=50 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 287 OF 408  ELAPSED TIME = 187
+
+Layer q2Hugemet4 DELETED -- LVHEAP = 95/135/136
+
+Layer TMP<45> DELETED -- LVHEAP = 95/135/136
+
+q4Hugemet4 = q3Hugemet4 OR q0Hugemet4
+-------------------------------------
+q4Hugemet4 (HIER TYP=1 CFG=1 HGC=7839 FGC=30674 HEC=32579 FEC=126071 IGC=1605 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 288 OF 408  ELAPSED TIME = 187
+
+Layer q3Hugemet4 DELETED -- LVHEAP = 95/135/136
+
+q5Hugemet4 = SNAP q4Hugemet4 1
+------------------------------
+q5Hugemet4 (HIER TYP=1 CFG=1 HGC=8149 FGC=34281 HEC=33857 FEC=141945 IGC=1569 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 289 OF 408  ELAPSED TIME = 187
+
+Layer q4Hugemet4 DELETED -- LVHEAP = 95/135/136
+
+q6Hugemet4 = met4 NOT q5Hugemet4
+--------------------------------
+q6Hugemet4 (HIER TYP=1 CFG=1 HGC=9558 FGC=337646 HEC=55050 FEC=1370312 IGC=8289 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 96/135/136  OPS COMPLETE = 290 OF 408  ELAPSED TIME = 187
+
+q7Hugemet4 = EXT q0Hugemet4 q6Hugemet4 <= 0.395 REGION
+------------------------------------------------------
+q7Hugemet4 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 291 OF 408  ELAPSED TIME = 188
+
+Layer q0Hugemet4 DELETED -- LVHEAP = 95/135/136
+
+Layer q6Hugemet4 DELETED -- LVHEAP = 95/135/136
+
+TMP<46> = q7Hugemet4 INSIDE met4
+--------------------------------
+TMP<46> (HIER TYP=1 CFG=0 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 292 OF 408  ELAPSED TIME = 188
+
+q8Hugemet4 = q7Hugemet4 NOT TMP<46>
+-----------------------------------
+q8Hugemet4 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 293 OF 408  ELAPSED TIME = 188
+
+Layer q7Hugemet4 DELETED -- LVHEAP = 95/135/136
+
+Layer TMP<46> DELETED -- LVHEAP = 95/135/136
+
+Layer q8Hugemet4 DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m4.5b COMPLETED. Number of Results = 0 (0)
+
+q9Hugemet4 = EXT q5Hugemet4 < 0.4 REGION ABUT < 90
+--------------------------------------------------
+q9Hugemet4 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 294 OF 408  ELAPSED TIME = 188
+
+Layer q5Hugemet4 DELETED -- LVHEAP = 95/135/136
+
+TMP<48> = q9Hugemet4 AND met4
+-----------------------------
+TMP<48> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 295 OF 408  ELAPSED TIME = 188
+
+TMP<47> = q9Hugemet4 INTERACT TMP<48>
+-------------------------------------
+TMP<47> (HIER TYP=1 CFG=0 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 296 OF 408  ELAPSED TIME = 188
+
+Layer TMP<48> DELETED -- LVHEAP = 95/135/136
+
+q10Hugemet4 = q9Hugemet4 NOT TMP<47>
+------------------------------------
+q10Hugemet4 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 297 OF 408  ELAPSED TIME = 188
+
+Layer q9Hugemet4 DELETED -- LVHEAP = 95/135/136
+
+Layer TMP<47> DELETED -- LVHEAP = 95/135/136
+
+Layer q10Hugemet4 DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m4.5a COMPLETED. Number of Results = 0 (0)
+
+ringVIA4 = DONUT via4
+---------------------
+ringVIA4 (HIER-FMF TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 298 OF 408  ELAPSED TIME = 188
+
+rectVIA4 = via4 NOT ringVIA4
+----------------------------
+rectVIA4 (HIER TYP=1 CFG=1 HGC=38046 FGC=237180 HEC=152184 FEC=948720 IGC=17342 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 299 OF 408  ELAPSED TIME = 188
+
+q0rectVIA4 = NOT RECTANGLE rectVIA4 ORTHOGONAL ONLY
+---------------------------------------------------
+q0rectVIA4 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 300 OF 408  ELAPSED TIME = 188
+
+Layer q0rectVIA4 DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_via4.1 COMPLETED. Number of Results = 0 (0)
+
+q1rectVIA4 = INT rectVIA4 < 0.8 REGION
+--------------------------------------
+q1rectVIA4 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 301 OF 408  ELAPSED TIME = 188
+
+Layer q1rectVIA4 DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_via4.1_a COMPLETED. Number of Results = 0 (0)
+
+TMP<50> = LENGTH rectVIA4 > 0.8
+-------------------------------
+TMP<50> (HIER-PMF TYP=2 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 302 OF 408  ELAPSED TIME = 188
+
+q2rectVIA4 = rectVIA4 WITH EDGE TMP<50>
+---------------------------------------
+q2rectVIA4 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 303 OF 408  ELAPSED TIME = 188
+
+Layer TMP<50> DELETED -- LVHEAP = 95/135/136
+
+Layer q2rectVIA4 DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_via4.1_b COMPLETED. Number of Results = 0 (0)
+
+MR_via4.2::<1> = EXT via4 < 0.8 REGION ABUT < 90 SINGULAR
+---------------------------------------------------------
+MR_via4.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 304 OF 408  ELAPSED TIME = 188
+
+Layer MR_via4.2::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_via4.2 COMPLETED. Number of Results = 0 (0)
+
+MR_via4.3::<1> = INT ringVIA4 < 0.8 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+---------------------------------------------------------------------------
+MR_via4.3::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 305 OF 408  ELAPSED TIME = 188
+
+Layer MR_via4.3::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_via4.3 COMPLETED. Number of Results = 0 (0)
+
+MR_via4.3_a::q0ringVIA4 = SIZE ringVIA4 BY -0.402
+-------------------------------------------------
+MR_via4.3_a::q0ringVIA4 (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 306 OF 408  ELAPSED TIME = 188
+
+MR_via4.3_a::<1> = SIZE MR_via4.3_a::q0ringVIA4 BY 0.402
+--------------------------------------------------------
+MR_via4.3_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 307 OF 408  ELAPSED TIME = 188
+
+Layer MR_via4.3_a::q0ringVIA4 DELETED -- LVHEAP = 95/135/136
+
+Layer MR_via4.3_a::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_via4.3_a COMPLETED. Number of Results = 0 (0)
+
+MR_via4.3_b::<1> = ringVIA4 NOT SEALID
+--------------------------------------
+MR_via4.3_b::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 308 OF 408  ELAPSED TIME = 188
+
+Layer ringVIA4 DELETED -- LVHEAP = 95/135/136
+
+Layer MR_via4.3_b::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_via4.3_b COMPLETED. Number of Results = 0 (0)
+
+MR_via4.4::q0rectVIA4and = rectVIA4 AND met4
+--------------------------------------------
+MR_via4.4::q0rectVIA4and (HIER TYP=1 CFG=1 HGC=38046 FGC=237180 HEC=152184 FEC=948720 IGC=17342 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 309 OF 408  ELAPSED TIME = 188
+
+MR_via4.4::<1> = ENC MR_via4.4::q0rectVIA4and met4 < 0.19 MEASURE ALL ABUT < 90 SINGULAR
+----------------------------------------------------------------------------------------
+MR_via4.4::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 310 OF 408  ELAPSED TIME = 188
+
+Layer MR_via4.4::q0rectVIA4and DELETED -- LVHEAP = 95/135/136
+
+Layer MR_via4.4::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_via4.4 COMPLETED. Number of Results = 0 (0)
+
+MR_via4.4_a::<1> = rectVIA4 NOT met4
+------------------------------------
+MR_via4.4_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 311 OF 408  ELAPSED TIME = 188
+
+Layer rectVIA4 DELETED -- LVHEAP = 95/135/136
+
+Layer MR_via4.4_a::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_via4.4_a COMPLETED. Number of Results = 0 (0)
+
+MR_m5.2::<1> = EXT met5 < 1.6 REGION ABUT < 90 SINGULAR
+MR_m5.1::<1> = INT met5 < 1.6 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+---------------------------------------------------------------------
+MR_m5.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_m5.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 313 OF 408  ELAPSED TIME = 188
+
+Layer MR_m5.1::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m5.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_m5.2::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m5.2 COMPLETED. Number of Results = 0 (0)
+
+MR_m5.3::q0via4and = via4 AND met5
+----------------------------------
+MR_m5.3::q0via4and (HIER TYP=1 CFG=1 HGC=38054 FGC=237188 HEC=152216 FEC=948752 IGC=17350 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 314 OF 408  ELAPSED TIME = 188
+
+MR_m5.3::<1> = ENC MR_m5.3::q0via4and met5 < 0.31 MEASURE ALL ABUT < 90 SINGULAR
+--------------------------------------------------------------------------------
+MR_m5.3::<1> (HIER TYP=3 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 315 OF 408  ELAPSED TIME = 188
+
+Layer MR_m5.3::q0via4and DELETED -- LVHEAP = 95/135/136
+
+Layer MR_m5.3::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m5.3 COMPLETED. Number of Results = 0 (0)
+
+MR_m5.3_a::<1> = via4 NOT met5
+------------------------------
+MR_m5.3_a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 316 OF 408  ELAPSED TIME = 188
+
+Layer via4 DELETED -- LVHEAP = 95/135/136
+
+Layer MR_m5.3_a::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m5.3_a COMPLETED. Number of Results = 0 (0)
+
+MR_m5.4::<1> = AREA met5 < 4
+----------------------------
+MR_m5.4::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 317 OF 408  ELAPSED TIME = 188
+
+Layer MR_m5.4::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_m5.4 COMPLETED. Number of Results = 0 (0)
+
+MR_pad.2::<1> = EXT pad < 1.27 REGION ABUT < 90 SINGULAR
+--------------------------------------------------------
+MR_pad.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 318 OF 408  ELAPSED TIME = 188
+
+Layer pad DELETED -- LVHEAP = 95/135/136
+
+Layer MR_pad.2::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_pad.2 COMPLETED. Number of Results = 0 (0)
+
+hvi = OR hvi
+------------
+hvi (HIER TYP=1 CFG=1 HGC=288 FGC=31312 HEC=1466 FEC=134605 IGC=629 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 319 OF 408  ELAPSED TIME = 188
+
+Original Layer hvi DELETED -- LVHEAP = 95/135/136
+
+hvi_peri = hvi NOT COREID
+-------------------------
+hvi_peri (HIER TYP=1 CFG=1 HGC=288 FGC=31312 HEC=1466 FEC=134605 IGC=629 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 320 OF 408  ELAPSED TIME = 189
+
+Layer hvi DELETED -- LVHEAP = 95/135/136
+
+MR_hvi.2a::<1> = EXT hvi_peri < 0.7 REGION ABUT < 90 SINGULAR
+MR_hvi.1::<1> = INT hvi_peri < 0.6 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+--------------------------------------------------------------------------
+MR_hvi.2a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_hvi.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 322 OF 408  ELAPSED TIME = 189
+
+Layer hvi_peri DELETED -- LVHEAP = 95/135/136
+
+Layer MR_hvi.1::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_hvi.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_hvi.2a::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_hvi.2a COMPLETED. Number of Results = 0 (0)
+
+hvntm = OR hvntm
+----------------
+hvntm (HIER TYP=1 CFG=1 HGC=28 FGC=46 HEC=132 FEC=210 IGC=15 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 323 OF 408  ELAPSED TIME = 189
+
+Original Layer hvntm DELETED -- LVHEAP = 95/135/136
+
+hvntm_peri = hvntm NOT COREID
+-----------------------------
+hvntm_peri (HIER TYP=1 CFG=1 HGC=28 FGC=46 HEC=132 FEC=210 IGC=15 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 324 OF 408  ELAPSED TIME = 189
+
+Layer hvntm DELETED -- LVHEAP = 95/135/136
+
+Layer COREID DELETED -- LVHEAP = 95/135/136
+
+MR_hvntm.2::<1> = EXT hvntm_peri < 0.7 REGION ABUT < 90 SINGULAR
+MR_hvntm.1::<1> = INT hvntm_peri < 0.7 REGION ABUT < 90 SINGULAR EXCLUDE FALSE
+------------------------------------------------------------------------------
+MR_hvntm.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+MR_hvntm.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 326 OF 408  ELAPSED TIME = 189
+
+Layer hvntm_peri DELETED -- LVHEAP = 95/135/136
+
+Layer MR_hvntm.1::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_hvntm.1 COMPLETED. Number of Results = 0 (0)
+
+Layer MR_hvntm.2::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_hvntm.2 COMPLETED. Number of Results = 0 (0)
+
+FOM_FILL = OR FOM_FILL
+----------------------
+FOM_FILL (HIER TYP=1 CFG=1 HGC=502954 FGC=502954 HEC=2012113 FEC=2012113 IGC=13257 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 95/135/136  OPS COMPLETE = 327 OF 408  ELAPSED TIME = 189
+
+Original Layer FOM_FILL DELETED -- LVHEAP = 95/135/136
+
+FOMmk = OR FOMmk
+----------------
+FOMmk (HIER TYP=1 CFG=1 HGC=4 FGC=4 HEC=48 FEC=48 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 328 OF 408  ELAPSED TIME = 189
+
+Original Layer FOMmk DELETED -- LVHEAP = 95/135/136
+
+TMP<51> = FOMmk OR FOM_FILL
+---------------------------
+TMP<51> (HIER TYP=1 CFG=0 HGC=502958 FGC=502958 HEC=2012161 FEC=2012161 IGC=13257 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 329 OF 408  ELAPSED TIME = 189
+
+FOMpd = diffTap OR TMP<51>
+--------------------------
+FOMpd (HIER TYP=1 CFG=1 HGC=505986 FGC=1344502 HEC=2026244 FEC=5832193 IGC=16927 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 330 OF 408  ELAPSED TIME = 190
+
+Layer TMP<51> DELETED -- LVHEAP = 95/135/136
+
+MR_cfom.waffle.1::<1> = EXT FOM_FILL FOMpd < 0.4 REGION ABUT < 90 SINGULAR
+--------------------------------------------------------------------------
+MR_cfom.waffle.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 6  REAL TIME = 6  LVHEAP = 95/135/136  OPS COMPLETE = 331 OF 408  ELAPSED TIME = 195
+
+Layer MR_cfom.waffle.1::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_cfom.waffle.1 COMPLETED. Number of Results = 0 (0)
+
+FOM_noFill = diffTap OR FOMmk
+-----------------------------
+FOM_noFill (HIER TYP=1 CFG=1 HGC=3032 FGC=841548 HEC=14131 FEC=3820080 IGC=4990 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 332 OF 408  ELAPSED TIME = 195
+
+Layer diffTap DELETED -- LVHEAP = 95/135/136
+
+Layer FOMmk DELETED -- LVHEAP = 95/135/136
+
+MR_cfom.waffle.2::<1> = FOM_FILL INTERACT FOM_noFill
+----------------------------------------------------
+MR_cfom.waffle.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 333 OF 408  ELAPSED TIME = 196
+
+Layer MR_cfom.waffle.2::<1> DELETED -- LVHEAP = 95/135/136
+
+DRC RuleCheck MR_cfom.waffle.2 COMPLETED. Number of Results = 0 (0)
+
+P1Mmk = OR P1Mmk
+----------------
+P1Mmk (HIER TYP=1 CFG=0 HGC=4 FGC=4 HEC=48 FEC=48 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 95/135/136  OPS COMPLETE = 334 OF 408  ELAPSED TIME = 196
+
+Original Layer P1Mmk DELETED -- LVHEAP = 95/135/136
+
+P1M_FILL = OR P1M_FILL
+----------------------
+P1M_FILL (HIER TYP=1 CFG=1 HGC=3796488 FGC=3796488 HEC=15186042 FEC=15186042 IGC=31932 VHC=F VPC=F)
+CPU TIME = 10  REAL TIME = 10  LVHEAP = 93/135/136  OPS COMPLETE = 335 OF 408  ELAPSED TIME = 206
+
+Original Layer P1M_FILL DELETED -- LVHEAP = 93/135/136
+
+MR_cfom.waffle.2a::TMP<60> = P1Mmk OR P1M_FILL
+----------------------------------------------
+MR_cfom.waffle.2a::TMP<60> (HIER TYP=1 CFG=0 HGC=3796492 FGC=3796492 HEC=15186090 FEC=15186090 IGC=31932 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 101/135/136  OPS COMPLETE = 336 OF 408  ELAPSED TIME = 207
+
+MR_cfom.waffle.2a::TMP<59> = poly OR MR_cfom.waffle.2a::TMP<60>
+---------------------------------------------------------------
+MR_cfom.waffle.2a::TMP<59> (HIER TYP=1 CFG=1 HGC=3800734 FGC=4615145 HEC=15218487 FEC=22718251 IGC=36320 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 101/135/136  OPS COMPLETE = 337 OF 408  ELAPSED TIME = 208
+
+Layer MR_cfom.waffle.2a::TMP<60> DELETED -- LVHEAP = 101/135/136
+
+MR_cfom.waffle.2a::<1> = FOM_FILL INTERACT MR_cfom.waffle.2a::TMP<59>
+---------------------------------------------------------------------
+MR_cfom.waffle.2a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 4  REAL TIME = 4  LVHEAP = 92/135/136  OPS COMPLETE = 338 OF 408  ELAPSED TIME = 212
+
+Layer FOM_FILL DELETED -- LVHEAP = 92/135/136
+
+Layer MR_cfom.waffle.2a::TMP<59> DELETED -- LVHEAP = 92/135/136
+
+Layer MR_cfom.waffle.2a::<1> DELETED -- LVHEAP = 92/135/136
+
+DRC RuleCheck MR_cfom.waffle.2a COMPLETED. Number of Results = 0 (0)
+
+p1m_noFill = poly OR P1Mmk
+--------------------------
+p1m_noFill (HIER TYP=1 CFG=0 HGC=4246 FGC=818657 HEC=32445 FEC=7532209 IGC=4731 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 92/135/136  OPS COMPLETE = 339 OF 408  ELAPSED TIME = 212
+
+Layer poly DELETED -- LVHEAP = 92/135/136
+
+Layer P1Mmk DELETED -- LVHEAP = 92/135/136
+
+p1m_all = P1M_FILL OR p1m_noFill
+--------------------------------
+p1m_all (HIER TYP=1 CFG=1 HGC=3800734 FGC=4615145 HEC=15218487 FEC=22718251 IGC=36320 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 100/135/136  OPS COMPLETE = 340 OF 408  ELAPSED TIME = 212
+
+MR_cp1m.waffle.1::<1> = EXT P1M_FILL p1m_all < 0.36 REGION ABUT < 90 SINGULAR
+-----------------------------------------------------------------------------
+MR_cp1m.waffle.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 39  REAL TIME = 39  LVHEAP = 92/147/148  OPS COMPLETE = 341 OF 408  ELAPSED TIME = 252
+
+Layer p1m_all DELETED -- LVHEAP = 92/147/148
+
+Layer MR_cp1m.waffle.1::<1> DELETED -- LVHEAP = 92/147/148
+
+DRC RuleCheck MR_cp1m.waffle.1 COMPLETED. Number of Results = 0 (0)
+
+MR_cp1m.waffle.2a::<1> = P1M_FILL INTERACT FOMpd
+------------------------------------------------
+MR_cp1m.waffle.2a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 5  REAL TIME = 5  LVHEAP = 84/147/148  OPS COMPLETE = 342 OF 408  ELAPSED TIME = 257
+
+Layer P1M_FILL DELETED -- LVHEAP = 84/147/148
+
+Layer MR_cp1m.waffle.2a::<1> DELETED -- LVHEAP = 84/147/148
+
+DRC RuleCheck MR_cp1m.waffle.2a COMPLETED. Number of Results = 0 (0)
+
+LI1M_FILL = OR LI1M_FILL
+------------------------
+LI1M_FILL (HIER TYP=1 CFG=1 HGC=1243020 FGC=1243020 HEC=4972427 FEC=4972427 IGC=13531 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 83/147/148  OPS COMPLETE = 343 OF 408  ELAPSED TIME = 260
+
+Original Layer LI1M_FILL DELETED -- LVHEAP = 83/147/148
+
+LI1Mmk = OR LI1Mmk
+------------------
+LI1Mmk (HIER TYP=1 CFG=0 HGC=4 FGC=4 HEC=48 FEC=48 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 83/147/148  OPS COMPLETE = 344 OF 408  ELAPSED TIME = 260
+
+Original Layer LI1Mmk DELETED -- LVHEAP = 83/147/148
+
+TMP<63> = li1 OR LI1Mmk
+-----------------------
+TMP<63> (HIER TYP=1 CFG=0 HGC=215808 FGC=1816764 HEC=888631 FEC=13361821 IGC=8876 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 83/147/148  OPS COMPLETE = 345 OF 408  ELAPSED TIME = 260
+
+li1m_all = LI1M_FILL OR TMP<63>
+-------------------------------
+li1m_all (HIER TYP=1 CFG=1 HGC=1458828 FGC=3059784 HEC=5861058 FEC=18334248 IGC=21350 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 84/147/148  OPS COMPLETE = 346 OF 408  ELAPSED TIME = 260
+
+Layer TMP<63> DELETED -- LVHEAP = 84/147/148
+
+MR_li1m.waffle.1::<1> = EXT LI1M_FILL li1m_all < 0.5 REGION ABUT < 90 SINGULAR
+------------------------------------------------------------------------------
+MR_li1m.waffle.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 20  REAL TIME = 20  LVHEAP = 83/147/148  OPS COMPLETE = 347 OF 408  ELAPSED TIME = 280
+
+Layer li1m_all DELETED -- LVHEAP = 83/147/148
+
+Layer MR_li1m.waffle.1::<1> DELETED -- LVHEAP = 83/147/148
+
+DRC RuleCheck MR_li1m.waffle.1 COMPLETED. Number of Results = 0 (0)
+
+li1_check = FOM_noFill OR p1m_noFill
+------------------------------------
+li1_check (HIER TYP=1 CFG=1 HGC=4275 FGC=717644 HEC=62982 FEC=16998718 IGC=5648 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 83/147/148  OPS COMPLETE = 348 OF 408  ELAPSED TIME = 280
+
+Layer FOM_noFill DELETED -- LVHEAP = 83/147/148
+
+Layer p1m_noFill DELETED -- LVHEAP = 83/147/148
+
+MR_li1m.waffle.2a::<1> = LI1M_FILL INTERACT li1_check
+-----------------------------------------------------
+MR_li1m.waffle.2a::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 82/147/148  OPS COMPLETE = 349 OF 408  ELAPSED TIME = 281
+
+Layer li1_check DELETED -- LVHEAP = 82/147/148
+
+Layer MR_li1m.waffle.2a::<1> DELETED -- LVHEAP = 82/147/148
+
+DRC RuleCheck MR_li1m.waffle.2a COMPLETED. Number of Results = 0 (0)
+
+MM1_FILL = OR MM1_FILL
+----------------------
+MM1_FILL (HIER TYP=1 CFG=1 HGC=12211396 FGC=12211396 HEC=48846145 FEC=48846145 IGC=44512 VHC=F VPC=F)
+CPU TIME = 17  REAL TIME = 17  LVHEAP = 70/147/148  OPS COMPLETE = 350 OF 408  ELAPSED TIME = 298
+
+Original Layer MM1_FILL DELETED -- LVHEAP = 70/147/148
+
+MM1mk = OR MM1mk
+----------------
+MM1mk (HIER TYP=1 CFG=1 HGC=4 FGC=4 HEC=48 FEC=48 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 70/147/148  OPS COMPLETE = 351 OF 408  ELAPSED TIME = 298
+
+Original Layer MM1mk DELETED -- LVHEAP = 70/147/148
+
+TMP<53> = MM1mk OR MM1_FILL
+---------------------------
+TMP<53> (HIER TYP=1 CFG=0 HGC=12211400 FGC=12211400 HEC=48846193 FEC=48846193 IGC=44512 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 79/147/148  OPS COMPLETE = 352 OF 408  ELAPSED TIME = 300
+
+MM1pd = met1 OR TMP<53>
+-----------------------
+MM1pd (HIER TYP=1 CFG=1 HGC=12422310 FGC=13390483 HEC=51789354 FEC=56703543 IGC=43182 VHC=F VPC=F)
+CPU TIME = 7  REAL TIME = 7  LVHEAP = 82/147/148  OPS COMPLETE = 353 OF 408  ELAPSED TIME = 306
+
+Layer TMP<53> DELETED -- LVHEAP = 82/147/148
+
+MR_cmm1.waffle.1::<1> = EXT MM1_FILL MM1pd < 0.2 REGION ABUT < 90 SINGULAR
+--------------------------------------------------------------------------
+MR_cmm1.waffle.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 90  REAL TIME = 90  LVHEAP = 82/211/212  OPS COMPLETE = 354 OF 408  ELAPSED TIME = 396
+
+Layer MR_cmm1.waffle.1::<1> DELETED -- LVHEAP = 82/211/212
+
+DRC RuleCheck MR_cmm1.waffle.1 COMPLETED. Number of Results = 0 (0)
+
+MR_cmm1.waffle.2::TMP<64> = met1 OR MM1mk
+-----------------------------------------
+MR_cmm1.waffle.2::TMP<64> (HIER TYP=1 CFG=1 HGC=210914 FGC=1179087 HEC=2943209 FEC=7857398 IGC=10318 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 82/211/212  OPS COMPLETE = 355 OF 408  ELAPSED TIME = 397
+
+Layer met1 DELETED -- LVHEAP = 82/211/212
+
+Layer MM1mk DELETED -- LVHEAP = 82/211/212
+
+MR_cmm1.waffle.2::<1> = MM1_FILL INTERACT MR_cmm1.waffle.2::TMP<64>
+-------------------------------------------------------------------
+MR_cmm1.waffle.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 12  REAL TIME = 12  LVHEAP = 70/211/212  OPS COMPLETE = 356 OF 408  ELAPSED TIME = 408
+
+Layer MM1_FILL DELETED -- LVHEAP = 70/211/212
+
+Layer MR_cmm1.waffle.2::TMP<64> DELETED -- LVHEAP = 70/211/212
+
+Layer MR_cmm1.waffle.2::<1> DELETED -- LVHEAP = 70/211/212
+
+DRC RuleCheck MR_cmm1.waffle.2 COMPLETED. Number of Results = 0 (0)
+
+MM2_FILL = OR MM2_FILL
+----------------------
+MM2_FILL (HIER TYP=1 CFG=1 HGC=9535530 FGC=9535530 HEC=38143101 FEC=38143101 IGC=48639 VHC=F VPC=F)
+CPU TIME = 14  REAL TIME = 14  LVHEAP = 58/211/212  OPS COMPLETE = 357 OF 408  ELAPSED TIME = 422
+
+Original Layer MM2_FILL DELETED -- LVHEAP = 58/211/212
+
+MM2mk = OR MM2mk
+----------------
+MM2mk (HIER TYP=1 CFG=1 HGC=4 FGC=4 HEC=48 FEC=48 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 58/211/212  OPS COMPLETE = 358 OF 408  ELAPSED TIME = 422
+
+Original Layer MM2mk DELETED -- LVHEAP = 58/211/212
+
+TMP<54> = MM2mk OR MM2_FILL
+---------------------------
+TMP<54> (HIER TYP=1 CFG=0 HGC=9535534 FGC=9535534 HEC=38143149 FEC=38143149 IGC=48639 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 66/211/212  OPS COMPLETE = 359 OF 408  ELAPSED TIME = 424
+
+MM2pd = met2 OR TMP<54>
+-----------------------
+MM2pd (HIER TYP=1 CFG=1 HGC=9715874 FGC=9875221 HEC=40252913 FEC=41380601 IGC=51293 VHC=F VPC=F)
+CPU TIME = 6  REAL TIME = 6  LVHEAP = 68/211/212  OPS COMPLETE = 360 OF 408  ELAPSED TIME = 429
+
+Layer TMP<54> DELETED -- LVHEAP = 68/211/212
+
+MR_cmm2.waffle.1::<1> = EXT MM2_FILL MM2pd < 0.2 REGION ABUT < 90 SINGULAR
+--------------------------------------------------------------------------
+MR_cmm2.waffle.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 73  REAL TIME = 73  LVHEAP = 68/211/212  OPS COMPLETE = 361 OF 408  ELAPSED TIME = 502
+
+Layer MR_cmm2.waffle.1::<1> DELETED -- LVHEAP = 68/211/212
+
+DRC RuleCheck MR_cmm2.waffle.1 COMPLETED. Number of Results = 0 (0)
+
+MR_cmm2.waffle.2::TMP<65> = met2 OR MM2mk
+-----------------------------------------
+MR_cmm2.waffle.2::TMP<65> (HIER TYP=1 CFG=1 HGC=180344 FGC=339691 HEC=2109812 FEC=3237500 IGC=23984 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 68/211/212  OPS COMPLETE = 362 OF 408  ELAPSED TIME = 502
+
+Layer met2 DELETED -- LVHEAP = 68/211/212
+
+Layer MM2mk DELETED -- LVHEAP = 68/211/212
+
+MR_cmm2.waffle.2::<1> = MM2_FILL INTERACT MR_cmm2.waffle.2::TMP<65>
+-------------------------------------------------------------------
+MR_cmm2.waffle.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 10  REAL TIME = 10  LVHEAP = 58/211/212  OPS COMPLETE = 363 OF 408  ELAPSED TIME = 512
+
+Layer MM2_FILL DELETED -- LVHEAP = 58/211/212
+
+Layer MR_cmm2.waffle.2::TMP<65> DELETED -- LVHEAP = 58/211/212
+
+Layer MR_cmm2.waffle.2::<1> DELETED -- LVHEAP = 58/211/212
+
+DRC RuleCheck MR_cmm2.waffle.2 COMPLETED. Number of Results = 0 (0)
+
+MM3_FILL = OR MM3_FILL
+----------------------
+MM3_FILL (HIER TYP=1 CFG=1 HGC=3828180 FGC=3828180 HEC=15313225 FEC=15313225 IGC=30670 VHC=F VPC=F)
+CPU TIME = 6  REAL TIME = 6  LVHEAP = 56/211/212  OPS COMPLETE = 364 OF 408  ELAPSED TIME = 518
+
+Original Layer MM3_FILL DELETED -- LVHEAP = 56/211/212
+
+MM3mk = OR MM3mk
+----------------
+MM3mk (HIER TYP=1 CFG=1 HGC=4 FGC=4 HEC=48 FEC=48 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 56/211/212  OPS COMPLETE = 365 OF 408  ELAPSED TIME = 518
+
+Original Layer MM3mk DELETED -- LVHEAP = 56/211/212
+
+TMP<55> = MM3mk OR MM3_FILL
+---------------------------
+TMP<55> (HIER TYP=1 CFG=0 HGC=3828184 FGC=3828184 HEC=15313273 FEC=15313273 IGC=30670 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 60/211/212  OPS COMPLETE = 366 OF 408  ELAPSED TIME = 518
+
+MM3pd = met3 OR TMP<55>
+-----------------------
+MM3pd (HIER TYP=1 CFG=1 HGC=3872298 FGC=4210152 HEC=15706787 FEC=17087979 IGC=32895 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 60/211/212  OPS COMPLETE = 367 OF 408  ELAPSED TIME = 521
+
+Layer TMP<55> DELETED -- LVHEAP = 60/211/212
+
+MR_cmm3.waffle.1::<1> = EXT MM3_FILL MM3pd < 0.3 REGION ABUT < 90 SINGULAR
+--------------------------------------------------------------------------
+MR_cmm3.waffle.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 30  REAL TIME = 30  LVHEAP = 60/211/212  OPS COMPLETE = 368 OF 408  ELAPSED TIME = 550
+
+Layer MR_cmm3.waffle.1::<1> DELETED -- LVHEAP = 60/211/212
+
+DRC RuleCheck MR_cmm3.waffle.1 COMPLETED. Number of Results = 0 (0)
+
+MR_cmm3.waffle.2::TMP<66> = met3 OR MM3mk
+-----------------------------------------
+MR_cmm3.waffle.2::TMP<66> (HIER TYP=1 CFG=1 HGC=44118 FGC=381972 HEC=393562 FEC=1774754 IGC=11225 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 60/211/212  OPS COMPLETE = 369 OF 408  ELAPSED TIME = 551
+
+Layer met3 DELETED -- LVHEAP = 60/211/212
+
+Layer MM3mk DELETED -- LVHEAP = 60/211/212
+
+MR_cmm3.waffle.2::<1> = MM3_FILL INTERACT MR_cmm3.waffle.2::TMP<66>
+-------------------------------------------------------------------
+MR_cmm3.waffle.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 4  REAL TIME = 4  LVHEAP = 55/211/212  OPS COMPLETE = 370 OF 408  ELAPSED TIME = 555
+
+Layer MM3_FILL DELETED -- LVHEAP = 55/211/212
+
+Layer MR_cmm3.waffle.2::TMP<66> DELETED -- LVHEAP = 55/211/212
+
+Layer MR_cmm3.waffle.2::<1> DELETED -- LVHEAP = 55/211/212
+
+DRC RuleCheck MR_cmm3.waffle.2 COMPLETED. Number of Results = 0 (0)
+
+MM4_FILL = OR MM4_FILL
+----------------------
+MM4_FILL (HIER TYP=1 CFG=1 HGC=3165522 FGC=3165522 HEC=12662338 FEC=12662338 IGC=34978 VHC=F VPC=F)
+CPU TIME = 5  REAL TIME = 5  LVHEAP = 52/211/212  OPS COMPLETE = 371 OF 408  ELAPSED TIME = 560
+
+Original Layer MM4_FILL DELETED -- LVHEAP = 52/211/212
+
+MM4mk = OR MM4mk
+----------------
+MM4mk (HIER TYP=1 CFG=1 HGC=4 FGC=4 HEC=48 FEC=48 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 52/211/212  OPS COMPLETE = 372 OF 408  ELAPSED TIME = 560
+
+Original Layer MM4mk DELETED -- LVHEAP = 52/211/212
+
+TMP<56> = MM4mk OR MM4_FILL
+---------------------------
+TMP<56> (HIER TYP=1 CFG=0 HGC=3165526 FGC=3165526 HEC=12662386 FEC=12662386 IGC=34978 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 54/211/212  OPS COMPLETE = 373 OF 408  ELAPSED TIME = 560
+
+MM4pd = met4 OR TMP<56>
+-----------------------
+MM4pd (HIER TYP=1 CFG=1 HGC=3176638 FGC=3529060 HEC=12724097 FEC=14144913 IGC=32375 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 54/211/212  OPS COMPLETE = 374 OF 408  ELAPSED TIME = 562
+
+Layer TMP<56> DELETED -- LVHEAP = 54/211/212
+
+MR_cmm4.waffle.1::<1> = EXT MM4_FILL MM4pd < 0.3 REGION ABUT < 90 SINGULAR
+--------------------------------------------------------------------------
+MR_cmm4.waffle.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 21  REAL TIME = 21  LVHEAP = 54/211/212  OPS COMPLETE = 375 OF 408  ELAPSED TIME = 583
+
+Layer MR_cmm4.waffle.1::<1> DELETED -- LVHEAP = 54/211/212
+
+DRC RuleCheck MR_cmm4.waffle.1 COMPLETED. Number of Results = 0 (0)
+
+MR_cmm4.waffle.2::TMP<67> = met4 OR MM4mk
+-----------------------------------------
+MR_cmm4.waffle.2::TMP<67> (HIER TYP=1 CFG=1 HGC=11116 FGC=363538 HEC=61759 FEC=1482575 IGC=8291 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 54/211/212  OPS COMPLETE = 376 OF 408  ELAPSED TIME = 583
+
+Layer met4 DELETED -- LVHEAP = 54/211/212
+
+Layer MM4mk DELETED -- LVHEAP = 54/211/212
+
+MR_cmm4.waffle.2::<1> = MM4_FILL INTERACT MR_cmm4.waffle.2::TMP<67>
+-------------------------------------------------------------------
+MR_cmm4.waffle.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 3  REAL TIME = 3  LVHEAP = 52/211/212  OPS COMPLETE = 377 OF 408  ELAPSED TIME = 586
+
+Layer MM4_FILL DELETED -- LVHEAP = 52/211/212
+
+Layer MR_cmm4.waffle.2::TMP<67> DELETED -- LVHEAP = 52/211/212
+
+Layer MR_cmm4.waffle.2::<1> DELETED -- LVHEAP = 52/211/212
+
+DRC RuleCheck MR_cmm4.waffle.2 COMPLETED. Number of Results = 0 (0)
+
+MM5_FILL = OR MM5_FILL
+----------------------
+MM5_FILL (HIER TYP=1 CFG=1 HGC=316561 FGC=316561 HEC=1266340 FEC=1266340 IGC=20742 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 51/211/212  OPS COMPLETE = 378 OF 408  ELAPSED TIME = 586
+
+Original Layer MM5_FILL DELETED -- LVHEAP = 51/211/212
+
+MM5mk = OR MM5mk
+----------------
+MM5mk (HIER TYP=1 CFG=1 HGC=4 FGC=4 HEC=48 FEC=48 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 51/211/212  OPS COMPLETE = 379 OF 408  ELAPSED TIME = 586
+
+Original Layer MM5mk DELETED -- LVHEAP = 51/211/212
+
+TMP<57> = MM5mk OR MM5_FILL
+---------------------------
+TMP<57> (HIER TYP=1 CFG=0 HGC=316565 FGC=316565 HEC=1266388 FEC=1266388 IGC=20742 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 52/211/212  OPS COMPLETE = 380 OF 408  ELAPSED TIME = 586
+
+MM5pd = met5 OR TMP<57>
+-----------------------
+MM5pd (HIER TYP=1 CFG=1 HGC=318897 FGC=338492 HEC=1277958 FEC=1356668 IGC=19893 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 52/211/212  OPS COMPLETE = 381 OF 408  ELAPSED TIME = 586
+
+Layer TMP<57> DELETED -- LVHEAP = 52/211/212
+
+MR_cmm5.waffle.1::<1> = EXT MM5_FILL MM5pd < 1.6 REGION ABUT < 90 SINGULAR
+--------------------------------------------------------------------------
+MR_cmm5.waffle.1::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 52/211/212  OPS COMPLETE = 382 OF 408  ELAPSED TIME = 589
+
+Layer MR_cmm5.waffle.1::<1> DELETED -- LVHEAP = 52/211/212
+
+DRC RuleCheck MR_cmm5.waffle.1 COMPLETED. Number of Results = 0 (0)
+
+MR_cmm5.waffle.2::TMP<68> = met5 OR MM5mk
+-----------------------------------------
+MR_cmm5.waffle.2::TMP<68> (HIER TYP=1 CFG=1 HGC=2336 FGC=21931 HEC=11618 FEC=90328 IGC=4350 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 52/211/212  OPS COMPLETE = 383 OF 408  ELAPSED TIME = 589
+
+Layer met5 DELETED -- LVHEAP = 52/211/212
+
+Layer MM5mk DELETED -- LVHEAP = 52/211/212
+
+MR_cmm5.waffle.2::<1> = MM5_FILL INTERACT MR_cmm5.waffle.2::TMP<68>
+-------------------------------------------------------------------
+MR_cmm5.waffle.2::<1> (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 51/211/212  OPS COMPLETE = 384 OF 408  ELAPSED TIME = 589
+
+Layer MM5_FILL DELETED -- LVHEAP = 51/211/212
+
+Layer MR_cmm5.waffle.2::TMP<68> DELETED -- LVHEAP = 51/211/212
+
+Layer MR_cmm5.waffle.2::<1> DELETED -- LVHEAP = 51/211/212
+
+DRC RuleCheck MR_cmm5.waffle.2 COMPLETED. Number of Results = 0 (0)
+
+SEALwithHole = HOLES SEALID
+---------------------------
+SEALwithHole (HIER TYP=1 CFG=1 HGC=1110 FGC=12034 HEC=10720 FEC=63867 IGC=2241 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 51/211/212  OPS COMPLETE = 385 OF 408  ELAPSED TIME = 589
+
+Layer SEALID DELETED -- LVHEAP = 51/211/212
+
+waffleChpBnd = COPY SEALwithHole
+--------------------------------
+waffleChpBnd (HIER TYP=1 CFG=1 HGC=1110 FGC=12034 HEC=10720 FEC=63867 IGC=2241 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 52/211/212  OPS COMPLETE = 386 OF 408  ELAPSED TIME = 589
+
+fomMinPD_err = DENSITY FOMpd < 0.33 INSIDE OF LAYER waffleChpBnd WINDOW 700 STEP 70 BACKUP RDB fom_minPD.rdb
+fomMaxPD_err = DENSITY FOMpd > 0.57 INSIDE OF LAYER waffleChpBnd WINDOW 700 STEP 70 BACKUP RDB fom_maxPD.rdb
+------------------------------------------------------------------------------------------------------------
+fomMinPD_err (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+fomMaxPD_err (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 51/211/212  OPS COMPLETE = 388 OF 408  ELAPSED TIME = 590
+
+Layer FOMpd DELETED -- LVHEAP = 51/211/212
+
+Layer waffleChpBnd DELETED -- LVHEAP = 51/211/212
+
+Layer fomMinPD_err DELETED -- LVHEAP = 51/211/212
+
+DRC RuleCheck MR_cfom.pd.1d COMPLETED. Number of Results = 0 (0)
+
+Layer fomMaxPD_err DELETED -- LVHEAP = 51/211/212
+
+DRC RuleCheck MR_cfom.pd.1e COMPLETED. Number of Results = 0 (0)
+
+TMP<70> = LI1Mmk OR LI1M_FILL
+-----------------------------
+TMP<70> (HIER TYP=1 CFG=0 HGC=1243024 FGC=1243024 HEC=4972475 FEC=4972475 IGC=13531 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 51/211/212  OPS COMPLETE = 389 OF 408  ELAPSED TIME = 590
+
+Layer LI1Mmk DELETED -- LVHEAP = 51/211/212
+
+Layer LI1M_FILL DELETED -- LVHEAP = 51/211/212
+
+TMP<69> = li1 OR TMP<70>
+------------------------
+TMP<69> (HIER TYP=1 CFG=0 HGC=1458828 FGC=3059784 HEC=5861058 FEC=18334248 IGC=21350 VHC=F VPC=F)
+CPU TIME = 0  REAL TIME = 0  LVHEAP = 51/211/212  OPS COMPLETE = 390 OF 408  ELAPSED TIME = 590
+
+Layer li1 DELETED -- LVHEAP = 51/211/212
+
+Layer TMP<70> DELETED -- LVHEAP = 51/211/212
+
+CAnotLI1M = SEALwithHole NOT TMP<69>
+------------------------------------
+CAnotLI1M (HIER TYP=1 CFG=1 HGC=130057 FGC=343742 HEC=13994165 FEC=20700899 IGC=243474 VHC=F VPC=F)
+CPU TIME = 23  REAL TIME = 23  LVHEAP = 55/211/212  OPS COMPLETE = 391 OF 408  ELAPSED TIME = 613
+
+Layer TMP<69> DELETED -- LVHEAP = 55/211/212
+
+li1mPDCAmin = DENSITY CAnotLI1M < 0.4 INSIDE OF LAYER SEALwithHole BACKUP RDB li1mCAmin_PD.rdb
+li1mPDCAmax = DENSITY CAnotLI1M > 0.65 INSIDE OF LAYER SEALwithHole BACKUP RDB li1mCAmax_PD.rdb
+-----------------------------------------------------------------------------------------------
+li1mPDCAmin (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+li1mPDCAmax (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 7  REAL TIME = 7  LVHEAP = 49/211/212  OPS COMPLETE = 393 OF 408  ELAPSED TIME = 620
+
+Layer CAnotLI1M DELETED -- LVHEAP = 49/211/212
+
+Layer li1mPDCAmin DELETED -- LVHEAP = 49/211/212
+
+DRC RuleCheck MR_cli1m.4 COMPLETED. Number of Results = 0 (0)
+
+Layer li1mPDCAmax DELETED -- LVHEAP = 49/211/212
+
+DRC RuleCheck MR_cli1m.5 COMPLETED. Number of Results = 0 (0)
+
+CAnotMM1 = SEALwithHole NOT MM1pd
+---------------------------------
+CAnotMM1 (HIER TYP=1 CFG=1 HGC=1132115 FGC=3694397 HEC=75200062 FEC=101543194 IGC=2514599 VHC=F VPC=F)
+CPU TIME = 94  REAL TIME = 95  LVHEAP = 58/313/314  OPS COMPLETE = 394 OF 408  ELAPSED TIME = 715
+
+Layer MM1pd DELETED -- LVHEAP = 58/313/314
+
+mm1PDCAmin = DENSITY CAnotMM1 < 0.4 INSIDE OF LAYER SEALwithHole BACKUP RDB mm1CAmin_PD.rdb
+mm1PDCAmax = DENSITY CAnotMM1 > 0.65 INSIDE OF LAYER SEALwithHole BACKUP RDB mm1CAmax_PD.rdb
+--------------------------------------------------------------------------------------------
+mm1PDCAmin (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+mm1PDCAmax (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 33  REAL TIME = 34  LVHEAP = 37/365/366  OPS COMPLETE = 396 OF 408  ELAPSED TIME = 748
+
+Layer CAnotMM1 DELETED -- LVHEAP = 37/365/366
+
+Layer mm1PDCAmin DELETED -- LVHEAP = 37/365/366
+
+DRC RuleCheck MR_cmm1.pd.3 COMPLETED. Number of Results = 0 (0)
+
+Layer mm1PDCAmax DELETED -- LVHEAP = 37/365/366
+
+DRC RuleCheck MR_cmm1.pd.4 COMPLETED. Number of Results = 0 (0)
+
+CAnotMM2 = SEALwithHole NOT MM2pd
+---------------------------------
+CAnotMM2 (HIER TYP=1 CFG=1 HGC=596505 FGC=1290937 HEC=55167366 FEC=63588772 IGC=1537804 VHC=F VPC=F)
+CPU TIME = 69  REAL TIME = 69  LVHEAP = 46/365/366  OPS COMPLETE = 397 OF 408  ELAPSED TIME = 817
+
+Layer MM2pd DELETED -- LVHEAP = 46/365/366
+
+mm2PDCAmin = DENSITY CAnotMM2 < 0.4 INSIDE OF LAYER SEALwithHole BACKUP RDB mm2CAmin_PD.rdb
+mm2PDCAmax = DENSITY CAnotMM2 > 0.65 INSIDE OF LAYER SEALwithHole BACKUP RDB mm2CAmax_PD.rdb
+--------------------------------------------------------------------------------------------
+mm2PDCAmin (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+mm2PDCAmax (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 24  REAL TIME = 24  LVHEAP = 27/365/366  OPS COMPLETE = 399 OF 408  ELAPSED TIME = 841
+
+Layer CAnotMM2 DELETED -- LVHEAP = 27/365/366
+
+Layer mm2PDCAmin DELETED -- LVHEAP = 27/365/366
+
+DRC RuleCheck MR_cmm2.pd.3 COMPLETED. Number of Results = 0 (0)
+
+Layer mm2PDCAmax DELETED -- LVHEAP = 27/365/366
+
+DRC RuleCheck MR_cmm2.pd.4 COMPLETED. Number of Results = 0 (0)
+
+CAnotMM3 = SEALwithHole NOT MM3pd
+---------------------------------
+CAnotMM3 (HIER TYP=1 CFG=1 HGC=203366 FGC=560141 HEC=23090102 FEC=27833098 IGC=540765 VHC=F VPC=F)
+CPU TIME = 32  REAL TIME = 32  LVHEAP = 30/365/366  OPS COMPLETE = 400 OF 408  ELAPSED TIME = 873
+
+Layer MM3pd DELETED -- LVHEAP = 30/365/366
+
+mm3PDCAmin = DENSITY CAnotMM3 < 0.4 INSIDE OF LAYER SEALwithHole BACKUP RDB mm3CAmin_PD.rdb
+mm3PDCAmax = DENSITY CAnotMM3 > 0.65 INSIDE OF LAYER SEALwithHole BACKUP RDB mm3CAmax_PD.rdb
+--------------------------------------------------------------------------------------------
+mm3PDCAmin (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+mm3PDCAmax (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 11  REAL TIME = 11  LVHEAP = 22/365/366  OPS COMPLETE = 402 OF 408  ELAPSED TIME = 885
+
+Layer CAnotMM3 DELETED -- LVHEAP = 22/365/366
+
+Layer mm3PDCAmin DELETED -- LVHEAP = 22/365/366
+
+DRC RuleCheck MR_cmm3.pd.3 COMPLETED. Number of Results = 0 (0)
+
+Layer mm3PDCAmax DELETED -- LVHEAP = 22/365/366
+
+DRC RuleCheck MR_cmm3.pd.4 COMPLETED. Number of Results = 0 (0)
+
+CAnotMM4 = SEALwithHole NOT MM4pd
+---------------------------------
+CAnotMM4 (HIER TYP=1 CFG=1 HGC=192119 FGC=495448 HEC=18372522 FEC=21588315 IGC=508747 VHC=F VPC=F)
+CPU TIME = 22  REAL TIME = 22  LVHEAP = 25/365/366  OPS COMPLETE = 403 OF 408  ELAPSED TIME = 906
+
+Layer MM4pd DELETED -- LVHEAP = 25/365/366
+
+mm4PDCAmin = DENSITY CAnotMM4 < 0.4 INSIDE OF LAYER SEALwithHole BACKUP RDB mm4CAmin_PD.rdb
+mm4PDCAmax = DENSITY CAnotMM4 > 0.65 INSIDE OF LAYER SEALwithHole BACKUP RDB mm4CAmax_PD.rdb
+--------------------------------------------------------------------------------------------
+mm4PDCAmin (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+mm4PDCAmax (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 7  REAL TIME = 7  LVHEAP = 20/365/366  OPS COMPLETE = 405 OF 408  ELAPSED TIME = 913
+
+Layer CAnotMM4 DELETED -- LVHEAP = 20/365/366
+
+Layer mm4PDCAmin DELETED -- LVHEAP = 20/365/366
+
+DRC RuleCheck MR_cmm4.pd.3 COMPLETED. Number of Results = 0 (0)
+
+Layer mm4PDCAmax DELETED -- LVHEAP = 20/365/366
+
+DRC RuleCheck MR_cmm4.pd.4 COMPLETED. Number of Results = 0 (0)
+
+CAnotMM5 = SEALwithHole NOT MM5pd
+---------------------------------
+CAnotMM5 (HIER TYP=1 CFG=1 HGC=13546 FGC=29679 HEC=1662454 FEC=1782660 IGC=54802 VHC=F VPC=F)
+CPU TIME = 2  REAL TIME = 2  LVHEAP = 20/365/366  OPS COMPLETE = 406 OF 408  ELAPSED TIME = 915
+
+Layer MM5pd DELETED -- LVHEAP = 20/365/366
+
+mm5PDCAmin = DENSITY CAnotMM5 < 0.24 INSIDE OF LAYER SEALwithHole BACKUP RDB mm5CAmin_PD.rdb
+mm5PDCAmax = DENSITY CAnotMM5 > 0.55 INSIDE OF LAYER SEALwithHole BACKUP RDB mm5CAmax_PD.rdb
+--------------------------------------------------------------------------------------------
+mm5PDCAmin (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+mm5PDCAmax (HIER TYP=1 CFG=1 HGC=0 FGC=0 HEC=0 FEC=0 IGC=0 VHC=F VPC=F)
+CPU TIME = 1  REAL TIME = 1  LVHEAP = 19/365/366  OPS COMPLETE = 408 OF 408  ELAPSED TIME = 915
+
+Layer CAnotMM5 DELETED -- LVHEAP = 19/365/366
+
+Layer SEALwithHole DELETED -- LVHEAP = 19/365/366
+
+Layer mm5PDCAmin DELETED -- LVHEAP = 19/365/366
+
+DRC RuleCheck MR_cmm5.pd.4 COMPLETED. Number of Results = 0 (0)
+
+Layer mm5PDCAmax DELETED -- LVHEAP = 19/365/366
+
+WRITE to ASCII DRC Results Database caravel_00020021.drc.results COMPLETED
+
+DRC RuleCheck MR_cmm5.pd.5 COMPLETED. Number of Results = 0 (0)
+
+Cumulative ONE-LAYER BOOLEAN Time: CPU = 66  REAL = 66
+Cumulative TWO-LAYER BOOLEAN Time: CPU = 284  REAL = 284
+Cumulative INSIDE/EXTENT CELL Time: CPU = 0  REAL = 0
+Cumulative POLYGON TOPOLOGICAL Time: CPU = 40  REAL = 40
+Cumulative POLYGON MEASUREMENT Time: CPU = 9  REAL = 9
+Cumulative HOLES Time: CPU = 5  REAL = 5
+Cumulative SIZE Time: CPU = 0  REAL = 0
+Cumulative WITH WIDTH Time: CPU = 5  REAL = 5
+Cumulative EDGE TOPOLOGICAL Time: CPU = 0  REAL = 0
+Cumulative EDGE MEASUREMENT Time: CPU = 13  REAL = 13
+Cumulative ONE-LAYER DRC Time: CPU = 24  REAL = 24
+Cumulative TWO-LAYER DRC Time: CPU = 322  REAL = 322
+Cumulative DENSITY Time: CPU = 83  REAL = 83
+Cumulative WITH EDGE Time: CPU = 0  REAL = 0
+Cumulative MISCELLANEOUS Time: CPU = 0  REAL = 0
+Cumulative CONNECT Time: CPU = 18  REAL = 19
+Cumulative RDB Time: CPU = 0  REAL = 0
+
+--- CALIBRE::DRC-H EXECUTIVE MODULE COMPLETED.  CPU TIME = 876  REAL TIME = 878
+--- TOTAL RULECHECKS EXECUTED = 171
+--- TOTAL RESULTS GENERATED = 0 (0)
+--- DRC RESULTS DATABASE FILE = caravel_00020021.drc.results (ASCII)
+
+--- CALIBRE::DRC-H COMPLETED - Sat ... XX XX:XX:XX 2...
+--- TOTAL CPU TIME = 909  REAL TIME = 914
+--- PROCESSOR COUNT = 1
+--- SUMMARY REPORT FILE = caravel_00020021.drc.summary
+
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/_s8_drcRules_MR_ b/signoff/cdrcpost/caravel_00020021/drcmr/_s8_drcRules_MR_
new file mode 100644
index 0000000..c7e0964
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/_s8_drcRules_MR_
@@ -0,0 +1,200 @@
+//
+//  Rule file generated on Sat Aug 14 12:25:28 EDT 2021
+//     by Calibre Interactive - DRC (v2018.4_34.26)
+//
+//      *** PLEASE DO NOT MODIFY THIS FILE ***
+//
+//
+
+LAYOUT PATH  "/usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/caravel_00020021.gds"
+LAYOUT PRIMARY "caravel_00020021"
+LAYOUT SYSTEM GDSII
+
+DRC RESULTS DATABASE "caravel_00020021.drc.results" ASCII 
+DRC MAXIMUM RESULTS 1000
+DRC MAXIMUM VERTEX 4096
+
+DRC CELL NAME YES CELL SPACE XFORM
+DRC SUMMARY REPORT "caravel_00020021.drc.summary" REPLACE HIER
+
+VIRTUAL CONNECT COLON NO
+VIRTUAL CONNECT REPORT NO
+
+DRC SELECT CHECK
+	"MR_dnwell.2"
+	"MR_nwell.1"
+	"MR_nwell.2a"
+	"MR_hvtp.1"
+	"MR_hvtp.2"
+	"MR_hvtr.1"
+	"MR_hvtr.2"
+	"MR_hvtr.2_a"
+	"MR_lvtn.1a"
+	"MR_lvtn.2"
+	"MR_ncm.1"
+	"MR_ncm.2a"
+	"MR_difftap.1"
+	"MR_difftap.1_a"
+	"MR_difftap.1_b"
+	"MR_difftap.1_c"
+	"MR_difftap.3"
+	"MR_tunm.1"
+	"MR_tunm.2"
+	"MR_poly.1a"
+	"MR_poly.2"
+	"MR_rpm.1a"
+	"MR_rpm.2"
+	"MR_urpm.1a"
+	"MR_urpm.2"
+	"MR_npc.1"
+	"MR_npc.2"
+	"MR_licon.1"
+	"MR_licon.1_a"
+	"MR_licon.1_b"
+	"MR_licon.13"
+	"MR_licon.13_a"
+	"MR_licon.17"
+	"MR_li.1"
+	"MR_li.3"
+	"MR_li.5"
+	"MR_li.6"
+	"MR_ct.1"
+	"MR_ct.1_a"
+	"MR_ct.1_b"
+	"MR_ct.2"
+	"MR_ct.3"
+	"MR_ct.3_a"
+	"MR_ct.3_b"
+	"MR_ct.4"
+	"MR_capm.1"
+	"MR_capm.2a"
+	"MR_capm.2b"
+	"MR_capm.2b_a"
+	"MR_capm.3"
+	"MR_capm.4"
+	"MR_capm.5"
+	"MR_cap2m.1"
+	"MR_cap2m.2a"
+	"MR_cap2m.2b"
+	"MR_cap2m.2b_a"
+	"MR_cap2m.3"
+	"MR_cap2m.4"
+	"MR_cap2m.5"
+	"MR_m1.1"
+	"MR_m1.2"
+	"MR_m1.3b"
+	"MR_m1.3a"
+	"MR_791_m1.4"
+	"MR_m1.4"
+	"MR_m1.4a"
+	"MR_m1.4a_a"
+	"MR_m1.5"
+	"MR_m1.6"
+	"MR_m1.7"
+	"MR_m1.7_a"
+	"MR_via.1a"
+	"MR_via.1a_a"
+	"MR_via.1a_b"
+	"MR_via.2"
+	"MR_via.3"
+	"MR_via.3_a"
+	"MR_via.3_b"
+	"MR_via.4a"
+	"MR_via.4a_a"
+	"MR_via.5a"
+	"MR_m2.1"
+	"MR_m2.2"
+	"MR_m2.3b"
+	"MR_m2.3a"
+	"MR_m2.4"
+	"MR_m2.4_a"
+	"MR_m2.5"
+	"MR_m2.6"
+	"MR_m2.7"
+	"MR_m2.7_a"
+	"MR_via2.1a"
+	"MR_via2.1a_a"
+	"MR_via2.1a_b"
+	"MR_via2.2"
+	"MR_via2.3"
+	"MR_via2.3_a"
+	"MR_via2.3_b"
+	"MR_via2.4"
+	"MR_via2.4_a"
+	"MR_via2.5"
+	"MR_m3.1"
+	"MR_m3.2"
+	"MR_m3.4"
+	"MR_m3.4_a"
+	"MR_m3.3d"
+	"MR_m3.3c"
+	"MR_via3.1"
+	"MR_via3.1_a"
+	"MR_via3.1_b"
+	"MR_via3.2"
+	"MR_via3.4"
+	"MR_via3.4_a"
+	"MR_via3.5"
+	"MR_m4.1"
+	"MR_m4.2"
+	"MR_m4.3"
+	"MR_m4.3_a"
+	"MR_m4.4a"
+	"MR_m4.5b"
+	"MR_m4.5a"
+	"MR_via4.1"
+	"MR_via4.1_a"
+	"MR_via4.1_b"
+	"MR_via4.2"
+	"MR_via4.3"
+	"MR_via4.3_a"
+	"MR_via4.3_b"
+	"MR_via4.4"
+	"MR_via4.4_a"
+	"MR_m5.1"
+	"MR_m5.2"
+	"MR_m5.3"
+	"MR_m5.3_a"
+	"MR_m5.4"
+	"MR_pad.2"
+	"MR_hvi.1"
+	"MR_hvi.2a"
+	"MR_hvntm.1"
+	"MR_hvntm.2"
+	"MR_cfom.waffle.1"
+	"MR_cfom.waffle.2"
+	"MR_cfom.waffle.2a"
+	"MR_cp1m.waffle.1"
+	"MR_cp1m.waffle.2a"
+	"MR_li1m.waffle.1"
+	"MR_li1m.waffle.2a"
+	"MR_cmm1.waffle.1"
+	"MR_cmm1.waffle.2"
+	"MR_cmm2.waffle.1"
+	"MR_cmm2.waffle.2"
+	"MR_cmm3.waffle.1"
+	"MR_cmm3.waffle.2"
+	"MR_cmm4.waffle.1"
+	"MR_cmm4.waffle.2"
+	"MR_cmm5.waffle.1"
+	"MR_cmm5.waffle.2"
+	"MR_cfom.pd.1d"
+	"MR_cfom.pd.1e"
+	"MR_cli1m.4"
+	"MR_cli1m.5"
+	"MR_cmm1.pd.3"
+	"MR_cmm1.pd.4"
+	"MR_cmm2.pd.3"
+	"MR_cmm2.pd.4"
+	"MR_cmm3.pd.3"
+	"MR_cmm3.pd.4"
+	"MR_cmm4.pd.3"
+	"MR_cmm4.pd.4"
+	"MR_cmm5.pd.4"
+	"MR_cmm5.pd.5"
+
+DRC ICSTATION YES
+
+
+INCLUDE "/usr/local/google/home/tansell/work/openflow-drc-tests//pdk/skywater/s8/V1.3.0-mr/DRC/Calibre/s8_drcRules_MR"
+
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/caravel_00020021.drc.results b/signoff/cdrcpost/caravel_00020021/drcmr/caravel_00020021.drc.results
new file mode 100755
index 0000000..1ed189b
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/caravel_00020021.drc.results
@@ -0,0 +1,701 @@
+caravel_00020021 1000
+MR_dnwell.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+dnwell.2: 3 min. width of dnwell
+MR_nwell.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+nwell.1: 0.84 min. width of nwell
+MR_nwell.2a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+nwell.2a: 1.27 min. spacing/notch of nwell
+MR_hvtp.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+hvtp.1: 0.38 min. width of hvtp
+MR_hvtp.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+hvtp.2: 0.38 min. spacing/notch of hvtp
+MR_hvtr.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+hvtr.1: 0.38 min. width of hvtr
+MR_hvtr.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+hvtr.2: 0.38 min. spacing of hvtr & hvtp
+MR_hvtr.2_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+hvtr.2: hvtr must not overlap hvtp
+MR_lvtn.1a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+lvtn.1a: 0.38 min. width of lvtn
+MR_lvtn.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+lvtn.2: 0.38 min. spacing/notch of lvtn
+MR_ncm.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+ncm.1: 0.38 min. width of ncmPeri
+MR_ncm.2a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+ncm.2a: 0.38 min. spacing/notch of ncmPeri
+MR_difftap.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+difftap.1: 0.15 min. width of diff across areaid:ce
+MR_difftap.1_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+difftap.1: 0.15 min. width of diff in PERI
+MR_difftap.1_b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+difftap.1: 0.15 min. width of tap across areaid:ce
+MR_difftap.1_c
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+difftap.1: 0.15 min. width of tap in PERI
+MR_difftap.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+difftap.3: 0.27 min. spacing/notch of diff or tap
+MR_tunm.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+tunm.1: 0.41 min. width of tunm
+MR_tunm.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+tunm.2: 0.5 min. spacing/notch of tunm
+MR_poly.1a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+poly.1a: 0.15 min. width of poly
+MR_poly.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+poly.2: 0.21 min. spacing/notch of "poly" in periphery
+MR_rpm.1a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+rpm.1a: 1.27 min. width of rpm
+MR_rpm.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+rpm.2: 0.84 min. spacing/notch of rpm
+MR_urpm.1a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+urpm.1a: 1.27 min. width of urpm
+MR_urpm.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+urpm.2: 0.84 min. spacing/notch of urpm
+MR_npc.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+npc.1: 0.27 min. width of npc
+MR_npc.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+npc.2: 0.27 min. spacing/notch of npc
+MR_licon.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+licon.1: rectLCON1 should be rectangular
+MR_licon.1_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+licon.1: 0.17 min. width of rectLCON1Out(Rpm OR URpm)
+MR_licon.1_b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+licon.1: 0.17 max. length of rectLCON1
+MR_licon.13
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+licon.13: 0.09 min. spacing of "licon1 on diffTap" in periphery & npc
+MR_licon.13_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+licon.13: "licon1 on diffTap" in periphery must not overlap npc
+MR_licon.17
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+licon.17: licon1 overlapping poly must not overlap diffTap
+MR_li.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+li.1: 0.17 min. width of li1
+MR_li.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+li.3: 0.17 min. spacing/notch of li1
+MR_li.5
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+li.5: 0.08 min. enclosure of adj. sides of "licon1" in periphery by li1
+MR_li.6
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+li.6: 0.0561 min. area of li1 
+MR_ct.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+ct.1: non-ring mcon should be rectangular
+MR_ct.1_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+ct.1: 0.17 min. width of non-ring mcon
+MR_ct.1_b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+ct.1: 0.17 max. length of non-ring mcon
+MR_ct.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+ct.2: 0.19 min. spacing/notch of mcon
+MR_ct.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+ct.3: 0.17 min. width of ring-shaped mcon
+MR_ct.3_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+ct.3: 0.175 max. width of ring-shaped mcon
+MR_ct.3_b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+ct.3: ring-shaped mcon must be enclosed by SEALID
+MR_ct.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+ct.4: "mcon" in periphery must be enclosed by li1
+MR_capm.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+capm.1: 1 min. width of capm
+MR_capm.2a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+capm.2a: 0.84 min. spacing/notch of capm
+MR_capm.2b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+capm.2b: 1.2 min spacing between bottom plates
+MR_capm.2b_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+capm.2b: 1.2 min. spacing of m3_bot_plate 
+MR_capm.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+capm.3: 0.14 min. enclosure of capm by met3
+MR_capm.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+capm.4: 0.14 min. enclosure of via3 by capm
+MR_capm.5
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+capm.5: 0.14 min. spacing of capm & via3
+MR_cap2m.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cap2m.1: 1 min. width of cap2m
+MR_cap2m.2a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cap2m.2a: 0.84 min. spacing/notch of cap2m
+MR_cap2m.2b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cap2m.2b: 1.2 min spacing between m4 bottom plates
+MR_cap2m.2b_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cap2m.2b: 1.2 min. spacing of m4_bot_plate
+MR_cap2m.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cap2m.3: 0.14 min. enclosure of cap2m by met4
+MR_cap2m.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cap2m.4: 0.20 min. enclosure of via4 by cap2m
+MR_cap2m.5
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cap2m.5: 0.20 min. spacing of cap2m & via4
+MR_m1.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m1.1: 0.14 min. width of met1
+MR_m1.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m1.2: 0.14 min. spacing/notch of met1
+MR_m1.3b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m1.3b: 0.28 min. spacing between huge met1 and normal met1  
+MR_m1.3a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m1.3a: 0.28 min. spacing/notch of huge met1+nearby met1  
+MR_791_m1.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m1.4: 0.03 min. enclosure of mcon_PERI_4 by met1
+MR_m1.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m1.4: mcon_PERI_4 must be enclosed by met1
+MR_m1.4a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m1.4a: 0.005 min. enclosure of mcon_PERI_4a by met1
+MR_m1.4a_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m1.4a: mcon_PERI_4a must be enclosed by met1
+MR_m1.5
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m1.5: 0.06 min. enclosure of adj. sides of "mcon" in periphery by met1
+MR_m1.6
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m1.6: 0.083 min. area of met1
+MR_m1.7
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m1.7: 0.14 min. area of met1Hole
+MR_m1.7_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m1.7: 0.14 min. area of met1HoleEmpty
+MR_via.1a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via.1a: via outside of moduleCut should be rectangular
+MR_via.1a_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via.1a: 0.15 min. width of via outside of moduleCut
+MR_via.1a_b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via.1a: 0.15 max. length of via outside of moduleCut
+MR_via.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via.2: 0.17 min. spacing/notch of via
+MR_via.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via.3: 0.2 min. width of ring-shaped via
+MR_via.3_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via.3: 0.205 max. width of ring-shaped via
+MR_via.3_b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via.3: ring-shaped via must be enclosed by SEALID
+MR_via.4a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via.4a: 0.055 min. enclosure of 0.15um via by met1
+MR_via.4a_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via.4a: 0.15um via must be enclosed by met1
+MR_via.5a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via.5a: 0.085 min. enclosure of adj. sides of 0.15um via by met1
+MR_m2.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m2.1: 0.14 min. width of met2
+MR_m2.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m2.2: 0.14 min. spacing/notch of met2
+MR_m2.3b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m2.3b: 0.28 min. spacing between huge met2 and normal met2  
+MR_m2.3a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m2.3a: 0.28 min. spacing/notch of huge met2+nearby met2  
+MR_m2.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m2.4: 0.055 min. enclosure of "via" in periphery by met2
+MR_m2.4_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m2.4: "via" in periphery must be enclosed by met2
+MR_m2.5
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m2.5: 0.085 min. enclosure of adj. sides of via by met2
+MR_m2.6
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m2.6: 0.0676 min. area of met2
+MR_m2.7
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m2.7: 0.14 min. area of met2Hole
+MR_m2.7_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m2.7: 0.14 min. area of met2HoleEmpty
+MR_via2.1a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via2.1a: rectVIA2noMT should be rectangular
+MR_via2.1a_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via2.1a: 0.2 min. width of rectVIA2noMT
+MR_via2.1a_b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via2.1a: 0.2 max. length of rectVIA2noMT
+MR_via2.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via2.2: 0.2 min. spacing/notch of via2
+MR_via2.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via2.3: 0.2 min. width of ring-shaped via2
+MR_via2.3_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via2.3: 0.205 max. width of ring-shaped via2
+MR_via2.3_b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via2.3: ring-shaped via2 must be enclosed by SEALID
+MR_via2.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via2.4: 0.04 min. enclosure of via2 by met2
+MR_via2.4_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via2.4: via2 must be enclosed by met2
+MR_via2.5
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via2.5: 0.085 min. enclosure of adj. sides of via2 by met2
+MR_m3.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m3.1: 0.3 min. width of met3
+MR_m3.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m3.2: 0.3 min. spacing/notch of met3
+MR_m3.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m3.4: 0.065 min. enclosure of via2 by met3
+MR_m3.4_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m3.4: via2 must be enclosed by met3
+MR_m3.3d
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m3.3d: 0.4 min. spacing between huge met3 and normal met3  
+MR_m3.3c
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m3.3c: 0.4 min. spacing/notch of huge met3+nearby met3  
+MR_via3.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via3.1: rectVIA3noMT should be rectangular
+MR_via3.1_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via3.1: 0.2 min. width of rectVIA3noMT
+MR_via3.1_b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via3.1: 0.2 max. length of rectVIA3noMT
+MR_via3.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via3.2: 0.2 min. spacing/notch of via3
+MR_via3.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via3.4: 0.06 min. enclosure of non-ring via3 by met3
+MR_via3.4_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via3.4: non-ring via3 must be enclosed by met3
+MR_via3.5
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via3.5: 0.09 min. enclosure of adj. sides of via3 by met3
+MR_m4.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m4.1: 0.3 min. width of met4
+MR_m4.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m4.2: 0.3 min. spacing/notch of met4
+MR_m4.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m4.3: 0.065 min. enclosure of via3 by met4
+MR_m4.3_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m4.3: via3 must be enclosed by met4
+MR_m4.4a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m4.4a: 0.24 min. area of met4
+MR_m4.5b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m4.5b: 0.4 min. spacing between huge met4 and normal met4  
+MR_m4.5a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m4.5a: 0.4 min. spacing/notch of huge met4+nearby met4  
+MR_via4.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via4.1: non-ring via4 should be rectangular
+MR_via4.1_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via4.1: 0.8 min. width of non-ring via4
+MR_via4.1_b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via4.1: 0.8 max. length of non-ring via4
+MR_via4.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via4.2: 0.8 min. spacing/notch of via4
+MR_via4.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via4.3: 0.8 min. width of ring-shaped via4
+MR_via4.3_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via4.3: 0.805 max. width of ring-shaped via4
+MR_via4.3_b
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via4.3: ring-shaped via4 must be enclosed by SEALID
+MR_via4.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via4.4: 0.19 min. enclosure of non-ring via4 by met4
+MR_via4.4_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+via4.4: non-ring via4 must be enclosed by met4
+MR_m5.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m5.1: 1.6 min. width of met5
+MR_m5.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m5.2: 1.6 min. spacing/notch of met5
+MR_m5.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m5.3: 0.31 min. enclosure of via4 by met5
+MR_m5.3_a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m5.3: via4 must be enclosed by met5
+MR_m5.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+m5.4: 4 min. area of met5
+MR_pad.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+pad.2: 1.27 min. spacing/notch of pad
+MR_hvi.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+hvi.1: 0.6 min. width of hvi_peri
+MR_hvi.2a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+hvi.2a: 0.7 min. spacing/notch of hvi_peri
+MR_hvntm.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+hvntm.1: 0.7 min. width of hvntm_peri
+MR_hvntm.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+hvntm.2: 0.7 min. spacing/notch of hvntm_peri
+MR_cfom.waffle.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cfom.waffle.1: 0.4 min spacing of FOM_FILL to any fom
+MR_cfom.waffle.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cfom.waffle.2: FOM_FILL may not touch fom
+MR_cfom.waffle.2a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cfom.waffle.2: FOM_FILL may not touch poly
+MR_cp1m.waffle.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cp1m.waffle.1: 0.36 min spacing of P1M_FILL to any poly
+MR_cp1m.waffle.2a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cp1m.waffle.2: P1M_FILL may not touch fom
+MR_li1m.waffle.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+li1m.waffle.1: 0.5 min spacing of LI1M_FILL to any li1
+MR_li1m.waffle.2a
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+li1m.waffle.2: LI1M_FILL may not touch fom or poly
+MR_cmm1.waffle.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm1.waffle.1: 0.2 min spacing of MM1_FILL to any met1
+MR_cmm1.waffle.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm1.waffle.2: MM1_FILL may not touch met1
+MR_cmm2.waffle.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm2.waffle.1: 0.2 min spacing of MM2_FILL to any met2
+MR_cmm2.waffle.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm2.waffle.2: MM2_FILL may not touch met2
+MR_cmm3.waffle.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm3.waffle.1: 0.3 min spacing of MM3_FILL to any met3
+MR_cmm3.waffle.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm3.waffle.2: MM3_FILL may not touch met3
+MR_cmm4.waffle.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm4.waffle.1: 0.3 min spacing of MM4_FILL to any met4
+MR_cmm4.waffle.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm4.waffle.2: MM4_FILL may not touch met4
+MR_cmm5.waffle.1
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm5.waffle.1: 1.6 min spacing of MM5_FILL to any met5
+MR_cmm5.waffle.2
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm5.waffle.2: MM5_FILL may not touch met5
+MR_cfom.pd.1d
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cfom.pd.1d: cfom.pd.1d: 0.28 min FOM pattern density
+MR_cfom.pd.1e
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cfom.pd.1e: cfom.pd.1e: 0.62 max FOM pattern density
+MR_cli1m.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cli1m.4: 0.40 min. pattern density of clearArea not li1m.mk inside sealRing
+MR_cli1m.5
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cli1m.5: 0.65 max. pattern density of clearArea not li1m.mk inside sealRing
+MR_cmm1.pd.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm1.pd.3: 0.4 min. pattern density of clearArea not mm1.mk inside sealRing
+MR_cmm1.pd.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm1.pd.4: 0.65 max. pattern density of clearArea not mm1.mk inside sealRing
+MR_cmm2.pd.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm2.pd.3: 0.4 min. pattern density of clearArea not mm2.mk inside sealRing
+MR_cmm2.pd.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm2.pd.4: 0.65 max. pattern density of clearArea not mm2.mk inside sealRing
+MR_cmm3.pd.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm3.pd.3: 0.40 min. pattern density of clearArea not mm3.mk inside sealRing
+MR_cmm3.pd.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm3.pd.4: 0.65 max. pattern density of clearArea not mm3.mk inside sealRing
+MR_cmm4.pd.3
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm4.pd.3: 0.4 min. pattern density of clearArea not mm4.mk inside sealRing
+MR_cmm4.pd.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm4.pd.4: 0.65 max. pattern density of clearArea not mm4.mk inside sealRing
+MR_cmm5.pd.4
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm5.4: 0.24 min. pattern density of clearArea not mm5.mk inside sealRing
+MR_cmm5.pd.5
+0 0 2 ... XX XX:XX:XX 2...                     
+Rule File Pathname: /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+cmm5.5: 0.55 max. pattern density of clearArea not mm5.mk inside sealRing
+DENSITY_RDBS
+0 0 14 ... XX XX:XX:XX 2...
+fom_minPD.rdb 0
+fom_maxPD.rdb 0
+li1mCAmin_PD.rdb 0
+li1mCAmax_PD.rdb 0
+mm1CAmin_PD.rdb 0
+mm1CAmax_PD.rdb 0
+mm2CAmin_PD.rdb 0
+mm2CAmax_PD.rdb 0
+mm3CAmin_PD.rdb 0
+mm3CAmax_PD.rdb 0
+mm4CAmin_PD.rdb 0
+mm4CAmax_PD.rdb 0
+mm5CAmin_PD.rdb 0
+mm5CAmax_PD.rdb 0
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/caravel_00020021.drc.summary b/signoff/cdrcpost/caravel_00020021/drcmr/caravel_00020021.drc.summary
new file mode 100644
index 0000000..5bfe89a
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/caravel_00020021.drc.summary
@@ -0,0 +1,269 @@
+
+
+==================================================================================
+=== CALIBRE::DRC-H SUMMARY REPORT
+===
+Execution Date/Time:       Sat ... XX XX:XX:XX 2...
+Calibre Version:           v2018.4_34.26    Mon Dec 3 14:41:18 PST 2018
+Rule File Pathname:        /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/drcmr/_s8_drcRules_MR_
+Rule File Title:           
+Layout System:             GDS
+Layout Path(s):            /usr/local/google/home/tansell/work/openflow-drc-tests/torture_tests/caravel_00020021/caravel_00020021.gds
+Layout Primary Cell:       caravel_00020021
+Current Directory:         /usr/local/google/home/tansell/gob/foss-eda-tools/openflow-drc-tests/torture_tests/caravel_00020021/drcmr
+User Name:                 tansell
+Maximum Results/RuleCheck: 1000
+Maximum Result Vertices:   4096
+DRC Results Database:      caravel_00020021.drc.results (ASCII)
+Layout Depth:              ALL
+Text Depth:                PRIMARY
+Summary Report File:       caravel_00020021.drc.summary (REPLACE)
+Geometry Flagging:         ACUTE = NO  SKEW = NO  ANGLED = NO  OFFGRID = NO
+                           NONSIMPLE POLYGON = NO  NONSIMPLE PATH = NO
+Excluded Cells:           
+CheckText Mapping:         COMMENT TEXT + RULE FILE INFORMATION
+Layers:                    MEMORY-BASED
+Keep Empty Checks:         YES
+----------------------------------------------------------------------------------
+--- RUNTIME WARNINGS
+---
+Cell name parameter s8fs_cmux4_fm for EXTENT CELL operation not located.
+----------------------------------------------------------------------------------
+--- ORIGINAL LAYER STATISTICS
+---
+LAYER COREID .......... TOTAL Original Geometry Count = 4        (17680)
+LAYER ncm ............. TOTAL Original Geometry Count = 0        (0)
+LAYER diff ............ TOTAL Original Geometry Count = 4341     (923769)
+LAYER tap ............. TOTAL Original Geometry Count = 1042     (150511)
+LAYER poly ............ TOTAL Original Geometry Count = 8154     (1202338)
+LAYER licon1 .......... TOTAL Original Geometry Count = 162839   (7077839)
+LAYER diffTap ......... TOTAL Original Geometry Count = 5383     (1074280)
+LAYER urpm ............ TOTAL Original Geometry Count = 4        (6)
+LAYER rpm ............. TOTAL Original Geometry Count = 0        (0)
+LAYER li1 ............. TOTAL Original Geometry Count = 225305   (2374092)
+LAYER mcon ............ TOTAL Original Geometry Count = 392401   (6648020)
+LAYER nwell ........... TOTAL Original Geometry Count = 1316     (398139)
+LAYER npc ............. TOTAL Original Geometry Count = 2523     (328751)
+LAYER capm ............ TOTAL Original Geometry Count = 1        (1)
+LAYER via3 ............ TOTAL Original Geometry Count = 447063   (1308266)
+LAYER cap2m ........... TOTAL Original Geometry Count = 8        (12)
+LAYER via4 ............ TOTAL Original Geometry Count = 44218    (246488)
+LAYER met3 ............ TOTAL Original Geometry Count = 152458   (513816)
+LAYER met4 ............ TOTAL Original Geometry Count = 11249    (366389)
+LAYER met1 ............ TOTAL Original Geometry Count = 1134642  (2695748)
+LAYER via ............. TOTAL Original Geometry Count = 601048   (1558832)
+LAYER moduleCutAREA ... TOTAL Original Geometry Count = 0        (0)
+LAYER met2 ............ TOTAL Original Geometry Count = 543458   (1107922)
+LAYER via2 ............ TOTAL Original Geometry Count = 307994   (999408)
+LAYER met5 ............ TOTAL Original Geometry Count = 3023     (25918)
+LAYER hvi ............. TOTAL Original Geometry Count = 546      (40383)
+LAYER hvntm ........... TOTAL Original Geometry Count = 30       (48)
+LAYER SEALID .......... TOTAL Original Geometry Count = 24       (24)
+LAYER FOM_FILL ........ TOTAL Original Geometry Count = 485268   (485268)
+LAYER FOMmk ........... TOTAL Original Geometry Count = 12       (12)
+LAYER P1Mmk ........... TOTAL Original Geometry Count = 12       (12)
+LAYER P1M_FILL ........ TOTAL Original Geometry Count = 3774966  (3774966)
+LAYER MM1_FILL ........ TOTAL Original Geometry Count = 12145671 (12145671)
+LAYER MM1mk ........... TOTAL Original Geometry Count = 12       (12)
+LAYER MM2_FILL ........ TOTAL Original Geometry Count = 9473714  (9473714)
+LAYER MM2mk ........... TOTAL Original Geometry Count = 12       (12)
+LAYER MM3_FILL ........ TOTAL Original Geometry Count = 3786763  (3786763)
+LAYER MM3mk ........... TOTAL Original Geometry Count = 12       (12)
+LAYER MM4_FILL ........ TOTAL Original Geometry Count = 3121603  (3121603)
+LAYER MM4mk ........... TOTAL Original Geometry Count = 12       (12)
+LAYER MM5_FILL ........ TOTAL Original Geometry Count = 304604   (304604)
+LAYER MM5mk ........... TOTAL Original Geometry Count = 12       (12)
+LAYER LI1Mmk .......... TOTAL Original Geometry Count = 12       (12)
+LAYER LI1M_FILL ....... TOTAL Original Geometry Count = 2427406  (2427406)
+LAYER dnwell .......... TOTAL Original Geometry Count = 26       (423)
+LAYER hvtp ............ TOTAL Original Geometry Count = 274      (312936)
+LAYER hvtr ............ TOTAL Original Geometry Count = 0        (0)
+LAYER lvtn ............ TOTAL Original Geometry Count = 38       (4460)
+LAYER tunm ............ TOTAL Original Geometry Count = 0        (0)
+LAYER pad ............. TOTAL Original Geometry Count = 1        (63)
+LAYER rdl ............. TOTAL Original Geometry Count = 0        (0)
+----------------------------------------------------------------------------------
+--- RULECHECK RESULTS STATISTICS
+---
+RULECHECK MR_dnwell.2 ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_nwell.1 .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_nwell.2a ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_hvtp.1 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_hvtp.2 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_hvtr.1 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_hvtr.2 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_hvtr.2_a ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_lvtn.1a .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_lvtn.2 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_ncm.1 ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_ncm.2a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_difftap.1 ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_difftap.1_a ...... TOTAL Result Count = 0 (0)
+RULECHECK MR_difftap.1_b ...... TOTAL Result Count = 0 (0)
+RULECHECK MR_difftap.1_c ...... TOTAL Result Count = 0 (0)
+RULECHECK MR_difftap.3 ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_tunm.1 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_tunm.2 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_poly.1a .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_poly.2 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_rpm.1a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_rpm.2 ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_urpm.1a .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_urpm.2 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_npc.1 ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_npc.2 ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_licon.1 .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_licon.1_a ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_licon.1_b ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_licon.13 ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_licon.13_a ....... TOTAL Result Count = 0 (0)
+RULECHECK MR_licon.17 ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_li.1 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_li.3 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_li.5 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_li.6 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_ct.1 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_ct.1_a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_ct.1_b ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_ct.2 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_ct.3 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_ct.3_a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_ct.3_b ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_ct.4 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_capm.1 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_capm.2a .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_capm.2b .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_capm.2b_a ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_capm.3 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_capm.4 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_capm.5 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_cap2m.1 .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_cap2m.2a ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_cap2m.2b ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_cap2m.2b_a ....... TOTAL Result Count = 0 (0)
+RULECHECK MR_cap2m.3 .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_cap2m.4 .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_cap2m.5 .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_m1.1 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m1.2 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m1.3b ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_m1.3a ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_791_m1.4 ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_m1.4 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m1.4a ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_m1.4a_a .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_m1.5 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m1.6 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m1.7 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m1.7_a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via.1a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via.1a_a ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via.1a_b ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via.2 ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_via.3 ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_via.3_a .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via.3_b .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via.4a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via.4a_a ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via.5a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_m2.1 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m2.2 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m2.3b ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_m2.3a ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_m2.4 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m2.4_a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_m2.5 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m2.6 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m2.7 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m2.7_a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via2.1a .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via2.1a_a ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_via2.1a_b ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_via2.2 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via2.3 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via2.3_a ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via2.3_b ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via2.4 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via2.4_a ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via2.5 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_m3.1 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m3.2 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m3.4 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m3.4_a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_m3.3d ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_m3.3c ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_via3.1 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via3.1_a ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via3.1_b ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via3.2 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via3.4 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via3.4_a ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via3.5 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_m4.1 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m4.2 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m4.3 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m4.3_a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_m4.4a ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_m4.5b ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_m4.5a ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_via4.1 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via4.1_a ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via4.1_b ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via4.2 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via4.3 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via4.3_a ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via4.3_b ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_via4.4 ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_via4.4_a ......... TOTAL Result Count = 0 (0)
+RULECHECK MR_m5.1 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m5.2 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m5.3 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_m5.3_a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_m5.4 ............. TOTAL Result Count = 0 (0)
+RULECHECK MR_pad.2 ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_hvi.1 ............ TOTAL Result Count = 0 (0)
+RULECHECK MR_hvi.2a ........... TOTAL Result Count = 0 (0)
+RULECHECK MR_hvntm.1 .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_hvntm.2 .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_cfom.waffle.1 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cfom.waffle.2 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cfom.waffle.2a ... TOTAL Result Count = 0 (0)
+RULECHECK MR_cp1m.waffle.1 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cp1m.waffle.2a ... TOTAL Result Count = 0 (0)
+RULECHECK MR_li1m.waffle.1 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_li1m.waffle.2a ... TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm1.waffle.1 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm1.waffle.2 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm2.waffle.1 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm2.waffle.2 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm3.waffle.1 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm3.waffle.2 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm4.waffle.1 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm4.waffle.2 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm5.waffle.1 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm5.waffle.2 .... TOTAL Result Count = 0 (0)
+RULECHECK MR_cfom.pd.1d ....... TOTAL Result Count = 0 (0)
+RULECHECK MR_cfom.pd.1e ....... TOTAL Result Count = 0 (0)
+RULECHECK MR_cli1m.4 .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_cli1m.5 .......... TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm1.pd.3 ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm1.pd.4 ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm2.pd.3 ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm2.pd.4 ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm3.pd.3 ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm3.pd.4 ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm4.pd.3 ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm4.pd.4 ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm5.pd.4 ........ TOTAL Result Count = 0 (0)
+RULECHECK MR_cmm5.pd.5 ........ TOTAL Result Count = 0 (0)
+----------------------------------------------------------------------------------
+--- RULECHECK RESULTS STATISTICS (BY CELL)
+---
+----------------------------------------------------------------------------------
+--- SUMMARY
+---
+TOTAL CPU Time:                  909
+TOTAL REAL Time:                 914
+TOTAL Original Layer Geometries: 39569504 (64896653)
+TOTAL DRC RuleChecks Executed:   171
+TOTAL DRC Results Generated:     0 (0)
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/fom_maxPD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/fom_maxPD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/fom_maxPD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/fom_minPD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/fom_minPD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/fom_minPD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/li1mCAmax_PD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/li1mCAmax_PD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/li1mCAmax_PD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/li1mCAmin_PD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/li1mCAmin_PD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/li1mCAmin_PD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/mm1CAmax_PD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/mm1CAmax_PD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/mm1CAmax_PD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/mm1CAmin_PD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/mm1CAmin_PD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/mm1CAmin_PD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/mm2CAmax_PD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/mm2CAmax_PD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/mm2CAmax_PD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/mm2CAmin_PD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/mm2CAmin_PD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/mm2CAmin_PD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/mm3CAmax_PD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/mm3CAmax_PD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/mm3CAmax_PD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/mm3CAmin_PD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/mm3CAmin_PD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/mm3CAmin_PD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/mm4CAmax_PD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/mm4CAmax_PD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/mm4CAmax_PD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/mm4CAmin_PD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/mm4CAmin_PD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/mm4CAmin_PD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/mm5CAmax_PD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/mm5CAmax_PD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/mm5CAmax_PD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/drcmr/mm5CAmin_PD.rdb b/signoff/cdrcpost/caravel_00020021/drcmr/mm5CAmin_PD.rdb
new file mode 100755
index 0000000..144c87e
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/drcmr/mm5CAmin_PD.rdb
@@ -0,0 +1 @@
+caravel_00020021 1000
diff --git a/signoff/cdrcpost/caravel_00020021/run_calibre.out b/signoff/cdrcpost/caravel_00020021/run_calibre.out
new file mode 100644
index 0000000..958d577
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021/run_calibre.out
@@ -0,0 +1,13 @@
+completed: gunzip --force /usr/local/google/home/tansell/work/openflow-drc-tests//torture_tests/caravel_00020021//caravel_00020021.gds.gz
+
+
+
+export JOB_HOME='/usr/local/google/home/tansell/work/openflow-drc-tests//torture_tests/caravel_00020021/'
+export JOB_NAME='caravel_00020021'
+=====================================
++ cd /usr/local/google/home/tansell/work/openflow-drc-tests/
++ git checkout HEAD /usr/local/google/home/tansell/work/openflow-drc-tests//runsets/s8_drcmr_runset /usr/local/google/home/tansell/work/openflow-drc-tests//runsets/s8_drc_runset /usr/local/google/home/tansell/work/openflow-drc-tests//runsets/s8_fill_runset /usr/local/google/home/tansell/work/openflow-drc-tests//runsets/s8_latchup_runset /usr/local/google/home/tansell/work/openflow-drc-tests//runsets/s8_lures_runset /usr/local/google/home/tansell/work/openflow-drc-tests//runsets/s8_lvs_runset /usr/local/google/home/tansell/work/openflow-drc-tests//runsets/s8_soft_runset /usr/local/google/home/tansell/work/openflow-drc-tests//runsets/s8_stress_runset /usr/local/google/home/tansell/work/openflow-drc-tests//runsets/s8_xRC_runset
+Updated 0 paths from 15c7013
++ cd /usr/local/google/home/tansell/github/google/skywater-pdk/s8/V1.3.0
++ calibre -gui -drc -runset /usr/local/google/home/tansell/work/openflow-drc-tests//runsets/s8_drcmr_runset -batch
++ find /usr/local/google/home/tansell/work/openflow-drc-tests//torture_tests/caravel_00020021/ -type f -exec sed -i '-es/... [0-9][0-9] [0-9 ][0-9]:[0-9][0-9]:[0-9][0-9] 2.../... XX XX:XX:XX 2.../' '{}' +
diff --git a/signoff/cdrcpost/caravel_00020021_merged.csv b/signoff/cdrcpost/caravel_00020021_merged.csv
new file mode 100644
index 0000000..9d8489b
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021_merged.csv
@@ -0,0 +1,172 @@
+RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
+MR_dnwell.2,no,M,drcmr,0,0
+MR_nwell.1,no,M,drcmr,0,0
+MR_nwell.2a,no,M,drcmr,0,0
+MR_hvtp.1,no,M,drcmr,0,0
+MR_hvtp.2,no,M,drcmr,0,0
+MR_hvtr.1,no,M,drcmr,0,0
+MR_hvtr.2,no,M,drcmr,0,0
+MR_hvtr.2_a,no,M,drcmr,0,0
+MR_lvtn.1a,no,M,drcmr,0,0
+MR_lvtn.2,no,M,drcmr,0,0
+MR_ncm.1,no,M,drcmr,0,0
+MR_ncm.2a,no,M,drcmr,0,0
+MR_difftap.1,no,M,drcmr,0,0
+MR_difftap.1_a,no,M,drcmr,0,0
+MR_difftap.1_b,no,M,drcmr,0,0
+MR_difftap.1_c,no,M,drcmr,0,0
+MR_difftap.3,no,M,drcmr,0,0
+MR_tunm.1,no,M,drcmr,0,0
+MR_tunm.2,no,M,drcmr,0,0
+MR_poly.1a,no,M,drcmr,0,0
+MR_poly.2,no,M,drcmr,0,0
+MR_rpm.1a,no,M,drcmr,0,0
+MR_rpm.2,no,M,drcmr,0,0
+MR_urpm.1a,no,M,drcmr,0,0
+MR_urpm.2,no,M,drcmr,0,0
+MR_npc.1,no,M,drcmr,0,0
+MR_npc.2,no,M,drcmr,0,0
+MR_licon.1,no,M,drcmr,0,0
+MR_licon.1_a,no,M,drcmr,0,0
+MR_licon.1_b,no,M,drcmr,0,0
+MR_licon.13,no,M,drcmr,0,0
+MR_licon.13_a,no,M,drcmr,0,0
+MR_licon.17,no,M,drcmr,0,0
+MR_li.1,no,M,drcmr,0,0
+MR_li.3,no,M,drcmr,0,0
+MR_li.5,no,M,drcmr,0,0
+MR_li.6,no,M,drcmr,0,0
+MR_ct.1,no,M,drcmr,0,0
+MR_ct.1_a,no,M,drcmr,0,0
+MR_ct.1_b,no,M,drcmr,0,0
+MR_ct.2,no,M,drcmr,0,0
+MR_ct.3,no,M,drcmr,0,0
+MR_ct.3_a,no,M,drcmr,0,0
+MR_ct.3_b,no,M,drcmr,0,0
+MR_ct.4,no,M,drcmr,0,0
+MR_capm.1,no,M,drcmr,0,0
+MR_capm.2a,no,M,drcmr,0,0
+MR_capm.2b,no,M,drcmr,0,0
+MR_capm.2b_a,no,M,drcmr,0,0
+MR_capm.3,no,M,drcmr,0,0
+MR_capm.4,no,M,drcmr,0,0
+MR_capm.5,no,M,drcmr,0,0
+MR_cap2m.1,no,M,drcmr,0,0
+MR_cap2m.2a,no,M,drcmr,0,0
+MR_cap2m.2b,no,M,drcmr,0,0
+MR_cap2m.2b_a,no,M,drcmr,0,0
+MR_cap2m.3,no,M,drcmr,0,0
+MR_cap2m.4,no,M,drcmr,0,0
+MR_cap2m.5,no,M,drcmr,0,0
+MR_m1.1,no,M,drcmr,0,0
+MR_m1.2,no,M,drcmr,0,0
+MR_m1.3b,no,M,drcmr,0,0
+MR_m1.3a,no,M,drcmr,0,0
+MR_791_m1.4,no,M,drcmr,0,0
+MR_m1.4,no,M,drcmr,0,0
+MR_m1.4a,no,M,drcmr,0,0
+MR_m1.4a_a,no,M,drcmr,0,0
+MR_m1.5,no,M,drcmr,0,0
+MR_m1.6,no,M,drcmr,0,0
+MR_m1.7,no,M,drcmr,0,0
+MR_m1.7_a,no,M,drcmr,0,0
+MR_via.1a,no,M,drcmr,0,0
+MR_via.1a_a,no,M,drcmr,0,0
+MR_via.1a_b,no,M,drcmr,0,0
+MR_via.2,no,M,drcmr,0,0
+MR_via.3,no,M,drcmr,0,0
+MR_via.3_a,no,M,drcmr,0,0
+MR_via.3_b,no,M,drcmr,0,0
+MR_via.4a,no,M,drcmr,0,0
+MR_via.4a_a,no,M,drcmr,0,0
+MR_via.5a,no,M,drcmr,0,0
+MR_m2.1,no,M,drcmr,0,0
+MR_m2.2,no,M,drcmr,0,0
+MR_m2.3b,no,M,drcmr,0,0
+MR_m2.3a,no,M,drcmr,0,0
+MR_m2.4,no,M,drcmr,0,0
+MR_m2.4_a,no,M,drcmr,0,0
+MR_m2.5,no,M,drcmr,0,0
+MR_m2.6,no,M,drcmr,0,0
+MR_m2.7,no,M,drcmr,0,0
+MR_m2.7_a,no,M,drcmr,0,0
+MR_via2.1a,no,M,drcmr,0,0
+MR_via2.1a_a,no,M,drcmr,0,0
+MR_via2.1a_b,no,M,drcmr,0,0
+MR_via2.2,no,M,drcmr,0,0
+MR_via2.3,no,M,drcmr,0,0
+MR_via2.3_a,no,M,drcmr,0,0
+MR_via2.3_b,no,M,drcmr,0,0
+MR_via2.4,no,M,drcmr,0,0
+MR_via2.4_a,no,M,drcmr,0,0
+MR_via2.5,no,M,drcmr,0,0
+MR_m3.1,no,M,drcmr,0,0
+MR_m3.2,no,M,drcmr,0,0
+MR_m3.4,no,M,drcmr,0,0
+MR_m3.4_a,no,M,drcmr,0,0
+MR_m3.3d,no,M,drcmr,0,0
+MR_m3.3c,no,M,drcmr,0,0
+MR_via3.1,no,M,drcmr,0,0
+MR_via3.1_a,no,M,drcmr,0,0
+MR_via3.1_b,no,M,drcmr,0,0
+MR_via3.2,no,M,drcmr,0,0
+MR_via3.4,no,M,drcmr,0,0
+MR_via3.4_a,no,M,drcmr,0,0
+MR_via3.5,no,M,drcmr,0,0
+MR_m4.1,no,M,drcmr,0,0
+MR_m4.2,no,M,drcmr,0,0
+MR_m4.3,no,M,drcmr,0,0
+MR_m4.3_a,no,M,drcmr,0,0
+MR_m4.4a,no,M,drcmr,0,0
+MR_m4.5b,no,M,drcmr,0,0
+MR_m4.5a,no,M,drcmr,0,0
+MR_via4.1,no,M,drcmr,0,0
+MR_via4.1_a,no,M,drcmr,0,0
+MR_via4.1_b,no,M,drcmr,0,0
+MR_via4.2,no,M,drcmr,0,0
+MR_via4.3,no,M,drcmr,0,0
+MR_via4.3_a,no,M,drcmr,0,0
+MR_via4.3_b,no,M,drcmr,0,0
+MR_via4.4,no,M,drcmr,0,0
+MR_via4.4_a,no,M,drcmr,0,0
+MR_m5.1,no,M,drcmr,0,0
+MR_m5.2,no,M,drcmr,0,0
+MR_m5.3,no,M,drcmr,0,0
+MR_m5.3_a,no,M,drcmr,0,0
+MR_m5.4,no,M,drcmr,0,0
+MR_pad.2,no,M,drcmr,0,0
+MR_hvi.1,no,M,drcmr,0,0
+MR_hvi.2a,no,M,drcmr,0,0
+MR_hvntm.1,no,M,drcmr,0,0
+MR_hvntm.2,no,M,drcmr,0,0
+MR_cfom.waffle.1,no,M,drcmr,0,0
+MR_cfom.waffle.2,no,M,drcmr,0,0
+MR_cfom.waffle.2a,no,M,drcmr,0,0
+MR_cp1m.waffle.1,no,M,drcmr,0,0
+MR_cp1m.waffle.2a,no,M,drcmr,0,0
+MR_li1m.waffle.1,no,M,drcmr,0,0
+MR_li1m.waffle.2a,no,M,drcmr,0,0
+MR_cmm1.waffle.1,no,M,drcmr,0,0
+MR_cmm1.waffle.2,no,M,drcmr,0,0
+MR_cmm2.waffle.1,no,M,drcmr,0,0
+MR_cmm2.waffle.2,no,M,drcmr,0,0
+MR_cmm3.waffle.1,no,M,drcmr,0,0
+MR_cmm3.waffle.2,no,M,drcmr,0,0
+MR_cmm4.waffle.1,no,M,drcmr,0,0
+MR_cmm4.waffle.2,no,M,drcmr,0,0
+MR_cmm5.waffle.1,no,M,drcmr,0,0
+MR_cmm5.waffle.2,no,M,drcmr,0,0
+MR_cfom.pd.1d,no,M,drcmr,0,0
+MR_cfom.pd.1e,no,M,drcmr,0,0
+MR_cli1m.4,no,M,drcmr,0,0
+MR_cli1m.5,no,M,drcmr,0,0
+MR_cmm1.pd.3,no,M,drcmr,0,0
+MR_cmm1.pd.4,no,M,drcmr,0,0
+MR_cmm2.pd.3,no,M,drcmr,0,0
+MR_cmm2.pd.4,no,M,drcmr,0,0
+MR_cmm3.pd.3,no,M,drcmr,0,0
+MR_cmm3.pd.4,no,M,drcmr,0,0
+MR_cmm4.pd.3,no,M,drcmr,0,0
+MR_cmm4.pd.4,no,M,drcmr,0,0
+MR_cmm5.pd.4,no,M,drcmr,0,0
+MR_cmm5.pd.5,no,M,drcmr,0,0
diff --git a/signoff/cdrcpost/caravel_00020021_non_waivable.csv b/signoff/cdrcpost/caravel_00020021_non_waivable.csv
new file mode 100644
index 0000000..dd05cba
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00020021_non_waivable.csv
@@ -0,0 +1 @@
+RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
diff --git a/signoff/cdrcpost/drcmr.caravel_00020021.drc.summary.csv b/signoff/cdrcpost/drcmr.caravel_00020021.drc.summary.csv
new file mode 100644
index 0000000..9d8489b
--- /dev/null
+++ b/signoff/cdrcpost/drcmr.caravel_00020021.drc.summary.csv
@@ -0,0 +1,172 @@
+RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
+MR_dnwell.2,no,M,drcmr,0,0
+MR_nwell.1,no,M,drcmr,0,0
+MR_nwell.2a,no,M,drcmr,0,0
+MR_hvtp.1,no,M,drcmr,0,0
+MR_hvtp.2,no,M,drcmr,0,0
+MR_hvtr.1,no,M,drcmr,0,0
+MR_hvtr.2,no,M,drcmr,0,0
+MR_hvtr.2_a,no,M,drcmr,0,0
+MR_lvtn.1a,no,M,drcmr,0,0
+MR_lvtn.2,no,M,drcmr,0,0
+MR_ncm.1,no,M,drcmr,0,0
+MR_ncm.2a,no,M,drcmr,0,0
+MR_difftap.1,no,M,drcmr,0,0
+MR_difftap.1_a,no,M,drcmr,0,0
+MR_difftap.1_b,no,M,drcmr,0,0
+MR_difftap.1_c,no,M,drcmr,0,0
+MR_difftap.3,no,M,drcmr,0,0
+MR_tunm.1,no,M,drcmr,0,0
+MR_tunm.2,no,M,drcmr,0,0
+MR_poly.1a,no,M,drcmr,0,0
+MR_poly.2,no,M,drcmr,0,0
+MR_rpm.1a,no,M,drcmr,0,0
+MR_rpm.2,no,M,drcmr,0,0
+MR_urpm.1a,no,M,drcmr,0,0
+MR_urpm.2,no,M,drcmr,0,0
+MR_npc.1,no,M,drcmr,0,0
+MR_npc.2,no,M,drcmr,0,0
+MR_licon.1,no,M,drcmr,0,0
+MR_licon.1_a,no,M,drcmr,0,0
+MR_licon.1_b,no,M,drcmr,0,0
+MR_licon.13,no,M,drcmr,0,0
+MR_licon.13_a,no,M,drcmr,0,0
+MR_licon.17,no,M,drcmr,0,0
+MR_li.1,no,M,drcmr,0,0
+MR_li.3,no,M,drcmr,0,0
+MR_li.5,no,M,drcmr,0,0
+MR_li.6,no,M,drcmr,0,0
+MR_ct.1,no,M,drcmr,0,0
+MR_ct.1_a,no,M,drcmr,0,0
+MR_ct.1_b,no,M,drcmr,0,0
+MR_ct.2,no,M,drcmr,0,0
+MR_ct.3,no,M,drcmr,0,0
+MR_ct.3_a,no,M,drcmr,0,0
+MR_ct.3_b,no,M,drcmr,0,0
+MR_ct.4,no,M,drcmr,0,0
+MR_capm.1,no,M,drcmr,0,0
+MR_capm.2a,no,M,drcmr,0,0
+MR_capm.2b,no,M,drcmr,0,0
+MR_capm.2b_a,no,M,drcmr,0,0
+MR_capm.3,no,M,drcmr,0,0
+MR_capm.4,no,M,drcmr,0,0
+MR_capm.5,no,M,drcmr,0,0
+MR_cap2m.1,no,M,drcmr,0,0
+MR_cap2m.2a,no,M,drcmr,0,0
+MR_cap2m.2b,no,M,drcmr,0,0
+MR_cap2m.2b_a,no,M,drcmr,0,0
+MR_cap2m.3,no,M,drcmr,0,0
+MR_cap2m.4,no,M,drcmr,0,0
+MR_cap2m.5,no,M,drcmr,0,0
+MR_m1.1,no,M,drcmr,0,0
+MR_m1.2,no,M,drcmr,0,0
+MR_m1.3b,no,M,drcmr,0,0
+MR_m1.3a,no,M,drcmr,0,0
+MR_791_m1.4,no,M,drcmr,0,0
+MR_m1.4,no,M,drcmr,0,0
+MR_m1.4a,no,M,drcmr,0,0
+MR_m1.4a_a,no,M,drcmr,0,0
+MR_m1.5,no,M,drcmr,0,0
+MR_m1.6,no,M,drcmr,0,0
+MR_m1.7,no,M,drcmr,0,0
+MR_m1.7_a,no,M,drcmr,0,0
+MR_via.1a,no,M,drcmr,0,0
+MR_via.1a_a,no,M,drcmr,0,0
+MR_via.1a_b,no,M,drcmr,0,0
+MR_via.2,no,M,drcmr,0,0
+MR_via.3,no,M,drcmr,0,0
+MR_via.3_a,no,M,drcmr,0,0
+MR_via.3_b,no,M,drcmr,0,0
+MR_via.4a,no,M,drcmr,0,0
+MR_via.4a_a,no,M,drcmr,0,0
+MR_via.5a,no,M,drcmr,0,0
+MR_m2.1,no,M,drcmr,0,0
+MR_m2.2,no,M,drcmr,0,0
+MR_m2.3b,no,M,drcmr,0,0
+MR_m2.3a,no,M,drcmr,0,0
+MR_m2.4,no,M,drcmr,0,0
+MR_m2.4_a,no,M,drcmr,0,0
+MR_m2.5,no,M,drcmr,0,0
+MR_m2.6,no,M,drcmr,0,0
+MR_m2.7,no,M,drcmr,0,0
+MR_m2.7_a,no,M,drcmr,0,0
+MR_via2.1a,no,M,drcmr,0,0
+MR_via2.1a_a,no,M,drcmr,0,0
+MR_via2.1a_b,no,M,drcmr,0,0
+MR_via2.2,no,M,drcmr,0,0
+MR_via2.3,no,M,drcmr,0,0
+MR_via2.3_a,no,M,drcmr,0,0
+MR_via2.3_b,no,M,drcmr,0,0
+MR_via2.4,no,M,drcmr,0,0
+MR_via2.4_a,no,M,drcmr,0,0
+MR_via2.5,no,M,drcmr,0,0
+MR_m3.1,no,M,drcmr,0,0
+MR_m3.2,no,M,drcmr,0,0
+MR_m3.4,no,M,drcmr,0,0
+MR_m3.4_a,no,M,drcmr,0,0
+MR_m3.3d,no,M,drcmr,0,0
+MR_m3.3c,no,M,drcmr,0,0
+MR_via3.1,no,M,drcmr,0,0
+MR_via3.1_a,no,M,drcmr,0,0
+MR_via3.1_b,no,M,drcmr,0,0
+MR_via3.2,no,M,drcmr,0,0
+MR_via3.4,no,M,drcmr,0,0
+MR_via3.4_a,no,M,drcmr,0,0
+MR_via3.5,no,M,drcmr,0,0
+MR_m4.1,no,M,drcmr,0,0
+MR_m4.2,no,M,drcmr,0,0
+MR_m4.3,no,M,drcmr,0,0
+MR_m4.3_a,no,M,drcmr,0,0
+MR_m4.4a,no,M,drcmr,0,0
+MR_m4.5b,no,M,drcmr,0,0
+MR_m4.5a,no,M,drcmr,0,0
+MR_via4.1,no,M,drcmr,0,0
+MR_via4.1_a,no,M,drcmr,0,0
+MR_via4.1_b,no,M,drcmr,0,0
+MR_via4.2,no,M,drcmr,0,0
+MR_via4.3,no,M,drcmr,0,0
+MR_via4.3_a,no,M,drcmr,0,0
+MR_via4.3_b,no,M,drcmr,0,0
+MR_via4.4,no,M,drcmr,0,0
+MR_via4.4_a,no,M,drcmr,0,0
+MR_m5.1,no,M,drcmr,0,0
+MR_m5.2,no,M,drcmr,0,0
+MR_m5.3,no,M,drcmr,0,0
+MR_m5.3_a,no,M,drcmr,0,0
+MR_m5.4,no,M,drcmr,0,0
+MR_pad.2,no,M,drcmr,0,0
+MR_hvi.1,no,M,drcmr,0,0
+MR_hvi.2a,no,M,drcmr,0,0
+MR_hvntm.1,no,M,drcmr,0,0
+MR_hvntm.2,no,M,drcmr,0,0
+MR_cfom.waffle.1,no,M,drcmr,0,0
+MR_cfom.waffle.2,no,M,drcmr,0,0
+MR_cfom.waffle.2a,no,M,drcmr,0,0
+MR_cp1m.waffle.1,no,M,drcmr,0,0
+MR_cp1m.waffle.2a,no,M,drcmr,0,0
+MR_li1m.waffle.1,no,M,drcmr,0,0
+MR_li1m.waffle.2a,no,M,drcmr,0,0
+MR_cmm1.waffle.1,no,M,drcmr,0,0
+MR_cmm1.waffle.2,no,M,drcmr,0,0
+MR_cmm2.waffle.1,no,M,drcmr,0,0
+MR_cmm2.waffle.2,no,M,drcmr,0,0
+MR_cmm3.waffle.1,no,M,drcmr,0,0
+MR_cmm3.waffle.2,no,M,drcmr,0,0
+MR_cmm4.waffle.1,no,M,drcmr,0,0
+MR_cmm4.waffle.2,no,M,drcmr,0,0
+MR_cmm5.waffle.1,no,M,drcmr,0,0
+MR_cmm5.waffle.2,no,M,drcmr,0,0
+MR_cfom.pd.1d,no,M,drcmr,0,0
+MR_cfom.pd.1e,no,M,drcmr,0,0
+MR_cli1m.4,no,M,drcmr,0,0
+MR_cli1m.5,no,M,drcmr,0,0
+MR_cmm1.pd.3,no,M,drcmr,0,0
+MR_cmm1.pd.4,no,M,drcmr,0,0
+MR_cmm2.pd.3,no,M,drcmr,0,0
+MR_cmm2.pd.4,no,M,drcmr,0,0
+MR_cmm3.pd.3,no,M,drcmr,0,0
+MR_cmm3.pd.4,no,M,drcmr,0,0
+MR_cmm4.pd.3,no,M,drcmr,0,0
+MR_cmm4.pd.4,no,M,drcmr,0,0
+MR_cmm5.pd.4,no,M,drcmr,0,0
+MR_cmm5.pd.5,no,M,drcmr,0,0
diff --git a/signoff/kdrc_pin1.log b/signoff/kdrc_pin1.log
new file mode 100644
index 0000000..b9407fd
--- /dev/null
+++ b/signoff/kdrc_pin1.log
@@ -0,0 +1,35 @@
+run: /mnt/shuttles/shuttle/sak/magic/gdsSky130Apin1.drc -t 0 -T 120 /mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/gds/user_analog_project_wrapper.gds user_analog_project_wrapper /mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/signoff/kdrc_pin1.xml
+Running Sky130Apin1.drc on file=/mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper, output to /mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/signoff/kdrc_pin1.xml
+  args: "-t","0","-T","120","/mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/gds/user_analog_project_wrapper.gds","user_analog_project_wrapper","/mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/signoff/kdrc_pin1.xml"
+  deep:false tiled:true threads:72
+--- #err|description, table for cell: user_analog_project_wrapper
+NO-Check ----        pwell:64/44/EMP   122/16/dat    64/59/EMP    44/16/EMP     44/5/EMP
+         ----        nwell:64/20/dat    64/16/dat     64/5/EMP
+         ----         diff:65/20/dat    65/16/EMP     65/6/EMP
+         ----          tap:65/44/dat    65/48/EMP     65/5/EMP
+         ----         poly:66/20/dat    66/16/EMP     66/5/EMP
+         ----       licon1:66/44/dat    66/58/EMP
+         ----          li1:67/20/dat    67/16/dat     67/5/EMP
+         ----         mcon:67/44/dat    67/48/EMP
+         ----         met1:68/20/dat    68/16/dat     68/5/EMP
+         ----          via:68/44/dat    68/58/EMP
+         ----         met2:69/20/dat    69/16/dat     69/5/EMP
+         ----         via2:69/44/dat    69/58/EMP
+         ----         met3:70/20/dat    70/16/dat     70/5/EMP
+         ----         via3:70/44/dat    70/48/EMP
+         ----         met4:71/20/dat    71/16/dat     71/5/EMP
+         ----         via4:71/44/dat    71/48/EMP
+         ----         met5:72/20/dat    72/16/EMP     72/5/EMP
+         ----          pad:76/20/EMP     76/5/EMP    76/16/EMP
+         ----          pnp:82/44/dat    82/59/EMP
+         ----          npn:82/20/EMP     82/5/EMP
+         ----          rdl:74/20/EMP    74/16/EMP     74/5/EMP
+         ----     inductor:82/24/EMP    82/25/EMP
+       0 total error(s) among 0 error type(s), 33 checks, cell: user_analog_project_wrapper
+Writing report...
+
+real	0m2.716s
+user	0m13.448s
+sys	0m7.436s
+{{ Normal termination, zero errors }} in kdrc gdsSky130Apin1.drc
+to-slack: kdrc gdsSky130Apin1 error-types = 0 for mpw-two, slot-033 : digital_pll
diff --git a/signoff/kdrc_pin1.xml b/signoff/kdrc_pin1.xml
new file mode 100644
index 0000000..5552bc2
--- /dev/null
+++ b/signoff/kdrc_pin1.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Sky130Apin1.drc, input=/mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/gds/user_analog_project_wrapper.gds, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/mnt/shuttles/shuttle/sak/magic/gdsSky130Apin1.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/signoff/kdrc_results_mr_be.xml b/signoff/kdrc_results_mr_be.xml
new file mode 100644
index 0000000..dd6c175
--- /dev/null
+++ b/signoff/kdrc_results_mr_be.xml
@@ -0,0 +1,802 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>SKY130 DRC runset</description>
+ <original-file/>
+ <generator>drc: script='/mnt/shuttles/shuttle/sak/klayout/tech/sky130A/sky130A_mr.lydrc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+  <category>
+   <name>li.1</name>
+   <description>li.1 : min. li width : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.3</name>
+   <description>li.3 : min. li spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>li.6</name>
+   <description>li.6 : min. li area : 0.0561um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.1</name>
+   <description>ct.1 : minimum/maximum width of mcon : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.2</name>
+   <description>ct.2 : min. mcon spacing : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>ct.4</name>
+   <description>ct.4 : mcon should covered by li</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.1</name>
+   <description>m1.1 : min. m1 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.2</name>
+   <description>m1.2 : min. m1 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.3ab</name>
+   <description>m1.3ab : min. 3um.m1 spacing m1 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4</name>
+   <description>m1.4 : min. m1 enclosure of mcon : 0.03um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.4a</name>
+   <description>m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.6</name>
+   <description>m1.6 : min. m1 area : 0.083um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.7</name>
+   <description>m1.7 : min. m1 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m1.5</name>
+   <description>m1.5 : min. m1 enclosure of mcon of 2 opposite edges : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.1a</name>
+   <description>via.1a : minimum/maximum width of via : 0.15um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.2</name>
+   <description>via.2 : min. via spacing : 0.17um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via.4a</name>
+   <description>via.4a : min. m1 enclosure of 0.15um via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via1.5a</name>
+   <description>via1.5a : min. m1 enclosure of 0.15um via of 2 opposite edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.1</name>
+   <description>m2.1 : min. m2 width : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.2</name>
+   <description>m2.2 : min. m2 spacing : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.3ab</name>
+   <description>m2.3ab : min. 3um.m2 spacing m2 : 0.28um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.6</name>
+   <description>m2.6 : min. m2 area : 0.0676um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.7</name>
+   <description>m2.7 : min. m2 holes area : 0.14um²</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.4</name>
+   <description>m2.4 : min. m2 enclosure of via : 0.055um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m2.5</name>
+   <description>m2.5 : min. m2 enclosure of via of 2 opposite edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.1a</name>
+   <description>via2.1a : minimum/maximum width of via2 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.2</name>
+   <description>via2.2 : min. via2 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4</name>
+   <description>via2.4 : min. m2 enclosure of via2 : 0.04um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.4a</name>
+   <description>via2.4a : min. m2 enclosure of 1.5um via2 : 0.14um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via2.5</name>
+   <description>via2.5 : min. m2 enclosure of via2 of 2 opposite edges : 0.085um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.1</name>
+   <description>m3.1 : min. m3 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.2</name>
+   <description>m3.2 : min. m3 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m3.4</name>
+   <description>m3.4 : min. m3 enclosure of via2 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1a</name>
+   <description>via3.1a : minimum/maximum width of via3 : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.1a</name>
+   <description>via3.1a : minimum/maximum width of via3 in areaid.mt: 0.2um or 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.2</name>
+   <description>via3.2 : min. via3 spacing : 0.2um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.4</name>
+   <description>via3.4 : min. m3 enclosure of via3 : 0.06um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via3.5</name>
+   <description>via3.5 : min. m2 enclosure of via3 of 2 opposite edges : 0.09um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.1</name>
+   <description>m4.1 : min. m4 width : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.2</name>
+   <description>m4.2 : min. m4 spacing : 0.3um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.5ab</name>
+   <description>m4.5ab : min. 3um.m4 spacing m4 : 0.4um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m4.3</name>
+   <description>m4.3 : min. m4 enclosure of via3 : 0.065um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.1a</name>
+   <description>via4.1a : minimum/maximum width of via4 : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.2</name>
+   <description>via4.2 : min. via4 spacing : 0.8um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4.4</name>
+   <description>via4.4 : min. m4 enclosure of via4 : 0.19um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>via4</name>
+   <description>via4 : m4 must enclose all via4</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.1</name>
+   <description>m5.1 : min. m5 width : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.2</name>
+   <description>m5.2 : min. m5 spacing : 1.6um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>m5.3</name>
+   <description>m4.3 : min. m5 enclosure of via4 : 0.31um</description>
+   <categories>
+   </categories>
+  </category>
+  <category>
+   <name>pad.2</name>
+   <description>pad.2 : min. pad spacing : 1.27um</description>
+   <categories>
+   </categories>
+  </category>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+  <cell>
+   <name>Error_amplifier</name>
+   <variant/>
+   <references>
+    <ref>
+     <parent>user_analog_project_wrapper</parent>
+     <trans>r0 *1 406.405,2021.235</trans>
+    </ref>
+   </references>
+  </cell>
+  <cell>
+   <name>Bandgap1v8</name>
+   <variant/>
+   <references>
+    <ref>
+     <parent>user_analog_project_wrapper</parent>
+     <trans>r0 *1 385.46,2444.54</trans>
+    </ref>
+   </references>
+  </cell>
+  <cell>
+   <name>LDO</name>
+   <variant/>
+   <references>
+    <ref>
+     <parent>user_analog_project_wrapper</parent>
+     <trans>r0 *1 -10.04,2780.275</trans>
+    </ref>
+   </references>
+  </cell>
+ </cells>
+ <items>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Error_amplifier</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (57.862,0.085;58.535,0.085)/(58.535,0.145;58.135,0.145)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Error_amplifier</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (-0.315,0.085;0.358,0.085)/(0.085,0.145;-0.315,0.145)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Error_amplifier</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (57.855,0.085;58.535,0.085)/(58.535,0.085;58.135,0.085)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Error_amplifier</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (-0.315,0.085;0.365,0.085)/(0.085,0.085;-0.315,0.085)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Error_amplifier</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (22.195,55.99;21.515,55.99)/(21.795,55.99;22.195,55.99)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Error_amplifier</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (1.945,55.99;1.265,55.99)/(1.265,55.99;1.665,55.99)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (37.67,-37.585;36.99,-37.585)/(37.27,-37.585;37.67,-37.585)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (34.92,-64.415;35.565,-64.415)/(35.565,-64.415;35.2,-64.415)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (-18.345,-65.8;-17.67,-65.8)/(-17.95,-65.8;-18.345,-65.8)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (-0.745,-64.52;1.915,-64.52)/(1.635,-64.52;-0.465,-64.52)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (-3.01,-65.8;-2.33,-65.8)/(-2.33,-65.8;-2.73,-65.8)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (29.705,-37.585;26.145,-37.585)/(26.425,-37.585;29.425,-37.585)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (21,-32.53;20.32,-32.53)/(20.6,-32.53;21,-32.53)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (-1.285,-64.52;-0.5,-64.52)/(-0.78,-64.52;-1.28,-64.52)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (3.975,-64.52;6.635,-64.52)/(6.355,-64.52;4.255,-64.52)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (-18.21,-32.53;-19.17,-32.53)/(-18.89,-32.53;-18.49,-32.53)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (13.53,-64.415;14.21,-64.415)/(13.93,-64.415;13.53,-64.415)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (11.1,-64.52;11.88,-64.52)/(11.88,-64.52;11.38,-64.52)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (8.695,-64.52;11.345,-64.52)/(11.065,-64.52;8.975,-64.52)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>Bandgap1v8</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (26.32,-37.585;25.64,-37.585)/(25.64,-37.585;26.04,-37.585)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (507.71,521.665;504.15,521.665)/(504.43,521.665;507.43,521.665)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (504.325,521.665;503.645,521.665)/(503.645,521.665;504.045,521.665)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (481.98,494.73;484.64,494.73)/(484.36,494.73;482.26,494.73)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (486.7,494.73;489.35,494.73)/(489.07,494.73;486.98,494.73)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (477.26,494.73;479.92,494.73)/(479.64,494.73;477.54,494.73)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (483.095,399.375;484.965,399.375)/(484.685,399.375;483.375,399.375)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (344.935,458.635;345.615,458.635)/(345.335,458.635;344.935,458.635)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (403.105,458.635;403.785,458.635)/(403.785,458.635;403.385,458.635)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (459.795,526.72;458.835,526.72)/(459.115,526.72;459.515,526.72)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (489.105,494.73;489.885,494.73)/(489.885,494.73;489.385,494.73)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (344.935,458.635;345.608,458.635)/(345.335,458.695;344.935,458.695)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (403.112,458.635;403.785,458.635)/(403.785,458.695;403.385,458.695)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (512.925,494.835;513.57,494.835)/(513.57,494.835;513.205,494.835)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (474.995,493.45;475.675,493.45)/(475.675,493.45;475.275,493.45)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (499.005,526.72;498.325,526.72)/(498.605,526.72;499.005,526.72)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (515.675,521.665;514.995,521.665)/(515.275,521.665;515.675,521.665)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (367.445,514.54;366.765,514.54)/(367.045,514.54;367.445,514.54)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (491.535,494.835;492.215,494.835)/(491.935,494.835;491.535,494.835)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (459.66,493.45;460.335,493.45)/(460.055,493.45;459.66,493.45)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (347.195,514.54;346.515,514.54)/(346.515,514.54;346.915,514.54)</value>
+   </values>
+  </item>
+  <item>
+   <tags/>
+   <category>'m1.3ab'</category>
+   <cell>LDO</cell>
+   <visited>false</visited>
+   <multiplicity>1</multiplicity>
+   <image/>
+   <values>
+    <value>edge-pair: (476.72,494.73;477.505,494.73)/(477.225,494.73;476.725,494.73)</value>
+   </values>
+  </item>
+ </items>
+</report-database>
diff --git a/signoff/kdrc_results_mr_be.xml.summary b/signoff/kdrc_results_mr_be.xml.summary
new file mode 100644
index 0000000..324a05b
--- /dev/null
+++ b/signoff/kdrc_results_mr_be.xml.summary
@@ -0,0 +1,51 @@
+      41 m1.3ab : min. 3um.m1 spacing m1 : 0.28um
+       0 ct.1 : minimum/maximum width of mcon : 0.17um
+       0 ct.2 : min. mcon spacing : 0.19um
+       0 ct.4 : mcon should covered by li
+       0 li.1 : min. li width : 0.17um
+       0 li.3 : min. li spacing : 0.17um
+       0 li.6 : min. li area : 0.0561um²
+       0 m1.1 : min. m1 width : 0.14um
+       0 m1.2 : min. m1 spacing : 0.14um
+       0 m1.4 : min. m1 enclosure of mcon : 0.03um
+       0 m1.4a : min. m1 enclosure of mcon for specific cells : 0.005um
+       0 m1.5 : min. m1 enclosure of mcon of 2 opposite edges : 0.06um
+       0 m1.6 : min. m1 area : 0.083um²
+       0 m1.7 : min. m1 holes area : 0.14um²
+       0 m2.1 : min. m2 width : 0.14um
+       0 m2.2 : min. m2 spacing : 0.14um
+       0 m2.3ab : min. 3um.m2 spacing m2 : 0.28um
+       0 m2.4 : min. m2 enclosure of via : 0.055um
+       0 m2.5 : min. m2 enclosure of via of 2 opposite edges : 0.085um
+       0 m2.6 : min. m2 area : 0.0676um²
+       0 m2.7 : min. m2 holes area : 0.14um²
+       0 m3.1 : min. m3 width : 0.3um
+       0 m3.2 : min. m3 spacing : 0.3um
+       0 m3.4 : min. m3 enclosure of via2 : 0.065um
+       0 m4.1 : min. m4 width : 0.3um
+       0 m4.2 : min. m4 spacing : 0.3um
+       0 m4.3 : min. m4 enclosure of via3 : 0.065um
+       0 m4.3 : min. m5 enclosure of via4 : 0.31um
+       0 m4.5ab : min. 3um.m4 spacing m4 : 0.4um
+       0 m5.1 : min. m5 width : 1.6um
+       0 m5.2 : min. m5 spacing : 1.6um
+       0 pad.2 : min. pad spacing : 1.27um
+       0 via1.5a : min. m1 enclosure of 0.15um via of 2 opposite edges : 0.085um
+       0 via2.1a : minimum/maximum width of via2 : 0.2um
+       0 via2.2 : min. via2 spacing : 0.2um
+       0 via2.4 : min. m2 enclosure of via2 : 0.04um
+       0 via2.4a : min. m2 enclosure of 1.5um via2 : 0.14um
+       0 via2.5 : min. m2 enclosure of via2 of 2 opposite edges : 0.085um
+       0 via3.1a : minimum/maximum width of via3 : 0.2um
+       0 via3.1a : minimum/maximum width of via3 in areaid.mt: 0.2um or 0.8um
+       0 via3.2 : min. via3 spacing : 0.2um
+       0 via3.4 : min. m3 enclosure of via3 : 0.06um
+       0 via3.5 : min. m2 enclosure of via3 of 2 opposite edges : 0.09um
+       0 via4 : m4 must enclose all via4
+       0 via4.1a : minimum/maximum width of via4 : 0.8um
+       0 via4.2 : min. via4 spacing : 0.8um
+       0 via4.4 : min. m4 enclosure of via4 : 0.19um
+       0 via.1a : minimum/maximum width of via : 0.15um
+       0 via.2 : min. via spacing : 0.17um
+       0 via.4a : min. m1 enclosure of 0.15um via : 0.055um
+      41 total error(s) among 50 error type(s), 1 non-zero type(s)
diff --git a/signoff/kdrc_sub1.log b/signoff/kdrc_sub1.log
new file mode 100644
index 0000000..0624050
--- /dev/null
+++ b/signoff/kdrc_sub1.log
@@ -0,0 +1,16 @@
+Running Sky130Asub1.drc on file /mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/gds/user_analog_project_wrapper.gds.gz, topcell=user_analog_project_wrapper, output to /mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/signoff/kdrc_sub1.xml
+data    : psdm - 94/20
+--EMPTY : cpsdm - 32/0 [NOT CHECKED]
+data    : nsdm - 93/20
+--EMPTY : cnsdm - 30/0 [NOT CHECKED]
+data    : hvtp - 78/44
+--EMPTY : chvtp - 97/0 [NOT CHECKED]
+data    : thkox(hvi) - 75/20
+data    : npc - 95/20
+--EMPTY : cnpc.mask - 49/0 [NOT CHECKED]
+       0 total error(s) among 0 error type(s), 15 checks, cell: user_analog_project_wrapper
+Writing report...
+
+real	0m2.532s
+user	0m2.371s
+sys	0m0.124s
diff --git a/signoff/kdrc_sub1.xml b/signoff/kdrc_sub1.xml
new file mode 100644
index 0000000..33474b6
--- /dev/null
+++ b/signoff/kdrc_sub1.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="utf-8"?>
+<report-database>
+ <description>Sky130Asub1.drc, input=/mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/gds/user_analog_project_wrapper.gds.gz, topcell=user_analog_project_wrapper</description>
+ <original-file/>
+ <generator>drc: script='/mnt/shuttles/shuttle/sak/magic/gdsSky130Asub1.drc'</generator>
+ <top-cell>user_analog_project_wrapper</top-cell>
+ <tags>
+ </tags>
+ <categories>
+ </categories>
+ <cells>
+  <cell>
+   <name>user_analog_project_wrapper</name>
+   <variant/>
+   <references>
+   </references>
+  </cell>
+ </cells>
+ <items>
+ </items>
+</report-database>
diff --git a/signoff/klayout_drc_fom.log b/signoff/klayout_drc_fom.log
new file mode 100644
index 0000000..115373f
--- /dev/null
+++ b/signoff/klayout_drc_fom.log
@@ -0,0 +1,58 @@
+fom_density.drc:: sourcing design file=./gds/caravel_00020021.gds topcell=caravel_00020021 ...
+done.
+flattening chip boundary...
+done.
+step size = 70.0
+llx=6.0 lly=6.0 urx=3594.0 ury=5194.0
+x_cnt = 51
+y_cnt = 74
+dbu = 0.001
+bbox_area = 18614544.0
+calculating subtile areas (= 3774)...
+tiles per step = 10
+calculating window step densities (= 2730)...
+{{ CHECK }} 0/2730
+{{ CHECK }} 65/2730
+{{ CHECK }} 130/2730
+{{ CHECK }} 195/2730
+{{ CHECK }} 260/2730
+{{ CHECK }} 325/2730
+{{ CHECK }} 390/2730
+{{ CHECK }} 455/2730
+{{ CHECK }} 520/2730
+{{ CHECK }} 585/2730
+{{ CHECK }} 650/2730
+{{ CHECK }} 715/2730
+{{ CHECK }} 780/2730
+{{ CHECK }} 845/2730
+{{ CHECK }} 910/2730
+{{ CHECK }} 975/2730
+{{ CHECK }} 1040/2730
+{{ CHECK }} 1105/2730
+{{ CHECK }} 1170/2730
+{{ CHECK }} 1235/2730
+{{ CHECK }} 1300/2730
+{{ CHECK }} 1365/2730
+{{ CHECK }} 1430/2730
+{{ CHECK }} 1495/2730
+{{ CHECK }} 1560/2730
+{{ CHECK }} 1625/2730
+{{ CHECK }} 1690/2730
+{{ CHECK }} 1755/2730
+{{ CHECK }} 1820/2730
+{{ CHECK }} 1885/2730
+{{ CHECK }} 1950/2730
+{{ CHECK }} 2015/2730
+{{ CHECK }} 2080/2730
+{{ CHECK }} 2145/2730
+{{ CHECK }} 2210/2730
+{{ CHECK }} 2275/2730
+{{ CHECK }} 2340/2730
+{{ CHECK }} 2405/2730
+{{ CHECK }} 2470/2730
+{{ CHECK }} 2535/2730
+{{ CHECK }} 2600/2730
+{{ CHECK }} 2665/2730
+minimum fom density  = 0.3689
+maximum fom density  = 0.5124
+finish received: success = true
diff --git a/signoff/klayout_drc_met.log b/signoff/klayout_drc_met.log
new file mode 100644
index 0000000..fba0437
--- /dev/null
+++ b/signoff/klayout_drc_met.log
@@ -0,0 +1,6 @@
+li1_ca_density is 0.4089779924669529
+m1_ca_density is 0.48455226844747146
+m2_ca_density is 0.49797916397198694
+m3_ca_density is 0.5021562504242634
+m4_ca_density is 0.45902711569660193
+m5_ca_density is 0.41722614250551227
diff --git a/signoff/klayout_drc_mr_be.log b/signoff/klayout_drc_mr_be.log
new file mode 100644
index 0000000..170cf72
--- /dev/null
+++ b/signoff/klayout_drc_mr_be.log
@@ -0,0 +1,604 @@
+"_input" in: sky130A_mr.lydrc:88
+Elapsed: 0.030s
+"_input" in: sky130A_mr.lydrc:89
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:90
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:91
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:92
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:93
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:94
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:95
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:96
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:97
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:98
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:99
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:100
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:101
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:102
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:103
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:104
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:105
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:106
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:107
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:108
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:110
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:111
+Elapsed: 0.030s
+"_input" in: sky130A_mr.lydrc:113
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:114
+Elapsed: 0.130s
+"_input" in: sky130A_mr.lydrc:116
+Elapsed: 0.030s
+"_input" in: sky130A_mr.lydrc:117
+Elapsed: 0.090s
+"_input" in: sky130A_mr.lydrc:119
+Elapsed: 0.030s
+"_input" in: sky130A_mr.lydrc:120
+Elapsed: 0.090s
+"_input" in: sky130A_mr.lydrc:122
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:123
+Elapsed: 0.030s
+"_input" in: sky130A_mr.lydrc:125
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:127
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:128
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:129
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:130
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:131
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:132
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:133
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:134
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:135
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:136
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:137
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:138
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:139
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:140
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:141
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:142
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:143
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:144
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:145
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:146
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:147
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:148
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:149
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:150
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:151
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:152
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:153
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:154
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:155
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:156
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:157
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:158
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:159
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:160
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:161
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:162
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:163
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:164
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:165
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:166
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:167
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:168
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:169
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:170
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:171
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:172
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:173
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:174
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:175
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:176
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:177
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:178
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:179
+Elapsed: 0.010s
+DRC section
+BEOL section
+li
+"_input" in: sky130A_mr.lydrc:471
+Elapsed: 0.020s
+"-" in: sky130A_mr.lydrc:475
+Elapsed: 0.050s
+"width_check" in: sky130A_mr.lydrc:475
+Elapsed: 1.540s
+"_output" in: sky130A_mr.lydrc:475
+Elapsed: 0.010s
+"-" in: sky130A_mr.lydrc:483
+Elapsed: 0.010s
+"space_check" in: sky130A_mr.lydrc:483
+Elapsed: 8.990s
+"_output" in: sky130A_mr.lydrc:483
+Elapsed: 0.010s
+"enclosing_check" in: sky130A_mr.lydrc:490
+Elapsed: 2418.160s
+"second_edges" in: sky130A_mr.lydrc:490
+Elapsed: 0.040s
+"edges" in: sky130A_mr.lydrc:491
+Elapsed: 0.260s
+"-" in: sky130A_mr.lydrc:491
+Elapsed: 0.990s
+"width_check" in: sky130A_mr.lydrc:491
+Elapsed: 0.620s
+"polygons" in: sky130A_mr.lydrc:491
+Elapsed: 0.020s
+"with_area" in: sky130A_mr.lydrc:494
+Elapsed: 0.060s
+"_output" in: sky130A_mr.lydrc:494
+Elapsed: 0.000s
+mcon
+"edges" in: sky130A_mr.lydrc:498
+Elapsed: 5.920s
+"with_length" in: sky130A_mr.lydrc:498
+Elapsed: 0.230s
+"_output" in: sky130A_mr.lydrc:498
+Elapsed: 0.010s
+"space_check" in: sky130A_mr.lydrc:499
+Elapsed: 0.830s
+"_output" in: sky130A_mr.lydrc:499
+Elapsed: 0.010s
+"-" in: sky130A_mr.lydrc:504
+Elapsed: 0.020s
+"-" in: sky130A_mr.lydrc:504
+Elapsed: 1.310s
+"_output" in: sky130A_mr.lydrc:504
+Elapsed: 0.010s
+m1
+"width_check" in: sky130A_mr.lydrc:514
+Elapsed: 1.150s
+"_output" in: sky130A_mr.lydrc:514
+Elapsed: 0.020s
+"sized" in: sky130A_mr.lydrc:516
+Elapsed: 0.040s
+"sized" in: sky130A_mr.lydrc:516
+Elapsed: 0.010s
+"-" in: sky130A_mr.lydrc:517
+Elapsed: 0.100s
+"space_check" in: sky130A_mr.lydrc:519
+Elapsed: 1.210s
+"_output" in: sky130A_mr.lydrc:519
+Elapsed: 0.010s
+"separation_check" in: sky130A_mr.lydrc:521
+Elapsed: 0.040s
+"space_check" in: sky130A_mr.lydrc:521
+Elapsed: 0.010s
+"+" in: sky130A_mr.lydrc:521
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:521
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:524
+Elapsed: 0.020s
+"-" in: sky130A_mr.lydrc:527
+Elapsed: 0.030s
+"enclosing_check" in: sky130A_mr.lydrc:527
+Elapsed: 3.300s
+"_output" in: sky130A_mr.lydrc:527
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:529
+Elapsed: 0.020s
+"enclosing_check" in: sky130A_mr.lydrc:530
+Elapsed: 3.270s
+"_output" in: sky130A_mr.lydrc:530
+Elapsed: 0.010s
+"with_area" in: sky130A_mr.lydrc:532
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:532
+Elapsed: 0.010s
+"holes" in: sky130A_mr.lydrc:533
+Elapsed: 0.000s
+"with_area" in: sky130A_mr.lydrc:533
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:533
+Elapsed: 0.010s
+"enclosing_check" in: sky130A_mr.lydrc:535
+Elapsed: 1.280s
+"polygons" in: sky130A_mr.lydrc:535
+Elapsed: 0.010s
+"interacting" in: sky130A_mr.lydrc:535
+Elapsed: 0.190s
+"enclosing_check" in: sky130A_mr.lydrc:536
+Elapsed: 3.250s
+"second_edges" in: sky130A_mr.lydrc:536
+Elapsed: 0.010s
+"edges" in: sky130A_mr.lydrc:537
+Elapsed: 0.280s
+"-" in: sky130A_mr.lydrc:537
+Elapsed: 2.200s
+"width_check" in: sky130A_mr.lydrc:537
+Elapsed: 14.800s
+"polygons" in: sky130A_mr.lydrc:537
+Elapsed: 0.640s
+"not_interacting" in: sky130A_mr.lydrc:538
+Elapsed: 0.420s
+"_output" in: sky130A_mr.lydrc:538
+Elapsed: 0.000s
+via
+"-" in: sky130A_mr.lydrc:552
+Elapsed: 0.120s
+"edges" in: sky130A_mr.lydrc:552
+Elapsed: 1.290s
+"with_length" in: sky130A_mr.lydrc:552
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:552
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:554
+Elapsed: 1.280s
+"_output" in: sky130A_mr.lydrc:554
+Elapsed: 0.010s
+"edges" in: sky130A_mr.lydrc:555
+Elapsed: 0.030s
+"with_length" in: sky130A_mr.lydrc:555
+Elapsed: 0.020s
+"not_interacting" in: sky130A_mr.lydrc:555
+Elapsed: 0.090s
+"enclosing_check" in: sky130A_mr.lydrc:555
+Elapsed: 0.560s
+"_output" in: sky130A_mr.lydrc:555
+Elapsed: 0.010s
+"edges" in: sky130A_mr.lydrc:557
+Elapsed: 0.030s
+"with_length" in: sky130A_mr.lydrc:557
+Elapsed: 0.020s
+"not_interacting" in: sky130A_mr.lydrc:557
+Elapsed: 0.090s
+"enclosing_check" in: sky130A_mr.lydrc:557
+Elapsed: 1.260s
+"second_edges" in: sky130A_mr.lydrc:557
+Elapsed: 0.010s
+"edges" in: sky130A_mr.lydrc:558
+Elapsed: 0.030s
+"with_length" in: sky130A_mr.lydrc:558
+Elapsed: 0.030s
+"not_interacting" in: sky130A_mr.lydrc:558
+Elapsed: 0.090s
+"edges" in: sky130A_mr.lydrc:558
+Elapsed: 0.030s
+"-" in: sky130A_mr.lydrc:558
+Elapsed: 0.160s
+"width_check" in: sky130A_mr.lydrc:558
+Elapsed: 0.920s
+"polygons" in: sky130A_mr.lydrc:558
+Elapsed: 0.060s
+"edges" in: sky130A_mr.lydrc:559
+Elapsed: 0.040s
+"with_length" in: sky130A_mr.lydrc:559
+Elapsed: 0.030s
+"not_interacting" in: sky130A_mr.lydrc:559
+Elapsed: 0.100s
+"not_interacting" in: sky130A_mr.lydrc:559
+Elapsed: 0.290s
+"_output" in: sky130A_mr.lydrc:559
+Elapsed: 0.010s
+"edges" in: sky130A_mr.lydrc:560
+Elapsed: 0.030s
+"with_length" in: sky130A_mr.lydrc:560
+Elapsed: 0.040s
+"not_interacting" in: sky130A_mr.lydrc:560
+Elapsed: 0.350s
+"enclosing_check" in: sky130A_mr.lydrc:560
+Elapsed: 0.030s
+"second_edges" in: sky130A_mr.lydrc:560
+Elapsed: 0.010s
+"edges" in: sky130A_mr.lydrc:561
+Elapsed: 0.030s
+"with_length" in: sky130A_mr.lydrc:561
+Elapsed: 0.040s
+"not_interacting" in: sky130A_mr.lydrc:561
+Elapsed: 0.370s
+"edges" in: sky130A_mr.lydrc:561
+Elapsed: 0.010s
+"-" in: sky130A_mr.lydrc:561
+Elapsed: 0.010s
+"width_check" in: sky130A_mr.lydrc:561
+Elapsed: 0.000s
+"polygons" in: sky130A_mr.lydrc:561
+Elapsed: 0.000s
+m2
+"width_check" in: sky130A_mr.lydrc:576
+Elapsed: 0.070s
+"_output" in: sky130A_mr.lydrc:576
+Elapsed: 0.010s
+"sized" in: sky130A_mr.lydrc:578
+Elapsed: 0.030s
+"sized" in: sky130A_mr.lydrc:578
+Elapsed: 0.010s
+"-" in: sky130A_mr.lydrc:579
+Elapsed: 0.040s
+"space_check" in: sky130A_mr.lydrc:581
+Elapsed: 0.070s
+"_output" in: sky130A_mr.lydrc:581
+Elapsed: 0.010s
+"separation_check" in: sky130A_mr.lydrc:583
+Elapsed: 0.030s
+"space_check" in: sky130A_mr.lydrc:583
+Elapsed: 0.030s
+"+" in: sky130A_mr.lydrc:583
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:583
+Elapsed: 0.000s
+"with_area" in: sky130A_mr.lydrc:586
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:586
+Elapsed: 0.010s
+"holes" in: sky130A_mr.lydrc:587
+Elapsed: 0.000s
+"with_area" in: sky130A_mr.lydrc:587
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:587
+Elapsed: 0.000s
+"enclosing_check" in: sky130A_mr.lydrc:590
+Elapsed: 0.330s
+"_output" in: sky130A_mr.lydrc:590
+Elapsed: 0.000s
+"enclosing_check" in: sky130A_mr.lydrc:591
+Elapsed: 1.110s
+"second_edges" in: sky130A_mr.lydrc:591
+Elapsed: 0.000s
+"edges" in: sky130A_mr.lydrc:592
+Elapsed: 0.040s
+"-" in: sky130A_mr.lydrc:592
+Elapsed: 0.150s
+"width_check" in: sky130A_mr.lydrc:592
+Elapsed: 2.050s
+"polygons" in: sky130A_mr.lydrc:592
+Elapsed: 0.060s
+"not_interacting" in: sky130A_mr.lydrc:593
+Elapsed: 0.310s
+"_output" in: sky130A_mr.lydrc:593
+Elapsed: 0.010s
+via2
+"-" in: sky130A_mr.lydrc:607
+Elapsed: 0.070s
+"edges" in: sky130A_mr.lydrc:607
+Elapsed: 0.830s
+"with_length" in: sky130A_mr.lydrc:607
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:607
+Elapsed: 0.000s
+"isolated_check" in: sky130A_mr.lydrc:609
+Elapsed: 0.980s
+"_output" in: sky130A_mr.lydrc:609
+Elapsed: 0.010s
+"enclosing_check" in: sky130A_mr.lydrc:610
+Elapsed: 0.420s
+"_output" in: sky130A_mr.lydrc:610
+Elapsed: 0.000s
+"edges" in: sky130A_mr.lydrc:611
+Elapsed: 0.030s
+"with_length" in: sky130A_mr.lydrc:611
+Elapsed: 0.030s
+"not_interacting" in: sky130A_mr.lydrc:611
+Elapsed: 0.350s
+"enclosing_check" in: sky130A_mr.lydrc:611
+Elapsed: 0.040s
+"_output" in: sky130A_mr.lydrc:611
+Elapsed: 0.010s
+"enclosing_check" in: sky130A_mr.lydrc:612
+Elapsed: 0.450s
+"second_edges" in: sky130A_mr.lydrc:612
+Elapsed: 0.010s
+"edges" in: sky130A_mr.lydrc:613
+Elapsed: 0.030s
+"-" in: sky130A_mr.lydrc:613
+Elapsed: 0.260s
+"width_check" in: sky130A_mr.lydrc:613
+Elapsed: 1.590s
+"polygons" in: sky130A_mr.lydrc:613
+Elapsed: 0.100s
+"not_interacting" in: sky130A_mr.lydrc:614
+Elapsed: 4.220s
+"_output" in: sky130A_mr.lydrc:614
+Elapsed: 0.000s
+m3
+"width_check" in: sky130A_mr.lydrc:626
+Elapsed: 0.050s
+"_output" in: sky130A_mr.lydrc:626
+Elapsed: 0.010s
+"sized" in: sky130A_mr.lydrc:628
+Elapsed: 0.020s
+"sized" in: sky130A_mr.lydrc:628
+Elapsed: 0.010s
+"-" in: sky130A_mr.lydrc:629
+Elapsed: 0.050s
+"space_check" in: sky130A_mr.lydrc:631
+Elapsed: 0.060s
+"_output" in: sky130A_mr.lydrc:631
+Elapsed: 0.000s
+"enclosing_check" in: sky130A_mr.lydrc:639
+Elapsed: 0.380s
+"_output" in: sky130A_mr.lydrc:639
+Elapsed: 0.010s
+via3
+"-" in: sky130A_mr.lydrc:658
+Elapsed: 0.070s
+"edges" in: sky130A_mr.lydrc:658
+Elapsed: 0.800s
+"with_length" in: sky130A_mr.lydrc:658
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:658
+Elapsed: 0.010s
+"&" in: sky130A_mr.lydrc:659
+Elapsed: 0.010s
+"&" in: sky130A_mr.lydrc:659
+Elapsed: 0.000s
+"edges" in: sky130A_mr.lydrc:659
+Elapsed: 0.020s
+"with_length" in: sky130A_mr.lydrc:659
+Elapsed: 0.000s
+"&" in: sky130A_mr.lydrc:659
+Elapsed: 0.010s
+"edges" in: sky130A_mr.lydrc:659
+Elapsed: 0.010s
+"with_length" in: sky130A_mr.lydrc:659
+Elapsed: 0.010s
+"|" in: sky130A_mr.lydrc:659
+Elapsed: 0.000s
+"not_interacting" in: sky130A_mr.lydrc:659
+Elapsed: 0.040s
+"_output" in: sky130A_mr.lydrc:659
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:660
+Elapsed: 0.810s
+"_output" in: sky130A_mr.lydrc:660
+Elapsed: 0.010s
+"enclosing_check" in: sky130A_mr.lydrc:661
+Elapsed: 0.260s
+"_output" in: sky130A_mr.lydrc:661
+Elapsed: 0.010s
+"enclosing_check" in: sky130A_mr.lydrc:662
+Elapsed: 0.310s
+"second_edges" in: sky130A_mr.lydrc:662
+Elapsed: 0.010s
+"edges" in: sky130A_mr.lydrc:663
+Elapsed: 0.020s
+"-" in: sky130A_mr.lydrc:663
+Elapsed: 0.140s
+"width_check" in: sky130A_mr.lydrc:663
+Elapsed: 1.300s
+"polygons" in: sky130A_mr.lydrc:663
+Elapsed: 0.070s
+"not_interacting" in: sky130A_mr.lydrc:664
+Elapsed: 3.390s
+"_output" in: sky130A_mr.lydrc:664
+Elapsed: 0.010s
+m4
+"width_check" in: sky130A_mr.lydrc:676
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:676
+Elapsed: 0.010s
+"sized" in: sky130A_mr.lydrc:678
+Elapsed: 0.010s
+"sized" in: sky130A_mr.lydrc:678
+Elapsed: 0.010s
+"-" in: sky130A_mr.lydrc:679
+Elapsed: 0.030s
+"space_check" in: sky130A_mr.lydrc:681
+Elapsed: 0.050s
+"_output" in: sky130A_mr.lydrc:681
+Elapsed: 0.000s
+"separation_check" in: sky130A_mr.lydrc:683
+Elapsed: 0.040s
+"space_check" in: sky130A_mr.lydrc:683
+Elapsed: 0.030s
+"+" in: sky130A_mr.lydrc:683
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:683
+Elapsed: 0.000s
+"enclosing_check" in: sky130A_mr.lydrc:688
+Elapsed: 0.330s
+"_output" in: sky130A_mr.lydrc:688
+Elapsed: 0.010s
+via4
+"edges" in: sky130A_mr.lydrc:705
+Elapsed: 0.070s
+"with_length" in: sky130A_mr.lydrc:705
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:705
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:706
+Elapsed: 0.040s
+"_output" in: sky130A_mr.lydrc:706
+Elapsed: 0.000s
+"enclosing_check" in: sky130A_mr.lydrc:708
+Elapsed: 0.040s
+"_output" in: sky130A_mr.lydrc:708
+Elapsed: 0.000s
+"-" in: sky130A_mr.lydrc:709
+Elapsed: 0.150s
+"_output" in: sky130A_mr.lydrc:709
+Elapsed: 0.000s
+m5
+"width_check" in: sky130A_mr.lydrc:716
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:716
+Elapsed: 0.010s
+"space_check" in: sky130A_mr.lydrc:718
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:718
+Elapsed: 0.000s
+"enclosing_check" in: sky130A_mr.lydrc:721
+Elapsed: 0.060s
+"_output" in: sky130A_mr.lydrc:721
+Elapsed: 0.010s
+pad
+"isolated_check" in: sky130A_mr.lydrc:751
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:751
+Elapsed: 0.010s
+Writing report database: /mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/signoff/kdrc_results_mr_be.xml ..
+Total run time: 2503.300s
diff --git a/signoff/klayout_drc_mr_fe.log b/signoff/klayout_drc_mr_fe.log
new file mode 100644
index 0000000..f298653
--- /dev/null
+++ b/signoff/klayout_drc_mr_fe.log
@@ -0,0 +1,363 @@
+"_input" in: sky130A_mr.lydrc:88
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:89
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:90
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:91
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:92
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:93
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:94
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:95
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:96
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:97
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:98
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:99
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:100
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:101
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:102
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:103
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:104
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:105
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:106
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:107
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:108
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:110
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:111
+Elapsed: 0.030s
+"_input" in: sky130A_mr.lydrc:113
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:114
+Elapsed: 0.130s
+"_input" in: sky130A_mr.lydrc:116
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:117
+Elapsed: 0.090s
+"_input" in: sky130A_mr.lydrc:119
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:120
+Elapsed: 0.090s
+"_input" in: sky130A_mr.lydrc:122
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:123
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:125
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:127
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:128
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:129
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:130
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:131
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:132
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:133
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:134
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:135
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:136
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:137
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:138
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:139
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:140
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:141
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:142
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:143
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:144
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:145
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:146
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:147
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:148
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:149
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:150
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:151
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:152
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:153
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:154
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:155
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:156
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:157
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:158
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:159
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:160
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:161
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:162
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:163
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:164
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:165
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:166
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:167
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:168
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:169
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:170
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:171
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:172
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:173
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:174
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:175
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:176
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:177
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:178
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:179
+Elapsed: 0.000s
+{{ DRC section }}
+{{ FEOL section }}
+"&" in: sky130A_mr.lydrc:203
+Elapsed: 0.340s
+{{ dnwell }}
+"width_check" in: sky130A_mr.lydrc:207
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:207
+Elapsed: 0.010s
+{{ nwell }}
+"width_check" in: sky130A_mr.lydrc:215
+Elapsed: 0.520s
+"_output" in: sky130A_mr.lydrc:215
+Elapsed: 0.020s
+"isolated_check" in: sky130A_mr.lydrc:216
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:216
+Elapsed: 0.000s
+{{ hvtp }}
+"width_check" in: sky130A_mr.lydrc:235
+Elapsed: 0.490s
+"_output" in: sky130A_mr.lydrc:235
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:236
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:236
+Elapsed: 0.010s
+{{ htvr }}
+"width_check" in: sky130A_mr.lydrc:243
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:243
+Elapsed: 0.000s
+"isolated_check" in: sky130A_mr.lydrc:244
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:244
+Elapsed: 0.000s
+{{ lvtn }}
+"isolated_check" in: sky130A_mr.lydrc:249
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:249
+Elapsed: 0.010s
+{{ ncm }}
+"width_check" in: sky130A_mr.lydrc:261
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:261
+Elapsed: 0.000s
+{{ diff-tap }}
+"+" in: sky130A_mr.lydrc:270
+Elapsed: 0.020s
+"width_check" in: sky130A_mr.lydrc:271
+Elapsed: 0.130s
+"_output" in: sky130A_mr.lydrc:271
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:276
+Elapsed: 0.320s
+"_output" in: sky130A_mr.lydrc:276
+Elapsed: 0.010s
+{{ tunm }}
+"width_check" in: sky130A_mr.lydrc:289
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:289
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:290
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:290
+Elapsed: 0.010s
+{{ poly }}
+"width_check" in: sky130A_mr.lydrc:299
+Elapsed: 0.100s
+"_output" in: sky130A_mr.lydrc:299
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:301
+Elapsed: 0.280s
+"_output" in: sky130A_mr.lydrc:301
+Elapsed: 0.000s
+{{ rpm }}
+"width_check" in: sky130A_mr.lydrc:318
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:318
+Elapsed: 0.000s
+"isolated_check" in: sky130A_mr.lydrc:319
+Elapsed: 0.040s
+"_output" in: sky130A_mr.lydrc:319
+Elapsed: 0.000s
+{{ npc }}
+"width_check" in: sky130A_mr.lydrc:352
+Elapsed: 0.320s
+"_output" in: sky130A_mr.lydrc:352
+Elapsed: 0.020s
+"isolated_check" in: sky130A_mr.lydrc:353
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:353
+Elapsed: 0.010s
+{{ licon }}
+"interacting" in: sky130A_mr.lydrc:374
+Elapsed: 0.060s
+"-" in: sky130A_mr.lydrc:374
+Elapsed: 0.110s
+"edges" in: sky130A_mr.lydrc:374
+Elapsed: 0.230s
+"with_length" in: sky130A_mr.lydrc:374
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:374
+Elapsed: 0.010s
+"interacting" in: sky130A_mr.lydrc:375
+Elapsed: 0.060s
+"&" in: sky130A_mr.lydrc:375
+Elapsed: 0.070s
+"interacting" in: sky130A_mr.lydrc:375
+Elapsed: 0.080s
+"&" in: sky130A_mr.lydrc:375
+Elapsed: 0.080s
+"edges" in: sky130A_mr.lydrc:375
+Elapsed: 0.030s
+"with_length" in: sky130A_mr.lydrc:375
+Elapsed: 0.010s
+"interacting" in: sky130A_mr.lydrc:375
+Elapsed: 0.060s
+"&" in: sky130A_mr.lydrc:375
+Elapsed: 0.070s
+"edges" in: sky130A_mr.lydrc:375
+Elapsed: 0.020s
+"with_length" in: sky130A_mr.lydrc:375
+Elapsed: 0.010s
+"|" in: sky130A_mr.lydrc:375
+Elapsed: 0.010s
+"not_interacting" in: sky130A_mr.lydrc:375
+Elapsed: 0.040s
+"_output" in: sky130A_mr.lydrc:375
+Elapsed: 0.000s
+"|" in: sky130A_mr.lydrc:403
+Elapsed: 0.350s
+"&" in: sky130A_mr.lydrc:403
+Elapsed: 1.880s
+"separation_check" in: sky130A_mr.lydrc:403
+Elapsed: 0.080s
+"_output" in: sky130A_mr.lydrc:403
+Elapsed: 0.000s
+"&" in: sky130A_mr.lydrc:409
+Elapsed: 0.160s
+"edges" in: sky130A_mr.lydrc:409
+Elapsed: 0.020s
+"edges" in: sky130A_mr.lydrc:409
+Elapsed: 0.030s
+"-" in: sky130A_mr.lydrc:409
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:409
+Elapsed: 0.000s
+{{ vpp }}
+{{ capm }}
+"width_check" in: sky130A_mr.lydrc:434
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:434
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:435
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:435
+Elapsed: 0.000s
+"interacting" in: sky130A_mr.lydrc:436
+Elapsed: 0.100s
+"isolated_check" in: sky130A_mr.lydrc:436
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:436
+Elapsed: 0.000s
+"enclosing_check" in: sky130A_mr.lydrc:437
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:437
+Elapsed: 0.010s
+"enclosing_check" in: sky130A_mr.lydrc:438
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:438
+Elapsed: 0.010s
+"separation_check" in: sky130A_mr.lydrc:439
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:439
+Elapsed: 0.010s
+{{ FEOL section }}
+{{ hvi }}
+"width_check" in: sky130A_mr.lydrc:753
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:753
+Elapsed: 0.010s
+{{ hvntm }}
+"width_check" in: sky130A_mr.lydrc:779
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:779
+Elapsed: 0.010s
+"isolated_check" in: sky130A_mr.lydrc:780
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:780
+Elapsed: 0.000s
+Writing report database: /mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/signoff/kdrc_results_mr_fe.xml ..
+Total run time: 8.150s
diff --git a/signoff/klayout_drc_offgrid.log b/signoff/klayout_drc_offgrid.log
new file mode 100644
index 0000000..6e2262c
--- /dev/null
+++ b/signoff/klayout_drc_offgrid.log
@@ -0,0 +1,498 @@
+"_input" in: sky130A_mr.lydrc:88
+Elapsed: 0.030s
+"_input" in: sky130A_mr.lydrc:89
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:90
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:91
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:92
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:93
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:94
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:95
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:96
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:97
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:98
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:99
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:100
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:101
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:102
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:103
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:104
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:105
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:106
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:107
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:108
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:110
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:111
+Elapsed: 0.030s
+"_input" in: sky130A_mr.lydrc:113
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:114
+Elapsed: 0.130s
+"_input" in: sky130A_mr.lydrc:116
+Elapsed: 0.030s
+"_input" in: sky130A_mr.lydrc:117
+Elapsed: 0.090s
+"_input" in: sky130A_mr.lydrc:119
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:120
+Elapsed: 0.090s
+"_input" in: sky130A_mr.lydrc:122
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:123
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:125
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:127
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:128
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:129
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:130
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:131
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:132
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:133
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:134
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:135
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:136
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:137
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:138
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:139
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:140
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:141
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:142
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:143
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:144
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:145
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:146
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:147
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:148
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:149
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:150
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:151
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:152
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:153
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:154
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:155
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:156
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:157
+Elapsed: 0.020s
+"_input" in: sky130A_mr.lydrc:158
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:159
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:160
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:161
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:162
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:163
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:164
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:165
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:166
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:167
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:168
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:169
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:170
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:171
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:172
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:173
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:174
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:175
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:176
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:177
+Elapsed: 0.000s
+"_input" in: sky130A_mr.lydrc:178
+Elapsed: 0.010s
+"_input" in: sky130A_mr.lydrc:179
+Elapsed: 0.000s
+{{ DRC section }}
+{{ OFFGRID-ANGLES section }}
+"grid_check" in: sky130A_mr.lydrc:884
+Elapsed: 0.080s
+"_output" in: sky130A_mr.lydrc:884
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:885
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:885
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:886
+Elapsed: 0.560s
+"_output" in: sky130A_mr.lydrc:886
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:887
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:887
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:888
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:888
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:889
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:889
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:890
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:890
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:891
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:891
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:892
+Elapsed: 0.520s
+"_output" in: sky130A_mr.lydrc:892
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:893
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:893
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:894
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:894
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:895
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:895
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:896
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:896
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:897
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:897
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:898
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:898
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:899
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:899
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:900
+Elapsed: 0.110s
+"_output" in: sky130A_mr.lydrc:900
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:901
+Elapsed: 0.050s
+"_output" in: sky130A_mr.lydrc:901
+Elapsed: 0.010s
+"&" in: sky130A_mr.lydrc:902
+Elapsed: 0.010s
+"-" in: sky130A_mr.lydrc:902
+Elapsed: 0.000s
+"with_angle" in: sky130A_mr.lydrc:902
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:902
+Elapsed: 0.010s
+"&" in: sky130A_mr.lydrc:903
+Elapsed: 0.010s
+"&" in: sky130A_mr.lydrc:903
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:903
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:903
+Elapsed: 0.010s
+"&" in: sky130A_mr.lydrc:904
+Elapsed: 0.000s
+"-" in: sky130A_mr.lydrc:904
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:904
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:904
+Elapsed: 0.010s
+"&" in: sky130A_mr.lydrc:905
+Elapsed: 0.010s
+"&" in: sky130A_mr.lydrc:905
+Elapsed: 0.000s
+"with_angle" in: sky130A_mr.lydrc:905
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:905
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:906
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:906
+Elapsed: 0.000s
+"with_angle" in: sky130A_mr.lydrc:907
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:907
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:908
+Elapsed: 0.120s
+"_output" in: sky130A_mr.lydrc:908
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:909
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:909
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:910
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:910
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:911
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:911
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:912
+Elapsed: 0.340s
+"_output" in: sky130A_mr.lydrc:912
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:913
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:913
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:914
+Elapsed: 0.510s
+"_output" in: sky130A_mr.lydrc:914
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:915
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:915
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:916
+Elapsed: 0.570s
+"_output" in: sky130A_mr.lydrc:916
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:917
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:917
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:918
+Elapsed: 0.240s
+"_output" in: sky130A_mr.lydrc:918
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:919
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:919
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:920
+Elapsed: 1.390s
+"_output" in: sky130A_mr.lydrc:920
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:921
+Elapsed: 0.040s
+"_output" in: sky130A_mr.lydrc:921
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:922
+Elapsed: 6.400s
+"_output" in: sky130A_mr.lydrc:922
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:923
+Elapsed: 0.340s
+"_output" in: sky130A_mr.lydrc:923
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:924
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:924
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:925
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:925
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:926
+Elapsed: 1.310s
+"_output" in: sky130A_mr.lydrc:926
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:927
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:927
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:928
+Elapsed: 1.420s
+"_output" in: sky130A_mr.lydrc:928
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:929
+Elapsed: 0.040s
+"_output" in: sky130A_mr.lydrc:929
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:930
+Elapsed: 0.080s
+"_output" in: sky130A_mr.lydrc:930
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:931
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:931
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:932
+Elapsed: 0.930s
+"_output" in: sky130A_mr.lydrc:932
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:933
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:933
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:934
+Elapsed: 0.070s
+"_output" in: sky130A_mr.lydrc:934
+Elapsed: 0.000s
+"with_angle" in: sky130A_mr.lydrc:935
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:935
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:936
+Elapsed: 0.880s
+"_output" in: sky130A_mr.lydrc:936
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:937
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:937
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:938
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:938
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:939
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:939
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:940
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:940
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:941
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:941
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:942
+Elapsed: 0.080s
+"_output" in: sky130A_mr.lydrc:942
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:943
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:943
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:944
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:944
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:945
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:945
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:946
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:946
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:947
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:947
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:948
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:948
+Elapsed: 0.000s
+"with_angle" in: sky130A_mr.lydrc:949
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:949
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:950
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:950
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:951
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:951
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:952
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:952
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:953
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:953
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:954
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:954
+Elapsed: 0.000s
+"with_angle" in: sky130A_mr.lydrc:955
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:955
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:956
+Elapsed: 0.020s
+"_output" in: sky130A_mr.lydrc:956
+Elapsed: 0.010s
+"with_angle" in: sky130A_mr.lydrc:957
+Elapsed: 0.000s
+"_output" in: sky130A_mr.lydrc:957
+Elapsed: 0.010s
+"grid_check" in: sky130A_mr.lydrc:958
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:958
+Elapsed: 0.000s
+"with_angle" in: sky130A_mr.lydrc:959
+Elapsed: 0.010s
+"_output" in: sky130A_mr.lydrc:959
+Elapsed: 0.000s
+"grid_check" in: sky130A_mr.lydrc:960
+Elapsed: 0.030s
+"_output" in: sky130A_mr.lydrc:960
+Elapsed: 0.010s
+Writing report database: /mnt/shuttles/shuttle/mpw-two/slot-033/digital_pll/signoff/kdrc_results_offgrid.xml ..
+Total run time: 18.850s
diff --git a/signoff/klayout_drc_version b/signoff/klayout_drc_version
new file mode 100644
index 0000000..582f577
--- /dev/null
+++ b/signoff/klayout_drc_version
@@ -0,0 +1 @@
+KLayout 0.26.11
diff --git a/signoff/make_final b/signoff/make_final
index b8e55b2..5c071ad 100644
--- a/signoff/make_final
+++ b/signoff/make_final
@@ -1 +1 @@
-a5ac2e223253a651eb59f6f4041d8c3d6470c942  ./gds/caravel_00020021.gds
+31e356c8f6d05ea893372ccc302c445928318080  ./gds/caravel_00020021.gds
diff --git a/signoff/make_final_oas b/signoff/make_final_oas
index 3da9fea..c7f4d9e 100644
--- a/signoff/make_final_oas
+++ b/signoff/make_final_oas
@@ -1 +1 @@
-6f69d82e5a57105c805e22b960bb0d9ca6722ce8  ./gds/caravel_00020021.oas
+ef84cd06b44addccc8dd75a6c8794fc3564c6ee7  ./gds/caravel_00020021.oas
diff --git a/signoff/pin2drawing.out b/signoff/pin2drawing.out
new file mode 100644
index 0000000..17238c7
--- /dev/null
+++ b/signoff/pin2drawing.out
@@ -0,0 +1,123 @@
+Working on gds/user_analog_project_wrapper.gds
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::setFont: Painter not active
+Warning: Could not create backup file: unable to rename original file 'gds/user_analog_project_wrapper.gds' to backup file
+Warning: output_layout was not provided; will do the modifications in place!
+Hit CTRL-C to cancel...
+Starting...
+Successfully wrote gds/user_analog_project_wrapper.gds
+Warning: QXcbConnection: Could not connect to display :99
+Critical: Could not connect to any X display.
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::setFont: Painter not active
+Warning: Could not create backup file: unable to rename original file 'gds/user_analog_project_wrapper.gds' to backup file
+Warning: output_layout was not provided; will do the modifications in place!
+Hit CTRL-C to cancel...
+Starting...
+Successfully wrote gds/user_analog_project_wrapper.gds
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::setFont: Painter not active
+Warning: Could not create backup file: unable to rename original file 'gds/user_analog_project_wrapper.gds' to backup file
+Warning: output_layout was not provided; will do the modifications in place!
+Hit CTRL-C to cancel...
+Starting...
+Successfully wrote gds/user_analog_project_wrapper.gds
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::setFont: Painter not active
+Warning: Could not create backup file: unable to rename original file 'gds/user_analog_project_wrapper.gds' to backup file
+Warning: output_layout was not provided; will do the modifications in place!
+Hit CTRL-C to cancel...
+Starting...
+Successfully wrote gds/user_analog_project_wrapper.gds
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+libEGL warning: DRI2: failed to create any config
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setFont: Painter not active
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::begin: Paint device returned engine == 0, type: 2
+Warning: QPainter::setPen: Painter not active
+Warning: QPainter::setFont: Painter not active
+Warning: Could not create backup file: unable to rename original file 'gds/user_analog_project_wrapper.gds' to backup file
+Warning: output_layout was not provided; will do the modifications in place!
+Hit CTRL-C to cancel...
+Starting...
+Successfully wrote gds/user_analog_project_wrapper.gds
diff --git a/signoff/tapeout.log b/signoff/tapeout.log
new file mode 100644
index 0000000..7291759
--- /dev/null
+++ b/signoff/tapeout.log
Binary files differ