wrapper with 2 PLL pass LVS
diff --git a/checks/user_analog_project_wrapper.magic.drc b/checks/user_analog_project_wrapper.magic.drc
new file mode 100644
index 0000000..829b9d5
--- /dev/null
+++ b/checks/user_analog_project_wrapper.magic.drc
@@ -0,0 +1,5 @@
+user_analog_project_wrapper
+----------------------------------------
+[INFO]: COUNT: 0
+[INFO]: Should be divided by 3 or 4
+
diff --git a/checks/user_analog_project_wrapper.magic.drc.mag b/checks/user_analog_project_wrapper.magic.drc.mag
new file mode 100644
index 0000000..bc7865b
--- /dev/null
+++ b/checks/user_analog_project_wrapper.magic.drc.mag
@@ -0,0 +1,7 @@
+magic
+tech minimum
+magscale 1 2
+timestamp 0
+<< checkpaint >>
+rect 0 0 1 1
+<< end >>
diff --git a/ext.sh b/ext.sh
new file mode 100755
index 0000000..39a6dd7
--- /dev/null
+++ b/ext.sh
@@ -0,0 +1,33 @@
+#!/bin/bash
+
+read -p "Cellname: " cellname
+cd mag
+
+magic -rcfile magicrc -dnull -noconsole << EOF
+box 0 0 0 0
+load ${cellname}.mag -force
+box -100um -100um 100um 100um
+
+extract all
+ext2sim labels on
+ext2sim
+
+#extresist tolerance 10
+#extresist all 
+
+ext2spice lvs
+ext2spice hierarchy on
+ext2spice subcircuit on
+ext2spice scale off
+ext2spice -o extractions/${cellname}_lvs.spice
+
+ext2spice cthresh 0.01
+ext2spice -o extractions/${cellname}_pex_c.spice
+
+#ext2spice extresist on
+ext2spice -o extractions/${cellname}_pex_rc.spice
+EOF
+
+rm *.ext
+rm *.sim
+rm *.nodes
diff --git a/ext_port.sh b/ext_port.sh
new file mode 100755
index 0000000..d15fad3
--- /dev/null
+++ b/ext_port.sh
@@ -0,0 +1,34 @@
+#!/bin/bash
+
+read -p "Cellname: " cellname
+cd mag
+
+magic -rcfile magicrc -dnull -noconsole << EOF
+box 0 0 0 0
+load ${cellname}.mag -force
+box -100um -100um 100um 100um
+port makeall
+
+extract all
+ext2sim labels on
+ext2sim
+
+#extresist tolerance 10
+#extresist all 
+
+ext2spice lvs
+ext2spice hierarchy on
+ext2spice subcircuit on
+ext2spice scale off
+ext2spice -o extractions/${cellname}_lvs_port.spice
+
+ext2spice cthresh 0.01
+ext2spice -o extractions/${cellname}_pex_c_port.spice
+
+#ext2spice extresist on
+ext2spice -o extractions/${cellname}_pex_rc_port.spice
+EOF
+
+rm *.ext
+rm *.sim
+rm *.nodes
diff --git a/gds/.magicrc b/gds/.magicrc
new file mode 100644
index 0000000..67cdbf8
--- /dev/null
+++ b/gds/.magicrc
@@ -0,0 +1,96 @@
+# SPDX-FileCopyrightText: 2020 Efabless Corporation
+#
+# Licensed under the Apache License, Version 2.0 (the "License");
+# you may not use this file except in compliance with the License.
+# You may obtain a copy of the License at
+#
+#      http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing, software
+# distributed under the License is distributed on an "AS IS" BASIS,
+# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+# See the License for the specific language governing permissions and
+# limitations under the License.
+#
+# SPDX-License-Identifier: Apache-2.0
+
+puts stdout "Sourcing design .magicrc for technology sky130A ..."
+
+# Put grid on 0.005 pitch.  This is important, as some commands don't
+# rescale the grid automatically (such as lef read?).
+
+set scalefac [tech lambda]
+if {[lindex $scalefac 1] < 2} {
+    scalegrid 1 2
+}
+
+drc off
+drc euclidean on
+
+# Allow override of PDK path from environment variable PDKPATH
+if {[catch {set PDKPATH $env(PDKPATH)}]} {
+    set PDKPATH "$::env(PDK_ROOT)/sky130A"
+}
+
+# loading technology
+tech load $PDKPATH/libs.tech/magic/sky130A.tech
+
+# load device generator
+source $PDKPATH/libs.tech/magic/sky130A.tcl
+
+# load bind keys (optional)
+# source $PDKPATH/libs.tech/magic/sky130A-BindKeys
+
+# set units to lambda grid 
+snap lambda
+
+# set sky130 standard power, ground, and substrate names
+set VDD VPWR
+set GND VGND
+set SUB VSUBS
+
+# Allow override of type of magic library views used, "mag" or "maglef",
+# from environment variable MAGTYPE
+
+if {[catch {set MAGTYPE $env(MAGTYPE)}]} {
+   set MAGTYPE maglef
+}
+
+	path search [concat "../$MAGTYPE" [path search]]
+
+
+# add path to reference cells
+if {[file isdir ${PDKPATH}/libs.ref/${MAGTYPE}]} {
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_pr
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_io
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hd
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hdll
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hs
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_hvl
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_lp
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ls
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_fd_sc_ms
+    addpath ${PDKPATH}/libs.ref/${MAGTYPE}/sky130_osu_sc
+    addpath ${PDKPATH}/libs.ref/mag/sky130_ml_xx_hd
+} else {
+    addpath ${PDKPATH}/libs.ref/sky130_fd_pr/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_io/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hd/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hdll/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hs/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_hvl/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_lp/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ls/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_fd_sc_ms/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_osu_sc/${MAGTYPE}
+    addpath ${PDKPATH}/libs.ref/sky130_ml_xx_hd/mag
+}
+
+addpath hexdigits
+
+# add path to GDS cells
+
+# add path to IP from catalog.  This procedure defined in the PDK script.
+catch {magic::query_mylib_ip}
+# add path to local IP from user design space.  Defined in the PDK script.
+catch {magic::query_my_projects}
diff --git a/gds/sky130_fd_sc_hs__and2_1.gds b/gds/sky130_fd_sc_hs__and2_1.gds
deleted file mode 100644
index f49f3a1..0000000
--- a/gds/sky130_fd_sc_hs__and2_1.gds
+++ /dev/null
Binary files differ
diff --git a/gds/sky130_fd_sc_hs__or2_1.gds b/gds/sky130_fd_sc_hs__or2_1.gds
deleted file mode 100644
index c3f6de0..0000000
--- a/gds/sky130_fd_sc_hs__or2_1.gds
+++ /dev/null
Binary files differ
diff --git a/gds/sky130_fd_sc_hs__xor2_1.gds b/gds/sky130_fd_sc_hs__xor2_1.gds
deleted file mode 100644
index 08fec1a..0000000
--- a/gds/sky130_fd_sc_hs__xor2_1.gds
+++ /dev/null
Binary files differ
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
new file mode 100644
index 0000000..46d933e
--- /dev/null
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/lvs.sh b/lvs.sh
new file mode 100755
index 0000000..c4d1f9c
--- /dev/null
+++ b/lvs.sh
@@ -0,0 +1,6 @@
+#!/bin/bash
+
+read -p "Cellname: " cellname
+
+netgen lvs mag/extractions/${cellname}_lvs.spice xschem/simulations/${cellname}.spice ~/skywater/pdk/skywater130/sky130A/libs.tech/netgen/sky130A_setup.tcl mag/extractions/lvs_${cellname}.out
+
diff --git a/mag/DFlipFlop.mag b/mag/DFlipFlop.mag
index 836e57a..23937ec 100644
--- a/mag/DFlipFlop.mag
+++ b/mag/DFlipFlop.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623898709
+timestamp 1624049879
 << nwell >>
 rect 559 2292 1181 3068
 rect 559 0 1181 776
@@ -110,15 +110,15 @@
 rect 219 1320 229 1432
 rect 153 1315 229 1320
 use clock_inverter  clock_inverter_0
-timestamp 1623799048
+timestamp 1624049879
 transform 1 0 -1244 0 1 0
 box 0 0 1244 3068
 use latch_diff  latch_diff_1
-timestamp 1623798783
+timestamp 1624049879
 transform -1 0 1707 0 -1 2352
 box -33 -716 1147 2352
 use latch_diff  latch_diff_0
-timestamp 1623798783
+timestamp 1624049879
 transform 1 0 33 0 1 716
 box -33 -716 1147 2352
 << labels >>
diff --git a/mag/PFD.mag b/mag/PFD.mag
index 88574a2..8461b8c 100644
--- a/mag/PFD.mag
+++ b/mag/PFD.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623767380
+timestamp 1624049879
 << nwell >>
 rect 2872 706 3790 1304
 rect 3241 700 3768 706
@@ -79,15 +79,15 @@
 rect 1472 -1268 1482 -1146
 rect 1390 -1273 1482 -1268
 use dff_pfd  dff_pfd_1
-timestamp 1623456247
+timestamp 1624049879
 transform 1 0 0 0 -1 0
 box 0 0 2872 1304
 use dff_pfd  dff_pfd_0
-timestamp 1623456247
+timestamp 1624049879
 transform 1 0 0 0 1 0
 box 0 0 2872 1304
 use and_pfd  and_pfd_0
-timestamp 1623541727
+timestamp 1624049879
 transform -1 0 3790 0 1 -598
 box 0 0 918 1304
 << labels >>
diff --git a/mag/and_pfd.mag b/mag/and_pfd.mag
index 2302111..461011c 100644
--- a/mag/and_pfd.mag
+++ b/mag/and_pfd.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623541727
+timestamp 1624049879
 << nwell >>
 rect 0 1216 918 1304
 rect 0 598 96 1216
@@ -115,19 +115,19 @@
 rect 0 30 918 36
 rect 884 28 918 30
 use sky130_fd_pr__pfet_01v8_7T83YG  sky130_fd_pr__pfet_01v8_7T83YG_0
-timestamp 1623450397
+timestamp 1624049879
 transform 1 0 359 0 1 907
 box -263 -309 263 309
 use sky130_fd_pr__nfet_01v8_ZCYAJJ  sky130_fd_pr__nfet_01v8_ZCYAJJ_0
-timestamp 1623449341
+timestamp 1624049879
 transform 1 0 359 0 1 343
 box -359 -255 359 255
 use sky130_fd_pr__nfet_01v8_ZXAV3F  sky130_fd_pr__nfet_01v8_ZXAV3F_0
-timestamp 1623449341
+timestamp 1624049879
 transform 1 0 707 0 1 343
 box -211 -255 211 255
 use sky130_fd_pr__pfet_01v8_4F7GBC  sky130_fd_pr__pfet_01v8_4F7GBC_0
-timestamp 1623450719
+timestamp 1624049879
 transform 1 0 707 0 1 907
 box -211 -309 211 309
 << labels >>
diff --git a/mag/bias.mag b/mag/bias.mag
index 123116e..1300fbd 100644
--- a/mag/bias.mag
+++ b/mag/bias.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623869799
+timestamp 1624049879
 << metal1 >>
 rect -53 2101 44316 2168
 rect 20168 984 24096 1056
@@ -30,47 +30,47 @@
 rect 36316 -412 40215 -273
 rect 40350 -412 44249 -273
 use sky130_fd_pr__pfet_01v8_lvt_8P223X  sky130_fd_pr__pfet_01v8_lvt_8P223X_1
-timestamp 1623863898
+timestamp 1624049879
 transform -1 0 5997 0 1 1042
 box -2018 -1454 2017 1196
 use sky130_fd_pr__pfet_01v8_lvt_8P223X  sky130_fd_pr__pfet_01v8_lvt_8P223X_0
-timestamp 1623863898
+timestamp 1624049879
 transform 1 0 1964 0 1 1042
 box -2018 -1454 2017 1196
 use sky130_fd_pr__pfet_01v8_lvt_8P223X  sky130_fd_pr__pfet_01v8_lvt_8P223X_2
-timestamp 1623863898
+timestamp 1624049879
 transform -1 0 10031 0 1 1042
 box -2018 -1454 2017 1196
 use sky130_fd_pr__pfet_01v8_lvt_8P223X  sky130_fd_pr__pfet_01v8_lvt_8P223X_3
-timestamp 1623863898
+timestamp 1624049879
 transform -1 0 14064 0 1 1042
 box -2018 -1454 2017 1196
 use sky130_fd_pr__pfet_01v8_lvt_8P223X  sky130_fd_pr__pfet_01v8_lvt_8P223X_4
-timestamp 1623863898
+timestamp 1624049879
 transform -1 0 18098 0 1 1042
 box -2018 -1454 2017 1196
 use sky130_fd_pr__pfet_01v8_lvt_8P223X  sky130_fd_pr__pfet_01v8_lvt_8P223X_5
-timestamp 1623863898
+timestamp 1624049879
 transform 1 0 22132 0 1 1042
 box -2018 -1454 2017 1196
 use sky130_fd_pr__pfet_01v8_lvt_8P223X  sky130_fd_pr__pfet_01v8_lvt_8P223X_6
-timestamp 1623863898
+timestamp 1624049879
 transform -1 0 26163 0 1 1042
 box -2018 -1454 2017 1196
 use sky130_fd_pr__pfet_01v8_lvt_8P223X  sky130_fd_pr__pfet_01v8_lvt_8P223X_7
-timestamp 1623863898
+timestamp 1624049879
 transform -1 0 30197 0 1 1042
 box -2018 -1454 2017 1196
 use sky130_fd_pr__pfet_01v8_lvt_8P223X  sky130_fd_pr__pfet_01v8_lvt_8P223X_8
-timestamp 1623863898
+timestamp 1624049879
 transform -1 0 34231 0 1 1042
 box -2018 -1454 2017 1196
 use sky130_fd_pr__pfet_01v8_lvt_8P223X  sky130_fd_pr__pfet_01v8_lvt_8P223X_9
-timestamp 1623863898
+timestamp 1624049879
 transform -1 0 38265 0 1 1042
 box -2018 -1454 2017 1196
 use sky130_fd_pr__pfet_01v8_lvt_8P223X  sky130_fd_pr__pfet_01v8_lvt_8P223X_10
-timestamp 1623863898
+timestamp 1624049879
 transform -1 0 42299 0 1 1042
 box -2018 -1454 2017 1196
 << labels >>
diff --git a/mag/buffer_salida.mag b/mag/buffer_salida.mag
index 8b25723..fc84c3d 100644
--- a/mag/buffer_salida.mag
+++ b/mag/buffer_salida.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624029152
+timestamp 1624049879
 << nwell >>
 rect -63 88 28718 1568
 rect -63 -2 28622 88
@@ -80,587 +80,587 @@
 rect 28658 -1107 28718 -1017
 rect -63 -1113 28718 -1107
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_0
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 257 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_0
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 257 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_1
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 879 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_2
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 1263 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_1
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 879 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_2
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 1263 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_3
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 1647 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_3
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 1647 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_4
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 2031 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_4
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 2031 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_5
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 2415 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_6
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 2799 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_5
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 2415 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_6
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 2799 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_7
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 3183 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_7
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 3183 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_8
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 3567 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_8
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 3567 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_9
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 4190 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_9
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 4190 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_10
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 4574 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_13
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 4574 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_12
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 5342 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_11
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 4958 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_12
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 4958 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_11
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 5342 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_13
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 5726 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_10
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 5726 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_14
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 6110 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_16
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 6110 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_16
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 6878 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_15
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 6494 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_15
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 6494 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_14
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 6878 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_17
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 7262 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_17
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 7262 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_18
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 7646 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_20
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 7646 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_19
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 8030 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_20
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 8414 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_19
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 8030 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_18
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 8414 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_21
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 8798 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_24
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 8798 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_22
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 9182 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_23
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 9182 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_23
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 9566 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_24
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 9950 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_22
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 9566 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_21
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 9950 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_25
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 10334 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_29
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 10334 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_26
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 10718 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_28
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 10718 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_27
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 11102 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_28
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 11486 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_27
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 11102 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_26
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 11486 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_29
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 11870 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_25
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 11870 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_30
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 12254 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_32
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 12254 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_31
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 12638 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_32
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 13022 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_31
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 12638 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_30
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 13022 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_33
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 13406 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_33
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 13406 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_34
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 13790 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_36
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 13790 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_35
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 14174 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_36
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 14558 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_35
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 14174 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_34
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 14558 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_37
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 14942 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_40
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 14942 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_38
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 15326 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_39
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 15326 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_39
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 15710 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_40
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 16094 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_38
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 15710 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_37
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 16094 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_41
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 16478 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_45
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 16478 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_42
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 16862 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_43
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 17246 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_44
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 16862 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_43
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 17246 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_44
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 17630 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_42
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 17630 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_45
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 18014 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_41
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 18014 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_46
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 18398 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_47
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 18782 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_48
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 18398 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_47
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 18782 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_48
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 19166 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_46
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 19166 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_49
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 19550 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_49
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 19550 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_50
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 19934 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_51
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 20318 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_52
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 19934 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_51
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 20318 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_52
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 20702 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_50
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 20702 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_53
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 21086 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_56
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 21086 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_54
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 21470 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_55
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 21854 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_55
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 21470 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_54
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 21854 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_56
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 22238 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_53
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 22238 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_57
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 22622 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_61
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 22622 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_59
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 23390 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_58
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 23006 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_60
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 23006 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_59
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 23390 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_60
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 23774 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_58
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 23774 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_61
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 24158 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_57
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 24158 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_63
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 24926 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_62
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 24542 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_64
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 24542 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_63
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 24926 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_64
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 25310 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_62
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 25310 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_65
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 25694 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_65
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 25694 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_67
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 26462 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_66
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 26078 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_68
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 26078 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_67
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 26462 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_68
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 26846 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_66
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 26846 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_69
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 27230 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_72
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 27230 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_71
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 27998 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_70
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 27614 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_71
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 27614 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_70
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 27998 0 1 700
 box -257 -777 257 744
 use sky130_fd_pr__nfet_01v8_T69Y3A  sky130_fd_pr__nfet_01v8_T69Y3A_72
-timestamp 1623972527
+timestamp 1624049879
 transform -1 0 28382 0 1 -573
 box -257 -425 257 499
 use sky130_fd_pr__pfet_01v8_58ZKDE  sky130_fd_pr__pfet_01v8_58ZKDE_69
-timestamp 1623972527
+timestamp 1624049879
 transform 1 0 28382 0 1 700
 box -257 -777 257 744
 << labels >>
diff --git a/mag/cap1_loop_filter.mag b/mag/cap1_loop_filter.mag
index b8f8439..53d528a 100644
--- a/mag/cap1_loop_filter.mag
+++ b/mag/cap1_loop_filter.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624018159
+timestamp 1624049879
 << metal3 >>
 rect -42552 -37389 -15977 -16050
 rect -42552 -37390 -35133 -37389
@@ -29,7 +29,7 @@
 rect -24497 -21111 -23497 -15842
 rect -19179 -21111 -18179 -15842
 use sky130_fd_pr__cap_mim_m3_1_MACBVW  sky130_fd_pr__cap_mim_m3_1_MACBVW_0
-timestamp 1624018159
+timestamp 1624049879
 transform 1 0 -29264 0 1 -29250
 box -13288 -13200 13287 13200
 << labels >>
diff --git a/mag/cap2_loop_filter.mag b/mag/cap2_loop_filter.mag
index 4254621..54b2605 100644
--- a/mag/cap2_loop_filter.mag
+++ b/mag/cap2_loop_filter.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624021628
+timestamp 1624049879
 << metal3 >>
 rect -8638 -8650 4299 4250
 rect -7038 -8892 -6038 -8650
@@ -26,7 +26,7 @@
 rect -2719 -8650 -1719 -7000
 rect 1600 -8650 2600 -7000
 use sky130_fd_pr__cap_mim_m3_1_W3JTNJ  sky130_fd_pr__cap_mim_m3_1_W3JTNJ_0
-timestamp 1624019461
+timestamp 1624049879
 transform 1 0 -2169 0 1 -2200
 box -6469 -6450 6468 6450
 << labels >>
diff --git a/mag/cap_vco.mag b/mag/cap_vco.mag
index ade2f44..52e369f 100644
--- a/mag/cap_vco.mag
+++ b/mag/cap_vco.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1623247475
+timestamp 1624049879
 << metal1 >>
 rect 317 231 357 257
 rect 383 231 423 257
diff --git a/mag/charge_pump.mag b/mag/charge_pump.mag
index df5cac7..deb39e8 100644
--- a/mag/charge_pump.mag
+++ b/mag/charge_pump.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623940058
+timestamp 1624049879
 << isosubstrate >>
 rect 17 2892 7722 2988
 << nwell >>
@@ -528,39 +528,39 @@
 rect 7389 222 7529 348
 rect 5225 212 7581 222
 use sky130_fd_pr__nfet_01v8_8GRULZ  sky130_fd_pr__nfet_01v8_8GRULZ_0
-timestamp 1623774805
+timestamp 1624049879
 transform 1 0 4691 0 1 742
 box -1957 -254 1957 254
 use sky130_fd_pr__nfet_01v8_MUHGM9  sky130_fd_pr__nfet_01v8_MUHGM9_0
-timestamp 1623774805
+timestamp 1624049879
 transform 1 0 3861 0 1 285
 box -1127 -285 1127 285
 use sky130_fd_pr__nfet_01v8_YCGG98  sky130_fd_pr__nfet_01v8_YCGG98_0
-timestamp 1623774805
+timestamp 1624049879
 transform 1 0 6355 0 1 285
 box -1367 -285 1367 285
 use sky130_fd_pr__pfet_01v8_4ML9WA  sky130_fd_pr__pfet_01v8_4ML9WA_0
-timestamp 1623774805
+timestamp 1624049879
 transform 1 0 5228 0 1 1630
 box -2457 -634 2457 634
 use sky130_fd_pr__nfet_01v8_YCGG98  sky130_fd_pr__nfet_01v8_YCGG98_1
-timestamp 1623774805
+timestamp 1624049879
 transform -1 0 1367 0 1 285
 box -1367 -285 1367 285
 use sky130_fd_pr__nfet_01v8_YCGG98  sky130_fd_pr__nfet_01v8_YCGG98_2
-timestamp 1623774805
+timestamp 1624049879
 transform -1 0 1367 0 -1 711
 box -1367 -285 1367 285
 use sky130_fd_pr__pfet_01v8_ND88ZC  sky130_fd_pr__pfet_01v8_ND88ZC_1
-timestamp 1623774805
+timestamp 1624049879
 transform -1 0 1367 0 1 2523
 box -1367 -369 1367 369
 use sky130_fd_pr__pfet_01v8_ND88ZC  sky130_fd_pr__pfet_01v8_ND88ZC_0
-timestamp 1623774805
+timestamp 1624049879
 transform 1 0 6355 0 1 2523
 box -1367 -369 1367 369
 use sky130_fd_pr__pfet_01v8_NKZXKB  sky130_fd_pr__pfet_01v8_NKZXKB_0
-timestamp 1623774805
+timestamp 1624049879
 transform 1 0 3861 0 1 2523
 box -1127 -369 1127 369
 << labels >>
diff --git a/mag/clock_inverter.mag b/mag/clock_inverter.mag
index 84291be..43a94a8 100644
--- a/mag/clock_inverter.mag
+++ b/mag/clock_inverter.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623799048
+timestamp 1624049879
 << metal1 >>
 rect 520 2998 530 3028
 rect 0 2944 530 2998
@@ -64,20 +64,20 @@
 rect 520 40 530 154
 rect 714 40 724 154
 rect 520 35 724 40
-use inverter_cp_x1  inverter_cp_x1_1 
-timestamp 1623798692
+use inverter_cp_x1  inverter_cp_x1_1
+timestamp 1624049879
 transform 1 0 0 0 1 2292
 box 0 -758 622 776
 use inverter_cp_x1  inverter_cp_x1_2
-timestamp 1623798692
+timestamp 1624049879
 transform 1 0 622 0 1 2292
 box 0 -758 622 776
 use inverter_cp_x1  inverter_cp_x1_0
-timestamp 1623798692
+timestamp 1624049879
 transform 1 0 0 0 -1 776
 box 0 -758 622 776
 use trans_gate  trans_gate_0
-timestamp 1623610677
+timestamp 1624049879
 transform 1 0 675 0 -1 723
 box -53 -811 569 723
 << labels >>
diff --git a/mag/csvco_branch.mag b/mag/csvco_branch.mag
index 7fceea7..2189a1f 100644
--- a/mag/csvco_branch.mag
+++ b/mag/csvco_branch.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623248172
+timestamp 1624049879
 << nwell >>
 rect -363 1865 931 1954
 rect -363 1858 911 1865
@@ -235,23 +235,23 @@
 rect 861 -141 871 -85
 rect 795 -146 871 -141
 use cap_vco  cap_vco_0
-timestamp 1623247475
+timestamp 1624049879
 transform 1 0 5 0 1 528
 box 554 -6 926 514
 use inverter_csvco  inverter_csvco_0
-timestamp 1623162837
+timestamp 1624049879
 transform 1 0 68 0 1 387
 box 0 -597 432 757
 use sky130_fd_pr__pfet_01v8_8DL6ZL  sky130_fd_pr__pfet_01v8_8DL6ZL_0
-timestamp 1622843784
+timestamp 1624049879
 transform -1 0 284 0 -1 1496
 box -647 -369 647 369
 use sky130_fd_pr__nfet_01v8_7H8F5S  sky130_fd_pr__nfet_01v8_7H8F5S_0
-timestamp 1622843784
+timestamp 1624049879
 transform 1 0 284 0 -1 -553
 box -647 -360 647 360
 use sky130_fd_pr__nfet_01v8_EDT3AT  sky130_fd_pr__nfet_01v8_EDT3AT_0
-timestamp 1623244079
+timestamp 1624049879
 transform 1 0 711 0 1 100
 box -211 -221 211 221
 << labels >>
diff --git a/mag/dff_pfd.mag b/mag/dff_pfd.mag
index 2cd12a0..f239682 100644
--- a/mag/dff_pfd.mag
+++ b/mag/dff_pfd.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623456247
+timestamp 1624049879
 << metal1 >>
 rect 0 1180 2872 1234
 rect 0 652 210 718
@@ -64,19 +64,19 @@
 rect 2159 436 2211 446
 rect 2159 322 2211 332
 use nor_pfd  nor_pfd_0
-timestamp 1623456049
+timestamp 1624049879
 transform 1 0 235 0 1 -468
 box -235 468 483 1772
 use nor_pfd  nor_pfd_1
-timestamp 1623456049
+timestamp 1624049879
 transform 1 0 953 0 1 -468
 box -235 468 483 1772
 use nor_pfd  nor_pfd_2
-timestamp 1623456049
+timestamp 1624049879
 transform 1 0 1671 0 1 -468
 box -235 468 483 1772
 use nor_pfd  nor_pfd_3
-timestamp 1623456049
+timestamp 1624049879
 transform 1 0 2389 0 1 -468
 box -235 468 483 1772
 << labels >>
diff --git a/mag/div_by_2.mag b/mag/div_by_2.mag
index f0ce90a..3a1029b 100644
--- a/mag/div_by_2.mag
+++ b/mag/div_by_2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623948030
+timestamp 1624049879
 << nwell >>
 rect 2984 2989 4228 3068
 rect 3203 118 4219 142
@@ -168,27 +168,27 @@
 rect 2082 743 2148 744
 rect -141 711 -75 712
 use DFlipFlop  DFlipFlop_0
-timestamp 1623898709
+timestamp 1624049879
 transform 1 0 1244 0 -1 3068
 box -1244 0 1740 3068
 use clock_inverter  clock_inverter_0
-timestamp 1623799048
+timestamp 1624049879
 transform 1 0 -1244 0 1 0
 box 0 0 1244 3068
 use inverter_min_x2  inverter_min_x2_0
-timestamp 1623898709
+timestamp 1624049879
 transform 1 0 3037 0 -1 723
 box -53 -615 473 655
 use inverter_min_x2  inverter_min_x2_1
-timestamp 1623898709
+timestamp 1624049879
 transform 1 0 3037 0 1 2345
 box -53 -615 473 655
 use inverter_min_x4  inverter_min_x4_0
-timestamp 1623895985
+timestamp 1624049879
 transform 1 0 3563 0 1 2346
 box -53 -616 665 643
 use inverter_min_x4  inverter_min_x4_1
-timestamp 1623895985
+timestamp 1624049879
 transform 1 0 3563 0 -1 723
 box -53 -616 665 643
 << labels >>
diff --git a/mag/div_by_5.mag b/mag/div_by_5.mag
index 37d560d..0443b11 100644
--- a/mag/div_by_5.mag
+++ b/mag/div_by_5.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623948030
+timestamp 1624049879
 << nwell >>
 rect -556 2925 0 3068
 rect -556 2664 57 2925
@@ -318,35 +318,35 @@
 rect 12583 884 12584 948
 rect 1990 883 12584 884
 use DFlipFlop  DFlipFlop_3
-timestamp 1623898709
+timestamp 1624049879
 transform 1 0 11596 0 -1 3068
 box -1244 0 1740 3068
 use DFlipFlop  DFlipFlop_1
-timestamp 1623898709
+timestamp 1624049879
 transform 1 0 4784 0 1 0
 box -1244 0 1740 3068
 use DFlipFlop  DFlipFlop_2
-timestamp 1623898709
+timestamp 1624049879
 transform 1 0 8612 0 1 0
 box -1244 0 1740 3068
 use DFlipFlop  DFlipFlop_0
-timestamp 1623898709
+timestamp 1624049879
 transform 1 0 1244 0 1 0
 box -1244 0 1740 3068
 use sky130_fd_sc_hs__or2_1  sky130_fd_sc_hs__or2_1_0
-timestamp 1622592543
+timestamp 1624049879
 transform 1 0 13374 0 1 1960
 box -38 -49 518 715
 use sky130_fd_sc_hs__and2_1  sky130_fd_sc_hs__and2_1_0
-timestamp 1622592543
+timestamp 1624049879
 transform 1 0 -518 0 1 1960
 box -38 -49 518 715
 use sky130_fd_sc_hs__xor2_1  sky130_fd_sc_hs__xor2_1_0
-timestamp 1622592543
+timestamp 1624049879
 transform -1 0 7330 0 1 1960
 box -38 -49 806 715
 use sky130_fd_sc_hs__and2_1  sky130_fd_sc_hs__and2_1_1
-timestamp 1622592543
+timestamp 1624049879
 transform 1 0 3022 0 -1 1108
 box -38 -49 518 715
 << labels >>
diff --git a/gds/user_project_analog_wrapper.gds b/mag/example.gds
similarity index 88%
rename from gds/user_project_analog_wrapper.gds
rename to mag/example.gds
index 92bb416..6f69f04 100644
--- a/gds/user_project_analog_wrapper.gds
+++ b/mag/example.gds
Binary files differ
diff --git a/mag/extractions/top_pll_v1_lvs.spice b/mag/extractions/top_pll_v1_lvs.spice
new file mode 100644
index 0000000..a2768de
--- /dev/null
+++ b/mag/extractions/top_pll_v1_lvs.spice
@@ -0,0 +1,783 @@
+* NGSPICE file created from top_pll_v1.ext - technology: sky130A
+
+.subckt sky130_fd_pr__cap_mim_m3_1_MACBVW VSUBS m3_n2650_n13200# m3_n7969_n2600# m3_7988_8000#
++ m3_2669_n7900# m3_n13288_n2600# m3_n2650_2700# m3_2669_2700# m3_n13288_n13200# m3_n7969_n13200#
++ m3_n13288_8000# m3_7988_2700# m3_n2650_n7900# m3_7988_n7900# m3_2669_n13200# m3_n7969_8000#
++ m3_n13288_2700# m3_n7969_n7900# m3_n13288_n7900# m3_2669_n2600# m3_n7969_2700# m3_7988_n13200#
++ c1_n13188_n13100# m3_7988_n2600# m3_n2650_n2600# m3_n2650_8000# m3_2669_8000#
+X0 c1_n13188_n13100# m3_2669_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X1 c1_n13188_n13100# m3_n2650_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X2 c1_n13188_n13100# m3_2669_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X3 c1_n13188_n13100# m3_n13288_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X4 c1_n13188_n13100# m3_n7969_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X5 c1_n13188_n13100# m3_n13288_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X6 c1_n13188_n13100# m3_2669_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X7 c1_n13188_n13100# m3_7988_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X8 c1_n13188_n13100# m3_2669_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X9 c1_n13188_n13100# m3_7988_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X10 c1_n13188_n13100# m3_n7969_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X11 c1_n13188_n13100# m3_7988_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X12 c1_n13188_n13100# m3_n7969_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X13 c1_n13188_n13100# m3_7988_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X14 c1_n13188_n13100# m3_n13288_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X15 c1_n13188_n13100# m3_n7969_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X16 c1_n13188_n13100# m3_n2650_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X17 c1_n13188_n13100# m3_n2650_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X18 c1_n13188_n13100# m3_n2650_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X19 c1_n13188_n13100# m3_7988_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X20 c1_n13188_n13100# m3_n13288_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X21 c1_n13188_n13100# m3_n13288_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X22 c1_n13188_n13100# m3_n7969_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X23 c1_n13188_n13100# m3_n2650_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X24 c1_n13188_n13100# m3_2669_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+.ends
+
+.subckt cap1_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_MACBVW_0 VSUBS out out out out out out out out out out
++ out out out out out out out out out out out in out out out out sky130_fd_pr__cap_mim_m3_1_MACBVW
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_W3JTNJ VSUBS m3_n6469_n2100# c1_n6369_n6300# m3_2169_n6400#
++ m3_n2150_n6400# c1_2269_n6300# m3_n6469_2200# m3_n2150_n2100# c1_n2050_n6300# m3_n2150_2200#
++ m3_n6469_n6400#
+X0 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X1 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X2 c1_n2050_n6300# m3_n2150_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X3 c1_n6369_n6300# m3_n6469_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X4 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X5 c1_n6369_n6300# m3_n6469_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X6 c1_n2050_n6300# m3_n2150_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X7 c1_n2050_n6300# m3_n2150_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X8 c1_n6369_n6300# m3_n6469_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+.ends
+
+.subckt cap2_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_W3JTNJ_0 VSUBS out in out out in out out in out out sky130_fd_pr__cap_mim_m3_1_W3JTNJ
+.ends
+
+.subckt sky130_fd_pr__res_high_po_5p73_X44RQA a_n573_2292# w_n739_n2890# a_n573_n2724#
+X0 a_n573_n2724# a_n573_2292# w_n739_n2890# sky130_fd_pr__res_high_po_5p73 l=2.292e+07u
+.ends
+
+.subckt res_loop_filter vss out in
+Xsky130_fd_pr__res_high_po_5p73_X44RQA_0 in vss out sky130_fd_pr__res_high_po_5p73_X44RQA
+.ends
+
+.subckt loop_filter vc_pex in vss
+Xcap1_loop_filter_0 vss vc_pex vss cap1_loop_filter
+Xcap2_loop_filter_0 vss in vss cap2_loop_filter
+Xres_loop_filter_0 vss res_loop_filter_2/out in res_loop_filter
+Xres_loop_filter_1 vss res_loop_filter_2/out vc_pex res_loop_filter
+Xres_loop_filter_2 vss res_loop_filter_2/out vc_pex res_loop_filter
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4ML9WA VSUBS a_429_n486# w_n2457_n634# a_887_n486#
++ a_n29_n486# a_1345_n486# a_n2261_n512# a_1803_n486# a_n487_n486# a_n945_n486# a_n2319_n486#
++ a_n1403_n486# a_2261_n486# a_n1861_n486#
+X0 a_2261_n486# a_n2261_n512# a_1803_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X1 a_n945_n486# a_n2261_n512# a_n1403_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X2 a_429_n486# a_n2261_n512# a_n29_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X3 a_1803_n486# a_n2261_n512# a_1345_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X4 a_887_n486# a_n2261_n512# a_429_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X5 a_n487_n486# a_n2261_n512# a_n945_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X6 a_n1403_n486# a_n2261_n512# a_n1861_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X7 a_n1861_n486# a_n2261_n512# a_n2319_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X8 a_n29_n486# a_n2261_n512# a_n487_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X9 a_1345_n486# a_n2261_n512# a_887_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_YCGG98 a_n1041_n75# a_n561_n75# a_1167_n75# a_303_n75#
++ a_687_n75# a_n849_n75# a_n369_n75# a_975_n75# a_111_n75# a_495_n75# a_n1137_n75#
++ a_n657_n75# a_n177_n75# a_783_n75# a_n945_n75# a_n465_n75# a_207_n75# a_1071_n75#
++ a_591_n75# a_15_n75# a_n753_n75# w_n1367_n285# a_n273_n75# a_879_n75# a_399_n75#
++ a_n1229_n75# a_n81_n75# a_n1167_n101#
+X0 a_207_n75# a_n1167_n101# a_111_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_303_n75# a_n1167_n101# a_207_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_399_n75# a_n1167_n101# a_303_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_495_n75# a_n1167_n101# a_399_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_591_n75# a_n1167_n101# a_495_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_783_n75# a_n1167_n101# a_687_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_687_n75# a_n1167_n101# a_591_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_879_n75# a_n1167_n101# a_783_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_975_n75# a_n1167_n101# a_879_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_n1041_n75# a_n1167_n101# a_n1137_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_n1137_n75# a_n1167_n101# a_n1229_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n561_n75# a_n1167_n101# a_n657_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_1071_n75# a_n1167_n101# a_975_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n945_n75# a_n1167_n101# a_n1041_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n753_n75# a_n1167_n101# a_n849_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n657_n75# a_n1167_n101# a_n753_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n465_n75# a_n1167_n101# a_n561_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n369_n75# a_n1167_n101# a_n465_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_1167_n75# a_n1167_n101# a_1071_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n849_n75# a_n1167_n101# a_n945_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X20 a_15_n75# a_n1167_n101# a_n81_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X21 a_n81_n75# a_n1167_n101# a_n177_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X22 a_111_n75# a_n1167_n101# a_15_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X23 a_n273_n75# a_n1167_n101# a_n369_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X24 a_n177_n75# a_n1167_n101# a_n273_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_MUHGM9 a_33_n101# a_n129_n75# a_735_n75# a_255_n75#
++ a_n417_n75# a_n989_n75# a_63_n75# a_543_n75# a_n705_n75# a_n225_n75# a_n33_n75#
++ a_831_n75# a_351_n75# a_n927_n101# a_n513_n75# a_n897_n75# w_n1127_n285# a_639_n75#
++ a_159_n75# a_n801_n75# a_n321_n75# a_927_n75# a_447_n75# a_n609_n75#
+X0 a_63_n75# a_33_n101# a_n33_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_927_n75# a_33_n101# a_831_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_n33_n75# a_n927_n101# a_n129_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_159_n75# a_33_n101# a_63_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_255_n75# a_33_n101# a_159_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_351_n75# a_33_n101# a_255_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_447_n75# a_33_n101# a_351_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_543_n75# a_33_n101# a_447_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_735_n75# a_33_n101# a_639_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_831_n75# a_33_n101# a_735_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_639_n75# a_33_n101# a_543_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n321_n75# a_n927_n101# a_n417_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_n801_n75# a_n927_n101# a_n897_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n705_n75# a_n927_n101# a_n801_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n513_n75# a_n927_n101# a_n609_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n417_n75# a_n927_n101# a_n513_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n225_n75# a_n927_n101# a_n321_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n129_n75# a_n927_n101# a_n225_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_n897_n75# a_n927_n101# a_n989_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n609_n75# a_n927_n101# a_n705_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_NKZXKB VSUBS a_33_n247# a_n801_n150# a_n417_n150#
++ a_351_n150# a_255_n150# a_n705_n150# a_n609_n150# a_159_n150# a_543_n150# a_447_n150#
++ a_831_n150# a_n897_n150# a_n33_n150# a_735_n150# a_n927_n247# a_639_n150# a_n321_n150#
++ a_927_n150# a_n225_n150# a_63_n150# a_n989_n150# a_n513_n150# a_n129_n150# w_n1127_n369#
+X0 a_n513_n150# a_n927_n247# a_n609_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_63_n150# a_33_n247# a_n33_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_735_n150# a_33_n247# a_639_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n801_n150# a_n927_n247# a_n897_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n927_n247# a_n225_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n927_n247# a_n513_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_639_n150# a_33_n247# a_543_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n705_n150# a_n927_n247# a_n801_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n33_n150# a_n927_n247# a_n129_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_351_n150# a_33_n247# a_255_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_n609_n150# a_n927_n247# a_n705_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n897_n150# a_n927_n247# a_n989_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_927_n150# a_33_n247# a_831_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_255_n150# a_33_n247# a_159_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n321_n150# a_n927_n247# a_n417_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_543_n150# a_33_n247# a_447_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_831_n150# a_33_n247# a_735_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_159_n150# a_33_n247# a_63_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_n225_n150# a_n927_n247# a_n321_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_447_n150# a_33_n247# a_351_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_8GRULZ a_n1761_n132# a_1045_n44# a_n1461_n44# a_n1103_n44#
++ a_n29_n44# a_n387_n44# a_1761_n44# a_n1819_n44# a_1403_n44# a_687_n44# w_n1957_n254#
++ a_329_n44# a_n745_n44#
+X0 a_329_n44# a_n1761_n132# a_n29_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X1 a_1761_n44# a_n1761_n132# a_1403_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X2 a_n745_n44# a_n1761_n132# a_n1103_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X3 a_1045_n44# a_n1761_n132# a_687_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X4 a_n29_n44# a_n1761_n132# a_n387_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X5 a_n1103_n44# a_n1761_n132# a_n1461_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X6 a_n387_n44# a_n1761_n132# a_n745_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X7 a_687_n44# a_n1761_n132# a_329_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X8 a_1403_n44# a_n1761_n132# a_1045_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X9 a_n1461_n44# a_n1761_n132# a_n1819_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ND88ZC VSUBS a_303_n150# a_n753_n150# a_n369_n150#
++ w_n1367_n369# a_207_n150# a_n657_n150# a_591_n150# a_n1229_n150# a_n945_n150# a_495_n150#
++ a_n1041_n150# a_n849_n150# a_n81_n150# a_399_n150# a_783_n150# a_1071_n150# a_687_n150#
++ a_975_n150# a_n1137_n150# a_n273_n150# a_111_n150# a_879_n150# a_n177_n150# a_n561_n150#
++ a_15_n150# a_1167_n150# a_n1167_n247# a_n465_n150#
+X0 a_n1137_n150# a_n1167_n247# a_n1229_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_495_n150# a_n1167_n247# a_399_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n561_n150# a_n1167_n247# a_n657_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_111_n150# a_n1167_n247# a_15_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_783_n150# a_n1167_n247# a_687_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_1071_n150# a_n1167_n247# a_975_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_399_n150# a_n1167_n247# a_303_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n465_n150# a_n1167_n247# a_n561_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_687_n150# a_n1167_n247# a_591_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n753_n150# a_n1167_n247# a_n849_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_975_n150# a_n1167_n247# a_879_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n81_n150# a_n1167_n247# a_n177_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_15_n150# a_n1167_n247# a_n81_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_n1041_n150# a_n1167_n247# a_n1137_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n369_n150# a_n1167_n247# a_n465_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_n657_n150# a_n1167_n247# a_n753_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_879_n150# a_n1167_n247# a_783_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_n945_n150# a_n1167_n247# a_n1041_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_1167_n150# a_n1167_n247# a_1071_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_303_n150# a_n1167_n247# a_207_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X20 a_n273_n150# a_n1167_n247# a_n369_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X21 a_591_n150# a_n1167_n247# a_495_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X22 a_n849_n150# a_n1167_n247# a_n945_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X23 a_207_n150# a_n1167_n247# a_111_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X24 a_n177_n150# a_n1167_n247# a_n273_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt charge_pump Down out iref pswitch nDown biasp Up nswitch vss vdd nUp
+Xsky130_fd_pr__pfet_01v8_4ML9WA_0 vss pswitch vdd pswitch pswitch pswitch nUp pswitch
++ pswitch pswitch pswitch pswitch pswitch pswitch sky130_fd_pr__pfet_01v8_4ML9WA
+Xsky130_fd_pr__nfet_01v8_YCGG98_0 vss out out vss vss vss out out vss vss out vss
++ out out out vss out vss out out out vss vss vss out vss vss nswitch sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_1 iref vss vss iref iref iref vss vss iref iref vss
++ iref vss vss vss iref vss iref vss vss vss vss iref iref vss iref iref iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_2 biasp vss vss biasp biasp biasp vss vss biasp biasp
++ vss biasp vss vss vss biasp vss biasp vss vss vss vss biasp biasp vss biasp biasp
++ iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_MUHGM9_0 nDown iref nswitch vss nswitch nswitch vss nswitch
++ iref nswitch nswitch vss nswitch Down iref iref vss vss nswitch nswitch iref nswitch
++ vss nswitch sky130_fd_pr__nfet_01v8_MUHGM9
+Xsky130_fd_pr__pfet_01v8_NKZXKB_0 vss Up pswitch pswitch pswitch vdd biasp pswitch
++ pswitch pswitch vdd vdd biasp pswitch pswitch nUp vdd biasp pswitch pswitch vdd
++ pswitch biasp biasp vdd sky130_fd_pr__pfet_01v8_NKZXKB
+Xsky130_fd_pr__nfet_01v8_8GRULZ_0 Down nswitch nswitch nswitch nswitch nswitch nswitch
++ nswitch nswitch nswitch vss nswitch nswitch sky130_fd_pr__nfet_01v8_8GRULZ
+Xsky130_fd_pr__pfet_01v8_ND88ZC_0 vss vdd out out vdd out vdd out vdd out vdd vdd
++ vdd vdd out out vdd vdd out out vdd vdd vdd out out out out pswitch vdd sky130_fd_pr__pfet_01v8_ND88ZC
+Xsky130_fd_pr__pfet_01v8_ND88ZC_1 vss biasp vdd vdd vdd vdd biasp vdd biasp vdd biasp
++ biasp biasp biasp vdd vdd biasp biasp vdd vdd biasp biasp biasp vdd vdd vdd vdd
++ biasp biasp sky130_fd_pr__pfet_01v8_ND88ZC
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4798MH VSUBS a_81_n156# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n111_n156# a_n15_n156# a_n81_n125#
+X0 a_n81_n125# a_n111_n156# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n15_n156# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_81_n156# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_BHR94T a_n15_n151# w_n311_n335# a_81_n151# a_111_n125#
++ a_15_n125# a_n173_n125# a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_81_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n15_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt trans_gate m1_187_n605# m1_45_n513# vss vdd
+Xsky130_fd_pr__pfet_01v8_4798MH_0 vss vss m1_187_n605# m1_45_n513# m1_45_n513# vdd
++ vss vss m1_187_n605# sky130_fd_pr__pfet_01v8_4798MH
+Xsky130_fd_pr__nfet_01v8_BHR94T_0 vdd vss vdd m1_187_n605# m1_45_n513# m1_45_n513#
++ vdd m1_187_n605# sky130_fd_pr__nfet_01v8_BHR94T
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7KT7MH VSUBS a_n111_n186# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n81_n125#
+X0 a_n81_n125# a_n111_n186# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n111_n186# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_n111_n186# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS6QM w_n311_n335# a_111_n125# a_15_n125# a_n173_n125#
++ a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_n111_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n111_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt inverter_cp_x1 in vss out vdd
+Xsky130_fd_pr__pfet_01v8_7KT7MH_0 vss in out vdd vdd vdd out sky130_fd_pr__pfet_01v8_7KT7MH
+Xsky130_fd_pr__nfet_01v8_2BS6QM_0 vss out vss vss in out sky130_fd_pr__nfet_01v8_2BS6QM
+.ends
+
+.subckt clock_inverter vss CLK vdd CLK_d nCLK_d
+Xtrans_gate_0 nCLK_d inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 CLK vss inverter_cp_x1_0/out vdd inverter_cp_x1
+Xinverter_cp_x1_1 CLK vss inverter_cp_x1_2/in vdd inverter_cp_x1
+Xinverter_cp_x1_2 inverter_cp_x1_2/in vss CLK_d vdd inverter_cp_x1
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MJG8BZ VSUBS a_n125_n95# a_63_n95# w_n263_n314# a_n33_n95#
++ a_n63_n192#
+X0 a_63_n95# a_n63_n192# a_n33_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n33_n95# a_n63_n192# a_n125_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS854 w_n311_n335# a_n129_n213# a_111_n125# a_15_n125#
++ a_n173_n125# a_n81_n125#
+X0 a_111_n125# a_n129_n213# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n129_n213# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n129_n213# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_KU9PSX a_n125_n95# a_n33_n95# a_n81_n183# w_n263_n305#
+X0 a_n33_n95# a_n81_n183# a_n125_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n125_n95# a_n81_n183# a_n33_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+.ends
+
+.subckt latch_diff nQ Q vss CLK vdd nD D
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_0 vss vdd vdd vdd nQ Q sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_1 vss vdd vdd vdd Q nQ sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__nfet_01v8_2BS854_0 vss CLK vss m1_657_280# m1_657_280# vss sky130_fd_pr__nfet_01v8_2BS854
+Xsky130_fd_pr__nfet_01v8_KU9PSX_0 m1_657_280# Q nD vss sky130_fd_pr__nfet_01v8_KU9PSX
+Xsky130_fd_pr__nfet_01v8_KU9PSX_1 m1_657_280# nQ D vss sky130_fd_pr__nfet_01v8_KU9PSX
+.ends
+
+.subckt DFlipFlop vss nQ Q vdd CLK nCLK D
+Xclock_inverter_0 vss D vdd latch_diff_0/D latch_diff_0/nD clock_inverter
+Xlatch_diff_0 latch_diff_1/nD latch_diff_1/D vss CLK vdd latch_diff_0/nD latch_diff_0/D
++ latch_diff
+Xlatch_diff_1 nQ Q vss nCLK vdd latch_diff_1/nD latch_diff_1/D latch_diff
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZP3U9B VSUBS a_n221_n84# a_159_n84# w_n359_n303# a_n63_n110#
++ a_n129_n84# a_33_n110# a_n159_n110# a_63_n84# a_129_n110# a_n33_n84#
+X0 a_n129_n84# a_n159_n110# a_n221_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_63_n84# a_33_n110# a_n33_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 a_n33_n84# a_n63_n110# a_n129_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_159_n84# a_129_n110# a_63_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_DXA56D w_n359_n252# a_n33_n42# a_129_n68# a_n159_n68#
++ a_n221_n42# a_159_n42# a_n129_n42# a_33_n68# a_n63_n68# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n129_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2 a_159_n42# a_129_n68# a_63_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3 a_n129_n42# a_n159_n68# a_n221_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt inverter_min_x4 in vss out vdd
+Xsky130_fd_pr__pfet_01v8_ZP3U9B_0 vss out out vdd in vdd in in vdd in out sky130_fd_pr__pfet_01v8_ZP3U9B
+Xsky130_fd_pr__nfet_01v8_DXA56D_0 vss out in in out out vss in in vss sky130_fd_pr__nfet_01v8_DXA56D
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_5RJ8EK a_n33_n42# a_33_n68# w_n263_n252# a_n63_n68#
++ a_n125_n42# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n125_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZPB9BB VSUBS a_n63_n110# a_33_n110# a_n125_n84# a_63_n84#
++ w_n263_n303# a_n33_n84#
+X0 a_63_n84# a_33_n110# a_n33_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_n33_n84# a_n63_n110# a_n125_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+.ends
+
+.subckt inverter_min_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_5RJ8EK_0 vss in vss in out out sky130_fd_pr__nfet_01v8_5RJ8EK
+Xsky130_fd_pr__pfet_01v8_ZPB9BB_0 vss in in out out vdd vdd sky130_fd_pr__pfet_01v8_ZPB9BB
+.ends
+
+.subckt div_by_2 vss vdd CLK_2 nCLK_2 o1 CLK out_div o2 nout_div
+XDFlipFlop_0 vss nout_div out_div vdd DFlipFlop_0/CLK DFlipFlop_0/nCLK nout_div DFlipFlop
+Xclock_inverter_0 vss CLK vdd DFlipFlop_0/CLK DFlipFlop_0/nCLK clock_inverter
+Xinverter_min_x4_0 o1 vss CLK_2 vdd inverter_min_x4
+Xinverter_min_x4_1 o2 vss nCLK_2 vdd inverter_min_x4
+Xinverter_min_x2_0 nout_div o2 vss vdd inverter_min_x2
+Xinverter_min_x2_1 out_div o1 vss vdd inverter_min_x2
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_58ZKDE VSUBS a_n257_n777# a_n129_n600# a_n221_n600#
++ w_n257_n702#
+X0 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X1 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X2 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X3 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_T69Y3A a_n129_n300# a_n221_n300# w_n257_n327# a_n257_n404#
+X0 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X1 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X2 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X3 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+.ends
+
+.subckt buffer_salida in out vss vdd
+Xsky130_fd_pr__pfet_01v8_58ZKDE_1 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_2 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_3 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_0 a_678_n100# vss vss in sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_1 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_4 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_5 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_2 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_3 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_6 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_4 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_7 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_70 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_8 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_5 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_71 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_60 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_6 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_9 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_72 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_61 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_50 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_7 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_62 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_51 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_40 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_8 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_63 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_52 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_41 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_30 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_9 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_20 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_64 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_53 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_42 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_31 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_10 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_21 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_65 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_54 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_43 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_32 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_11 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_22 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_66 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_55 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_44 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_33 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_12 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_23 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_67 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_56 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_45 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_34 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_13 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_24 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_68 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_57 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_46 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_35 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_14 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_69 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_58 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_47 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_36 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_25 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_15 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_59 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_48 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_37 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_26 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_16 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_49 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_38 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_27 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_70 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_17 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_39 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_28 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_71 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_60 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_18 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_29 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_72 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_61 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_50 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_19 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_62 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_51 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_40 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_63 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_52 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_41 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_30 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_20 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_64 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_53 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_42 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_31 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_10 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_21 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_65 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_54 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_43 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_32 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_11 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_22 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_66 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_55 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_44 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_33 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_12 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_23 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_67 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_56 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_45 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_34 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_13 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_24 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_68 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_57 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_46 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_35 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_14 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_69 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_58 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_47 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_36 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_25 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_15 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_59 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_48 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_37 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_26 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_16 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_49 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_38 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_27 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_17 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_39 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_28 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_18 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_29 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_19 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_0 vss in a_678_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_CBAU6Y a_n73_n150# a_n33_n238# w_n211_n360# a_15_n150#
+X0 a_15_n150# a_n33_n238# a_n73_n150# w_n211_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4757AC VSUBS a_n73_n150# a_n33_181# w_n211_n369# a_15_n150#
+X0 a_15_n150# a_n33_181# a_n73_n150# w_n211_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_7H8F5S a_n465_172# a_n417_n150# a_351_n150# a_255_n150#
++ w_n647_n360# a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150#
+X0 a_159_n150# a_n465_172# a_63_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n225_n150# a_n465_172# a_n321_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_447_n150# a_n465_172# a_351_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_63_n150# a_n465_172# a_n33_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n465_172# a_n225_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n465_172# a_n509_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n33_n150# a_n465_172# a_n129_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_351_n150# a_n465_172# a_255_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_255_n150# a_n465_172# a_159_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n321_n150# a_n465_172# a_n417_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_8DL6ZL VSUBS a_n417_n150# a_351_n150# a_255_n150#
++ a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n465_n247# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150# w_n647_n369#
+X0 a_63_n150# a_n465_n247# a_n33_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n129_n150# a_n465_n247# a_n225_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n417_n150# a_n465_n247# a_n509_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n33_n150# a_n465_n247# a_n129_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_351_n150# a_n465_n247# a_255_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_255_n150# a_n465_n247# a_159_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n321_n150# a_n465_n247# a_n417_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_159_n150# a_n465_n247# a_63_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n225_n150# a_n465_n247# a_n321_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_447_n150# a_n465_n247# a_351_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_EDT3AT a_15_n11# a_n33_n99# w_n211_n221# a_n73_n11#
+X0 a_15_n11# a_n33_n99# a_n73_n11# w_n211_n221# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AQR2CW a_n33_66# a_n78_n106# w_n216_n254# a_20_n106#
+X0 a_20_n106# a_n33_66# a_n78_n106# w_n216_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=200000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_HRYSXS VSUBS a_n33_n211# a_n78_n114# w_n216_n334#
++ a_20_n114#
+X0 a_20_n114# a_n33_n211# a_n78_n114# w_n216_n334# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=200000u
+.ends
+
+.subckt inverter_csvco in vbulkn out vbulkp vdd vss
+Xsky130_fd_pr__nfet_01v8_AQR2CW_0 in vss vbulkn out sky130_fd_pr__nfet_01v8_AQR2CW
+Xsky130_fd_pr__pfet_01v8_HRYSXS_0 vbulkn in vdd vbulkp out sky130_fd_pr__pfet_01v8_HRYSXS
+.ends
+
+.subckt cap_vco t b VSUBS
+C0 t b 5.78fF
+*C1 t VSUBS 0.42fF
+*C2 b VSUBS 0.09fF
+.ends
+
+
+.subckt csvco_branch vctrl in vbp D0 out vss vdd
+Xsky130_fd_pr__nfet_01v8_7H8F5S_0 vctrl inverter_csvco_0/vss inverter_csvco_0/vss
++ vss vss inverter_csvco_0/vss vss vss inverter_csvco_0/vss vss inverter_csvco_0/vss
++ vss vss sky130_fd_pr__nfet_01v8_7H8F5S
+Xsky130_fd_pr__pfet_01v8_8DL6ZL_0 vss inverter_csvco_0/vdd inverter_csvco_0/vdd vdd
++ inverter_csvco_0/vdd vdd vdd inverter_csvco_0/vdd vbp vdd inverter_csvco_0/vdd vdd
++ vdd vdd sky130_fd_pr__pfet_01v8_8DL6ZL
+Xsky130_fd_pr__nfet_01v8_EDT3AT_0 cap_vco_0/t D0 vss out sky130_fd_pr__nfet_01v8_EDT3AT
+Xinverter_csvco_0 in vss out vdd inverter_csvco_0/vdd inverter_csvco_0/vss inverter_csvco
+Xcap_vco_0 cap_vco_0/t vss vss cap_vco
+.ends
+
+.subckt ring_osc vctrl vdd vss D0 out_vco
+Xsky130_fd_pr__nfet_01v8_CBAU6Y_0 vss vctrl vss csvco_branch_2/vbp sky130_fd_pr__nfet_01v8_CBAU6Y
+Xsky130_fd_pr__pfet_01v8_4757AC_0 vss vdd csvco_branch_2/vbp vdd csvco_branch_2/vbp
++ sky130_fd_pr__pfet_01v8_4757AC
+Xcsvco_branch_0 vctrl out_vco csvco_branch_2/vbp D0 csvco_branch_1/in vss vdd csvco_branch
+Xcsvco_branch_2 vctrl csvco_branch_2/in csvco_branch_2/vbp D0 out_vco vss vdd csvco_branch
+Xcsvco_branch_1 vctrl csvco_branch_1/in csvco_branch_2/vbp D0 csvco_branch_2/in vss
++ vdd csvco_branch
+.ends
+
+.subckt ring_osc_buffer vss in_vco vdd o1 out_div out_pad
+Xinverter_min_x4_0 o1 vss out_div vdd inverter_min_x4
+Xinverter_min_x4_1 out_div vss out_pad vdd inverter_min_x4
+Xinverter_min_x2_0 in_vco o1 vss vdd inverter_min_x2
+.ends
+
+.subckt sky130_fd_sc_hs__xor2_1 A B VGND VNB VPB VPWR X
+X0 X B a_455_87# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X1 X a_194_125# a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X2 a_194_125# B a_158_392# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 a_158_392# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 VPWR A a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X5 a_355_368# B VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X6 a_194_125# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X7 a_455_87# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X8 VGND B a_194_125# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X9 VGND a_194_125# X VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hs__and2_1 A B VGND VNB VPB VPWR X
+X0 VGND B a_143_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1 X a_56_136# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X2 VPWR B a_56_136# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_143_136# A a_56_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X4 a_56_136# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X5 X a_56_136# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hs__or2_1 A B VGND VNB VPB VPWR X
+X0 VPWR A a_152_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_152_368# B a_63_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 X a_63_368# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X3 X a_63_368# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X4 a_63_368# B VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X5 VGND A a_63_368# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+.ends
+
+.subckt div_by_5 nCLK vdd Q0 CLK nQ0 CLK_5 nQ2 vss Q1 Q1_shift
+Xsky130_fd_sc_hs__xor2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_2/D sky130_fd_sc_hs__xor2_1
+XDFlipFlop_0 vss nQ2 DFlipFlop_0/Q vdd CLK nCLK DFlipFlop_0/D DFlipFlop
+XDFlipFlop_1 vss nQ0 Q0 vdd CLK nCLK DFlipFlop_1/D DFlipFlop
+XDFlipFlop_2 vss DFlipFlop_2/nQ Q1 vdd CLK nCLK DFlipFlop_2/D DFlipFlop
+XDFlipFlop_3 vss DFlipFlop_3/nQ Q1_shift vdd nCLK CLK Q1 DFlipFlop
+Xsky130_fd_sc_hs__and2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_0/D sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__and2_1_1 nQ2 nQ0 vss vss vdd vdd DFlipFlop_1/D sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__or2_1_0 Q1 Q1_shift vss vss vdd vdd CLK_5 sky130_fd_sc_hs__or2_1
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AZESM8 a_n63_n151# a_n33_n125# a_n255_n151# a_33_n151#
++ a_n225_n125# a_63_n125# a_n129_n125# a_n159_n151# w_n455_n335# a_225_n151# a_255_n125#
++ a_129_n151# a_159_n125# a_n317_n125#
+X0 a_159_n125# a_129_n151# a_63_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n225_n125# a_n255_n151# a_n317_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_63_n125# a_33_n151# a_n33_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_n129_n125# a_n159_n151# a_n225_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n33_n125# a_n63_n151# a_n129_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_255_n125# a_225_n151# a_159_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_XJXT7S VSUBS a_n33_n125# a_n255_n154# a_33_n154# a_n225_n125#
++ a_n159_n154# a_63_n125# a_n129_n125# a_225_n154# a_129_n154# a_255_n125# a_159_n125#
++ a_n317_n125# w_n455_n344# a_n63_n154#
+X0 a_n129_n125# a_n159_n154# a_n225_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n33_n125# a_n63_n154# a_n129_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_255_n125# a_225_n154# a_159_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_159_n125# a_129_n154# a_63_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n225_n125# a_n255_n154# a_n317_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_63_n125# a_33_n154# a_n33_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt inverter_cp_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_AZESM8_0 in vss in in vss out out in vss in out in vss out
++ sky130_fd_pr__nfet_01v8_AZESM8
+Xsky130_fd_pr__pfet_01v8_XJXT7S_0 vss vdd in in vdd in out out in in out vdd out vdd
++ in sky130_fd_pr__pfet_01v8_XJXT7S
+.ends
+
+.subckt pfd_cp_interface vss vdd Down QA QB nDown Up nUp
+Xinverter_cp_x2_0 nDown Down vss vdd inverter_cp_x2
+Xinverter_cp_x2_1 Up nUp vss vdd inverter_cp_x2
+Xtrans_gate_0 nDown inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 QB vss inverter_cp_x1_0/out vdd inverter_cp_x1
+Xinverter_cp_x1_2 inverter_cp_x1_2/in vss Up vdd inverter_cp_x1
+Xinverter_cp_x1_1 QA vss inverter_cp_x1_2/in vdd inverter_cp_x1
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F35BC VSUBS w_n359_n309# a_n63_n116# a_n159_n207#
++ a_n33_n90# a_n221_n90# a_159_n90#
+X0 a_159_n90# a_n63_n116# a_63_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n129_n90# a_n159_n207# a_n221_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X2 a_63_n90# a_n159_n207# a_n33_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X3 a_n33_n90# a_n63_n116# a_n129_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_C3YG4M a_n33_n45# a_33_n71# a_n129_71# w_n263_n255#
++ a_n125_n45# a_63_n45#
+X0 a_63_n45# a_33_n71# a_n33_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n129_71# a_n125_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+.ends
+
+.subckt nor_pfd out vss vdd A B
+Xsky130_fd_pr__pfet_01v8_4F35BC_0 vss vdd B A out vdd vdd sky130_fd_pr__pfet_01v8_4F35BC
+Xsky130_fd_pr__nfet_01v8_C3YG4M_0 out B A vss vss vss sky130_fd_pr__nfet_01v8_C3YG4M
+.ends
+
+.subckt dff_pfd vss vdd Q CLK Reset
+Xnor_pfd_0 nor_pfd_2/A vss vdd CLK Q nor_pfd
+Xnor_pfd_1 Q vss vdd nor_pfd_2/A nor_pfd_3/A nor_pfd
+Xnor_pfd_2 nor_pfd_3/A vss vdd nor_pfd_2/A nor_pfd_2/B nor_pfd
+Xnor_pfd_3 nor_pfd_2/B vss vdd nor_pfd_3/A Reset nor_pfd
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZCYAJJ w_n359_n255# a_n33_n45# a_n159_n173# a_n221_n45#
++ a_159_n45# a_n63_n71#
+X0 a_63_n45# a_n159_n173# a_n33_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n63_n71# a_n129_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X2 a_159_n45# a_n63_n71# a_63_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X3 a_n129_n45# a_n159_n173# a_n221_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7T83YG VSUBS a_n125_n90# a_63_n90# a_33_n187# a_n99_n187#
++ a_n33_n90# w_n263_n309#
+X0 a_63_n90# a_33_n187# a_n33_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n33_n90# a_n99_n187# a_n125_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZXAV3F a_n73_n45# a_n33_67# a_15_n45# w_n211_n255#
+X0 a_15_n45# a_n33_67# a_n73_n45# w_n211_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F7GBC VSUBS a_n51_n187# a_n73_n90# a_15_n90# w_n211_n309#
+X0 a_15_n90# a_n51_n187# a_n73_n90# w_n211_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+.ends
+
+.subckt and_pfd vss out vdd A B
+Xsky130_fd_pr__nfet_01v8_ZCYAJJ_0 vss a_656_410# A vss vss B sky130_fd_pr__nfet_01v8_ZCYAJJ
+Xsky130_fd_pr__pfet_01v8_7T83YG_0 vss vdd vdd B A a_656_410# vdd sky130_fd_pr__pfet_01v8_7T83YG
+Xsky130_fd_pr__nfet_01v8_ZXAV3F_0 vss a_656_410# out vss sky130_fd_pr__nfet_01v8_ZXAV3F
+Xsky130_fd_pr__pfet_01v8_4F7GBC_0 vss a_656_410# vdd out vdd sky130_fd_pr__pfet_01v8_4F7GBC
+.ends
+
+.subckt PFD vss vdd Down Up A B Reset
+Xdff_pfd_0 vss vdd Up A Reset dff_pfd
+Xdff_pfd_1 vss vdd Down B Reset dff_pfd
+Xand_pfd_0 vss Reset vdd Up Down and_pfd
+.ends
+
+
+* Top level circuit top_pll_v1
+
+Xloop_filter_0 lf_vc vco_vctrl vss loop_filter
+Xcharge_pump_0 Down vco_vctrl iref_cp pswitch nDown biasp Up nswitch vss vdd nUp charge_pump
+Xdiv_by_2_0 vss vdd out_by_2 n_out_by_2 out_buffer_div_2 out_to_div out_div_2 n_out_buffer_div_2
++ n_out_div_2 div_by_2
+Xbuffer_salida_0 out_to_buffer out_to_pad vss vdd buffer_salida
+Xring_osc_0 vco_vctrl vdd vss vco_D0 vco_out ring_osc
+Xring_osc_buffer_0 vss vco_out vdd out_first_buffer out_to_div out_to_buffer ring_osc_buffer
+Xdiv_by_5_0 n_out_by_2 vdd div_5_Q0 out_by_2 div_5_nQ0 out_div_by_5 div_5_nQ2 vss
++ div_5_Q1 div_5_Q1_shift div_by_5
+Xpfd_cp_interface_0 vss vdd Down QA QB nDown Up nUp pfd_cp_interface
+XPFD_0 vss vdd QB QA in_ref out_div_by_5 pfd_reset PFD
+.end
+
diff --git a/mag/extractions/top_pll_v1_lvs_port.spice b/mag/extractions/top_pll_v1_lvs_port.spice
new file mode 100644
index 0000000..302fddc
--- /dev/null
+++ b/mag/extractions/top_pll_v1_lvs_port.spice
@@ -0,0 +1,776 @@
+* NGSPICE file created from top_pll_v1.ext - technology: sky130A
+
+.subckt sky130_fd_pr__cap_mim_m3_1_MACBVW VSUBS m3_n2650_n13200# m3_n7969_n2600# m3_7988_8000#
++ m3_2669_n7900# m3_n13288_n2600# m3_n2650_2700# m3_2669_2700# m3_n13288_n13200# m3_n7969_n13200#
++ m3_n13288_8000# m3_7988_2700# m3_n2650_n7900# m3_7988_n7900# m3_2669_n13200# m3_n7969_8000#
++ m3_n13288_2700# m3_n7969_n7900# m3_n13288_n7900# m3_2669_n2600# m3_n7969_2700# m3_7988_n13200#
++ c1_n13188_n13100# m3_7988_n2600# m3_n2650_n2600# m3_n2650_8000# m3_2669_8000#
+X0 c1_n13188_n13100# m3_2669_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X1 c1_n13188_n13100# m3_n2650_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X2 c1_n13188_n13100# m3_2669_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X3 c1_n13188_n13100# m3_n13288_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X4 c1_n13188_n13100# m3_n7969_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X5 c1_n13188_n13100# m3_n13288_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X6 c1_n13188_n13100# m3_2669_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X7 c1_n13188_n13100# m3_7988_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X8 c1_n13188_n13100# m3_2669_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X9 c1_n13188_n13100# m3_7988_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X10 c1_n13188_n13100# m3_n7969_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X11 c1_n13188_n13100# m3_7988_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X12 c1_n13188_n13100# m3_n7969_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X13 c1_n13188_n13100# m3_7988_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X14 c1_n13188_n13100# m3_n13288_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X15 c1_n13188_n13100# m3_n7969_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X16 c1_n13188_n13100# m3_n2650_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X17 c1_n13188_n13100# m3_n2650_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X18 c1_n13188_n13100# m3_n2650_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X19 c1_n13188_n13100# m3_7988_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X20 c1_n13188_n13100# m3_n13288_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X21 c1_n13188_n13100# m3_n13288_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X22 c1_n13188_n13100# m3_n7969_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X23 c1_n13188_n13100# m3_n2650_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X24 c1_n13188_n13100# m3_2669_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+.ends
+
+.subckt cap1_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_MACBVW_0 VSUBS out out out out out out out out out out
++ out out out out out out out out out out out in out out out out sky130_fd_pr__cap_mim_m3_1_MACBVW
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_W3JTNJ VSUBS m3_n6469_n2100# c1_n6369_n6300# m3_2169_n6400#
++ m3_n2150_n6400# c1_2269_n6300# m3_n6469_2200# m3_n2150_n2100# c1_n2050_n6300# m3_n2150_2200#
++ m3_n6469_n6400#
+X0 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X1 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X2 c1_n2050_n6300# m3_n2150_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X3 c1_n6369_n6300# m3_n6469_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X4 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X5 c1_n6369_n6300# m3_n6469_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X6 c1_n2050_n6300# m3_n2150_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X7 c1_n2050_n6300# m3_n2150_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X8 c1_n6369_n6300# m3_n6469_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+.ends
+
+.subckt cap2_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_W3JTNJ_0 VSUBS out in out out in out out in out out sky130_fd_pr__cap_mim_m3_1_W3JTNJ
+.ends
+
+.subckt sky130_fd_pr__res_high_po_5p73_X44RQA a_n573_2292# w_n739_n2890# a_n573_n2724#
+X0 a_n573_n2724# a_n573_2292# w_n739_n2890# sky130_fd_pr__res_high_po_5p73 l=2.292e+07u
+.ends
+
+.subckt res_loop_filter vss out in
+Xsky130_fd_pr__res_high_po_5p73_X44RQA_0 in vss out sky130_fd_pr__res_high_po_5p73_X44RQA
+.ends
+
+.subckt loop_filter vc_pex in vss
+Xcap1_loop_filter_0 vss vc_pex vss cap1_loop_filter
+Xcap2_loop_filter_0 vss in vss cap2_loop_filter
+Xres_loop_filter_0 vss res_loop_filter_2/out in res_loop_filter
+Xres_loop_filter_1 vss res_loop_filter_2/out vc_pex res_loop_filter
+Xres_loop_filter_2 vss res_loop_filter_2/out vc_pex res_loop_filter
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4ML9WA VSUBS a_429_n486# w_n2457_n634# a_887_n486#
++ a_n29_n486# a_1345_n486# a_n2261_n512# a_1803_n486# a_n487_n486# a_n945_n486# a_n2319_n486#
++ a_n1403_n486# a_2261_n486# a_n1861_n486#
+X0 a_2261_n486# a_n2261_n512# a_1803_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X1 a_n945_n486# a_n2261_n512# a_n1403_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X2 a_429_n486# a_n2261_n512# a_n29_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X3 a_1803_n486# a_n2261_n512# a_1345_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X4 a_887_n486# a_n2261_n512# a_429_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X5 a_n487_n486# a_n2261_n512# a_n945_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X6 a_n1403_n486# a_n2261_n512# a_n1861_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X7 a_n1861_n486# a_n2261_n512# a_n2319_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X8 a_n29_n486# a_n2261_n512# a_n487_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X9 a_1345_n486# a_n2261_n512# a_887_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_YCGG98 a_n1041_n75# a_n561_n75# a_1167_n75# a_303_n75#
++ a_687_n75# a_n849_n75# a_n369_n75# a_975_n75# a_111_n75# a_495_n75# a_n1137_n75#
++ a_n657_n75# a_n177_n75# a_783_n75# a_n945_n75# a_n465_n75# a_207_n75# a_1071_n75#
++ a_591_n75# a_15_n75# a_n753_n75# w_n1367_n285# a_n273_n75# a_879_n75# a_399_n75#
++ a_n1229_n75# a_n81_n75# a_n1167_n101#
+X0 a_207_n75# a_n1167_n101# a_111_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_303_n75# a_n1167_n101# a_207_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_399_n75# a_n1167_n101# a_303_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_495_n75# a_n1167_n101# a_399_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_591_n75# a_n1167_n101# a_495_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_783_n75# a_n1167_n101# a_687_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_687_n75# a_n1167_n101# a_591_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_879_n75# a_n1167_n101# a_783_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_975_n75# a_n1167_n101# a_879_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_n1041_n75# a_n1167_n101# a_n1137_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_n1137_n75# a_n1167_n101# a_n1229_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n561_n75# a_n1167_n101# a_n657_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_1071_n75# a_n1167_n101# a_975_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n945_n75# a_n1167_n101# a_n1041_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n753_n75# a_n1167_n101# a_n849_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n657_n75# a_n1167_n101# a_n753_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n465_n75# a_n1167_n101# a_n561_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n369_n75# a_n1167_n101# a_n465_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_1167_n75# a_n1167_n101# a_1071_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n849_n75# a_n1167_n101# a_n945_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X20 a_15_n75# a_n1167_n101# a_n81_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X21 a_n81_n75# a_n1167_n101# a_n177_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X22 a_111_n75# a_n1167_n101# a_15_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X23 a_n273_n75# a_n1167_n101# a_n369_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X24 a_n177_n75# a_n1167_n101# a_n273_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_MUHGM9 a_33_n101# a_n129_n75# a_735_n75# a_255_n75#
++ a_n417_n75# a_n989_n75# a_63_n75# a_543_n75# a_n705_n75# a_n225_n75# a_n33_n75#
++ a_831_n75# a_351_n75# a_n927_n101# a_n513_n75# a_n897_n75# w_n1127_n285# a_639_n75#
++ a_159_n75# a_n801_n75# a_n321_n75# a_927_n75# a_447_n75# a_n609_n75#
+X0 a_63_n75# a_33_n101# a_n33_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_927_n75# a_33_n101# a_831_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_n33_n75# a_n927_n101# a_n129_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_159_n75# a_33_n101# a_63_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_255_n75# a_33_n101# a_159_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_351_n75# a_33_n101# a_255_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_447_n75# a_33_n101# a_351_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_543_n75# a_33_n101# a_447_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_735_n75# a_33_n101# a_639_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_831_n75# a_33_n101# a_735_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_639_n75# a_33_n101# a_543_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n321_n75# a_n927_n101# a_n417_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_n801_n75# a_n927_n101# a_n897_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n705_n75# a_n927_n101# a_n801_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n513_n75# a_n927_n101# a_n609_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n417_n75# a_n927_n101# a_n513_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n225_n75# a_n927_n101# a_n321_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n129_n75# a_n927_n101# a_n225_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_n897_n75# a_n927_n101# a_n989_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n609_n75# a_n927_n101# a_n705_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_NKZXKB VSUBS a_33_n247# a_n801_n150# a_n417_n150#
++ a_351_n150# a_255_n150# a_n705_n150# a_n609_n150# a_159_n150# a_543_n150# a_447_n150#
++ a_831_n150# a_n897_n150# a_n33_n150# a_735_n150# a_n927_n247# a_639_n150# a_n321_n150#
++ a_927_n150# a_n225_n150# a_63_n150# a_n989_n150# a_n513_n150# a_n129_n150# w_n1127_n369#
+X0 a_n513_n150# a_n927_n247# a_n609_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_63_n150# a_33_n247# a_n33_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_735_n150# a_33_n247# a_639_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n801_n150# a_n927_n247# a_n897_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n927_n247# a_n225_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n927_n247# a_n513_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_639_n150# a_33_n247# a_543_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n705_n150# a_n927_n247# a_n801_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n33_n150# a_n927_n247# a_n129_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_351_n150# a_33_n247# a_255_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_n609_n150# a_n927_n247# a_n705_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n897_n150# a_n927_n247# a_n989_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_927_n150# a_33_n247# a_831_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_255_n150# a_33_n247# a_159_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n321_n150# a_n927_n247# a_n417_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_543_n150# a_33_n247# a_447_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_831_n150# a_33_n247# a_735_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_159_n150# a_33_n247# a_63_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_n225_n150# a_n927_n247# a_n321_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_447_n150# a_33_n247# a_351_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_8GRULZ a_n1761_n132# a_1045_n44# a_n1461_n44# a_n1103_n44#
++ a_n29_n44# a_n387_n44# a_1761_n44# a_n1819_n44# a_1403_n44# a_687_n44# w_n1957_n254#
++ a_329_n44# a_n745_n44#
+X0 a_329_n44# a_n1761_n132# a_n29_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X1 a_1761_n44# a_n1761_n132# a_1403_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X2 a_n745_n44# a_n1761_n132# a_n1103_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X3 a_1045_n44# a_n1761_n132# a_687_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X4 a_n29_n44# a_n1761_n132# a_n387_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X5 a_n1103_n44# a_n1761_n132# a_n1461_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X6 a_n387_n44# a_n1761_n132# a_n745_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X7 a_687_n44# a_n1761_n132# a_329_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X8 a_1403_n44# a_n1761_n132# a_1045_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X9 a_n1461_n44# a_n1761_n132# a_n1819_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ND88ZC VSUBS a_303_n150# a_n753_n150# a_n369_n150#
++ w_n1367_n369# a_207_n150# a_n657_n150# a_591_n150# a_n1229_n150# a_n945_n150# a_495_n150#
++ a_n1041_n150# a_n849_n150# a_n81_n150# a_399_n150# a_783_n150# a_1071_n150# a_687_n150#
++ a_975_n150# a_n1137_n150# a_n273_n150# a_111_n150# a_879_n150# a_n177_n150# a_n561_n150#
++ a_15_n150# a_1167_n150# a_n1167_n247# a_n465_n150#
+X0 a_n1137_n150# a_n1167_n247# a_n1229_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_495_n150# a_n1167_n247# a_399_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n561_n150# a_n1167_n247# a_n657_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_111_n150# a_n1167_n247# a_15_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_783_n150# a_n1167_n247# a_687_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_1071_n150# a_n1167_n247# a_975_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_399_n150# a_n1167_n247# a_303_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n465_n150# a_n1167_n247# a_n561_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_687_n150# a_n1167_n247# a_591_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n753_n150# a_n1167_n247# a_n849_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_975_n150# a_n1167_n247# a_879_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n81_n150# a_n1167_n247# a_n177_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_15_n150# a_n1167_n247# a_n81_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_n1041_n150# a_n1167_n247# a_n1137_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n369_n150# a_n1167_n247# a_n465_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_n657_n150# a_n1167_n247# a_n753_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_879_n150# a_n1167_n247# a_783_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_n945_n150# a_n1167_n247# a_n1041_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_1167_n150# a_n1167_n247# a_1071_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_303_n150# a_n1167_n247# a_207_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X20 a_n273_n150# a_n1167_n247# a_n369_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X21 a_591_n150# a_n1167_n247# a_495_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X22 a_n849_n150# a_n1167_n247# a_n945_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X23 a_207_n150# a_n1167_n247# a_111_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X24 a_n177_n150# a_n1167_n247# a_n273_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt charge_pump Down out iref pswitch nDown biasp Up nswitch vss vdd nUp
+Xsky130_fd_pr__pfet_01v8_4ML9WA_0 vss pswitch vdd pswitch pswitch pswitch nUp pswitch
++ pswitch pswitch pswitch pswitch pswitch pswitch sky130_fd_pr__pfet_01v8_4ML9WA
+Xsky130_fd_pr__nfet_01v8_YCGG98_0 vss out out vss vss vss out out vss vss out vss
++ out out out vss out vss out out out vss vss vss out vss vss nswitch sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_1 iref vss vss iref iref iref vss vss iref iref vss
++ iref vss vss vss iref vss iref vss vss vss vss iref iref vss iref iref iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_2 biasp vss vss biasp biasp biasp vss vss biasp biasp
++ vss biasp vss vss vss biasp vss biasp vss vss vss vss biasp biasp vss biasp biasp
++ iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_MUHGM9_0 nDown iref nswitch vss nswitch nswitch vss nswitch
++ iref nswitch nswitch vss nswitch Down iref iref vss vss nswitch nswitch iref nswitch
++ vss nswitch sky130_fd_pr__nfet_01v8_MUHGM9
+Xsky130_fd_pr__pfet_01v8_NKZXKB_0 vss Up pswitch pswitch pswitch vdd biasp pswitch
++ pswitch pswitch vdd vdd biasp pswitch pswitch nUp vdd biasp pswitch pswitch vdd
++ pswitch biasp biasp vdd sky130_fd_pr__pfet_01v8_NKZXKB
+Xsky130_fd_pr__nfet_01v8_8GRULZ_0 Down nswitch nswitch nswitch nswitch nswitch nswitch
++ nswitch nswitch nswitch vss nswitch nswitch sky130_fd_pr__nfet_01v8_8GRULZ
+Xsky130_fd_pr__pfet_01v8_ND88ZC_0 vss vdd out out vdd out vdd out vdd out vdd vdd
++ vdd vdd out out vdd vdd out out vdd vdd vdd out out out out pswitch vdd sky130_fd_pr__pfet_01v8_ND88ZC
+Xsky130_fd_pr__pfet_01v8_ND88ZC_1 vss biasp vdd vdd vdd vdd biasp vdd biasp vdd biasp
++ biasp biasp biasp vdd vdd biasp biasp vdd vdd biasp biasp biasp vdd vdd vdd vdd
++ biasp biasp sky130_fd_pr__pfet_01v8_ND88ZC
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4798MH VSUBS a_81_n156# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n111_n156# a_n15_n156# a_n81_n125#
+X0 a_n81_n125# a_n111_n156# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n15_n156# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_81_n156# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_BHR94T a_n15_n151# w_n311_n335# a_81_n151# a_111_n125#
++ a_15_n125# a_n173_n125# a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_81_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n15_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt trans_gate m1_187_n605# m1_45_n513# vss vdd
+Xsky130_fd_pr__pfet_01v8_4798MH_0 vss vss m1_187_n605# m1_45_n513# m1_45_n513# vdd
++ vss vss m1_187_n605# sky130_fd_pr__pfet_01v8_4798MH
+Xsky130_fd_pr__nfet_01v8_BHR94T_0 vdd vss vdd m1_187_n605# m1_45_n513# m1_45_n513#
++ vdd m1_187_n605# sky130_fd_pr__nfet_01v8_BHR94T
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7KT7MH VSUBS a_n111_n186# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n81_n125#
+X0 a_n81_n125# a_n111_n186# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n111_n186# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_n111_n186# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS6QM w_n311_n335# a_111_n125# a_15_n125# a_n173_n125#
++ a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_n111_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n111_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt inverter_cp_x1 in vss out vdd
+Xsky130_fd_pr__pfet_01v8_7KT7MH_0 vss in out vdd vdd vdd out sky130_fd_pr__pfet_01v8_7KT7MH
+Xsky130_fd_pr__nfet_01v8_2BS6QM_0 vss out vss vss in out sky130_fd_pr__nfet_01v8_2BS6QM
+.ends
+
+.subckt clock_inverter vss CLK vdd CLK_d nCLK_d
+Xtrans_gate_0 nCLK_d inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 CLK vss inverter_cp_x1_0/out vdd inverter_cp_x1
+Xinverter_cp_x1_1 CLK vss inverter_cp_x1_2/in vdd inverter_cp_x1
+Xinverter_cp_x1_2 inverter_cp_x1_2/in vss CLK_d vdd inverter_cp_x1
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MJG8BZ VSUBS a_n125_n95# a_63_n95# w_n263_n314# a_n33_n95#
++ a_n63_n192#
+X0 a_63_n95# a_n63_n192# a_n33_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n33_n95# a_n63_n192# a_n125_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS854 w_n311_n335# a_n129_n213# a_111_n125# a_15_n125#
++ a_n173_n125# a_n81_n125#
+X0 a_111_n125# a_n129_n213# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n129_n213# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n129_n213# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_KU9PSX a_n125_n95# a_n33_n95# a_n81_n183# w_n263_n305#
+X0 a_n33_n95# a_n81_n183# a_n125_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n125_n95# a_n81_n183# a_n33_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+.ends
+
+.subckt latch_diff nQ Q vss CLK vdd nD D
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_0 vss vdd vdd vdd nQ Q sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_1 vss vdd vdd vdd Q nQ sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__nfet_01v8_2BS854_0 vss CLK vss m1_657_280# m1_657_280# vss sky130_fd_pr__nfet_01v8_2BS854
+Xsky130_fd_pr__nfet_01v8_KU9PSX_0 m1_657_280# Q nD vss sky130_fd_pr__nfet_01v8_KU9PSX
+Xsky130_fd_pr__nfet_01v8_KU9PSX_1 m1_657_280# nQ D vss sky130_fd_pr__nfet_01v8_KU9PSX
+.ends
+
+.subckt DFlipFlop vss nQ Q vdd CLK nCLK D
+Xclock_inverter_0 vss D vdd latch_diff_0/D latch_diff_0/nD clock_inverter
+Xlatch_diff_0 latch_diff_1/nD latch_diff_1/D vss CLK vdd latch_diff_0/nD latch_diff_0/D
++ latch_diff
+Xlatch_diff_1 nQ Q vss nCLK vdd latch_diff_1/nD latch_diff_1/D latch_diff
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZP3U9B VSUBS a_n221_n84# a_159_n84# w_n359_n303# a_n63_n110#
++ a_n129_n84# a_33_n110# a_n159_n110# a_63_n84# a_129_n110# a_n33_n84#
+X0 a_n129_n84# a_n159_n110# a_n221_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_63_n84# a_33_n110# a_n33_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 a_n33_n84# a_n63_n110# a_n129_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_159_n84# a_129_n110# a_63_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_DXA56D w_n359_n252# a_n33_n42# a_129_n68# a_n159_n68#
++ a_n221_n42# a_159_n42# a_n129_n42# a_33_n68# a_n63_n68# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n129_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2 a_159_n42# a_129_n68# a_63_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3 a_n129_n42# a_n159_n68# a_n221_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt inverter_min_x4 in vss out vdd
+Xsky130_fd_pr__pfet_01v8_ZP3U9B_0 vss out out vdd in vdd in in vdd in out sky130_fd_pr__pfet_01v8_ZP3U9B
+Xsky130_fd_pr__nfet_01v8_DXA56D_0 vss out in in out out vss in in vss sky130_fd_pr__nfet_01v8_DXA56D
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_5RJ8EK a_n33_n42# a_33_n68# w_n263_n252# a_n63_n68#
++ a_n125_n42# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n125_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZPB9BB VSUBS a_n63_n110# a_33_n110# a_n125_n84# a_63_n84#
++ w_n263_n303# a_n33_n84#
+X0 a_63_n84# a_33_n110# a_n33_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_n33_n84# a_n63_n110# a_n125_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+.ends
+
+.subckt inverter_min_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_5RJ8EK_0 vss in vss in out out sky130_fd_pr__nfet_01v8_5RJ8EK
+Xsky130_fd_pr__pfet_01v8_ZPB9BB_0 vss in in out out vdd vdd sky130_fd_pr__pfet_01v8_ZPB9BB
+.ends
+
+.subckt div_by_2 vss vdd CLK_2 nCLK_2 o1 CLK out_div o2 nout_div
+XDFlipFlop_0 vss nout_div out_div vdd DFlipFlop_0/CLK DFlipFlop_0/nCLK nout_div DFlipFlop
+Xclock_inverter_0 vss CLK vdd DFlipFlop_0/CLK DFlipFlop_0/nCLK clock_inverter
+Xinverter_min_x4_0 o1 vss CLK_2 vdd inverter_min_x4
+Xinverter_min_x4_1 o2 vss nCLK_2 vdd inverter_min_x4
+Xinverter_min_x2_0 nout_div o2 vss vdd inverter_min_x2
+Xinverter_min_x2_1 out_div o1 vss vdd inverter_min_x2
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_58ZKDE VSUBS a_n257_n777# a_n129_n600# a_n221_n600#
++ w_n257_n702#
+X0 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X1 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X2 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X3 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_T69Y3A a_n129_n300# a_n221_n300# w_n257_n327# a_n257_n404#
+X0 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X1 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X2 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X3 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+.ends
+
+.subckt buffer_salida in out vss vdd
+Xsky130_fd_pr__pfet_01v8_58ZKDE_1 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_2 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_3 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_0 a_678_n100# vss vss in sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_1 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_4 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_5 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_2 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_3 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_6 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_4 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_7 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_70 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_8 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_5 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_71 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_60 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_6 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_9 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_72 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_61 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_50 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_7 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_62 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_51 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_40 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_8 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_63 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_52 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_41 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_30 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_9 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_20 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_64 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_53 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_42 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_31 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_10 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_21 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_65 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_54 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_43 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_32 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_11 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_22 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_66 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_55 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_44 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_33 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_12 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_23 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_67 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_56 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_45 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_34 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_13 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_24 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_68 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_57 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_46 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_35 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_14 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_69 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_58 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_47 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_36 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_25 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_15 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_59 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_48 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_37 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_26 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_16 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_49 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_38 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_27 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_70 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_17 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_39 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_28 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_71 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_60 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_18 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_29 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_72 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_61 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_50 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_19 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_62 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_51 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_40 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_63 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_52 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_41 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_30 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_20 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_64 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_53 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_42 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_31 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_10 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_21 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_65 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_54 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_43 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_32 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_11 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_22 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_66 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_55 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_44 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_33 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_12 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_23 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_67 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_56 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_45 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_34 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_13 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_24 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_68 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_57 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_46 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_35 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_14 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_69 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_58 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_47 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_36 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_25 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_15 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_59 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_48 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_37 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_26 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_16 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_49 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_38 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_27 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_17 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_39 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_28 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_18 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_29 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_19 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_0 vss in a_678_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_CBAU6Y a_n73_n150# a_n33_n238# w_n211_n360# a_15_n150#
+X0 a_15_n150# a_n33_n238# a_n73_n150# w_n211_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4757AC VSUBS a_n73_n150# a_n33_181# w_n211_n369# a_15_n150#
+X0 a_15_n150# a_n33_181# a_n73_n150# w_n211_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_7H8F5S a_n465_172# a_n417_n150# a_351_n150# a_255_n150#
++ w_n647_n360# a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150#
+X0 a_159_n150# a_n465_172# a_63_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n225_n150# a_n465_172# a_n321_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_447_n150# a_n465_172# a_351_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_63_n150# a_n465_172# a_n33_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n465_172# a_n225_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n465_172# a_n509_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n33_n150# a_n465_172# a_n129_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_351_n150# a_n465_172# a_255_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_255_n150# a_n465_172# a_159_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n321_n150# a_n465_172# a_n417_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_8DL6ZL VSUBS a_n417_n150# a_351_n150# a_255_n150#
++ a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n465_n247# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150# w_n647_n369#
+X0 a_63_n150# a_n465_n247# a_n33_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n129_n150# a_n465_n247# a_n225_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n417_n150# a_n465_n247# a_n509_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n33_n150# a_n465_n247# a_n129_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_351_n150# a_n465_n247# a_255_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_255_n150# a_n465_n247# a_159_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n321_n150# a_n465_n247# a_n417_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_159_n150# a_n465_n247# a_63_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n225_n150# a_n465_n247# a_n321_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_447_n150# a_n465_n247# a_351_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_EDT3AT a_15_n11# a_n33_n99# w_n211_n221# a_n73_n11#
+X0 a_15_n11# a_n33_n99# a_n73_n11# w_n211_n221# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AQR2CW a_n33_66# a_n78_n106# w_n216_n254# a_20_n106#
+X0 a_20_n106# a_n33_66# a_n78_n106# w_n216_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=200000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_HRYSXS VSUBS a_n33_n211# a_n78_n114# w_n216_n334#
++ a_20_n114#
+X0 a_20_n114# a_n33_n211# a_n78_n114# w_n216_n334# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=200000u
+.ends
+
+.subckt inverter_csvco in vbulkn out vbulkp vdd vss
+Xsky130_fd_pr__nfet_01v8_AQR2CW_0 in vss vbulkn out sky130_fd_pr__nfet_01v8_AQR2CW
+Xsky130_fd_pr__pfet_01v8_HRYSXS_0 vbulkn in vdd vbulkp out sky130_fd_pr__pfet_01v8_HRYSXS
+.ends
+
+.subckt csvco_branch vctrl in vbp D0 out vss vdd
+Xsky130_fd_pr__nfet_01v8_7H8F5S_0 vctrl inverter_csvco_0/vss inverter_csvco_0/vss
++ vss vss inverter_csvco_0/vss vss vss inverter_csvco_0/vss vss inverter_csvco_0/vss
++ vss vss sky130_fd_pr__nfet_01v8_7H8F5S
+Xsky130_fd_pr__pfet_01v8_8DL6ZL_0 vss inverter_csvco_0/vdd inverter_csvco_0/vdd vdd
++ inverter_csvco_0/vdd vdd vdd inverter_csvco_0/vdd vbp vdd inverter_csvco_0/vdd vdd
++ vdd vdd sky130_fd_pr__pfet_01v8_8DL6ZL
+Xsky130_fd_pr__nfet_01v8_EDT3AT_0 cap_vco_0/t D0 vss out sky130_fd_pr__nfet_01v8_EDT3AT
+Xinverter_csvco_0 in vss out vdd inverter_csvco_0/vdd inverter_csvco_0/vss inverter_csvco
+.ends
+
+.subckt ring_osc vctrl vdd vss D0 out_vco
+Xsky130_fd_pr__nfet_01v8_CBAU6Y_0 vss vctrl vss csvco_branch_2/vbp sky130_fd_pr__nfet_01v8_CBAU6Y
+Xsky130_fd_pr__pfet_01v8_4757AC_0 vss vdd csvco_branch_2/vbp vdd csvco_branch_2/vbp
++ sky130_fd_pr__pfet_01v8_4757AC
+Xcsvco_branch_0 vctrl out_vco csvco_branch_2/vbp D0 csvco_branch_1/in vss vdd csvco_branch
+Xcsvco_branch_2 vctrl csvco_branch_2/in csvco_branch_2/vbp D0 out_vco vss vdd csvco_branch
+Xcsvco_branch_1 vctrl csvco_branch_1/in csvco_branch_2/vbp D0 csvco_branch_2/in vss
++ vdd csvco_branch
+.ends
+
+.subckt ring_osc_buffer vss in_vco vdd o1 out_div out_pad
+Xinverter_min_x4_0 o1 vss out_div vdd inverter_min_x4
+Xinverter_min_x4_1 out_div vss out_pad vdd inverter_min_x4
+Xinverter_min_x2_0 in_vco o1 vss vdd inverter_min_x2
+.ends
+
+.subckt sky130_fd_sc_hs__xor2_1 A B VGND VNB VPB VPWR X
+X0 X B a_455_87# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X1 X a_194_125# a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X2 a_194_125# B a_158_392# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 a_158_392# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 VPWR A a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X5 a_355_368# B VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X6 a_194_125# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X7 a_455_87# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X8 VGND B a_194_125# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X9 VGND a_194_125# X VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hs__and2_1 A B VGND VNB VPB VPWR X
+X0 VGND B a_143_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1 X a_56_136# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X2 VPWR B a_56_136# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_143_136# A a_56_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X4 a_56_136# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X5 X a_56_136# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hs__or2_1 A B VGND VNB VPB VPWR X
+X0 VPWR A a_152_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_152_368# B a_63_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 X a_63_368# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X3 X a_63_368# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X4 a_63_368# B VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X5 VGND A a_63_368# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+.ends
+
+.subckt div_by_5 nCLK vdd Q0 CLK nQ0 CLK_5 nQ2 vss Q1 Q1_shift
+Xsky130_fd_sc_hs__xor2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_2/D sky130_fd_sc_hs__xor2_1
+XDFlipFlop_0 vss nQ2 DFlipFlop_0/Q vdd CLK nCLK DFlipFlop_0/D DFlipFlop
+XDFlipFlop_1 vss nQ0 Q0 vdd CLK nCLK DFlipFlop_1/D DFlipFlop
+XDFlipFlop_2 vss DFlipFlop_2/nQ Q1 vdd CLK nCLK DFlipFlop_2/D DFlipFlop
+XDFlipFlop_3 vss DFlipFlop_3/nQ Q1_shift vdd nCLK CLK Q1 DFlipFlop
+Xsky130_fd_sc_hs__and2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_0/D sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__and2_1_1 nQ2 nQ0 vss vss vdd vdd DFlipFlop_1/D sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__or2_1_0 Q1 Q1_shift vss vss vdd vdd CLK_5 sky130_fd_sc_hs__or2_1
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AZESM8 a_n63_n151# a_n33_n125# a_n255_n151# a_33_n151#
++ a_n225_n125# a_63_n125# a_n129_n125# a_n159_n151# w_n455_n335# a_225_n151# a_255_n125#
++ a_129_n151# a_159_n125# a_n317_n125#
+X0 a_159_n125# a_129_n151# a_63_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n225_n125# a_n255_n151# a_n317_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_63_n125# a_33_n151# a_n33_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_n129_n125# a_n159_n151# a_n225_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n33_n125# a_n63_n151# a_n129_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_255_n125# a_225_n151# a_159_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_XJXT7S VSUBS a_n33_n125# a_n255_n154# a_33_n154# a_n225_n125#
++ a_n159_n154# a_63_n125# a_n129_n125# a_225_n154# a_129_n154# a_255_n125# a_159_n125#
++ a_n317_n125# w_n455_n344# a_n63_n154#
+X0 a_n129_n125# a_n159_n154# a_n225_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n33_n125# a_n63_n154# a_n129_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_255_n125# a_225_n154# a_159_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_159_n125# a_129_n154# a_63_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n225_n125# a_n255_n154# a_n317_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_63_n125# a_33_n154# a_n33_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt inverter_cp_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_AZESM8_0 in vss in in vss out out in vss in out in vss out
++ sky130_fd_pr__nfet_01v8_AZESM8
+Xsky130_fd_pr__pfet_01v8_XJXT7S_0 vss vdd in in vdd in out out in in out vdd out vdd
++ in sky130_fd_pr__pfet_01v8_XJXT7S
+.ends
+
+.subckt pfd_cp_interface vss vdd Down QA QB nDown Up nUp
+Xinverter_cp_x2_0 nDown Down vss vdd inverter_cp_x2
+Xinverter_cp_x2_1 Up nUp vss vdd inverter_cp_x2
+Xtrans_gate_0 nDown inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 QB vss inverter_cp_x1_0/out vdd inverter_cp_x1
+Xinverter_cp_x1_2 inverter_cp_x1_2/in vss Up vdd inverter_cp_x1
+Xinverter_cp_x1_1 QA vss inverter_cp_x1_2/in vdd inverter_cp_x1
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F35BC VSUBS w_n359_n309# a_n63_n116# a_n159_n207#
++ a_n33_n90# a_n221_n90# a_159_n90#
+X0 a_159_n90# a_n63_n116# a_63_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n129_n90# a_n159_n207# a_n221_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X2 a_63_n90# a_n159_n207# a_n33_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X3 a_n33_n90# a_n63_n116# a_n129_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_C3YG4M a_n33_n45# a_33_n71# a_n129_71# w_n263_n255#
++ a_n125_n45# a_63_n45#
+X0 a_63_n45# a_33_n71# a_n33_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n129_71# a_n125_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+.ends
+
+.subckt nor_pfd out vss vdd A B
+Xsky130_fd_pr__pfet_01v8_4F35BC_0 vss vdd B A out vdd vdd sky130_fd_pr__pfet_01v8_4F35BC
+Xsky130_fd_pr__nfet_01v8_C3YG4M_0 out B A vss vss vss sky130_fd_pr__nfet_01v8_C3YG4M
+.ends
+
+.subckt dff_pfd vss vdd Q CLK Reset
+Xnor_pfd_0 nor_pfd_2/A vss vdd CLK Q nor_pfd
+Xnor_pfd_1 Q vss vdd nor_pfd_2/A nor_pfd_3/A nor_pfd
+Xnor_pfd_2 nor_pfd_3/A vss vdd nor_pfd_2/A nor_pfd_2/B nor_pfd
+Xnor_pfd_3 nor_pfd_2/B vss vdd nor_pfd_3/A Reset nor_pfd
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZCYAJJ w_n359_n255# a_n33_n45# a_n159_n173# a_n221_n45#
++ a_159_n45# a_n63_n71#
+X0 a_63_n45# a_n159_n173# a_n33_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n63_n71# a_n129_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X2 a_159_n45# a_n63_n71# a_63_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X3 a_n129_n45# a_n159_n173# a_n221_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7T83YG VSUBS a_n125_n90# a_63_n90# a_33_n187# a_n99_n187#
++ a_n33_n90# w_n263_n309#
+X0 a_63_n90# a_33_n187# a_n33_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n33_n90# a_n99_n187# a_n125_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZXAV3F a_n73_n45# a_n33_67# a_15_n45# w_n211_n255#
+X0 a_15_n45# a_n33_67# a_n73_n45# w_n211_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F7GBC VSUBS a_n51_n187# a_n73_n90# a_15_n90# w_n211_n309#
+X0 a_15_n90# a_n51_n187# a_n73_n90# w_n211_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+.ends
+
+.subckt and_pfd vss out vdd A B
+Xsky130_fd_pr__nfet_01v8_ZCYAJJ_0 vss a_656_410# A vss vss B sky130_fd_pr__nfet_01v8_ZCYAJJ
+Xsky130_fd_pr__pfet_01v8_7T83YG_0 vss vdd vdd B A a_656_410# vdd sky130_fd_pr__pfet_01v8_7T83YG
+Xsky130_fd_pr__nfet_01v8_ZXAV3F_0 vss a_656_410# out vss sky130_fd_pr__nfet_01v8_ZXAV3F
+Xsky130_fd_pr__pfet_01v8_4F7GBC_0 vss a_656_410# vdd out vdd sky130_fd_pr__pfet_01v8_4F7GBC
+.ends
+
+.subckt PFD vss vdd Down Up A B Reset
+Xdff_pfd_0 vss vdd Up A Reset dff_pfd
+Xdff_pfd_1 vss vdd Down B Reset dff_pfd
+Xand_pfd_0 vss Reset vdd Up Down and_pfd
+.ends
+
+.subckt top_pll_v1 pfd_reset in_ref QA QB Down nDown Up nUp biasp pswitch nswitch
++ vco_vctrl vco_D0 vco_out out_first_buffer out_to_div out_div_2 n_out_div_2 n_out_buffer_div_2
++ out_buffer_div_2 out_by_2 n_out_by_2 div_5_Q1_shift out_div_by_5 div_5_Q1 div_5_Q0
++ div_5_nQ0 div_5_nQ2 iref_cp vdd vss lf_vc
+Xloop_filter_0 lf_vc vco_vctrl vss loop_filter
+Xcharge_pump_0 Down vco_vctrl iref_cp pswitch nDown biasp Up nswitch vss vdd nUp charge_pump
+Xdiv_by_2_0 vss vdd out_by_2 n_out_by_2 out_buffer_div_2 out_to_div out_div_2 n_out_buffer_div_2
++ n_out_div_2 div_by_2
+Xbuffer_salida_0 out_to_buffer out_to_pad vss vdd buffer_salida
+Xring_osc_0 vco_vctrl vdd vss vco_D0 vco_out ring_osc
+Xring_osc_buffer_0 vss vco_out vdd out_first_buffer out_to_div out_to_buffer ring_osc_buffer
+Xdiv_by_5_0 n_out_by_2 vdd div_5_Q0 out_by_2 div_5_nQ0 out_div_by_5 div_5_nQ2 vss
++ div_5_Q1 div_5_Q1_shift div_by_5
+Xpfd_cp_interface_0 vss vdd Down QA QB nDown Up nUp pfd_cp_interface
+XPFD_0 vss vdd QB QA in_ref out_div_by_5 pfd_reset PFD
+.ends
+
diff --git a/mag/extractions/top_pll_v1_pex_c.spice b/mag/extractions/top_pll_v1_pex_c.spice
new file mode 100644
index 0000000..55c72a5
--- /dev/null
+++ b/mag/extractions/top_pll_v1_pex_c.spice
@@ -0,0 +1,2875 @@
+* NGSPICE file created from top_pll_v1.ext - technology: sky130A
+
+.subckt sky130_fd_pr__cap_mim_m3_1_MACBVW VSUBS m3_n2650_n13200# m3_n7969_n2600# m3_7988_8000#
++ m3_2669_n7900# m3_n13288_n2600# m3_n2650_2700# m3_2669_2700# m3_n13288_n13200# m3_n7969_n13200#
++ m3_n13288_8000# m3_7988_2700# m3_n2650_n7900# m3_7988_n7900# m3_2669_n13200# m3_n7969_8000#
++ m3_n13288_2700# m3_n7969_n7900# m3_n13288_n7900# m3_2669_n2600# m3_n7969_2700# m3_7988_n13200#
++ c1_n13188_n13100# m3_7988_n2600# m3_n2650_n2600# m3_n2650_8000# m3_2669_8000#
+X0 c1_n13188_n13100# m3_2669_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X1 c1_n13188_n13100# m3_n2650_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X2 c1_n13188_n13100# m3_2669_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X3 c1_n13188_n13100# m3_n13288_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X4 c1_n13188_n13100# m3_n7969_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X5 c1_n13188_n13100# m3_n13288_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X6 c1_n13188_n13100# m3_2669_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X7 c1_n13188_n13100# m3_7988_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X8 c1_n13188_n13100# m3_2669_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X9 c1_n13188_n13100# m3_7988_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X10 c1_n13188_n13100# m3_n7969_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X11 c1_n13188_n13100# m3_7988_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X12 c1_n13188_n13100# m3_n7969_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X13 c1_n13188_n13100# m3_7988_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X14 c1_n13188_n13100# m3_n13288_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X15 c1_n13188_n13100# m3_n7969_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X16 c1_n13188_n13100# m3_n2650_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X17 c1_n13188_n13100# m3_n2650_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X18 c1_n13188_n13100# m3_n2650_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X19 c1_n13188_n13100# m3_7988_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X20 c1_n13188_n13100# m3_n13288_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X21 c1_n13188_n13100# m3_n13288_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X22 c1_n13188_n13100# m3_n7969_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X23 c1_n13188_n13100# m3_n2650_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X24 c1_n13188_n13100# m3_2669_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+C0 m3_n2650_n13200# c1_n13188_n13100# 58.61fF
+C1 m3_n13288_8000# m3_n7969_8000# 2.73fF
+C2 m3_7988_2700# m3_7988_8000# 3.39fF
+C3 m3_2669_n2600# m3_n2650_n2600# 2.73fF
+C4 m3_n7969_n7900# c1_n13188_n13100# 58.86fF
+C5 m3_7988_n7900# m3_2669_n7900# 2.73fF
+C6 c1_n13188_n13100# m3_n7969_n2600# 58.86fF
+C7 c1_n13188_n13100# m3_7988_n2600# 61.01fF
+C8 m3_2669_8000# m3_n2650_8000# 2.73fF
+C9 m3_n7969_2700# m3_n7969_n2600# 3.28fF
+C10 m3_n2650_n7900# m3_2669_n7900# 2.73fF
+C11 m3_2669_n2600# m3_2669_2700# 3.28fF
+C12 m3_7988_n2600# m3_7988_2700# 3.39fF
+C13 c1_n13188_n13100# m3_n2650_2700# 58.86fF
+C14 c1_n13188_n13100# m3_n13288_n2600# 58.61fF
+C15 m3_7988_n2600# m3_7988_n7900# 3.39fF
+C16 m3_n7969_2700# m3_n2650_2700# 2.73fF
+C17 m3_n2650_n13200# m3_n2650_n7900# 3.28fF
+C18 c1_n13188_n13100# m3_n7969_8000# 58.61fF
+C19 m3_n13288_8000# c1_n13188_n13100# 58.36fF
+C20 m3_n7969_n7900# m3_n2650_n7900# 2.73fF
+C21 m3_2669_n2600# m3_2669_n7900# 3.28fF
+C22 m3_n7969_2700# m3_n7969_8000# 3.28fF
+C23 c1_n13188_n13100# m3_n7969_n13200# 58.61fF
+C24 c1_n13188_n13100# m3_2669_n13200# 58.61fF
+C25 m3_2669_n2600# m3_7988_n2600# 2.73fF
+C26 m3_n7969_n13200# m3_n13288_n13200# 2.73fF
+C27 m3_n2650_2700# m3_n2650_8000# 3.28fF
+C28 m3_n7969_2700# c1_n13188_n13100# 58.86fF
+C29 m3_2669_2700# m3_2669_8000# 3.28fF
+C30 m3_n2650_8000# m3_n7969_8000# 2.73fF
+C31 c1_n13188_n13100# m3_7988_2700# 61.01fF
+C32 c1_n13188_n13100# m3_7988_n7900# 61.01fF
+C33 c1_n13188_n13100# m3_n13288_n13200# 58.36fF
+C34 m3_n13288_n2600# m3_n13288_2700# 3.28fF
+C35 m3_2669_8000# m3_7988_8000# 2.73fF
+C36 m3_7988_n13200# m3_2669_n13200# 2.73fF
+C37 m3_n2650_n2600# m3_n7969_n2600# 2.73fF
+C38 m3_n13288_8000# m3_n13288_2700# 3.28fF
+C39 c1_n13188_n13100# m3_n2650_n7900# 58.86fF
+C40 m3_7988_n13200# c1_n13188_n13100# 60.75fF
+C41 m3_n7969_n7900# m3_n13288_n7900# 2.73fF
+C42 m3_n2650_2700# m3_n2650_n2600# 3.28fF
+C43 c1_n13188_n13100# m3_n2650_8000# 58.61fF
+C44 m3_2669_n2600# c1_n13188_n13100# 58.86fF
+C45 m3_7988_n13200# m3_7988_n7900# 3.39fF
+C46 c1_n13188_n13100# m3_n13288_2700# 58.61fF
+C47 m3_n2650_2700# m3_2669_2700# 2.73fF
+C48 m3_n13288_n2600# m3_n13288_n7900# 3.28fF
+C49 m3_n7969_2700# m3_n13288_2700# 2.73fF
+C50 m3_n7969_n7900# m3_n7969_n2600# 3.28fF
+C51 c1_n13188_n13100# m3_n2650_n2600# 58.86fF
+C52 c1_n13188_n13100# m3_2669_8000# 58.61fF
+C53 m3_n13288_n2600# m3_n7969_n2600# 2.73fF
+C54 c1_n13188_n13100# m3_n13288_n7900# 58.61fF
+C55 c1_n13188_n13100# m3_2669_2700# 58.86fF
+C56 m3_2669_n13200# m3_2669_n7900# 3.28fF
+C57 m3_n2650_n13200# m3_n7969_n13200# 2.73fF
+C58 m3_n7969_n7900# m3_n7969_n13200# 3.28fF
+C59 m3_n2650_n7900# m3_n2650_n2600# 3.28fF
+C60 c1_n13188_n13100# m3_2669_n7900# 58.86fF
+C61 m3_2669_2700# m3_7988_2700# 2.73fF
+C62 c1_n13188_n13100# m3_7988_8000# 60.75fF
+C63 m3_n2650_n13200# m3_2669_n13200# 2.73fF
+C64 m3_n13288_n7900# m3_n13288_n13200# 3.28fF
+C65 c1_n13188_n13100# VSUBS 2.51fF
+C66 m3_7988_n13200# VSUBS 12.57fF
+C67 m3_2669_n13200# VSUBS 12.37fF
+C68 m3_n2650_n13200# VSUBS 12.37fF
+C69 m3_n7969_n13200# VSUBS 12.37fF
+C70 m3_n13288_n13200# VSUBS 12.37fF
+C71 m3_7988_n7900# VSUBS 12.57fF
+C72 m3_2669_n7900# VSUBS 12.37fF
+C73 m3_n2650_n7900# VSUBS 12.37fF
+C74 m3_n7969_n7900# VSUBS 12.37fF
+C75 m3_n13288_n7900# VSUBS 12.37fF
+C76 m3_7988_n2600# VSUBS 12.57fF
+C77 m3_2669_n2600# VSUBS 12.37fF
+C78 m3_n2650_n2600# VSUBS 12.37fF
+C79 m3_n7969_n2600# VSUBS 12.37fF
+C80 m3_n13288_n2600# VSUBS 12.37fF
+C81 m3_7988_2700# VSUBS 12.57fF
+C82 m3_2669_2700# VSUBS 12.37fF
+C83 m3_n2650_2700# VSUBS 12.37fF
+C84 m3_n7969_2700# VSUBS 12.37fF
+C85 m3_n13288_2700# VSUBS 12.37fF
+C86 m3_7988_8000# VSUBS 12.57fF
+C87 m3_2669_8000# VSUBS 12.37fF
+C88 m3_n2650_8000# VSUBS 12.37fF
+C89 m3_n7969_8000# VSUBS 12.37fF
+C90 m3_n13288_8000# VSUBS 12.37fF
+.ends
+
+.subckt cap1_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_MACBVW_0 VSUBS out out out out out out out out out out
++ out out out out out out out out out out out in out out out out sky130_fd_pr__cap_mim_m3_1_MACBVW
+C0 out in 2.17fF
+C1 in VSUBS -10.03fF
+C2 out VSUBS 62.40fF
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_W3JTNJ VSUBS m3_n6469_n2100# c1_n6369_n6300# m3_2169_n6400#
++ m3_n2150_n6400# c1_2269_n6300# m3_n6469_2200# m3_n2150_n2100# c1_n2050_n6300# m3_n2150_2200#
++ m3_n6469_n6400#
+X0 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X1 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X2 c1_n2050_n6300# m3_n2150_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X3 c1_n6369_n6300# m3_n6469_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X4 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X5 c1_n6369_n6300# m3_n6469_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X6 c1_n2050_n6300# m3_n2150_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X7 c1_n2050_n6300# m3_n2150_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X8 c1_n6369_n6300# m3_n6469_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+C0 m3_n2150_2200# c1_n2050_n6300# 38.10fF
+C1 c1_2269_n6300# m3_2169_n6400# 121.67fF
+C2 m3_n6469_2200# m3_n2150_2200# 1.75fF
+C3 m3_n2150_n2100# m3_2169_n6400# 1.75fF
+C4 m3_n2150_n6400# c1_n2050_n6300# 38.10fF
+C5 m3_n6469_n2100# m3_n6469_2200# 2.63fF
+C6 m3_n6469_n6400# m3_n2150_n6400# 1.75fF
+C7 m3_n6469_n2100# m3_n6469_n6400# 2.63fF
+C8 m3_n2150_n2100# m3_n2150_2200# 2.63fF
+C9 c1_n6369_n6300# m3_n6469_n2100# 38.10fF
+C10 c1_n6369_n6300# c1_n2050_n6300# 1.99fF
+C11 c1_2269_n6300# c1_n2050_n6300# 1.99fF
+C12 c1_n6369_n6300# m3_n6469_2200# 38.10fF
+C13 m3_n2150_2200# m3_2169_n6400# 1.75fF
+C14 c1_n6369_n6300# m3_n6469_n6400# 38.10fF
+C15 m3_n2150_n2100# m3_n2150_n6400# 2.63fF
+C16 m3_n2150_n2100# m3_n6469_n2100# 1.75fF
+C17 m3_n2150_n2100# c1_n2050_n6300# 38.10fF
+C18 m3_n2150_n6400# m3_2169_n6400# 1.75fF
+C19 c1_2269_n6300# VSUBS 0.16fF
+C20 c1_n2050_n6300# VSUBS 0.16fF
+C21 c1_n6369_n6300# VSUBS 0.16fF
+C22 m3_n2150_n6400# VSUBS 8.68fF
+C23 m3_n6469_n6400# VSUBS 8.68fF
+C24 m3_n2150_n2100# VSUBS 8.68fF
+C25 m3_n6469_n2100# VSUBS 8.68fF
+C26 m3_2169_n6400# VSUBS 26.86fF
+C27 m3_n2150_2200# VSUBS 8.68fF
+C28 m3_n6469_2200# VSUBS 8.68fF
+.ends
+
+.subckt cap2_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_W3JTNJ_0 VSUBS out in out out in out out in out out sky130_fd_pr__cap_mim_m3_1_W3JTNJ
+C0 in out 8.08fF
+C1 in VSUBS -16.59fF
+C2 out VSUBS 13.00fF
+.ends
+
+.subckt sky130_fd_pr__res_high_po_5p73_X44RQA a_n573_2292# w_n739_n2890# a_n573_n2724#
+X0 a_n573_n2724# a_n573_2292# w_n739_n2890# sky130_fd_pr__res_high_po_5p73 l=2.292e+07u
+C0 a_n573_n2724# w_n739_n2890# 1.98fF
+C1 a_n573_2292# w_n739_n2890# 1.98fF
+.ends
+
+.subckt res_loop_filter vss out in
+Xsky130_fd_pr__res_high_po_5p73_X44RQA_0 in vss out sky130_fd_pr__res_high_po_5p73_X44RQA
+C0 out vss 3.87fF
+C1 in vss 3.02fF
+.ends
+
+.subckt loop_filter vc_pex in vss
+Xcap1_loop_filter_0 vss vc_pex vss cap1_loop_filter
+Xcap2_loop_filter_0 vss in vss cap2_loop_filter
+Xres_loop_filter_0 vss res_loop_filter_2/out in res_loop_filter
+Xres_loop_filter_1 vss res_loop_filter_2/out vc_pex res_loop_filter
+Xres_loop_filter_2 vss res_loop_filter_2/out vc_pex res_loop_filter
+C0 in vc_pex 0.18fF
+C1 vc_pex vss -38.13fF
+C2 res_loop_filter_2/out vss 8.49fF
+C3 in vss -18.79fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4ML9WA VSUBS a_429_n486# w_n2457_n634# a_887_n486#
++ a_n29_n486# a_1345_n486# a_n2261_n512# a_1803_n486# a_n487_n486# a_n945_n486# a_n2319_n486#
++ a_n1403_n486# a_2261_n486# a_n1861_n486#
+X0 a_2261_n486# a_n2261_n512# a_1803_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X1 a_n945_n486# a_n2261_n512# a_n1403_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X2 a_429_n486# a_n2261_n512# a_n29_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X3 a_1803_n486# a_n2261_n512# a_1345_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X4 a_887_n486# a_n2261_n512# a_429_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X5 a_n487_n486# a_n2261_n512# a_n945_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X6 a_n1403_n486# a_n2261_n512# a_n1861_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X7 a_n1861_n486# a_n2261_n512# a_n2319_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X8 a_n29_n486# a_n2261_n512# a_n487_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X9 a_1345_n486# a_n2261_n512# a_887_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+C0 w_n2457_n634# a_1803_n486# 0.02fF
+C1 w_n2457_n634# a_2261_n486# 0.02fF
+C2 a_n945_n486# w_n2457_n634# 0.02fF
+C3 w_n2457_n634# a_n1861_n486# 0.02fF
+C4 w_n2457_n634# a_1345_n486# 0.02fF
+C5 w_n2457_n634# a_429_n486# 0.02fF
+C6 a_n2319_n486# w_n2457_n634# 0.02fF
+C7 w_n2457_n634# a_n1403_n486# 0.02fF
+C8 w_n2457_n634# a_n29_n486# 0.02fF
+C9 w_n2457_n634# a_n487_n486# 0.02fF
+C10 w_n2457_n634# a_887_n486# 0.02fF
+C11 a_2261_n486# VSUBS 0.03fF
+C12 a_1803_n486# VSUBS 0.03fF
+C13 a_1345_n486# VSUBS 0.03fF
+C14 a_887_n486# VSUBS 0.03fF
+C15 a_429_n486# VSUBS 0.03fF
+C16 a_n29_n486# VSUBS 0.03fF
+C17 a_n487_n486# VSUBS 0.03fF
+C18 a_n945_n486# VSUBS 0.03fF
+C19 a_n1403_n486# VSUBS 0.03fF
+C20 a_n1861_n486# VSUBS 0.03fF
+C21 a_n2319_n486# VSUBS 0.03fF
+C22 a_n2261_n512# VSUBS 4.27fF
+C23 w_n2457_n634# VSUBS 21.34fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_YCGG98 a_n1041_n75# a_n561_n75# a_1167_n75# a_303_n75#
++ a_687_n75# a_n849_n75# a_n369_n75# a_975_n75# a_111_n75# a_495_n75# a_n1137_n75#
++ a_n657_n75# a_n177_n75# a_783_n75# a_n945_n75# a_n465_n75# a_207_n75# a_1071_n75#
++ a_591_n75# a_15_n75# a_n753_n75# w_n1367_n285# a_n273_n75# a_879_n75# a_399_n75#
++ a_n1229_n75# a_n81_n75# a_n1167_n101#
+X0 a_207_n75# a_n1167_n101# a_111_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_303_n75# a_n1167_n101# a_207_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_399_n75# a_n1167_n101# a_303_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_495_n75# a_n1167_n101# a_399_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_591_n75# a_n1167_n101# a_495_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_783_n75# a_n1167_n101# a_687_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_687_n75# a_n1167_n101# a_591_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_879_n75# a_n1167_n101# a_783_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_975_n75# a_n1167_n101# a_879_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_n1041_n75# a_n1167_n101# a_n1137_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_n1137_n75# a_n1167_n101# a_n1229_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n561_n75# a_n1167_n101# a_n657_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_1071_n75# a_n1167_n101# a_975_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n945_n75# a_n1167_n101# a_n1041_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n753_n75# a_n1167_n101# a_n849_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n657_n75# a_n1167_n101# a_n753_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n465_n75# a_n1167_n101# a_n561_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n369_n75# a_n1167_n101# a_n465_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_1167_n75# a_n1167_n101# a_1071_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n849_n75# a_n1167_n101# a_n945_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X20 a_15_n75# a_n1167_n101# a_n81_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X21 a_n81_n75# a_n1167_n101# a_n177_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X22 a_111_n75# a_n1167_n101# a_15_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X23 a_n273_n75# a_n1167_n101# a_n369_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X24 a_n177_n75# a_n1167_n101# a_n273_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+C0 a_n1041_n75# a_n657_n75# 0.03fF
+C1 a_687_n75# a_591_n75# 0.22fF
+C2 a_15_n75# a_111_n75# 0.22fF
+C3 a_n945_n75# a_n1137_n75# 0.08fF
+C4 a_n753_n75# a_n657_n75# 0.22fF
+C5 a_687_n75# a_879_n75# 0.08fF
+C6 a_687_n75# a_399_n75# 0.05fF
+C7 a_879_n75# a_1071_n75# 0.08fF
+C8 a_n465_n75# a_n273_n75# 0.08fF
+C9 a_303_n75# a_495_n75# 0.08fF
+C10 a_n561_n75# a_n177_n75# 0.03fF
+C11 a_n81_n75# a_303_n75# 0.03fF
+C12 a_1167_n75# a_879_n75# 0.05fF
+C13 a_975_n75# a_591_n75# 0.03fF
+C14 a_783_n75# a_687_n75# 0.22fF
+C15 a_207_n75# a_591_n75# 0.03fF
+C16 a_975_n75# a_879_n75# 0.22fF
+C17 a_783_n75# a_1071_n75# 0.05fF
+C18 a_n945_n75# a_n657_n75# 0.05fF
+C19 a_207_n75# a_399_n75# 0.08fF
+C20 a_687_n75# a_495_n75# 0.08fF
+C21 a_n1229_n75# a_n849_n75# 0.03fF
+C22 a_n465_n75# a_n849_n75# 0.03fF
+C23 a_n81_n75# a_n369_n75# 0.05fF
+C24 a_783_n75# a_1167_n75# 0.03fF
+C25 a_783_n75# a_975_n75# 0.08fF
+C26 a_n1041_n75# a_n849_n75# 0.08fF
+C27 a_n177_n75# a_n369_n75# 0.08fF
+C28 a_n753_n75# a_n849_n75# 0.22fF
+C29 a_n1041_n75# a_n1229_n75# 0.08fF
+C30 a_207_n75# a_495_n75# 0.05fF
+C31 a_111_n75# a_399_n75# 0.05fF
+C32 a_15_n75# a_399_n75# 0.03fF
+C33 a_n81_n75# a_207_n75# 0.05fF
+C34 a_n753_n75# a_n465_n75# 0.05fF
+C35 a_207_n75# a_n177_n75# 0.03fF
+C36 a_n753_n75# a_n1041_n75# 0.05fF
+C37 a_n561_n75# a_n369_n75# 0.08fF
+C38 a_n81_n75# a_n273_n75# 0.08fF
+C39 a_n945_n75# a_n849_n75# 0.22fF
+C40 a_495_n75# a_111_n75# 0.03fF
+C41 a_n81_n75# a_111_n75# 0.08fF
+C42 a_n657_n75# a_n561_n75# 0.22fF
+C43 a_n1229_n75# a_n945_n75# 0.05fF
+C44 a_n273_n75# a_n177_n75# 0.22fF
+C45 a_n81_n75# a_15_n75# 0.22fF
+C46 a_303_n75# a_687_n75# 0.03fF
+C47 a_111_n75# a_n177_n75# 0.05fF
+C48 a_15_n75# a_n177_n75# 0.08fF
+C49 a_n1041_n75# a_n945_n75# 0.22fF
+C50 a_879_n75# a_591_n75# 0.05fF
+C51 a_399_n75# a_591_n75# 0.08fF
+C52 a_n753_n75# a_n945_n75# 0.08fF
+C53 a_n81_n75# a_n465_n75# 0.03fF
+C54 a_687_n75# a_1071_n75# 0.03fF
+C55 a_303_n75# a_207_n75# 0.22fF
+C56 a_n273_n75# a_n561_n75# 0.05fF
+C57 a_n465_n75# a_n177_n75# 0.05fF
+C58 a_n657_n75# a_n369_n75# 0.05fF
+C59 a_783_n75# a_591_n75# 0.08fF
+C60 a_1167_n75# a_1071_n75# 0.22fF
+C61 a_783_n75# a_879_n75# 0.22fF
+C62 a_975_n75# a_687_n75# 0.05fF
+C63 a_783_n75# a_399_n75# 0.03fF
+C64 a_975_n75# a_1071_n75# 0.22fF
+C65 a_495_n75# a_591_n75# 0.22fF
+C66 a_495_n75# a_879_n75# 0.03fF
+C67 a_n849_n75# a_n561_n75# 0.05fF
+C68 a_495_n75# a_399_n75# 0.22fF
+C69 a_303_n75# a_111_n75# 0.08fF
+C70 a_15_n75# a_303_n75# 0.05fF
+C71 a_1167_n75# a_975_n75# 0.08fF
+C72 a_n465_n75# a_n561_n75# 0.22fF
+C73 a_n273_n75# a_n369_n75# 0.22fF
+C74 a_783_n75# a_495_n75# 0.05fF
+C75 a_n849_n75# a_n1137_n75# 0.05fF
+C76 a_n273_n75# a_n657_n75# 0.03fF
+C77 a_15_n75# a_n369_n75# 0.03fF
+C78 a_n1229_n75# a_n1137_n75# 0.22fF
+C79 a_n753_n75# a_n561_n75# 0.08fF
+C80 a_n1041_n75# a_n1137_n75# 0.22fF
+C81 a_n465_n75# a_n369_n75# 0.22fF
+C82 a_207_n75# a_111_n75# 0.22fF
+C83 a_15_n75# a_207_n75# 0.08fF
+C84 a_n657_n75# a_n849_n75# 0.08fF
+C85 a_n753_n75# a_n1137_n75# 0.03fF
+C86 a_n81_n75# a_n177_n75# 0.22fF
+C87 a_303_n75# a_591_n75# 0.05fF
+C88 a_n465_n75# a_n657_n75# 0.08fF
+C89 a_n945_n75# a_n561_n75# 0.03fF
+C90 a_303_n75# a_399_n75# 0.22fF
+C91 a_n273_n75# a_111_n75# 0.03fF
+C92 a_n753_n75# a_n369_n75# 0.03fF
+C93 a_15_n75# a_n273_n75# 0.05fF
+C94 a_1167_n75# w_n1367_n285# 0.10fF
+C95 a_1071_n75# w_n1367_n285# 0.07fF
+C96 a_975_n75# w_n1367_n285# 0.06fF
+C97 a_879_n75# w_n1367_n285# 0.05fF
+C98 a_783_n75# w_n1367_n285# 0.04fF
+C99 a_687_n75# w_n1367_n285# 0.04fF
+C100 a_591_n75# w_n1367_n285# 0.04fF
+C101 a_495_n75# w_n1367_n285# 0.04fF
+C102 a_399_n75# w_n1367_n285# 0.04fF
+C103 a_303_n75# w_n1367_n285# 0.04fF
+C104 a_207_n75# w_n1367_n285# 0.04fF
+C105 a_111_n75# w_n1367_n285# 0.04fF
+C106 a_15_n75# w_n1367_n285# 0.04fF
+C107 a_n81_n75# w_n1367_n285# 0.04fF
+C108 a_n177_n75# w_n1367_n285# 0.04fF
+C109 a_n273_n75# w_n1367_n285# 0.04fF
+C110 a_n369_n75# w_n1367_n285# 0.04fF
+C111 a_n465_n75# w_n1367_n285# 0.04fF
+C112 a_n561_n75# w_n1367_n285# 0.04fF
+C113 a_n657_n75# w_n1367_n285# 0.04fF
+C114 a_n753_n75# w_n1367_n285# 0.04fF
+C115 a_n849_n75# w_n1367_n285# 0.04fF
+C116 a_n945_n75# w_n1367_n285# 0.04fF
+C117 a_n1041_n75# w_n1367_n285# 0.04fF
+C118 a_n1137_n75# w_n1367_n285# 0.04fF
+C119 a_n1229_n75# w_n1367_n285# 0.04fF
+C120 a_n1167_n101# w_n1367_n285# 2.55fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_MUHGM9 a_33_n101# a_n129_n75# a_735_n75# a_255_n75#
++ a_n417_n75# a_n989_n75# a_63_n75# a_543_n75# a_n705_n75# a_n225_n75# a_n33_n75#
++ a_831_n75# a_351_n75# a_n927_n101# a_n513_n75# a_n897_n75# w_n1127_n285# a_639_n75#
++ a_159_n75# a_n801_n75# a_n321_n75# a_927_n75# a_447_n75# a_n609_n75#
+X0 a_63_n75# a_33_n101# a_n33_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_927_n75# a_33_n101# a_831_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_n33_n75# a_n927_n101# a_n129_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_159_n75# a_33_n101# a_63_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_255_n75# a_33_n101# a_159_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_351_n75# a_33_n101# a_255_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_447_n75# a_33_n101# a_351_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_543_n75# a_33_n101# a_447_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_735_n75# a_33_n101# a_639_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_831_n75# a_33_n101# a_735_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_639_n75# a_33_n101# a_543_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n321_n75# a_n927_n101# a_n417_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_n801_n75# a_n927_n101# a_n897_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n705_n75# a_n927_n101# a_n801_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n513_n75# a_n927_n101# a_n609_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n417_n75# a_n927_n101# a_n513_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n225_n75# a_n927_n101# a_n321_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n129_n75# a_n927_n101# a_n225_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_n897_n75# a_n927_n101# a_n989_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n609_n75# a_n927_n101# a_n705_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+C0 a_n513_n75# a_n417_n75# 0.22fF
+C1 a_255_n75# a_351_n75# 0.22fF
+C2 a_735_n75# a_927_n75# 0.08fF
+C3 a_159_n75# a_447_n75# 0.05fF
+C4 a_351_n75# a_63_n75# 0.05fF
+C5 a_543_n75# a_735_n75# 0.08fF
+C6 a_n225_n75# a_n33_n75# 0.08fF
+C7 a_447_n75# a_831_n75# 0.03fF
+C8 a_159_n75# a_n225_n75# 0.03fF
+C9 a_n321_n75# a_63_n75# 0.03fF
+C10 a_33_n101# a_n927_n101# 0.08fF
+C11 a_n321_n75# a_n129_n75# 0.08fF
+C12 a_543_n75# a_927_n75# 0.03fF
+C13 a_351_n75# a_n33_n75# 0.03fF
+C14 a_159_n75# a_351_n75# 0.08fF
+C15 a_831_n75# a_639_n75# 0.08fF
+C16 a_n321_n75# a_n33_n75# 0.05fF
+C17 a_n417_n75# a_n129_n75# 0.05fF
+C18 a_n513_n75# a_n129_n75# 0.03fF
+C19 a_255_n75# a_543_n75# 0.05fF
+C20 a_447_n75# a_639_n75# 0.08fF
+C21 a_n33_n75# a_n417_n75# 0.03fF
+C22 a_351_n75# a_447_n75# 0.22fF
+C23 a_735_n75# a_831_n75# 0.22fF
+C24 a_n801_n75# a_n609_n75# 0.08fF
+C25 a_255_n75# a_63_n75# 0.08fF
+C26 a_n225_n75# a_n609_n75# 0.03fF
+C27 a_n801_n75# a_n989_n75# 0.08fF
+C28 a_255_n75# a_n129_n75# 0.03fF
+C29 a_n897_n75# a_n801_n75# 0.22fF
+C30 a_n129_n75# a_63_n75# 0.08fF
+C31 a_159_n75# a_543_n75# 0.03fF
+C32 a_n609_n75# a_n989_n75# 0.03fF
+C33 a_927_n75# a_831_n75# 0.22fF
+C34 a_n801_n75# a_n705_n75# 0.22fF
+C35 a_n225_n75# a_n321_n75# 0.22fF
+C36 a_735_n75# a_447_n75# 0.05fF
+C37 a_543_n75# a_831_n75# 0.05fF
+C38 a_351_n75# a_639_n75# 0.05fF
+C39 a_255_n75# a_n33_n75# 0.05fF
+C40 a_n897_n75# a_n609_n75# 0.05fF
+C41 a_n897_n75# a_n989_n75# 0.22fF
+C42 a_159_n75# a_255_n75# 0.22fF
+C43 a_n609_n75# a_n321_n75# 0.05fF
+C44 a_n609_n75# a_n705_n75# 0.22fF
+C45 a_n33_n75# a_63_n75# 0.22fF
+C46 a_n705_n75# a_n989_n75# 0.05fF
+C47 a_159_n75# a_63_n75# 0.22fF
+C48 a_n801_n75# a_n417_n75# 0.03fF
+C49 a_n33_n75# a_n129_n75# 0.22fF
+C50 a_n225_n75# a_n417_n75# 0.08fF
+C51 a_n513_n75# a_n801_n75# 0.05fF
+C52 a_n513_n75# a_n225_n75# 0.05fF
+C53 a_159_n75# a_n129_n75# 0.05fF
+C54 a_n897_n75# a_n705_n75# 0.08fF
+C55 a_543_n75# a_447_n75# 0.22fF
+C56 a_735_n75# a_639_n75# 0.22fF
+C57 a_n321_n75# a_n705_n75# 0.03fF
+C58 a_n609_n75# a_n417_n75# 0.08fF
+C59 a_735_n75# a_351_n75# 0.03fF
+C60 a_n513_n75# a_n609_n75# 0.22fF
+C61 a_255_n75# a_447_n75# 0.08fF
+C62 a_159_n75# a_n33_n75# 0.08fF
+C63 a_927_n75# a_639_n75# 0.05fF
+C64 a_447_n75# a_63_n75# 0.03fF
+C65 a_n897_n75# a_n513_n75# 0.03fF
+C66 a_543_n75# a_639_n75# 0.22fF
+C67 a_n321_n75# a_n417_n75# 0.22fF
+C68 a_n417_n75# a_n705_n75# 0.05fF
+C69 a_n513_n75# a_n705_n75# 0.08fF
+C70 a_n513_n75# a_n321_n75# 0.08fF
+C71 a_n225_n75# a_63_n75# 0.05fF
+C72 a_543_n75# a_351_n75# 0.08fF
+C73 a_n225_n75# a_n129_n75# 0.22fF
+C74 a_255_n75# a_639_n75# 0.03fF
+C75 a_927_n75# w_n1127_n285# 0.04fF
+C76 a_831_n75# w_n1127_n285# 0.04fF
+C77 a_735_n75# w_n1127_n285# 0.04fF
+C78 a_639_n75# w_n1127_n285# 0.04fF
+C79 a_543_n75# w_n1127_n285# 0.04fF
+C80 a_447_n75# w_n1127_n285# 0.04fF
+C81 a_351_n75# w_n1127_n285# 0.04fF
+C82 a_255_n75# w_n1127_n285# 0.04fF
+C83 a_159_n75# w_n1127_n285# 0.04fF
+C84 a_63_n75# w_n1127_n285# 0.04fF
+C85 a_n33_n75# w_n1127_n285# 0.04fF
+C86 a_n129_n75# w_n1127_n285# 0.04fF
+C87 a_n225_n75# w_n1127_n285# 0.04fF
+C88 a_n321_n75# w_n1127_n285# 0.04fF
+C89 a_n417_n75# w_n1127_n285# 0.04fF
+C90 a_n513_n75# w_n1127_n285# 0.04fF
+C91 a_n609_n75# w_n1127_n285# 0.04fF
+C92 a_n705_n75# w_n1127_n285# 0.04fF
+C93 a_n801_n75# w_n1127_n285# 0.04fF
+C94 a_n897_n75# w_n1127_n285# 0.04fF
+C95 a_n989_n75# w_n1127_n285# 0.04fF
+C96 a_33_n101# w_n1127_n285# 0.99fF
+C97 a_n927_n101# w_n1127_n285# 0.99fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_NKZXKB VSUBS a_33_n247# a_n801_n150# a_n417_n150#
++ a_351_n150# a_255_n150# a_n705_n150# a_n609_n150# a_159_n150# a_543_n150# a_447_n150#
++ a_831_n150# a_n897_n150# a_n33_n150# a_735_n150# a_n927_n247# a_639_n150# a_n321_n150#
++ a_927_n150# a_n225_n150# a_63_n150# a_n989_n150# a_n513_n150# a_n129_n150# w_n1127_n369#
+X0 a_n513_n150# a_n927_n247# a_n609_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_63_n150# a_33_n247# a_n33_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_735_n150# a_33_n247# a_639_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n801_n150# a_n927_n247# a_n897_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n927_n247# a_n225_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n927_n247# a_n513_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_639_n150# a_33_n247# a_543_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n705_n150# a_n927_n247# a_n801_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n33_n150# a_n927_n247# a_n129_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_351_n150# a_33_n247# a_255_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_n609_n150# a_n927_n247# a_n705_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n897_n150# a_n927_n247# a_n989_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_927_n150# a_33_n247# a_831_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_255_n150# a_33_n247# a_159_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n321_n150# a_n927_n247# a_n417_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_543_n150# a_33_n247# a_447_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_831_n150# a_33_n247# a_735_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_159_n150# a_33_n247# a_63_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_n225_n150# a_n927_n247# a_n321_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_447_n150# a_33_n247# a_351_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_159_n150# a_63_n150# 0.43fF
+C1 a_n129_n150# a_63_n150# 0.16fF
+C2 a_n801_n150# a_n989_n150# 0.16fF
+C3 a_255_n150# a_351_n150# 0.43fF
+C4 a_n513_n150# a_n417_n150# 0.43fF
+C5 a_n609_n150# a_n321_n150# 0.10fF
+C6 a_927_n150# a_543_n150# 0.07fF
+C7 a_n225_n150# a_n33_n150# 0.16fF
+C8 a_543_n150# a_735_n150# 0.16fF
+C9 a_n321_n150# a_n705_n150# 0.07fF
+C10 a_n609_n150# a_n989_n150# 0.07fF
+C11 a_447_n150# a_63_n150# 0.07fF
+C12 a_n801_n150# a_n417_n150# 0.07fF
+C13 a_447_n150# a_639_n150# 0.16fF
+C14 a_831_n150# a_639_n150# 0.16fF
+C15 a_n989_n150# a_n705_n150# 0.10fF
+C16 a_n33_n150# a_63_n150# 0.43fF
+C17 a_927_n150# a_831_n150# 0.43fF
+C18 a_n609_n150# a_n417_n150# 0.16fF
+C19 a_351_n150# a_63_n150# 0.10fF
+C20 a_255_n150# a_63_n150# 0.16fF
+C21 a_735_n150# a_831_n150# 0.43fF
+C22 a_447_n150# a_735_n150# 0.10fF
+C23 a_351_n150# a_639_n150# 0.10fF
+C24 a_255_n150# a_639_n150# 0.07fF
+C25 a_n417_n150# a_n705_n150# 0.10fF
+C26 a_n513_n150# a_n225_n150# 0.10fF
+C27 a_n225_n150# a_63_n150# 0.10fF
+C28 a_n513_n150# a_n897_n150# 0.07fF
+C29 a_n321_n150# a_n129_n150# 0.16fF
+C30 a_735_n150# a_351_n150# 0.07fF
+C31 a_n417_n150# a_n321_n150# 0.43fF
+C32 a_543_n150# a_159_n150# 0.07fF
+C33 a_n897_n150# a_n801_n150# 0.43fF
+C34 a_n513_n150# a_n801_n150# 0.10fF
+C35 a_n609_n150# a_n225_n150# 0.07fF
+C36 a_159_n150# a_n129_n150# 0.10fF
+C37 a_543_n150# a_447_n150# 0.43fF
+C38 a_543_n150# a_831_n150# 0.10fF
+C39 a_n33_n150# a_n321_n150# 0.10fF
+C40 a_n609_n150# a_n897_n150# 0.10fF
+C41 a_n417_n150# a_n129_n150# 0.10fF
+C42 a_n609_n150# a_n513_n150# 0.43fF
+C43 a_927_n150# a_639_n150# 0.10fF
+C44 a_159_n150# a_447_n150# 0.10fF
+C45 a_n897_n150# a_n705_n150# 0.16fF
+C46 a_n513_n150# a_n705_n150# 0.16fF
+C47 a_735_n150# a_639_n150# 0.43fF
+C48 a_543_n150# a_351_n150# 0.16fF
+C49 a_255_n150# a_543_n150# 0.10fF
+C50 a_n225_n150# a_n321_n150# 0.43fF
+C51 a_n33_n150# a_159_n150# 0.16fF
+C52 a_n33_n150# a_n129_n150# 0.43fF
+C53 a_n609_n150# a_n801_n150# 0.16fF
+C54 a_447_n150# a_831_n150# 0.07fF
+C55 a_927_n150# a_735_n150# 0.16fF
+C56 a_159_n150# a_351_n150# 0.16fF
+C57 a_n33_n150# a_n417_n150# 0.07fF
+C58 a_255_n150# a_159_n150# 0.43fF
+C59 a_255_n150# a_n129_n150# 0.07fF
+C60 a_n801_n150# a_n705_n150# 0.43fF
+C61 a_33_n247# a_n927_n247# 0.09fF
+C62 a_n513_n150# a_n321_n150# 0.16fF
+C63 a_n321_n150# a_63_n150# 0.07fF
+C64 a_n897_n150# a_n989_n150# 0.43fF
+C65 a_n225_n150# a_159_n150# 0.07fF
+C66 a_n225_n150# a_n129_n150# 0.43fF
+C67 a_447_n150# a_351_n150# 0.43fF
+C68 a_255_n150# a_447_n150# 0.16fF
+C69 a_n609_n150# a_n705_n150# 0.43fF
+C70 a_n225_n150# a_n417_n150# 0.16fF
+C71 a_543_n150# a_639_n150# 0.43fF
+C72 a_n33_n150# a_351_n150# 0.07fF
+C73 a_n513_n150# a_n129_n150# 0.07fF
+C74 a_255_n150# a_n33_n150# 0.10fF
+C75 a_927_n150# VSUBS 0.03fF
+C76 a_831_n150# VSUBS 0.03fF
+C77 a_735_n150# VSUBS 0.03fF
+C78 a_639_n150# VSUBS 0.03fF
+C79 a_543_n150# VSUBS 0.03fF
+C80 a_447_n150# VSUBS 0.03fF
+C81 a_351_n150# VSUBS 0.03fF
+C82 a_255_n150# VSUBS 0.03fF
+C83 a_159_n150# VSUBS 0.03fF
+C84 a_63_n150# VSUBS 0.03fF
+C85 a_n33_n150# VSUBS 0.03fF
+C86 a_n129_n150# VSUBS 0.03fF
+C87 a_n225_n150# VSUBS 0.03fF
+C88 a_n321_n150# VSUBS 0.03fF
+C89 a_n417_n150# VSUBS 0.03fF
+C90 a_n513_n150# VSUBS 0.03fF
+C91 a_n609_n150# VSUBS 0.03fF
+C92 a_n705_n150# VSUBS 0.03fF
+C93 a_n801_n150# VSUBS 0.03fF
+C94 a_n897_n150# VSUBS 0.03fF
+C95 a_n989_n150# VSUBS 0.03fF
+C96 a_33_n247# VSUBS 1.04fF
+C97 a_n927_n247# VSUBS 1.04fF
+C98 w_n1127_n369# VSUBS 6.17fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_8GRULZ a_n1761_n132# a_1045_n44# a_n1461_n44# a_n1103_n44#
++ a_n29_n44# a_n387_n44# a_1761_n44# a_n1819_n44# a_1403_n44# a_687_n44# w_n1957_n254#
++ a_329_n44# a_n745_n44#
+X0 a_329_n44# a_n1761_n132# a_n29_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X1 a_1761_n44# a_n1761_n132# a_1403_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X2 a_n745_n44# a_n1761_n132# a_n1103_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X3 a_1045_n44# a_n1761_n132# a_687_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X4 a_n29_n44# a_n1761_n132# a_n387_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X5 a_n1103_n44# a_n1761_n132# a_n1461_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X6 a_n387_n44# a_n1761_n132# a_n745_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X7 a_687_n44# a_n1761_n132# a_329_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X8 a_1403_n44# a_n1761_n132# a_1045_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X9 a_n1461_n44# a_n1761_n132# a_n1819_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+C0 a_687_n44# a_329_n44# 0.04fF
+C1 a_1045_n44# a_1403_n44# 0.04fF
+C2 a_n387_n44# a_n29_n44# 0.04fF
+C3 a_n1461_n44# a_n1819_n44# 0.04fF
+C4 a_n745_n44# a_n387_n44# 0.04fF
+C5 a_n745_n44# a_n1103_n44# 0.04fF
+C6 a_n1461_n44# a_n1103_n44# 0.04fF
+C7 a_n29_n44# a_329_n44# 0.04fF
+C8 a_1045_n44# a_687_n44# 0.04fF
+C9 a_1761_n44# a_1403_n44# 0.04fF
+C10 a_1761_n44# w_n1957_n254# 0.04fF
+C11 a_1403_n44# w_n1957_n254# 0.04fF
+C12 a_1045_n44# w_n1957_n254# 0.04fF
+C13 a_687_n44# w_n1957_n254# 0.04fF
+C14 a_329_n44# w_n1957_n254# 0.04fF
+C15 a_n29_n44# w_n1957_n254# 0.04fF
+C16 a_n387_n44# w_n1957_n254# 0.04fF
+C17 a_n745_n44# w_n1957_n254# 0.04fF
+C18 a_n1103_n44# w_n1957_n254# 0.04fF
+C19 a_n1461_n44# w_n1957_n254# 0.04fF
+C20 a_n1819_n44# w_n1957_n254# 0.04fF
+C21 a_n1761_n132# w_n1957_n254# 3.23fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ND88ZC VSUBS a_303_n150# a_n753_n150# a_n369_n150#
++ w_n1367_n369# a_207_n150# a_n657_n150# a_591_n150# a_n1229_n150# a_n945_n150# a_495_n150#
++ a_n1041_n150# a_n849_n150# a_n81_n150# a_399_n150# a_783_n150# a_1071_n150# a_687_n150#
++ a_975_n150# a_n1137_n150# a_n273_n150# a_111_n150# a_879_n150# a_n177_n150# a_n561_n150#
++ a_15_n150# a_1167_n150# a_n1167_n247# a_n465_n150#
+X0 a_n1137_n150# a_n1167_n247# a_n1229_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_495_n150# a_n1167_n247# a_399_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n561_n150# a_n1167_n247# a_n657_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_111_n150# a_n1167_n247# a_15_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_783_n150# a_n1167_n247# a_687_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_1071_n150# a_n1167_n247# a_975_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_399_n150# a_n1167_n247# a_303_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n465_n150# a_n1167_n247# a_n561_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_687_n150# a_n1167_n247# a_591_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n753_n150# a_n1167_n247# a_n849_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_975_n150# a_n1167_n247# a_879_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n81_n150# a_n1167_n247# a_n177_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_15_n150# a_n1167_n247# a_n81_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_n1041_n150# a_n1167_n247# a_n1137_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n369_n150# a_n1167_n247# a_n465_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_n657_n150# a_n1167_n247# a_n753_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_879_n150# a_n1167_n247# a_783_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_n945_n150# a_n1167_n247# a_n1041_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_1167_n150# a_n1167_n247# a_1071_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_303_n150# a_n1167_n247# a_207_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X20 a_n273_n150# a_n1167_n247# a_n369_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X21 a_591_n150# a_n1167_n247# a_495_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X22 a_n849_n150# a_n1167_n247# a_n945_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X23 a_207_n150# a_n1167_n247# a_111_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X24 a_n177_n150# a_n1167_n247# a_n273_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_399_n150# a_783_n150# 0.07fF
+C1 a_15_n150# a_n369_n150# 0.07fF
+C2 a_n561_n150# a_n465_n150# 0.43fF
+C3 a_n81_n150# a_303_n150# 0.07fF
+C4 a_879_n150# a_687_n150# 0.16fF
+C5 a_n657_n150# a_n369_n150# 0.10fF
+C6 w_n1367_n369# a_975_n150# 0.05fF
+C7 a_111_n150# a_207_n150# 0.43fF
+C8 a_591_n150# a_783_n150# 0.16fF
+C9 a_975_n150# a_1167_n150# 0.16fF
+C10 a_879_n150# a_495_n150# 0.07fF
+C11 a_399_n150# a_207_n150# 0.16fF
+C12 a_n369_n150# a_n753_n150# 0.07fF
+C13 a_n81_n150# a_n177_n150# 0.43fF
+C14 a_303_n150# a_15_n150# 0.10fF
+C15 a_n657_n150# a_n1041_n150# 0.07fF
+C16 a_879_n150# w_n1367_n369# 0.04fF
+C17 a_1071_n150# a_975_n150# 0.43fF
+C18 a_879_n150# a_1167_n150# 0.10fF
+C19 a_591_n150# a_207_n150# 0.07fF
+C20 a_783_n150# a_975_n150# 0.16fF
+C21 a_n81_n150# a_n465_n150# 0.07fF
+C22 a_n1137_n150# a_n945_n150# 0.16fF
+C23 a_n1041_n150# a_n753_n150# 0.10fF
+C24 a_n561_n150# a_n849_n150# 0.10fF
+C25 a_15_n150# a_n177_n150# 0.16fF
+C26 a_399_n150# a_111_n150# 0.10fF
+C27 a_n273_n150# a_111_n150# 0.07fF
+C28 a_879_n150# a_1071_n150# 0.16fF
+C29 a_879_n150# a_783_n150# 0.43fF
+C30 a_n849_n150# a_n945_n150# 0.43fF
+C31 a_n657_n150# a_n465_n150# 0.16fF
+C32 a_591_n150# a_399_n150# 0.16fF
+C33 a_n1229_n150# a_n945_n150# 0.10fF
+C34 a_n81_n150# a_207_n150# 0.10fF
+C35 a_n465_n150# a_n753_n150# 0.10fF
+C36 a_n561_n150# a_n273_n150# 0.10fF
+C37 a_n1137_n150# a_n753_n150# 0.07fF
+C38 a_687_n150# a_495_n150# 0.16fF
+C39 a_303_n150# a_687_n150# 0.07fF
+C40 a_207_n150# a_15_n150# 0.16fF
+C41 a_n369_n150# a_n177_n150# 0.16fF
+C42 a_n657_n150# a_n849_n150# 0.16fF
+C43 a_303_n150# a_495_n150# 0.16fF
+C44 a_n81_n150# a_111_n150# 0.16fF
+C45 a_n465_n150# a_n369_n150# 0.43fF
+C46 a_591_n150# a_975_n150# 0.07fF
+C47 a_n849_n150# a_n753_n150# 0.43fF
+C48 a_n273_n150# a_n81_n150# 0.16fF
+C49 a_111_n150# a_15_n150# 0.43fF
+C50 a_n561_n150# a_n945_n150# 0.07fF
+C51 a_399_n150# a_15_n150# 0.07fF
+C52 a_n273_n150# a_15_n150# 0.10fF
+C53 a_879_n150# a_591_n150# 0.10fF
+C54 a_n657_n150# a_n273_n150# 0.07fF
+C55 a_1071_n150# a_687_n150# 0.07fF
+C56 w_n1367_n369# a_1167_n150# 0.14fF
+C57 a_687_n150# a_783_n150# 0.43fF
+C58 a_n1041_n150# a_n1137_n150# 0.43fF
+C59 a_783_n150# a_495_n150# 0.10fF
+C60 a_n465_n150# a_n177_n150# 0.10fF
+C61 a_879_n150# a_975_n150# 0.43fF
+C62 a_n657_n150# a_n561_n150# 0.43fF
+C63 a_1071_n150# w_n1367_n369# 0.07fF
+C64 a_n1041_n150# a_n849_n150# 0.16fF
+C65 a_1071_n150# a_1167_n150# 0.43fF
+C66 a_783_n150# a_1167_n150# 0.07fF
+C67 a_207_n150# a_495_n150# 0.10fF
+C68 a_303_n150# a_207_n150# 0.43fF
+C69 a_n561_n150# a_n753_n150# 0.16fF
+C70 a_n1041_n150# a_n1229_n150# 0.16fF
+C71 a_n657_n150# a_n945_n150# 0.10fF
+C72 a_n273_n150# a_n369_n150# 0.43fF
+C73 a_n81_n150# a_15_n150# 0.43fF
+C74 a_1071_n150# a_783_n150# 0.10fF
+C75 a_207_n150# a_n177_n150# 0.07fF
+C76 a_n753_n150# a_n945_n150# 0.16fF
+C77 a_111_n150# a_495_n150# 0.07fF
+C78 a_303_n150# a_111_n150# 0.16fF
+C79 a_n849_n150# a_n465_n150# 0.07fF
+C80 a_399_n150# a_687_n150# 0.10fF
+C81 a_399_n150# a_495_n150# 0.43fF
+C82 a_399_n150# a_303_n150# 0.43fF
+C83 a_n561_n150# a_n369_n150# 0.16fF
+C84 a_n849_n150# a_n1137_n150# 0.10fF
+C85 a_111_n150# a_n177_n150# 0.10fF
+C86 a_591_n150# a_687_n150# 0.43fF
+C87 a_591_n150# a_495_n150# 0.43fF
+C88 a_591_n150# a_303_n150# 0.10fF
+C89 a_n273_n150# a_n177_n150# 0.43fF
+C90 a_n1137_n150# a_n1229_n150# 0.43fF
+C91 a_n657_n150# a_n753_n150# 0.43fF
+C92 a_n273_n150# a_n465_n150# 0.16fF
+C93 a_n81_n150# a_n369_n150# 0.10fF
+C94 a_687_n150# a_975_n150# 0.10fF
+C95 a_n1041_n150# a_n945_n150# 0.43fF
+C96 a_n849_n150# a_n1229_n150# 0.07fF
+C97 a_n561_n150# a_n177_n150# 0.07fF
+C98 a_1167_n150# VSUBS 0.03fF
+C99 a_1071_n150# VSUBS 0.03fF
+C100 a_975_n150# VSUBS 0.03fF
+C101 a_879_n150# VSUBS 0.03fF
+C102 a_783_n150# VSUBS 0.03fF
+C103 a_687_n150# VSUBS 0.03fF
+C104 a_591_n150# VSUBS 0.03fF
+C105 a_495_n150# VSUBS 0.03fF
+C106 a_399_n150# VSUBS 0.03fF
+C107 a_303_n150# VSUBS 0.03fF
+C108 a_207_n150# VSUBS 0.03fF
+C109 a_111_n150# VSUBS 0.03fF
+C110 a_15_n150# VSUBS 0.03fF
+C111 a_n81_n150# VSUBS 0.03fF
+C112 a_n177_n150# VSUBS 0.03fF
+C113 a_n273_n150# VSUBS 0.03fF
+C114 a_n369_n150# VSUBS 0.03fF
+C115 a_n465_n150# VSUBS 0.03fF
+C116 a_n561_n150# VSUBS 0.03fF
+C117 a_n657_n150# VSUBS 0.03fF
+C118 a_n753_n150# VSUBS 0.03fF
+C119 a_n849_n150# VSUBS 0.03fF
+C120 a_n945_n150# VSUBS 0.03fF
+C121 a_n1041_n150# VSUBS 0.03fF
+C122 a_n1137_n150# VSUBS 0.03fF
+C123 a_n1229_n150# VSUBS 0.03fF
+C124 a_n1167_n247# VSUBS 2.63fF
+C125 w_n1367_n369# VSUBS 7.85fF
+.ends
+
+.subckt charge_pump nswitch vdd nUp vss Down biasp out pswitch iref nDown Up
+Xsky130_fd_pr__pfet_01v8_4ML9WA_0 vss pswitch vdd pswitch pswitch pswitch nUp pswitch
++ pswitch pswitch pswitch pswitch pswitch pswitch sky130_fd_pr__pfet_01v8_4ML9WA
+Xsky130_fd_pr__nfet_01v8_YCGG98_0 vss out out vss vss vss out out vss vss out vss
++ out out out vss out vss out out out vss vss vss out vss vss nswitch sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_1 iref vss vss iref iref iref vss vss iref iref vss
++ iref vss vss vss iref vss iref vss vss vss vss iref iref vss iref iref iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_2 biasp vss vss biasp biasp biasp vss vss biasp biasp
++ vss biasp vss vss vss biasp vss biasp vss vss vss vss biasp biasp vss biasp biasp
++ iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_MUHGM9_0 nDown iref nswitch vss nswitch nswitch vss nswitch
++ iref nswitch nswitch vss nswitch Down iref iref vss vss nswitch nswitch iref nswitch
++ vss nswitch sky130_fd_pr__nfet_01v8_MUHGM9
+Xsky130_fd_pr__pfet_01v8_NKZXKB_0 vss Up pswitch pswitch pswitch vdd biasp pswitch
++ pswitch pswitch vdd vdd biasp pswitch pswitch nUp vdd biasp pswitch pswitch vdd
++ pswitch biasp biasp vdd sky130_fd_pr__pfet_01v8_NKZXKB
+Xsky130_fd_pr__nfet_01v8_8GRULZ_0 Down nswitch nswitch nswitch nswitch nswitch nswitch
++ nswitch nswitch nswitch vss nswitch nswitch sky130_fd_pr__nfet_01v8_8GRULZ
+Xsky130_fd_pr__pfet_01v8_ND88ZC_0 vss vdd out out vdd out vdd out vdd out vdd vdd
++ vdd vdd out out vdd vdd out out vdd vdd vdd out out out out pswitch vdd sky130_fd_pr__pfet_01v8_ND88ZC
+Xsky130_fd_pr__pfet_01v8_ND88ZC_1 vss biasp vdd vdd vdd vdd biasp vdd biasp vdd biasp
++ biasp biasp biasp vdd vdd biasp biasp vdd vdd biasp biasp biasp vdd vdd vdd vdd
++ biasp biasp sky130_fd_pr__pfet_01v8_ND88ZC
+C0 nswitch out 1.28fF
+C1 nswitch Down 2.27fF
+C2 biasp pswitch 3.11fF
+C3 vdd pswitch 3.98fF
+C4 nDown Down 0.13fF
+C5 nDown nswitch 0.31fF
+C6 nswitch iref 1.91fF
+C7 Up pswitch 0.70fF
+C8 vdd out 6.66fF
+C9 nUp Up 0.15fF
+C10 biasp nswitch 0.03fF
+C11 nswitch vdd 0.07fF
+C12 nUp pswitch 5.66fF
+C13 biasp iref 0.80fF
+C14 pswitch out 4.91fF
+C15 nUp out 0.31fF
+C16 nswitch pswitch 0.06fF
+C17 biasp vdd 2.64fF
+C18 nUp Down 0.25fF
+C19 vdd vss 35.71fF
+C20 Down vss 4.77fF
+C21 Up vss 1.17fF
+C22 nswitch vss 6.39fF
+C23 nDown vss 1.11fF
+C24 biasp vss 8.73fF
+C25 iref vss 10.12fF
+C26 out vss -3.49fF
+C27 pswitch vss 3.45fF
+C28 nUp vss 5.85fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4798MH VSUBS a_81_n156# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n111_n156# a_n15_n156# a_n81_n125#
+X0 a_n81_n125# a_n111_n156# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n15_n156# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_81_n156# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n173_n125# a_111_n125# 0.08fF
+C1 a_15_n125# a_111_n125# 0.36fF
+C2 w_n311_n344# a_n81_n125# 0.09fF
+C3 a_15_n125# a_n173_n125# 0.13fF
+C4 a_n81_n125# a_111_n125# 0.13fF
+C5 a_n81_n125# a_n173_n125# 0.36fF
+C6 a_15_n125# a_n81_n125# 0.36fF
+C7 w_n311_n344# a_111_n125# 0.14fF
+C8 w_n311_n344# a_n173_n125# 0.14fF
+C9 a_15_n125# w_n311_n344# 0.09fF
+C10 a_81_n156# a_n15_n156# 0.02fF
+C11 a_n111_n156# a_n15_n156# 0.02fF
+C12 a_111_n125# VSUBS 0.03fF
+C13 a_15_n125# VSUBS 0.03fF
+C14 a_n81_n125# VSUBS 0.03fF
+C15 a_n173_n125# VSUBS 0.03fF
+C16 a_81_n156# VSUBS 0.05fF
+C17 a_n15_n156# VSUBS 0.05fF
+C18 a_n111_n156# VSUBS 0.05fF
+C19 w_n311_n344# VSUBS 2.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_BHR94T a_n15_n151# w_n311_n335# a_81_n151# a_111_n125#
++ a_15_n125# a_n173_n125# a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_81_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n15_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n15_n151# a_n111_n151# 0.02fF
+C1 a_n81_n125# a_111_n125# 0.13fF
+C2 a_n173_n125# a_111_n125# 0.08fF
+C3 a_15_n125# a_111_n125# 0.36fF
+C4 a_81_n151# a_n15_n151# 0.02fF
+C5 a_n173_n125# a_n81_n125# 0.36fF
+C6 a_15_n125# a_n81_n125# 0.36fF
+C7 a_n173_n125# a_15_n125# 0.13fF
+C8 a_111_n125# w_n311_n335# 0.17fF
+C9 a_15_n125# w_n311_n335# 0.12fF
+C10 a_n81_n125# w_n311_n335# 0.12fF
+C11 a_n173_n125# w_n311_n335# 0.17fF
+C12 a_81_n151# w_n311_n335# 0.05fF
+C13 a_n15_n151# w_n311_n335# 0.05fF
+C14 a_n111_n151# w_n311_n335# 0.05fF
+.ends
+
+.subckt trans_gate m1_187_n605# m1_45_n513# vss vdd
+Xsky130_fd_pr__pfet_01v8_4798MH_0 vss vss m1_187_n605# m1_45_n513# m1_45_n513# vdd
++ vss vss m1_187_n605# sky130_fd_pr__pfet_01v8_4798MH
+Xsky130_fd_pr__nfet_01v8_BHR94T_0 vdd vss vdd m1_187_n605# m1_45_n513# m1_45_n513#
++ vdd m1_187_n605# sky130_fd_pr__nfet_01v8_BHR94T
+C0 vdd m1_187_n605# 0.55fF
+C1 m1_187_n605# m1_45_n513# 0.36fF
+C2 vdd m1_45_n513# 0.69fF
+C3 m1_187_n605# vss 0.93fF
+C4 m1_45_n513# vss 1.31fF
+C5 vdd vss 3.36fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7KT7MH VSUBS a_n111_n186# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n81_n125#
+X0 a_n81_n125# a_n111_n186# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n111_n186# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_n111_n186# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_111_n125# w_n311_n344# 0.14fF
+C1 a_n81_n125# w_n311_n344# 0.09fF
+C2 a_15_n125# w_n311_n344# 0.09fF
+C3 a_111_n125# a_n81_n125# 0.13fF
+C4 w_n311_n344# a_n173_n125# 0.14fF
+C5 a_111_n125# a_15_n125# 0.36fF
+C6 a_n81_n125# a_15_n125# 0.36fF
+C7 a_111_n125# a_n173_n125# 0.08fF
+C8 a_n81_n125# a_n173_n125# 0.36fF
+C9 a_15_n125# a_n173_n125# 0.13fF
+C10 a_111_n125# VSUBS 0.03fF
+C11 a_15_n125# VSUBS 0.03fF
+C12 a_n81_n125# VSUBS 0.03fF
+C13 a_n173_n125# VSUBS 0.03fF
+C14 a_n111_n186# VSUBS 0.26fF
+C15 w_n311_n344# VSUBS 2.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS6QM w_n311_n335# a_111_n125# a_15_n125# a_n173_n125#
++ a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_n111_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n111_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_15_n125# a_n173_n125# 0.13fF
+C1 a_15_n125# a_111_n125# 0.36fF
+C2 a_111_n125# a_n173_n125# 0.08fF
+C3 a_15_n125# a_n81_n125# 0.36fF
+C4 a_n173_n125# a_n81_n125# 0.36fF
+C5 a_111_n125# a_n81_n125# 0.13fF
+C6 a_111_n125# w_n311_n335# 0.17fF
+C7 a_15_n125# w_n311_n335# 0.12fF
+C8 a_n81_n125# w_n311_n335# 0.12fF
+C9 a_n173_n125# w_n311_n335# 0.17fF
+C10 a_n111_n151# w_n311_n335# 0.25fF
+.ends
+
+.subckt inverter_cp_x1 out in vss vdd
+Xsky130_fd_pr__pfet_01v8_7KT7MH_0 vss in out vdd vdd vdd out sky130_fd_pr__pfet_01v8_7KT7MH
+Xsky130_fd_pr__nfet_01v8_2BS6QM_0 vss out vss vss in out sky130_fd_pr__nfet_01v8_2BS6QM
+C0 out vdd 0.10fF
+C1 out in 0.32fF
+C2 out vss 0.77fF
+C3 in vss 0.95fF
+C4 vdd vss 3.13fF
+.ends
+
+.subckt clock_inverter vss inverter_cp_x1_2/in CLK vdd inverter_cp_x1_0/out CLK_d
++ nCLK_d
+Xtrans_gate_0 nCLK_d inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 inverter_cp_x1_0/out CLK vss vdd inverter_cp_x1
+Xinverter_cp_x1_1 inverter_cp_x1_2/in CLK vss vdd inverter_cp_x1
+Xinverter_cp_x1_2 CLK_d inverter_cp_x1_2/in vss vdd inverter_cp_x1
+C0 inverter_cp_x1_2/in CLK 0.31fF
+C1 vdd CLK_d 0.03fF
+C2 vdd inverter_cp_x1_0/out 0.28fF
+C3 vdd CLK 0.36fF
+C4 vdd inverter_cp_x1_2/in 0.21fF
+C5 nCLK_d inverter_cp_x1_0/out 0.11fF
+C6 vdd nCLK_d 0.03fF
+C7 CLK_d inverter_cp_x1_2/in 0.12fF
+C8 CLK inverter_cp_x1_0/out 0.31fF
+C9 CLK_d vss 0.96fF
+C10 inverter_cp_x1_2/in vss 2.01fF
+C11 inverter_cp_x1_0/out vss 1.97fF
+C12 CLK vss 3.03fF
+C13 nCLK_d vss 1.44fF
+C14 vdd vss 16.51fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MJG8BZ VSUBS a_n125_n95# a_63_n95# w_n263_n314# a_n33_n95#
++ a_n63_n192#
+X0 a_63_n95# a_n63_n192# a_n33_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n33_n95# a_n63_n192# a_n125_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+C0 a_63_n95# a_n125_n95# 0.10fF
+C1 a_63_n95# a_n33_n95# 0.28fF
+C2 a_n125_n95# a_n33_n95# 0.28fF
+C3 w_n263_n314# a_63_n95# 0.11fF
+C4 w_n263_n314# a_n125_n95# 0.11fF
+C5 w_n263_n314# a_n33_n95# 0.08fF
+C6 a_63_n95# VSUBS 0.03fF
+C7 a_n33_n95# VSUBS 0.03fF
+C8 a_n125_n95# VSUBS 0.03fF
+C9 a_n63_n192# VSUBS 0.20fF
+C10 w_n263_n314# VSUBS 1.80fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS854 w_n311_n335# a_n129_n213# a_111_n125# a_15_n125#
++ a_n173_n125# a_n81_n125#
+X0 a_111_n125# a_n129_n213# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n129_n213# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n129_n213# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n81_n125# a_n173_n125# 0.36fF
+C1 a_111_n125# a_n81_n125# 0.13fF
+C2 a_15_n125# a_n173_n125# 0.13fF
+C3 a_111_n125# a_15_n125# 0.36fF
+C4 a_n81_n125# a_15_n125# 0.36fF
+C5 a_n129_n213# a_n173_n125# 0.02fF
+C6 a_n129_n213# a_111_n125# 0.01fF
+C7 a_n129_n213# a_n81_n125# 0.10fF
+C8 a_n129_n213# a_15_n125# 0.10fF
+C9 a_111_n125# a_n173_n125# 0.08fF
+C10 a_111_n125# w_n311_n335# 0.05fF
+C11 a_15_n125# w_n311_n335# 0.05fF
+C12 a_n81_n125# w_n311_n335# 0.05fF
+C13 a_n173_n125# w_n311_n335# 0.05fF
+C14 a_n129_n213# w_n311_n335# 0.49fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_KU9PSX a_n125_n95# a_n33_n95# a_n81_n183# w_n263_n305#
+X0 a_n33_n95# a_n81_n183# a_n125_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n125_n95# a_n81_n183# a_n33_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+C0 a_n33_n95# a_n125_n95# 0.88fF
+C1 a_n81_n183# a_n125_n95# 0.16fF
+C2 a_n81_n183# a_n33_n95# 0.10fF
+C3 a_n33_n95# w_n263_n305# 0.07fF
+C4 a_n125_n95# w_n263_n305# 0.13fF
+C5 a_n81_n183# w_n263_n305# 0.31fF
+.ends
+
+.subckt latch_diff m1_657_280# nQ Q vss CLK vdd nD D
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_0 vss vdd vdd vdd nQ Q sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_1 vss vdd vdd vdd Q nQ sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__nfet_01v8_2BS854_0 vss CLK vss m1_657_280# m1_657_280# vss sky130_fd_pr__nfet_01v8_2BS854
+Xsky130_fd_pr__nfet_01v8_KU9PSX_0 m1_657_280# Q nD vss sky130_fd_pr__nfet_01v8_KU9PSX
+Xsky130_fd_pr__nfet_01v8_KU9PSX_1 m1_657_280# nQ D vss sky130_fd_pr__nfet_01v8_KU9PSX
+C0 nQ vdd 0.16fF
+C1 m1_657_280# Q 0.94fF
+C2 m1_657_280# CLK 0.24fF
+C3 nD Q 0.05fF
+C4 m1_657_280# nQ 1.41fF
+C5 D Q 0.05fF
+C6 nQ nD 0.05fF
+C7 nQ D 0.05fF
+C8 nQ Q 0.93fF
+C9 vdd Q 0.16fF
+C10 nQ vss 1.16fF
+C11 D vss 0.53fF
+C12 Q vss -0.55fF
+C13 m1_657_280# vss 1.88fF
+C14 nD vss 0.16fF
+C15 CLK vss 0.87fF
+C16 vdd vss 5.98fF
+.ends
+
+.subckt DFlipFlop latch_diff_0/m1_657_280# vss latch_diff_1/D clock_inverter_0/inverter_cp_x1_2/in
++ nQ Q latch_diff_1/nD D latch_diff_1/m1_657_280# latch_diff_0/D vdd CLK clock_inverter_0/inverter_cp_x1_0/out
++ nCLK latch_diff_0/nD
+Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in D vdd clock_inverter_0/inverter_cp_x1_0/out
++ latch_diff_0/D latch_diff_0/nD clock_inverter
+Xlatch_diff_0 latch_diff_0/m1_657_280# latch_diff_1/nD latch_diff_1/D vss CLK vdd
++ latch_diff_0/nD latch_diff_0/D latch_diff
+Xlatch_diff_1 latch_diff_1/m1_657_280# nQ Q vss nCLK vdd latch_diff_1/nD latch_diff_1/D
++ latch_diff
+C0 Q latch_diff_1/nD 0.01fF
+C1 latch_diff_1/m1_657_280# latch_diff_1/nD 0.42fF
+C2 latch_diff_1/m1_657_280# latch_diff_1/D 0.32fF
+C3 latch_diff_1/D latch_diff_0/nD 0.41fF
+C4 latch_diff_0/D latch_diff_1/nD 0.04fF
+C5 latch_diff_0/D latch_diff_1/D 0.11fF
+C6 vdd latch_diff_0/nD 0.14fF
+C7 latch_diff_1/nD latch_diff_1/D 0.33fF
+C8 vdd latch_diff_0/D 0.09fF
+C9 latch_diff_1/m1_657_280# latch_diff_0/m1_657_280# 0.18fF
+C10 latch_diff_0/m1_657_280# latch_diff_0/nD 0.38fF
+C11 latch_diff_0/D latch_diff_0/m1_657_280# 0.37fF
+C12 vdd latch_diff_1/nD 0.02fF
+C13 vdd latch_diff_1/D 0.03fF
+C14 vdd clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C15 nQ latch_diff_1/nD 0.08fF
+C16 nQ latch_diff_1/D 0.11fF
+C17 latch_diff_0/m1_657_280# latch_diff_1/nD 0.14fF
+C18 latch_diff_0/m1_657_280# latch_diff_1/D 0.43fF
+C19 nQ vss 0.57fF
+C20 Q vss -0.92fF
+C21 latch_diff_1/m1_657_280# vss 0.64fF
+C22 nCLK vss 0.83fF
+C23 latch_diff_1/nD vss 1.83fF
+C24 latch_diff_1/D vss -0.30fF
+C25 latch_diff_0/m1_657_280# vss 0.72fF
+C26 CLK vss 0.83fF
+C27 latch_diff_0/D vss 1.29fF
+C28 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C29 clock_inverter_0/inverter_cp_x1_0/out vss 1.84fF
+C30 D vss 3.27fF
+C31 latch_diff_0/nD vss 1.74fF
+C32 vdd vss 32.62fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZP3U9B VSUBS a_n221_n84# a_159_n84# w_n359_n303# a_n63_n110#
++ a_n129_n84# a_33_n110# a_n159_n110# a_63_n84# a_129_n110# a_n33_n84#
+X0 a_n129_n84# a_n159_n110# a_n221_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_63_n84# a_33_n110# a_n33_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 a_n33_n84# a_n63_n110# a_n129_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_159_n84# a_129_n110# a_63_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+C0 a_63_n84# a_n33_n84# 0.24fF
+C1 w_n359_n303# a_159_n84# 0.08fF
+C2 a_n129_n84# a_n221_n84# 0.24fF
+C3 a_63_n84# a_159_n84# 0.24fF
+C4 a_n33_n84# a_n129_n84# 0.24fF
+C5 a_n33_n84# a_n221_n84# 0.09fF
+C6 w_n359_n303# a_63_n84# 0.06fF
+C7 a_n129_n84# a_159_n84# 0.05fF
+C8 a_n221_n84# a_159_n84# 0.04fF
+C9 a_n33_n84# a_159_n84# 0.09fF
+C10 a_n63_n110# a_n159_n110# 0.02fF
+C11 w_n359_n303# a_n129_n84# 0.06fF
+C12 w_n359_n303# a_n221_n84# 0.08fF
+C13 w_n359_n303# a_n33_n84# 0.05fF
+C14 a_33_n110# a_129_n110# 0.02fF
+C15 a_63_n84# a_n129_n84# 0.09fF
+C16 a_63_n84# a_n221_n84# 0.05fF
+C17 a_n63_n110# a_33_n110# 0.02fF
+C18 a_159_n84# VSUBS 0.03fF
+C19 a_63_n84# VSUBS 0.03fF
+C20 a_n33_n84# VSUBS 0.03fF
+C21 a_n129_n84# VSUBS 0.03fF
+C22 a_n221_n84# VSUBS 0.03fF
+C23 a_129_n110# VSUBS 0.05fF
+C24 a_33_n110# VSUBS 0.05fF
+C25 a_n63_n110# VSUBS 0.05fF
+C26 a_n159_n110# VSUBS 0.05fF
+C27 w_n359_n303# VSUBS 2.19fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_DXA56D w_n359_n252# a_n33_n42# a_129_n68# a_n159_n68#
++ a_n221_n42# a_159_n42# a_n129_n42# a_33_n68# a_n63_n68# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n129_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2 a_159_n42# a_129_n68# a_63_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3 a_n129_n42# a_n159_n68# a_n221_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_n129_n42# a_n221_n42# 0.12fF
+C1 a_63_n42# a_n33_n42# 0.12fF
+C2 a_n221_n42# a_159_n42# 0.02fF
+C3 a_n159_n68# a_n63_n68# 0.02fF
+C4 a_n129_n42# a_n33_n42# 0.12fF
+C5 a_n129_n42# a_63_n42# 0.05fF
+C6 a_n33_n42# a_159_n42# 0.05fF
+C7 a_63_n42# a_159_n42# 0.12fF
+C8 a_33_n68# a_129_n68# 0.02fF
+C9 a_n129_n42# a_159_n42# 0.03fF
+C10 a_n221_n42# a_n33_n42# 0.05fF
+C11 a_n221_n42# a_63_n42# 0.03fF
+C12 a_33_n68# a_n63_n68# 0.02fF
+C13 a_159_n42# w_n359_n252# 0.07fF
+C14 a_63_n42# w_n359_n252# 0.06fF
+C15 a_n33_n42# w_n359_n252# 0.06fF
+C16 a_n129_n42# w_n359_n252# 0.06fF
+C17 a_n221_n42# w_n359_n252# 0.07fF
+C18 a_129_n68# w_n359_n252# 0.05fF
+C19 a_33_n68# w_n359_n252# 0.05fF
+C20 a_n63_n68# w_n359_n252# 0.05fF
+C21 a_n159_n68# w_n359_n252# 0.05fF
+.ends
+
+.subckt inverter_min_x4 in vss out vdd
+Xsky130_fd_pr__pfet_01v8_ZP3U9B_0 vss out out vdd in vdd in in vdd in out sky130_fd_pr__pfet_01v8_ZP3U9B
+Xsky130_fd_pr__nfet_01v8_DXA56D_0 vss out in in out out vss in in vss sky130_fd_pr__nfet_01v8_DXA56D
+C0 out vdd 0.62fF
+C1 out in 0.67fF
+C2 in vdd 0.33fF
+C3 out vss 0.66fF
+C4 in vss 1.89fF
+C5 vdd vss 3.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_5RJ8EK a_n33_n42# a_33_n68# w_n263_n252# a_n63_n68#
++ a_n125_n42# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n125_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_n33_n42# a_63_n42# 0.12fF
+C1 a_n125_n42# a_n33_n42# 0.12fF
+C2 a_33_n68# a_n63_n68# 0.02fF
+C3 a_n125_n42# a_63_n42# 0.05fF
+C4 a_63_n42# w_n263_n252# 0.09fF
+C5 a_n33_n42# w_n263_n252# 0.07fF
+C6 a_n125_n42# w_n263_n252# 0.09fF
+C7 a_33_n68# w_n263_n252# 0.05fF
+C8 a_n63_n68# w_n263_n252# 0.05fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZPB9BB VSUBS a_n63_n110# a_33_n110# a_n125_n84# a_63_n84#
++ w_n263_n303# a_n33_n84#
+X0 a_63_n84# a_33_n110# a_n33_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_n33_n84# a_n63_n110# a_n125_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+C0 a_n63_n110# a_33_n110# 0.02fF
+C1 a_n125_n84# a_n33_n84# 0.24fF
+C2 w_n263_n303# a_n33_n84# 0.07fF
+C3 a_63_n84# a_n125_n84# 0.09fF
+C4 a_63_n84# w_n263_n303# 0.10fF
+C5 a_63_n84# a_n33_n84# 0.24fF
+C6 w_n263_n303# a_n125_n84# 0.10fF
+C7 a_63_n84# VSUBS 0.03fF
+C8 a_n33_n84# VSUBS 0.03fF
+C9 a_n125_n84# VSUBS 0.03fF
+C10 a_33_n110# VSUBS 0.05fF
+C11 a_n63_n110# VSUBS 0.05fF
+C12 w_n263_n303# VSUBS 1.74fF
+.ends
+
+.subckt inverter_min_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_5RJ8EK_0 vss in vss in out out sky130_fd_pr__nfet_01v8_5RJ8EK
+Xsky130_fd_pr__pfet_01v8_ZPB9BB_0 vss in in out out vdd vdd sky130_fd_pr__pfet_01v8_ZPB9BB
+C0 vdd in 0.01fF
+C1 vdd out 0.15fF
+C2 out in 0.30fF
+C3 vdd vss 2.93fF
+C4 out vss 0.66fF
+C5 in vss 0.72fF
+.ends
+
+.subckt div_by_2 vss vdd clock_inverter_0/inverter_cp_x1_2/in CLK_2 nCLK_2 o1 CLK
++ out_div o2 clock_inverter_0/inverter_cp_x1_0/out nout_div
+XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ nout_div out_div DFlipFlop_0/latch_diff_1/nD nout_div DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd DFlipFlop_0/CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
+Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in CLK vdd clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_0/CLK DFlipFlop_0/nCLK clock_inverter
+Xinverter_min_x4_0 o1 vss CLK_2 vdd inverter_min_x4
+Xinverter_min_x4_1 o2 vss nCLK_2 vdd inverter_min_x4
+Xinverter_min_x2_0 nout_div o2 vss vdd inverter_min_x2
+Xinverter_min_x2_1 out_div o1 vss vdd inverter_min_x2
+C0 DFlipFlop_0/nCLK vdd 0.30fF
+C1 o2 nCLK_2 0.11fF
+C2 out_div vdd 0.03fF
+C3 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vdd 0.03fF
+C4 DFlipFlop_0/latch_diff_1/nD nout_div 1.18fF
+C5 DFlipFlop_0/latch_diff_1/m1_657_280# o1 0.02fF
+C6 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_0/CLK 0.29fF
+C7 DFlipFlop_0/latch_diff_0/m1_657_280# DFlipFlop_0/CLK 0.26fF
+C8 nout_div DFlipFlop_0/latch_diff_0/D 0.09fF
+C9 DFlipFlop_0/latch_diff_1/D nout_div 0.64fF
+C10 CLK_2 o1 0.11fF
+C11 nout_div vdd 0.16fF
+C12 o2 vdd 0.14fF
+C13 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_0/nD 0.12fF
+C14 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_1/m1_657_280# 0.26fF
+C15 nout_div DFlipFlop_0/CLK 0.42fF
+C16 DFlipFlop_0/nCLK nout_div 0.43fF
+C17 nCLK_2 vdd 0.08fF
+C18 nout_div out_div 0.22fF
+C19 nout_div DFlipFlop_0/latch_diff_0/m1_657_280# 0.24fF
+C20 vdd o1 0.14fF
+C21 DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/CLK 0.11fF
+C22 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_1/nD -0.09fF
+C23 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_0/D 0.13fF
+C24 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vdd 0.03fF
+C25 DFlipFlop_0/latch_diff_1/D DFlipFlop_0/CLK -0.48fF
+C26 out_div o1 0.01fF
+C27 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_1/D 0.08fF
+C28 DFlipFlop_0/nCLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.46fF
+C29 CLK_2 vdd 0.08fF
+C30 DFlipFlop_0/latch_diff_1/m1_657_280# nout_div 0.21fF
+C31 nout_div DFlipFlop_0/latch_diff_0/nD 0.07fF
+C32 DFlipFlop_0/latch_diff_1/m1_657_280# o2 0.02fF
+C33 clock_inverter_0/inverter_cp_x1_0/out vdd 0.10fF
+C34 DFlipFlop_0/CLK vdd 0.40fF
+C35 nCLK_2 vss 1.08fF
+C36 o2 vss 2.21fF
+C37 CLK_2 vss 1.08fF
+C38 o1 vss 2.21fF
+C39 DFlipFlop_0/CLK vss 1.03fF
+C40 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C41 clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C42 CLK vss 3.27fF
+C43 DFlipFlop_0/nCLK vss 1.76fF
+C44 out_div vss -0.77fF
+C45 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.63fF
+C46 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C47 DFlipFlop_0/latch_diff_1/D vss -1.72fF
+C48 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C49 DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C50 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
+C51 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.80fF
+C52 nout_div vss 4.41fF
+C53 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C54 vdd vss 64.43fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_58ZKDE VSUBS a_n257_n777# a_n129_n600# a_n221_n600#
++ w_n257_n702#
+X0 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X1 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X2 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X3 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+C0 a_n257_n777# a_n221_n600# 0.25fF
+C1 a_n257_n777# a_n129_n600# 0.29fF
+C2 a_n221_n600# a_n129_n600# 7.87fF
+C3 a_n129_n600# VSUBS 0.10fF
+C4 a_n221_n600# VSUBS 0.25fF
+C5 a_n257_n777# VSUBS 1.05fF
+C6 w_n257_n702# VSUBS 2.16fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_T69Y3A a_n129_n300# a_n221_n300# w_n257_n327# a_n257_n404#
+X0 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X1 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X2 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X3 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+C0 a_n257_n404# a_n221_n300# 0.21fF
+C1 a_n221_n300# a_n129_n300# 4.05fF
+C2 a_n257_n404# a_n129_n300# 0.30fF
+C3 a_n129_n300# w_n257_n327# 0.11fF
+C4 a_n221_n300# w_n257_n327# 0.25fF
+C5 a_n257_n404# w_n257_n327# 1.11fF
+.ends
+
+.subckt buffer_salida a_678_n100# out in vss vdd
+Xsky130_fd_pr__pfet_01v8_58ZKDE_1 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_2 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_3 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_0 a_678_n100# vss vss in sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_1 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_4 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_5 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_2 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_3 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_6 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_4 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_7 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_70 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_8 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_5 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_71 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_60 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_6 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_9 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_72 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_61 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_50 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_7 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_62 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_51 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_40 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_8 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_63 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_52 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_41 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_30 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_9 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_20 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_64 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_53 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_42 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_31 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_10 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_21 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_65 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_54 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_43 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_32 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_11 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_22 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_66 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_55 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_44 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_33 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_12 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_23 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_67 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_56 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_45 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_34 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_13 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_24 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_68 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_57 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_46 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_35 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_14 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_69 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_58 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_47 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_36 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_25 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_15 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_59 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_48 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_37 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_26 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_16 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_49 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_38 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_27 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_70 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_17 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_39 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_28 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_71 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_60 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_18 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_29 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_72 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_61 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_50 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_19 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_62 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_51 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_40 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_63 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_52 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_41 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_30 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_20 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_64 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_53 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_42 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_31 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_10 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_21 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_65 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_54 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_43 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_32 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_11 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_22 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_66 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_55 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_44 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_33 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_12 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_23 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_67 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_56 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_45 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_34 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_13 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_24 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_68 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_57 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_46 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_35 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_14 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_69 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_58 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_47 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_36 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_25 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_15 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_59 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_48 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_37 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_26 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_16 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_49 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_38 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_27 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_17 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_39 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_28 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_18 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_29 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_19 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_0 vss in a_678_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+C0 a_3996_n100# vdd 3.68fF
+C1 a_678_n100# a_3996_n100# 6.52fF
+C2 a_3996_n100# out 55.19fF
+C3 a_678_n100# vdd 0.08fF
+C4 out vdd 47.17fF
+C5 vdd in 0.02fF
+C6 a_678_n100# in 0.81fF
+C7 vdd vss 20.93fF
+C8 out vss 35.17fF
+C9 a_3996_n100# vss 49.53fF
+C10 a_678_n100# vss 13.08fF
+C11 in vss 0.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_CBAU6Y a_n73_n150# a_n33_n238# w_n211_n360# a_15_n150#
+X0 a_15_n150# a_n33_n238# a_n73_n150# w_n211_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n33_n238# a_n73_n150# 0.02fF
+C1 a_15_n150# a_n33_n238# 0.02fF
+C2 a_15_n150# a_n73_n150# 0.51fF
+C3 a_15_n150# w_n211_n360# 0.23fF
+C4 a_n73_n150# w_n211_n360# 0.23fF
+C5 a_n33_n238# w_n211_n360# 0.17fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4757AC VSUBS a_n73_n150# a_n33_181# w_n211_n369# a_15_n150#
+X0 a_15_n150# a_n33_181# a_n73_n150# w_n211_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n33_181# a_15_n150# 0.01fF
+C1 a_n73_n150# a_15_n150# 0.51fF
+C2 w_n211_n369# a_n33_181# 0.05fF
+C3 a_n73_n150# w_n211_n369# 0.20fF
+C4 w_n211_n369# a_15_n150# 0.20fF
+C5 a_n73_n150# a_n33_181# 0.01fF
+C6 a_15_n150# VSUBS 0.03fF
+C7 a_n73_n150# VSUBS 0.03fF
+C8 a_n33_181# VSUBS 0.13fF
+C9 w_n211_n369# VSUBS 1.98fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_7H8F5S a_n465_172# a_n417_n150# a_351_n150# a_255_n150#
++ w_n647_n360# a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150#
+X0 a_159_n150# a_n465_172# a_63_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n225_n150# a_n465_172# a_n321_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_447_n150# a_n465_172# a_351_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_63_n150# a_n465_172# a_n33_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n465_172# a_n225_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n465_172# a_n509_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n33_n150# a_n465_172# a_n129_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_351_n150# a_n465_172# a_255_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_255_n150# a_n465_172# a_159_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n321_n150# a_n465_172# a_n417_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n129_n150# a_159_n150# 0.10fF
+C1 a_n465_172# a_n129_n150# 0.10fF
+C2 a_n509_n150# a_n321_n150# 0.16fF
+C3 a_n465_172# a_159_n150# 0.10fF
+C4 a_n417_n150# a_n321_n150# 0.43fF
+C5 a_63_n150# a_447_n150# 0.07fF
+C6 a_63_n150# a_255_n150# 0.16fF
+C7 a_n129_n150# a_255_n150# 0.07fF
+C8 a_447_n150# a_159_n150# 0.10fF
+C9 a_n465_172# a_447_n150# 0.01fF
+C10 a_n417_n150# a_n33_n150# 0.07fF
+C11 a_255_n150# a_159_n150# 0.43fF
+C12 a_n465_172# a_255_n150# 0.10fF
+C13 a_351_n150# a_n33_n150# 0.07fF
+C14 a_n33_n150# a_n321_n150# 0.10fF
+C15 a_447_n150# a_255_n150# 0.16fF
+C16 a_n509_n150# a_n225_n150# 0.10fF
+C17 a_n129_n150# a_n509_n150# 0.07fF
+C18 a_n417_n150# a_n225_n150# 0.16fF
+C19 a_n417_n150# a_n129_n150# 0.10fF
+C20 a_n465_172# a_n509_n150# 0.01fF
+C21 a_351_n150# a_63_n150# 0.10fF
+C22 a_n417_n150# a_n465_172# 0.10fF
+C23 a_63_n150# a_n321_n150# 0.07fF
+C24 a_351_n150# a_159_n150# 0.16fF
+C25 a_n225_n150# a_n321_n150# 0.43fF
+C26 a_n465_172# a_351_n150# 0.10fF
+C27 a_n129_n150# a_n321_n150# 0.16fF
+C28 a_n465_172# a_n321_n150# 0.10fF
+C29 a_63_n150# a_n33_n150# 0.43fF
+C30 a_n33_n150# a_n225_n150# 0.16fF
+C31 a_351_n150# a_447_n150# 0.43fF
+C32 a_n129_n150# a_n33_n150# 0.43fF
+C33 a_351_n150# a_255_n150# 0.43fF
+C34 a_n33_n150# a_159_n150# 0.16fF
+C35 a_n465_172# a_n33_n150# 0.10fF
+C36 a_n33_n150# a_255_n150# 0.10fF
+C37 a_63_n150# a_n225_n150# 0.10fF
+C38 a_n417_n150# a_n509_n150# 0.43fF
+C39 a_n129_n150# a_63_n150# 0.16fF
+C40 a_n129_n150# a_n225_n150# 0.43fF
+C41 a_63_n150# a_159_n150# 0.43fF
+C42 a_n225_n150# a_159_n150# 0.07fF
+C43 a_n465_172# a_63_n150# 0.10fF
+C44 a_n465_172# a_n225_n150# 0.10fF
+C45 a_447_n150# w_n647_n360# 0.17fF
+C46 a_351_n150# w_n647_n360# 0.10fF
+C47 a_255_n150# w_n647_n360# 0.08fF
+C48 a_159_n150# w_n647_n360# 0.07fF
+C49 a_63_n150# w_n647_n360# 0.04fF
+C50 a_n33_n150# w_n647_n360# 0.04fF
+C51 a_n129_n150# w_n647_n360# 0.04fF
+C52 a_n225_n150# w_n647_n360# 0.07fF
+C53 a_n321_n150# w_n647_n360# 0.08fF
+C54 a_n417_n150# w_n647_n360# 0.10fF
+C55 a_n509_n150# w_n647_n360# 0.17fF
+C56 a_n465_172# w_n647_n360# 1.49fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_8DL6ZL VSUBS a_n417_n150# a_351_n150# a_255_n150#
++ a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n465_n247# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150# w_n647_n369#
+X0 a_63_n150# a_n465_n247# a_n33_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n129_n150# a_n465_n247# a_n225_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n417_n150# a_n465_n247# a_n509_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n33_n150# a_n465_n247# a_n129_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_351_n150# a_n465_n247# a_255_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_255_n150# a_n465_n247# a_159_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n321_n150# a_n465_n247# a_n417_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_159_n150# a_n465_n247# a_63_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n225_n150# a_n465_n247# a_n321_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_447_n150# a_n465_n247# a_351_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n321_n150# a_n33_n150# 0.10fF
+C1 a_n321_n150# a_n129_n150# 0.16fF
+C2 a_n321_n150# a_n225_n150# 0.43fF
+C3 a_63_n150# a_159_n150# 0.43fF
+C4 a_63_n150# a_n33_n150# 0.43fF
+C5 a_63_n150# a_n129_n150# 0.16fF
+C6 a_n321_n150# w_n647_n369# 0.05fF
+C7 a_63_n150# a_n225_n150# 0.10fF
+C8 a_63_n150# w_n647_n369# 0.02fF
+C9 a_n417_n150# a_n33_n150# 0.07fF
+C10 a_n417_n150# a_n129_n150# 0.10fF
+C11 a_n465_n247# a_159_n150# 0.08fF
+C12 a_n465_n247# a_n33_n150# 0.08fF
+C13 a_n417_n150# a_n225_n150# 0.16fF
+C14 a_n465_n247# a_n129_n150# 0.08fF
+C15 a_n321_n150# a_63_n150# 0.07fF
+C16 a_n465_n247# a_n225_n150# 0.08fF
+C17 a_n417_n150# w_n647_n369# 0.07fF
+C18 a_n465_n247# w_n647_n369# 0.47fF
+C19 a_n321_n150# a_n417_n150# 0.43fF
+C20 a_255_n150# a_351_n150# 0.43fF
+C21 a_n321_n150# a_n465_n247# 0.08fF
+C22 a_447_n150# a_255_n150# 0.16fF
+C23 a_n465_n247# a_63_n150# 0.08fF
+C24 a_n417_n150# a_n465_n247# 0.08fF
+C25 a_447_n150# a_351_n150# 0.43fF
+C26 a_n509_n150# a_n129_n150# 0.07fF
+C27 a_n509_n150# a_n225_n150# 0.10fF
+C28 a_255_n150# a_159_n150# 0.43fF
+C29 a_255_n150# a_n33_n150# 0.10fF
+C30 a_255_n150# a_n129_n150# 0.07fF
+C31 a_n509_n150# w_n647_n369# 0.14fF
+C32 a_255_n150# w_n647_n369# 0.05fF
+C33 a_n321_n150# a_n509_n150# 0.16fF
+C34 a_159_n150# a_351_n150# 0.16fF
+C35 a_n33_n150# a_351_n150# 0.07fF
+C36 a_255_n150# a_63_n150# 0.16fF
+C37 a_447_n150# a_159_n150# 0.10fF
+C38 a_n509_n150# a_n417_n150# 0.43fF
+C39 w_n647_n369# a_351_n150# 0.07fF
+C40 a_447_n150# w_n647_n369# 0.14fF
+C41 a_255_n150# a_n465_n247# 0.08fF
+C42 a_63_n150# a_351_n150# 0.10fF
+C43 a_447_n150# a_63_n150# 0.07fF
+C44 a_n465_n247# a_351_n150# 0.08fF
+C45 a_159_n150# a_n33_n150# 0.16fF
+C46 a_n129_n150# a_159_n150# 0.10fF
+C47 a_n129_n150# a_n33_n150# 0.43fF
+C48 a_159_n150# a_n225_n150# 0.07fF
+C49 a_n33_n150# a_n225_n150# 0.16fF
+C50 a_n129_n150# a_n225_n150# 0.43fF
+C51 a_159_n150# w_n647_n369# 0.04fF
+C52 w_n647_n369# a_n33_n150# 0.02fF
+C53 a_n129_n150# w_n647_n369# 0.02fF
+C54 w_n647_n369# a_n225_n150# 0.04fF
+C55 a_447_n150# VSUBS 0.03fF
+C56 a_351_n150# VSUBS 0.03fF
+C57 a_255_n150# VSUBS 0.03fF
+C58 a_159_n150# VSUBS 0.03fF
+C59 a_63_n150# VSUBS 0.03fF
+C60 a_n33_n150# VSUBS 0.03fF
+C61 a_n129_n150# VSUBS 0.03fF
+C62 a_n225_n150# VSUBS 0.03fF
+C63 a_n321_n150# VSUBS 0.03fF
+C64 a_n417_n150# VSUBS 0.03fF
+C65 a_n509_n150# VSUBS 0.03fF
+C66 a_n465_n247# VSUBS 1.07fF
+C67 w_n647_n369# VSUBS 4.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_EDT3AT a_15_n11# a_n33_n99# w_n211_n221# a_n73_n11#
+X0 a_15_n11# a_n33_n99# a_n73_n11# w_n211_n221# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_n33_n99# a_n73_n11# 0.02fF
+C1 a_15_n11# a_n73_n11# 0.15fF
+C2 a_15_n11# a_n33_n99# 0.02fF
+C3 a_15_n11# w_n211_n221# 0.09fF
+C4 a_n73_n11# w_n211_n221# 0.09fF
+C5 a_n33_n99# w_n211_n221# 0.17fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AQR2CW a_n33_66# a_n78_n106# w_n216_n254# a_20_n106#
+X0 a_20_n106# a_n33_66# a_n78_n106# w_n216_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=200000u
+C0 a_20_n106# a_n78_n106# 0.21fF
+C1 a_20_n106# w_n216_n254# 0.14fF
+C2 a_n78_n106# w_n216_n254# 0.14fF
+C3 a_n33_66# w_n216_n254# 0.12fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_HRYSXS VSUBS a_n33_n211# a_n78_n114# w_n216_n334#
++ a_20_n114#
+X0 a_20_n114# a_n33_n211# a_n78_n114# w_n216_n334# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=200000u
+C0 a_n78_n114# a_20_n114# 0.42fF
+C1 a_n78_n114# w_n216_n334# 0.20fF
+C2 w_n216_n334# a_20_n114# 0.20fF
+C3 a_20_n114# VSUBS 0.03fF
+C4 a_n78_n114# VSUBS 0.03fF
+C5 a_n33_n211# VSUBS 0.12fF
+C6 w_n216_n334# VSUBS 1.66fF
+.ends
+
+.subckt inverter_csvco in vbulkn out vbulkp vdd vss
+Xsky130_fd_pr__nfet_01v8_AQR2CW_0 in vss vbulkn out sky130_fd_pr__nfet_01v8_AQR2CW
+Xsky130_fd_pr__pfet_01v8_HRYSXS_0 vbulkn in vdd vbulkp out sky130_fd_pr__pfet_01v8_HRYSXS
+C0 in vss 0.01fF
+C1 vbulkp vdd 0.04fF
+C2 vbulkp out 0.08fF
+C3 in vdd 0.01fF
+C4 in out 0.11fF
+C5 vbulkp vbulkn 2.49fF
+C6 out vbulkn 0.60fF
+C7 vdd vbulkn 0.06fF
+C8 in vbulkn 0.54fF
+C9 vss vbulkn 0.17fF
+.ends
+
+.subckt csvco_branch vctrl in vbp cap_vco_0/t D0 out inverter_csvco_0/vss vss vdd
++ inverter_csvco_0/vdd
+Xsky130_fd_pr__nfet_01v8_7H8F5S_0 vctrl inverter_csvco_0/vss inverter_csvco_0/vss
++ vss vss inverter_csvco_0/vss vss vss inverter_csvco_0/vss vss inverter_csvco_0/vss
++ vss vss sky130_fd_pr__nfet_01v8_7H8F5S
+Xsky130_fd_pr__pfet_01v8_8DL6ZL_0 vss inverter_csvco_0/vdd inverter_csvco_0/vdd vdd
++ inverter_csvco_0/vdd vdd vdd inverter_csvco_0/vdd vbp vdd inverter_csvco_0/vdd vdd
++ vdd vdd sky130_fd_pr__pfet_01v8_8DL6ZL
+Xsky130_fd_pr__nfet_01v8_EDT3AT_0 cap_vco_0/t D0 vss out sky130_fd_pr__nfet_01v8_EDT3AT
+Xinverter_csvco_0 in vss out vdd inverter_csvco_0/vdd inverter_csvco_0/vss inverter_csvco
+C0 inverter_csvco_0/vdd out 0.02fF
+C1 vbp inverter_csvco_0/vdd 0.75fF
+C2 cap_vco_0/t out 0.70fF
+C3 inverter_csvco_0/vdd vdd 1.89fF
+C4 cap_vco_0/t vdd 0.04fF
+C5 inverter_csvco_0/vdd in 0.01fF
+C6 D0 out 0.09fF
+C7 inverter_csvco_0/vss D0 0.02fF
+C8 vbp vdd 1.21fF
+C9 out in 0.06fF
+C10 vctrl inverter_csvco_0/vss 0.87fF
+C11 inverter_csvco_0/vdd cap_vco_0/t 0.10fF
+C12 inverter_csvco_0/vss out 0.03fF
+C13 inverter_csvco_0/vss in 0.01fF
+C14 out vss 0.93fF
+C15 inverter_csvco_0/vdd vss 0.26fF
+C16 in vss 0.69fF
+C17 D0 vss -0.67fF
+C18 vbp vss 0.13fF
+C19 vdd vss 9.58fF
+C20 cap_vco_0/t vss 7.22fF
+C21 inverter_csvco_0/vss vss 1.79fF
+C22 vctrl vss 3.06fF
+.ends
+
+.subckt ring_osc vctrl vdd vss csvco_branch_0/inverter_csvco_0/vss csvco_branch_2/vbp
++ D0 csvco_branch_2/cap_vco_0/t out_vco
+Xsky130_fd_pr__nfet_01v8_CBAU6Y_0 vss vctrl vss csvco_branch_2/vbp sky130_fd_pr__nfet_01v8_CBAU6Y
+Xsky130_fd_pr__pfet_01v8_4757AC_0 vss vdd csvco_branch_2/vbp vdd csvco_branch_2/vbp
++ sky130_fd_pr__pfet_01v8_4757AC
+Xcsvco_branch_0 vctrl out_vco csvco_branch_2/vbp csvco_branch_0/cap_vco_0/t D0 csvco_branch_1/in
++ csvco_branch_0/inverter_csvco_0/vss vss vdd csvco_branch_0/inverter_csvco_0/vdd
++ csvco_branch
+Xcsvco_branch_2 vctrl csvco_branch_2/in csvco_branch_2/vbp csvco_branch_2/cap_vco_0/t
++ D0 out_vco csvco_branch_2/inverter_csvco_0/vss vss vdd csvco_branch_2/inverter_csvco_0/vdd
++ csvco_branch
+Xcsvco_branch_1 vctrl csvco_branch_1/in csvco_branch_2/vbp csvco_branch_1/cap_vco_0/t
++ D0 csvco_branch_2/in csvco_branch_1/inverter_csvco_0/vss vss vdd csvco_branch_1/inverter_csvco_0/vdd
++ csvco_branch
+C0 D0 csvco_branch_2/inverter_csvco_0/vss 0.68fF
+C1 csvco_branch_2/in out_vco 0.58fF
+C2 csvco_branch_0/inverter_csvco_0/vdd csvco_branch_2/vbp 0.06fF
+C3 D0 csvco_branch_1/inverter_csvco_0/vss 0.68fF
+C4 csvco_branch_0/inverter_csvco_0/vdd vdd 0.13fF
+C5 vdd csvco_branch_1/inverter_csvco_0/vdd 0.19fF
+C6 csvco_branch_1/cap_vco_0/t out_vco 0.03fF
+C7 vdd csvco_branch_2/inverter_csvco_0/vdd 0.10fF
+C8 csvco_branch_0/inverter_csvco_0/vss csvco_branch_2/vbp 0.06fF
+C9 out_vco csvco_branch_0/cap_vco_0/t 0.03fF
+C10 D0 csvco_branch_0/inverter_csvco_0/vss 0.49fF
+C11 csvco_branch_1/in out_vco 0.76fF
+C12 vctrl csvco_branch_2/vbp 0.06fF
+C13 vdd csvco_branch_2/vbp 1.49fF
+C14 D0 vctrl 4.41fF
+C15 csvco_branch_2/in vss 1.60fF
+C16 csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C17 csvco_branch_1/cap_vco_0/t vss 7.10fF
+C18 csvco_branch_1/inverter_csvco_0/vss vss 0.72fF
+C19 csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C20 csvco_branch_2/cap_vco_0/t vss 7.10fF
+C21 csvco_branch_2/inverter_csvco_0/vss vss 0.62fF
+C22 csvco_branch_1/in vss 1.58fF
+C23 csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C24 out_vco vss 0.67fF
+C25 D0 vss -1.55fF
+C26 vdd vss 31.40fF
+C27 csvco_branch_0/cap_vco_0/t vss 7.10fF
+C28 csvco_branch_0/inverter_csvco_0/vss vss 0.66fF
+C29 vctrl vss 11.02fF
+C30 csvco_branch_2/vbp vss 0.77fF
+.ends
+
+.subckt ring_osc_buffer vss in_vco vdd o1 out_div out_pad
+Xinverter_min_x4_0 o1 vss out_div vdd inverter_min_x4
+Xinverter_min_x4_1 out_div vss out_pad vdd inverter_min_x4
+Xinverter_min_x2_0 in_vco o1 vss vdd inverter_min_x2
+C0 vdd o1 0.09fF
+C1 out_div vdd 0.17fF
+C2 out_div out_pad 0.15fF
+C3 out_div o1 0.11fF
+C4 vdd out_pad 0.10fF
+C5 in_vco vss 0.83fF
+C6 out_pad vss 0.70fF
+C7 out_div vss 3.00fF
+C8 vdd vss 14.54fF
+C9 o1 vss 2.72fF
+.ends
+
+.subckt sky130_fd_sc_hs__xor2_1 A B VGND VNB VPB VPWR X a_194_125# a_355_368# a_455_87#
++ a_158_392#
+X0 X B a_455_87# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X1 X a_194_125# a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X2 a_194_125# B a_158_392# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 a_158_392# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 VPWR A a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X5 a_355_368# B VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X6 a_194_125# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X7 a_455_87# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X8 VGND B a_194_125# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X9 VGND a_194_125# X VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+C0 VPB VPWR 0.06fF
+C1 B VGND 0.10fF
+C2 a_355_368# VPWR 0.37fF
+C3 a_355_368# A 0.02fF
+C4 B VPWR 0.09fF
+C5 a_194_125# X 0.29fF
+C6 VGND VPWR 0.01fF
+C7 B A 0.28fF
+C8 A VGND 0.31fF
+C9 A VPWR 0.15fF
+C10 a_355_368# a_194_125# 0.51fF
+C11 B a_194_125# 0.57fF
+C12 a_355_368# X 0.17fF
+C13 a_194_125# VGND 0.25fF
+C14 a_194_125# a_158_392# 0.06fF
+C15 B X 0.13fF
+C16 VGND X 0.28fF
+C17 a_194_125# VPWR 0.33fF
+C18 a_194_125# A 0.18fF
+C19 VPWR X 0.07fF
+C20 B a_355_368# 0.08fF
+C21 VGND VNB 0.78fF
+C22 X VNB 0.21fF
+C23 VPWR VNB 0.78fF
+C24 B VNB 0.56fF
+C25 A VNB 0.70fF
+C26 VPB VNB 0.77fF
+C27 a_355_368# VNB 0.08fF
+C28 a_194_125# VNB 0.40fF
+.ends
+
+.subckt sky130_fd_sc_hs__and2_1 A B VGND VNB VPB VPWR X a_143_136# a_56_136#
+X0 VGND B a_143_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1 X a_56_136# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X2 VPWR B a_56_136# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_143_136# A a_56_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X4 a_56_136# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X5 X a_56_136# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+C0 A B 0.08fF
+C1 A a_56_136# 0.17fF
+C2 VPWR B 0.02fF
+C3 VPWR a_56_136# 0.57fF
+C4 B X 0.02fF
+C5 X a_56_136# 0.26fF
+C6 A VGND 0.21fF
+C7 B a_56_136# 0.30fF
+C8 X VGND 0.15fF
+C9 VPWR A 0.07fF
+C10 VPWR VPB 0.04fF
+C11 VPWR X 0.20fF
+C12 B VGND 0.03fF
+C13 VGND a_56_136# 0.06fF
+C14 VGND VNB 0.50fF
+C15 X VNB 0.23fF
+C16 VPWR VNB 0.50fF
+C17 B VNB 0.24fF
+C18 A VNB 0.36fF
+C19 VPB VNB 0.48fF
+C20 a_56_136# VNB 0.38fF
+.ends
+
+.subckt sky130_fd_sc_hs__or2_1 A B VGND VNB VPB VPWR X a_152_368# a_63_368#
+X0 VPWR A a_152_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_152_368# B a_63_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 X a_63_368# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X3 X a_63_368# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X4 a_63_368# B VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X5 VGND A a_63_368# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+C0 X VGND 0.16fF
+C1 A a_63_368# 0.28fF
+C2 VGND B 0.11fF
+C3 a_152_368# a_63_368# 0.03fF
+C4 a_63_368# VGND 0.27fF
+C5 X VPWR 0.18fF
+C6 VPWR VPB 0.04fF
+C7 VPWR B 0.01fF
+C8 X A 0.02fF
+C9 VPWR A 0.05fF
+C10 A B 0.10fF
+C11 X a_63_368# 0.33fF
+C12 VPWR a_63_368# 0.29fF
+C13 a_63_368# B 0.14fF
+C14 VGND VNB 0.53fF
+C15 X VNB 0.24fF
+C16 A VNB 0.21fF
+C17 B VNB 0.31fF
+C18 VPWR VNB 0.46fF
+C19 VPB VNB 0.48fF
+C20 a_63_368# VNB 0.37fF
+.ends
+
+.subckt div_by_5 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_1/latch_diff_0/D
++ nCLK DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd DFlipFlop_2/latch_diff_0/nD Q0 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ CLK DFlipFlop_2/latch_diff_1/D vss DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ sky130_fd_sc_hs__and2_1_0/a_56_136# nQ0 DFlipFlop_1/latch_diff_1/nD CLK_5 DFlipFlop_3/latch_diff_0/nD
++ nQ2 DFlipFlop_0/latch_diff_0/D DFlipFlop_2/latch_diff_1/nD DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_1/latch_diff_1/D Q1 DFlipFlop_2/D DFlipFlop_3/latch_diff_0/D DFlipFlop_1/D
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_3/latch_diff_1/nD DFlipFlop_0/latch_diff_1/D Q1_shift DFlipFlop_0/latch_diff_0/nD
++ DFlipFlop_2/nQ DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_2/latch_diff_0/D
++ sky130_fd_sc_hs__xor2_1_0/a_158_392# DFlipFlop_3/latch_diff_1/D sky130_fd_sc_hs__or2_1_0/a_63_368#
++ DFlipFlop_1/latch_diff_0/nD sky130_fd_sc_hs__and2_1_1/a_143_136# DFlipFlop_0/Q sky130_fd_sc_hs__and2_1_1/a_56_136#
++ sky130_fd_sc_hs__xor2_1_0/a_194_125# DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ sky130_fd_sc_hs__and2_1_0/a_143_136#
+Xsky130_fd_sc_hs__xor2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# sky130_fd_sc_hs__xor2_1_0/a_455_87# sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ sky130_fd_sc_hs__xor2_1
+XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ nQ2 DFlipFlop_0/Q DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
+XDFlipFlop_1 DFlipFlop_1/latch_diff_0/m1_657_280# vss DFlipFlop_1/latch_diff_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ nQ0 Q0 DFlipFlop_1/latch_diff_1/nD DFlipFlop_1/D DFlipFlop_1/latch_diff_1/m1_657_280#
++ DFlipFlop_1/latch_diff_0/D vdd CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_1/latch_diff_0/nD DFlipFlop
+XDFlipFlop_2 DFlipFlop_2/latch_diff_0/m1_657_280# vss DFlipFlop_2/latch_diff_1/D DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in
++ DFlipFlop_2/nQ Q1 DFlipFlop_2/latch_diff_1/nD DFlipFlop_2/D DFlipFlop_2/latch_diff_1/m1_657_280#
++ DFlipFlop_2/latch_diff_0/D vdd CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_2/latch_diff_0/nD DFlipFlop
+XDFlipFlop_3 DFlipFlop_3/latch_diff_0/m1_657_280# vss DFlipFlop_3/latch_diff_1/D DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ DFlipFlop_3/nQ Q1_shift DFlipFlop_3/latch_diff_1/nD Q1 DFlipFlop_3/latch_diff_1/m1_657_280#
++ DFlipFlop_3/latch_diff_0/D vdd nCLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ CLK DFlipFlop_3/latch_diff_0/nD DFlipFlop
+Xsky130_fd_sc_hs__and2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_0/D sky130_fd_sc_hs__and2_1_0/a_143_136#
++ sky130_fd_sc_hs__and2_1_0/a_56_136# sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__and2_1_1 nQ2 nQ0 vss vss vdd vdd DFlipFlop_1/D sky130_fd_sc_hs__and2_1_1/a_143_136#
++ sky130_fd_sc_hs__and2_1_1/a_56_136# sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__or2_1_0 Q1 Q1_shift vss vss vdd vdd CLK_5 sky130_fd_sc_hs__or2_1_0/a_152_368#
++ sky130_fd_sc_hs__or2_1_0/a_63_368# sky130_fd_sc_hs__or2_1
+C0 CLK DFlipFlop_2/latch_diff_1/D 0.14fF
+C1 Q1 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in 0.21fF
+C2 Q1_shift DFlipFlop_3/nQ 0.04fF
+C3 vdd sky130_fd_sc_hs__xor2_1_0/a_355_368# 0.03fF
+C4 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C5 DFlipFlop_1/latch_diff_1/m1_657_280# nQ0 0.21fF
+C6 DFlipFlop_0/latch_diff_0/D Q0 0.42fF
+C7 sky130_fd_sc_hs__or2_1_0/a_63_368# vdd 0.02fF
+C8 nQ0 DFlipFlop_1/latch_diff_1/D 0.91fF
+C9 nCLK sky130_fd_sc_hs__xor2_1_0/a_194_125# 0.11fF
+C10 DFlipFlop_3/nQ Q1 0.10fF
+C11 DFlipFlop_0/D sky130_fd_sc_hs__and2_1_0/a_56_136# 0.04fF
+C12 vdd DFlipFlop_2/nQ 0.02fF
+C13 CLK DFlipFlop_1/latch_diff_1/D 0.14fF
+C14 DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_194_125# 0.08fF
+C15 nCLK Q1 -0.01fF
+C16 nCLK nQ2 0.10fF
+C17 DFlipFlop_3/latch_diff_1/nD CLK 0.16fF
+C18 DFlipFlop_2/D Q1 0.10fF
+C19 Q1 sky130_fd_sc_hs__and2_1_0/a_56_136# 0.14fF
+C20 DFlipFlop_0/D Q0 0.39fF
+C21 CLK DFlipFlop_3/latch_diff_0/D 0.11fF
+C22 DFlipFlop_1/latch_diff_1/nD nQ0 0.88fF
+C23 nQ0 sky130_fd_sc_hs__and2_1_1/a_56_136# 0.01fF
+C24 nCLK DFlipFlop_2/latch_diff_1/m1_657_280# 0.28fF
+C25 sky130_fd_sc_hs__xor2_1_0/a_194_125# Q0 0.26fF
+C26 DFlipFlop_1/latch_diff_1/nD CLK 0.09fF
+C27 CLK sky130_fd_sc_hs__and2_1_1/a_56_136# 0.06fF
+C28 vdd DFlipFlop_0/D 0.19fF
+C29 Q1_shift vdd 0.10fF
+C30 vdd sky130_fd_sc_hs__xor2_1_0/a_194_125# 0.03fF
+C31 sky130_fd_sc_hs__or2_1_0/a_63_368# CLK_5 0.06fF
+C32 Q1 Q0 9.65fF
+C33 Q0 nQ2 0.23fF
+C34 vdd Q1 9.49fF
+C35 vdd nQ2 0.04fF
+C36 Q1 DFlipFlop_2/latch_diff_0/D 0.42fF
+C37 CLK DFlipFlop_2/latch_diff_1/nD 0.09fF
+C38 DFlipFlop_0/latch_diff_1/nD CLK 0.02fF
+C39 nCLK DFlipFlop_3/latch_diff_0/m1_657_280# 0.27fF
+C40 CLK nQ0 0.19fF
+C41 sky130_fd_sc_hs__and2_1_1/a_143_136# nQ0 0.04fF
+C42 DFlipFlop_1/latch_diff_0/D nQ0 0.09fF
+C43 DFlipFlop_0/latch_diff_1/D Q0 0.23fF
+C44 CLK sky130_fd_sc_hs__and2_1_1/a_143_136# 0.03fF
+C45 Q1 DFlipFlop_2/latch_diff_1/D 0.23fF
+C46 DFlipFlop_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C47 nCLK DFlipFlop_0/Q 0.11fF
+C48 nCLK DFlipFlop_3/latch_diff_1/D 0.14fF
+C49 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_0/D 0.02fF
+C50 nCLK DFlipFlop_1/D 0.14fF
+C51 Q1 DFlipFlop_3/latch_diff_0/nD 0.08fF
+C52 DFlipFlop_1/latch_diff_1/D Q1 -0.10fF
+C53 CLK DFlipFlop_2/latch_diff_0/m1_657_280# 0.28fF
+C54 sky130_fd_sc_hs__and2_1_0/a_143_136# Q1 0.02fF
+C55 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in Q1 0.09fF
+C56 nCLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in 0.14fF
+C57 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in Q1 0.20fF
+C58 DFlipFlop_3/latch_diff_1/nD Q1 1.24fF
+C59 DFlipFlop_0/Q Q0 0.21fF
+C60 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out CLK -0.31fF
+C61 CLK DFlipFlop_2/nQ 0.13fF
+C62 DFlipFlop_3/latch_diff_0/D Q1 0.09fF
+C63 DFlipFlop_1/D Q0 0.07fF
+C64 CLK DFlipFlop_3/latch_diff_1/m1_657_280# 0.27fF
+C65 DFlipFlop_1/latch_diff_1/nD Q1 0.10fF
+C66 nCLK DFlipFlop_3/nQ 0.02fF
+C67 sky130_fd_sc_hs__and2_1_1/a_56_136# nQ2 0.01fF
+C68 vdd DFlipFlop_1/D 0.25fF
+C69 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in Q0 0.42fF
+C70 DFlipFlop_2/D nCLK 0.41fF
+C71 Q1_shift sky130_fd_sc_hs__or2_1_0/a_152_368# -0.04fF
+C72 Q1 DFlipFlop_2/latch_diff_1/nD 0.21fF
+C73 DFlipFlop_0/latch_diff_1/nD Q1 0.10fF
+C74 nQ0 Q1 0.06fF
+C75 nQ0 nQ2 0.03fF
+C76 vdd DFlipFlop_3/nQ 0.02fF
+C77 CLK Q1 -0.10fF
+C78 nCLK Q0 0.20fF
+C79 CLK nQ2 0.17fF
+C80 vdd DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.02fF
+C81 DFlipFlop_2/D Q0 0.25fF
+C82 DFlipFlop_1/latch_diff_0/D Q1 0.18fF
+C83 sky130_fd_sc_hs__and2_1_1/a_143_136# nQ2 0.01fF
+C84 Q0 sky130_fd_sc_hs__and2_1_0/a_56_136# 0.17fF
+C85 vdd nCLK 0.34fF
+C86 nCLK DFlipFlop_2/latch_diff_0/D 0.11fF
+C87 vdd DFlipFlop_2/D 0.07fF
+C88 vdd sky130_fd_sc_hs__and2_1_0/a_56_136# 0.02fF
+C89 sky130_fd_sc_hs__or2_1_0/a_63_368# Q1_shift -0.27fF
+C90 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out Q1 0.15fF
+C91 DFlipFlop_0/latch_diff_1/D CLK 0.03fF
+C92 DFlipFlop_0/latch_diff_1/m1_657_280# nQ2 0.05fF
+C93 nCLK DFlipFlop_2/latch_diff_1/D 0.08fF
+C94 sky130_fd_sc_hs__and2_1_1/a_56_136# DFlipFlop_1/D 0.04fF
+C95 sky130_fd_sc_hs__or2_1_0/a_63_368# Q1 0.10fF
+C96 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C97 vdd Q0 5.33fF
+C98 Q1 DFlipFlop_2/nQ 0.31fF
+C99 DFlipFlop_0/latch_diff_0/m1_657_280# CLK 0.28fF
+C100 Q1 DFlipFlop_0/latch_diff_0/D 0.15fF
+C101 Q1 DFlipFlop_3/latch_diff_1/m1_657_280# 0.28fF
+C102 nCLK DFlipFlop_1/latch_diff_1/m1_657_280# 0.28fF
+C103 nCLK DFlipFlop_3/latch_diff_0/nD 0.08fF
+C104 nCLK DFlipFlop_1/latch_diff_1/D 0.08fF
+C105 DFlipFlop_2/D DFlipFlop_1/latch_diff_1/m1_657_280# 0.04fF
+C106 nCLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in -0.33fF
+C107 nQ0 DFlipFlop_1/D 0.12fF
+C108 DFlipFlop_3/latch_diff_1/nD nCLK 0.09fF
+C109 CLK DFlipFlop_0/Q 0.08fF
+C110 DFlipFlop_3/latch_diff_1/D CLK 0.08fF
+C111 DFlipFlop_0/D Q1 0.13fF
+C112 CLK DFlipFlop_1/D 0.21fF
+C113 Q1_shift Q1 0.36fF
+C114 DFlipFlop_1/latch_diff_1/m1_657_280# Q0 0.01fF
+C115 DFlipFlop_1/latch_diff_0/nD nQ0 0.08fF
+C116 nCLK DFlipFlop_1/latch_diff_1/nD 0.16fF
+C117 DFlipFlop_1/latch_diff_1/D Q0 0.06fF
+C118 CLK DFlipFlop_1/latch_diff_0/nD 0.08fF
+C119 sky130_fd_sc_hs__and2_1_0/a_143_136# Q0 0.03fF
+C120 vdd CLK_5 0.15fF
+C121 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in Q0 0.33fF
+C122 Q1 nQ2 0.07fF
+C123 DFlipFlop_1/latch_diff_0/m1_657_280# nQ0 0.25fF
+C124 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.02fF
+C125 Q1 DFlipFlop_2/latch_diff_1/m1_657_280# 0.03fF
+C126 vdd DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C127 CLK DFlipFlop_1/latch_diff_0/m1_657_280# 0.28fF
+C128 DFlipFlop_3/nQ CLK 0.01fF
+C129 DFlipFlop_1/latch_diff_1/nD Q0 0.21fF
+C130 nCLK DFlipFlop_2/latch_diff_1/nD 0.16fF
+C131 nCLK DFlipFlop_0/latch_diff_1/nD 0.05fF
+C132 CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.15fF
+C133 nCLK nQ0 0.09fF
+C134 vdd sky130_fd_sc_hs__and2_1_1/a_56_136# 0.04fF
+C135 DFlipFlop_0/latch_diff_1/D Q1 0.06fF
+C136 DFlipFlop_2/D CLK 0.14fF
+C137 nCLK DFlipFlop_1/latch_diff_0/D 0.11fF
+C138 nCLK sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.02fF
+C139 DFlipFlop_2/latch_diff_0/nD CLK 0.08fF
+C140 DFlipFlop_3/latch_diff_0/m1_657_280# Q1 0.28fF
+C141 DFlipFlop_0/latch_diff_1/nD Q0 0.21fF
+C142 nQ0 Q0 0.33fF
+C143 DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.08fF
+C144 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in CLK 0.03fF
+C145 CLK Q0 0.08fF
+C146 nCLK DFlipFlop_0/latch_diff_1/m1_657_280# 0.28fF
+C147 nCLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.05fF
+C148 vdd nQ0 0.11fF
+C149 DFlipFlop_1/latch_diff_0/D Q0 0.42fF
+C150 vdd CLK 0.41fF
+C151 DFlipFlop_0/Q Q1 0.13fF
+C152 DFlipFlop_3/latch_diff_1/D Q1 0.79fF
+C153 nCLK DFlipFlop_2/nQ 0.09fF
+C154 DFlipFlop_0/Q nQ2 0.09fF
+C155 Q1 DFlipFlop_1/D 0.03fF
+C156 sky130_fd_sc_hs__xor2_1_0/a_355_368# Q0 0.03fF
+C157 CLK_5 vss -0.18fF
+C158 sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.38fF
+C159 sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.41fF
+C160 sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.38fF
+C161 DFlipFlop_3/nQ vss 0.52fF
+C162 Q1_shift vss -0.29fF
+C163 DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.64fF
+C164 DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C165 DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C166 DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C167 DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C168 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.94fF
+C169 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C170 DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C171 DFlipFlop_2/nQ vss 0.50fF
+C172 Q1 vss 8.55fF
+C173 DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.72fF
+C174 DFlipFlop_2/latch_diff_1/nD vss 0.58fF
+C175 DFlipFlop_2/latch_diff_1/D vss -1.72fF
+C176 DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C177 DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C178 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
+C179 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C180 DFlipFlop_2/D vss 5.34fF
+C181 DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C182 nQ0 vss 3.42fF
+C183 Q0 vss 0.53fF
+C184 DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.62fF
+C185 DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C186 DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C187 DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C188 DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C189 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C190 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.78fF
+C191 DFlipFlop_1/D vss 3.72fF
+C192 DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C193 nQ2 vss 2.05fF
+C194 DFlipFlop_0/Q vss -0.94fF
+C195 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.61fF
+C196 nCLK vss 0.96fF
+C197 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C198 DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C199 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C200 CLK vss 0.20fF
+C201 DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C202 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.88fF
+C203 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C204 DFlipFlop_0/D vss 4.04fF
+C205 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C206 vdd vss 146.76fF
+C207 sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C208 sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.42fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AZESM8 a_n63_n151# a_n33_n125# a_n255_n151# a_33_n151#
++ a_n225_n125# a_63_n125# a_n129_n125# a_n159_n151# w_n455_n335# a_225_n151# a_255_n125#
++ a_129_n151# a_159_n125# a_n317_n125#
+X0 a_159_n125# a_129_n151# a_63_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n225_n125# a_n255_n151# a_n317_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_63_n125# a_33_n151# a_n33_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_n129_n125# a_n159_n151# a_n225_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n33_n125# a_n63_n151# a_n129_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_255_n125# a_225_n151# a_159_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n129_n125# a_63_n125# 0.13fF
+C1 a_255_n125# a_n129_n125# 0.06fF
+C2 a_n255_n151# a_n159_n151# 0.02fF
+C3 a_n33_n125# a_63_n125# 0.36fF
+C4 a_255_n125# a_n33_n125# 0.08fF
+C5 a_n63_n151# a_n159_n151# 0.02fF
+C6 a_n225_n125# a_n317_n125# 0.36fF
+C7 a_159_n125# a_n225_n125# 0.06fF
+C8 a_255_n125# a_63_n125# 0.13fF
+C9 a_n129_n125# a_n225_n125# 0.36fF
+C10 a_n129_n125# a_n317_n125# 0.13fF
+C11 a_n129_n125# a_159_n125# 0.08fF
+C12 a_225_n151# a_129_n151# 0.02fF
+C13 a_n33_n125# a_n225_n125# 0.13fF
+C14 a_n33_n125# a_n317_n125# 0.08fF
+C15 a_n33_n125# a_159_n125# 0.13fF
+C16 a_n225_n125# a_63_n125# 0.08fF
+C17 a_n129_n125# a_n33_n125# 0.36fF
+C18 a_n63_n151# a_33_n151# 0.02fF
+C19 a_33_n151# a_129_n151# 0.02fF
+C20 a_63_n125# a_n317_n125# 0.06fF
+C21 a_159_n125# a_63_n125# 0.36fF
+C22 a_255_n125# a_159_n125# 0.36fF
+C23 a_255_n125# w_n455_n335# 0.14fF
+C24 a_159_n125# w_n455_n335# 0.08fF
+C25 a_63_n125# w_n455_n335# 0.07fF
+C26 a_n33_n125# w_n455_n335# 0.08fF
+C27 a_n129_n125# w_n455_n335# 0.07fF
+C28 a_n225_n125# w_n455_n335# 0.08fF
+C29 a_n317_n125# w_n455_n335# 0.14fF
+C30 a_225_n151# w_n455_n335# 0.05fF
+C31 a_129_n151# w_n455_n335# 0.05fF
+C32 a_33_n151# w_n455_n335# 0.05fF
+C33 a_n63_n151# w_n455_n335# 0.05fF
+C34 a_n159_n151# w_n455_n335# 0.05fF
+C35 a_n255_n151# w_n455_n335# 0.05fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_XJXT7S VSUBS a_n33_n125# a_n255_n154# a_33_n154# a_n225_n125#
++ a_n159_n154# a_63_n125# a_n129_n125# a_225_n154# a_129_n154# a_255_n125# a_159_n125#
++ a_n317_n125# w_n455_n344# a_n63_n154#
+X0 a_n129_n125# a_n159_n154# a_n225_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n33_n125# a_n63_n154# a_n129_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_255_n125# a_225_n154# a_159_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_159_n125# a_129_n154# a_63_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n225_n125# a_n255_n154# a_n317_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_63_n125# a_33_n154# a_n33_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 w_n455_n344# a_255_n125# 0.11fF
+C1 w_n455_n344# a_159_n125# 0.06fF
+C2 a_n225_n125# a_n33_n125# 0.13fF
+C3 a_n129_n125# a_63_n125# 0.13fF
+C4 a_n255_n154# a_n159_n154# 0.02fF
+C5 a_255_n125# a_n33_n125# 0.08fF
+C6 a_n129_n125# a_n317_n125# 0.13fF
+C7 a_n33_n125# a_159_n125# 0.13fF
+C8 a_n129_n125# w_n455_n344# 0.04fF
+C9 a_n225_n125# a_159_n125# 0.06fF
+C10 a_n129_n125# a_n33_n125# 0.36fF
+C11 a_255_n125# a_159_n125# 0.36fF
+C12 a_63_n125# a_n317_n125# 0.06fF
+C13 a_n129_n125# a_n225_n125# 0.36fF
+C14 w_n455_n344# a_63_n125# 0.04fF
+C15 a_33_n154# a_129_n154# 0.02fF
+C16 w_n455_n344# a_n317_n125# 0.11fF
+C17 a_n129_n125# a_255_n125# 0.06fF
+C18 a_n129_n125# a_159_n125# 0.08fF
+C19 a_63_n125# a_n33_n125# 0.36fF
+C20 a_n317_n125# a_n33_n125# 0.08fF
+C21 w_n455_n344# a_n33_n125# 0.05fF
+C22 a_225_n154# a_129_n154# 0.02fF
+C23 a_63_n125# a_n225_n125# 0.08fF
+C24 a_n63_n154# a_n159_n154# 0.02fF
+C25 a_n317_n125# a_n225_n125# 0.36fF
+C26 a_33_n154# a_n63_n154# 0.02fF
+C27 w_n455_n344# a_n225_n125# 0.06fF
+C28 a_63_n125# a_255_n125# 0.13fF
+C29 a_63_n125# a_159_n125# 0.36fF
+C30 a_255_n125# VSUBS 0.03fF
+C31 a_159_n125# VSUBS 0.03fF
+C32 a_63_n125# VSUBS 0.03fF
+C33 a_n33_n125# VSUBS 0.03fF
+C34 a_n129_n125# VSUBS 0.03fF
+C35 a_n225_n125# VSUBS 0.03fF
+C36 a_n317_n125# VSUBS 0.03fF
+C37 a_225_n154# VSUBS 0.05fF
+C38 a_129_n154# VSUBS 0.05fF
+C39 a_33_n154# VSUBS 0.05fF
+C40 a_n63_n154# VSUBS 0.05fF
+C41 a_n159_n154# VSUBS 0.05fF
+C42 a_n255_n154# VSUBS 0.05fF
+C43 w_n455_n344# VSUBS 2.96fF
+.ends
+
+.subckt inverter_cp_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_AZESM8_0 in vss in in vss out out in vss in out in vss out
++ sky130_fd_pr__nfet_01v8_AZESM8
+Xsky130_fd_pr__pfet_01v8_XJXT7S_0 vss vdd in in vdd in out out in in out vdd out vdd
++ in sky130_fd_pr__pfet_01v8_XJXT7S
+C0 vdd in 0.04fF
+C1 in out 0.85fF
+C2 vdd out 0.29fF
+C3 vdd vss 5.90fF
+C4 out vss 1.30fF
+C5 in vss 1.82fF
+.ends
+
+.subckt pfd_cp_interface vss inverter_cp_x1_2/in vdd inverter_cp_x1_0/out Down QA
++ QB nDown Up nUp
+Xinverter_cp_x2_0 nDown Down vss vdd inverter_cp_x2
+Xinverter_cp_x2_1 Up nUp vss vdd inverter_cp_x2
+Xtrans_gate_0 nDown inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 inverter_cp_x1_0/out QB vss vdd inverter_cp_x1
+Xinverter_cp_x1_2 Up inverter_cp_x1_2/in vss vdd inverter_cp_x1
+Xinverter_cp_x1_1 inverter_cp_x1_2/in QA vss vdd inverter_cp_x1
+C0 Up inverter_cp_x1_2/in 0.12fF
+C1 Down vdd 0.09fF
+C2 nUp vdd 0.14fF
+C3 Down inverter_cp_x1_0/out 0.12fF
+C4 vdd QA 0.02fF
+C5 Down nDown 0.23fF
+C6 vdd inverter_cp_x1_0/out 0.25fF
+C7 nDown vdd 0.80fF
+C8 QB vdd 0.02fF
+C9 nDown inverter_cp_x1_0/out 0.11fF
+C10 nUp Up 0.20fF
+C11 Up vdd 0.60fF
+C12 vdd inverter_cp_x1_2/in 0.42fF
+C13 inverter_cp_x1_2/in vss 2.01fF
+C14 QA vss 1.09fF
+C15 inverter_cp_x1_0/out vss 2.00fF
+C16 QB vss 1.09fF
+C17 vdd vss 28.96fF
+C18 nUp vss 1.32fF
+C19 Up vss 2.53fF
+C20 Down vss 1.26fF
+C21 nDown vss 2.98fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F35BC VSUBS a_n129_n90# w_n359_n309# a_n63_n116#
++ a_n159_n207# a_63_n90# a_n33_n90# a_n221_n90# a_159_n90#
+X0 a_159_n90# a_n63_n116# a_63_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n129_n90# a_n159_n207# a_n221_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X2 a_63_n90# a_n159_n207# a_n33_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X3 a_n33_n90# a_n63_n116# a_n129_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_n63_n116# a_n159_n207# 0.12fF
+C1 w_n359_n309# a_63_n90# 0.06fF
+C2 w_n359_n309# a_n221_n90# 0.09fF
+C3 a_63_n90# a_n129_n90# 0.09fF
+C4 a_n33_n90# w_n359_n309# 0.05fF
+C5 w_n359_n309# a_159_n90# 0.09fF
+C6 a_n221_n90# a_n129_n90# 0.26fF
+C7 a_n33_n90# a_n129_n90# 0.26fF
+C8 a_n129_n90# a_159_n90# 0.06fF
+C9 a_63_n90# a_n221_n90# 0.06fF
+C10 a_n33_n90# a_63_n90# 0.26fF
+C11 a_63_n90# a_159_n90# 0.26fF
+C12 a_n33_n90# a_n221_n90# 0.09fF
+C13 a_n221_n90# a_159_n90# 0.04fF
+C14 a_n33_n90# a_159_n90# 0.09fF
+C15 w_n359_n309# a_n129_n90# 0.06fF
+C16 a_159_n90# VSUBS 0.03fF
+C17 a_63_n90# VSUBS 0.03fF
+C18 a_n33_n90# VSUBS 0.03fF
+C19 a_n129_n90# VSUBS 0.03fF
+C20 a_n221_n90# VSUBS 0.03fF
+C21 a_n159_n207# VSUBS 0.30fF
+C22 a_n63_n116# VSUBS 0.37fF
+C23 w_n359_n309# VSUBS 2.23fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_C3YG4M a_n33_n45# a_33_n71# a_n129_71# w_n263_n255#
++ a_n125_n45# a_63_n45#
+X0 a_63_n45# a_33_n71# a_n33_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n129_71# a_n125_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_63_n45# a_n33_n45# 0.13fF
+C1 a_n129_71# a_33_n71# 0.04fF
+C2 a_63_n45# a_n125_n45# 0.05fF
+C3 a_n33_n45# a_n125_n45# 0.13fF
+C4 a_63_n45# w_n263_n255# 0.04fF
+C5 a_n33_n45# w_n263_n255# 0.04fF
+C6 a_n125_n45# w_n263_n255# 0.04fF
+C7 a_33_n71# w_n263_n255# 0.11fF
+C8 a_n129_71# w_n263_n255# 0.14fF
+.ends
+
+.subckt nor_pfd sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd A B
+Xsky130_fd_pr__pfet_01v8_4F35BC_0 vss sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vdd B A sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out vdd vdd sky130_fd_pr__pfet_01v8_4F35BC
+Xsky130_fd_pr__nfet_01v8_C3YG4M_0 out B A vss vss vss sky130_fd_pr__nfet_01v8_C3YG4M
+C0 vdd sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.02fF
+C1 out sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.08fF
+C2 out vdd 0.11fF
+C3 vdd A 0.09fF
+C4 out A 0.06fF
+C5 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.02fF
+C6 out B 0.40fF
+C7 A B 0.24fF
+C8 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C9 out vss 0.45fF
+C10 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C11 A vss 0.83fF
+C12 B vss 1.09fF
+C13 vdd vss 3.79fF
+.ends
+
+.subckt dff_pfd vss vdd nor_pfd_2/A Q CLK nor_pfd_3/A nor_pfd_2/B Reset
+Xnor_pfd_0 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/A nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd CLK Q nor_pfd
+Xnor_pfd_1 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# Q nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_3/A nor_pfd
+Xnor_pfd_2 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_3/A nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_2/B nor_pfd
+Xnor_pfd_3 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/B nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_3/A Reset nor_pfd
+C0 Reset nor_pfd_3/A 0.12fF
+C1 vdd nor_pfd_2/A -0.01fF
+C2 CLK Q 0.04fF
+C3 Reset Q 0.14fF
+C4 nor_pfd_3/A Q 0.98fF
+C5 vdd nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C6 vdd nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
+C7 nor_pfd_3/A nor_pfd_2/A 0.38fF
+C8 vdd nor_pfd_2/B 0.02fF
+C9 nor_pfd_2/A Q 1.38fF
+C10 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.06fF
+C11 nor_pfd_2/B Reset 0.43fF
+C12 nor_pfd_2/B nor_pfd_3/A 0.58fF
+C13 vdd nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C14 nor_pfd_2/B Q 2.22fF
+C15 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.06fF
+C16 vdd nor_pfd_3/A 0.09fF
+C17 vdd nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C18 vdd Q 0.08fF
+C19 nor_pfd_2/B nor_pfd_2/A 0.05fF
+C20 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C21 nor_pfd_2/B vss 1.42fF
+C22 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C23 nor_pfd_3/A vss 3.16fF
+C24 Reset vss 1.48fF
+C25 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C26 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C27 nor_pfd_2/A vss 2.56fF
+C28 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C29 Q vss 2.77fF
+C30 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C31 vdd vss 16.42fF
+C32 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C33 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C34 CLK vss 0.95fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZCYAJJ w_n359_n255# a_n33_n45# a_n159_n173# a_n221_n45#
++ a_159_n45# a_n63_n71# a_n129_n45# a_63_n45#
+X0 a_63_n45# a_n159_n173# a_n33_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n63_n71# a_n129_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X2 a_159_n45# a_n63_n71# a_63_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X3 a_n129_n45# a_n159_n173# a_n221_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_159_n45# a_n221_n45# 0.02fF
+C1 a_63_n45# a_n221_n45# 0.03fF
+C2 a_n221_n45# a_n129_n45# 0.13fF
+C3 a_n63_n71# a_n159_n173# 0.10fF
+C4 a_63_n45# a_159_n45# 0.13fF
+C5 a_159_n45# a_n129_n45# 0.03fF
+C6 a_63_n45# a_n129_n45# 0.05fF
+C7 a_n33_n45# a_n221_n45# 0.05fF
+C8 a_159_n45# a_n33_n45# 0.05fF
+C9 a_63_n45# a_n33_n45# 0.13fF
+C10 a_n33_n45# a_n129_n45# 0.13fF
+C11 a_159_n45# w_n359_n255# 0.04fF
+C12 a_63_n45# w_n359_n255# 0.05fF
+C13 a_n33_n45# w_n359_n255# 0.05fF
+C14 a_n129_n45# w_n359_n255# 0.05fF
+C15 a_n221_n45# w_n359_n255# 0.08fF
+C16 a_n159_n173# w_n359_n255# 0.31fF
+C17 a_n63_n71# w_n359_n255# 0.31fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7T83YG VSUBS a_n125_n90# a_63_n90# a_33_n187# a_n99_n187#
++ a_n33_n90# w_n263_n309#
+X0 a_63_n90# a_33_n187# a_n33_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n33_n90# a_n99_n187# a_n125_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_n33_n90# a_n125_n90# 0.26fF
+C1 a_63_n90# a_n125_n90# 0.09fF
+C2 a_n99_n187# a_33_n187# 0.04fF
+C3 a_63_n90# a_n33_n90# 0.26fF
+C4 a_63_n90# VSUBS 0.03fF
+C5 a_n33_n90# VSUBS 0.03fF
+C6 a_n125_n90# VSUBS 0.03fF
+C7 a_33_n187# VSUBS 0.12fF
+C8 a_n99_n187# VSUBS 0.12fF
+C9 w_n263_n309# VSUBS 1.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZXAV3F a_n73_n45# a_n33_67# a_15_n45# w_n211_n255#
+X0 a_15_n45# a_n33_67# a_n73_n45# w_n211_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_n73_n45# a_15_n45# 0.16fF
+C1 a_15_n45# w_n211_n255# 0.08fF
+C2 a_n73_n45# w_n211_n255# 0.06fF
+C3 a_n33_67# w_n211_n255# 0.10fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F7GBC VSUBS a_n51_n187# a_n73_n90# a_15_n90# w_n211_n309#
+X0 a_15_n90# a_n51_n187# a_n73_n90# w_n211_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_n73_n90# a_15_n90# 0.31fF
+C1 a_n73_n90# w_n211_n309# 0.04fF
+C2 a_15_n90# w_n211_n309# 0.09fF
+C3 a_15_n90# VSUBS 0.03fF
+C4 a_n73_n90# VSUBS 0.03fF
+C5 a_n51_n187# VSUBS 0.12fF
+C6 w_n211_n309# VSUBS 1.24fF
+.ends
+
+.subckt and_pfd a_656_410# vss out vdd A B
+Xsky130_fd_pr__nfet_01v8_ZCYAJJ_0 vss a_656_410# A vss vss B sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45#
++ sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# sky130_fd_pr__nfet_01v8_ZCYAJJ
+Xsky130_fd_pr__pfet_01v8_7T83YG_0 vss vdd vdd B A a_656_410# vdd sky130_fd_pr__pfet_01v8_7T83YG
+Xsky130_fd_pr__nfet_01v8_ZXAV3F_0 vss a_656_410# out vss sky130_fd_pr__nfet_01v8_ZXAV3F
+Xsky130_fd_pr__pfet_01v8_4F7GBC_0 vss a_656_410# vdd out vdd sky130_fd_pr__pfet_01v8_4F7GBC
+C0 a_656_410# out 0.20fF
+C1 A vdd 0.05fF
+C2 a_656_410# vdd 0.20fF
+C3 A B 0.33fF
+C4 a_656_410# B 0.30fF
+C5 a_656_410# sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# 0.07fF
+C6 vdd out 0.10fF
+C7 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# B 0.02fF
+C8 A a_656_410# 0.04fF
+C9 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# out 0.03fF
+C10 vdd vss 4.85fF
+C11 out vss 0.47fF
+C12 a_656_410# vss 1.00fF
+C13 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.13fF
+C14 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.10fF
+C15 A vss 0.85fF
+C16 B vss 0.95fF
+.ends
+
+.subckt PFD vss vdd Down Up A B Reset
+Xdff_pfd_0 vss vdd dff_pfd_0/nor_pfd_2/A Up A dff_pfd_0/nor_pfd_3/A dff_pfd_0/nor_pfd_2/B
++ Reset dff_pfd
+Xdff_pfd_1 vss vdd dff_pfd_1/nor_pfd_2/A Down B dff_pfd_1/nor_pfd_3/A dff_pfd_1/nor_pfd_2/B
++ Reset dff_pfd
+Xand_pfd_0 and_pfd_0/a_656_410# vss Reset vdd Up Down and_pfd
+C0 dff_pfd_1/nor_pfd_2/B vdd 0.04fF
+C1 Reset vdd 0.02fF
+C2 dff_pfd_0/nor_pfd_2/A vdd 0.13fF
+C3 Up Down 0.06fF
+C4 dff_pfd_0/nor_pfd_3/A vdd 0.08fF
+C5 vdd Down 0.08fF
+C6 vdd Up 1.62fF
+C7 dff_pfd_1/nor_pfd_3/A vdd 0.08fF
+C8 vdd dff_pfd_1/nor_pfd_2/A 0.13fF
+C9 vdd dff_pfd_0/nor_pfd_2/B 0.11fF
+C10 and_pfd_0/a_656_410# vss 0.99fF
+C11 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C12 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.05fF
+C13 dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C14 dff_pfd_1/nor_pfd_2/B vss 1.51fF
+C15 dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C16 dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C17 dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C18 dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C19 dff_pfd_1/nor_pfd_2/A vss 2.56fF
+C20 dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C21 Down vss 3.74fF
+C22 dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C23 vdd vss 44.73fF
+C24 dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C25 dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C26 B vss 1.07fF
+C27 dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C28 dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C29 dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C30 dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C31 Reset vss 3.85fF
+C32 dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C33 dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C34 dff_pfd_0/nor_pfd_2/A vss 2.56fF
+C35 dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C36 Up vss 3.18fF
+C37 dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C38 dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C39 dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C40 A vss 1.07fF
+.ends
+
+
+* Top level circuit top_pll_v1
+
+Xloop_filter_0 lf_vc vco_vctrl vss loop_filter
+Xcharge_pump_0 nswitch vdd nUp vss Down biasp vco_vctrl pswitch iref_cp nDown Up charge_pump
+Xdiv_by_2_0 vss vdd div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in out_by_2 n_out_by_2
++ out_buffer_div_2 out_to_div out_div_2 n_out_buffer_div_2 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out
++ n_out_div_2 div_by_2
+Xbuffer_salida_0 buffer_salida_0/a_678_n100# out_to_pad out_to_buffer vss vdd buffer_salida
+Xring_osc_0 vco_vctrl vdd vss ring_osc_0/csvco_branch_0/inverter_csvco_0/vss ring_osc_0/csvco_branch_2/vbp
++ vco_D0 ring_osc_0/csvco_branch_2/cap_vco_0/t vco_out ring_osc
+Xring_osc_buffer_0 vss vco_out vdd out_first_buffer out_to_div out_to_buffer ring_osc_buffer
+Xdiv_by_5_0 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/DFlipFlop_1/latch_diff_0/D
++ n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD div_by_5_0/DFlipFlop_0/D div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd div_by_5_0/DFlipFlop_2/latch_diff_0/nD div_5_Q0 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# div_5_nQ0 div_by_5_0/DFlipFlop_1/latch_diff_1/nD
++ out_div_by_5 div_by_5_0/DFlipFlop_3/latch_diff_0/nD div_5_nQ2 div_by_5_0/DFlipFlop_0/latch_diff_0/D
++ div_by_5_0/DFlipFlop_2/latch_diff_1/nD div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_1/latch_diff_1/D div_5_Q1 div_by_5_0/DFlipFlop_2/D div_by_5_0/DFlipFlop_3/latch_diff_0/D
++ div_by_5_0/DFlipFlop_1/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_3/latch_diff_1/nD div_by_5_0/DFlipFlop_0/latch_diff_1/D div_5_Q1_shift
++ div_by_5_0/DFlipFlop_0/latch_diff_0/nD div_by_5_0/DFlipFlop_2/nQ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_2/latch_diff_0/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ div_by_5_0/DFlipFlop_3/latch_diff_1/D div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368#
++ div_by_5_0/DFlipFlop_1/latch_diff_0/nD div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136#
++ div_by_5_0/DFlipFlop_0/Q div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136#
++ div_by_5
+Xpfd_cp_interface_0 vss pfd_cp_interface_0/inverter_cp_x1_2/in vdd pfd_cp_interface_0/inverter_cp_x1_0/out
++ Down QA QB nDown Up nUp pfd_cp_interface
+XPFD_0 vss vdd QB QA in_ref out_div_by_5 pfd_reset PFD
+C0 vdd n_out_by_2 1.03fF
+C1 div_5_nQ2 n_out_by_2 0.10fF
+C2 div_by_5_0/DFlipFlop_2/nQ n_out_by_2 0.10fF
+C3 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out out_by_2 0.28fF
+C4 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in out_by_2 -0.22fF
+C5 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# n_out_by_2 0.12fF
+C6 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vdd 0.03fF
+C7 div_by_5_0/DFlipFlop_3/latch_diff_1/D out_by_2 0.09fF
+C8 n_out_by_2 div_by_5_0/DFlipFlop_0/Q -0.23fF
+C9 div_by_5_0/DFlipFlop_1/latch_diff_1/nD out_by_2 0.09fF
+C10 div_by_5_0/DFlipFlop_0/D n_out_by_2 -1.48fF
+C11 div_by_5_0/DFlipFlop_2/latch_diff_0/nD out_by_2 0.10fF
+C12 div_by_5_0/DFlipFlop_1/D n_out_by_2 0.22fF
+C13 div_by_5_0/DFlipFlop_0/latch_diff_1/nD out_by_2 0.17fF
+C14 vdd Up 0.28fF
+C15 nUp vco_vctrl 0.02fF
+C16 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# out_div_by_5 0.18fF
+C17 vdd iref_cp 0.15fF
+C18 nswitch vco_vctrl -0.06fF
+C19 biasp nDown 0.26fF
+C20 nUp biasp -0.17fF
+C21 out_to_buffer out_to_div 0.13fF
+C22 nUp nDown -0.09fF
+C23 vco_vctrl out_by_2 0.53fF
+C24 nswitch nDown 0.76fF
+C25 div_by_5_0/DFlipFlop_1/latch_diff_0/nD out_by_2 0.10fF
+C26 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/nD 0.10fF
+C27 div_5_Q0 n_out_by_2 -0.12fF
+C28 Up pswitch 1.98fF
+C29 QA vdd -0.04fF
+C30 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in n_out_by_2 0.27fF
+C31 vco_D0 vdd 0.03fF
+C32 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# n_out_by_2 0.02fF
+C33 biasp Down 1.24fF
+C34 vco_vctrl ring_osc_0/csvco_branch_2/vbp 0.26fF
+C35 Down nDown 2.55fF
+C36 nswitch Down 0.54fF
+C37 div_5_Q1 out_div_by_5 0.01fF
+C38 div_by_5_0/DFlipFlop_3/latch_diff_0/D out_by_2 0.11fF
+C39 div_5_Q1 vco_vctrl 0.14fF
+C40 out_to_div vdd 0.21fF
+C41 div_by_5_0/DFlipFlop_3/latch_diff_1/D n_out_by_2 0.24fF
+C42 div_by_5_0/DFlipFlop_2/latch_diff_1/D out_by_2 0.23fF
+C43 div_by_5_0/DFlipFlop_1/latch_diff_1/nD n_out_by_2 0.24fF
+C44 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# out_by_2 0.10fF
+C45 div_5_nQ0 out_by_2 0.32fF
+C46 div_5_Q1 out_by_2 0.42fF
+C47 n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_0/D 0.24fF
+C48 div_by_5_0/DFlipFlop_0/latch_diff_1/nD n_out_by_2 0.33fF
+C49 out_by_2 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out -0.04fF
+C50 div_by_5_0/DFlipFlop_1/latch_diff_1/D out_by_2 0.23fF
+C51 vco_vctrl n_out_by_2 0.52fF
+C52 div_by_5_0/DFlipFlop_2/latch_diff_1/nD out_by_2 0.09fF
+C53 div_by_5_0/DFlipFlop_0/latch_diff_1/D out_by_2 0.33fF
+C54 vdd out_div_by_5 0.28fF
+C55 vdd vco_vctrl -1.02fF
+C56 div_by_5_0/DFlipFlop_0/latch_diff_0/nD out_by_2 0.17fF
+C57 div_by_5_0/DFlipFlop_2/D out_by_2 0.22fF
+C58 out_to_buffer buffer_salida_0/a_678_n100# 0.22fF
+C59 div_5_Q1_shift out_div_by_5 0.05fF
+C60 out_to_div div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in -0.16fF
+C61 nUp vdd 0.05fF
+C62 vdd nDown 0.22fF
+C63 div_by_5_0/DFlipFlop_1/latch_diff_0/D n_out_by_2 0.12fF
+C64 div_5_nQ2 out_by_2 0.16fF
+C65 vdd out_by_2 0.97fF
+C66 div_by_5_0/DFlipFlop_2/nQ out_by_2 0.23fF
+C67 lf_vc vdd 0.02fF
+C68 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392# n_out_by_2 0.01fF
+C69 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vco_vctrl -0.36fF
+C70 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136# out_by_2 -0.02fF
+C71 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out out_by_2 0.09fF
+C72 pswitch nDown 0.53fF
+C73 nUp pswitch 0.85fF
+C74 n_out_by_2 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in -0.20fF
+C75 vdd pfd_cp_interface_0/inverter_cp_x1_2/in 0.01fF
+C76 out_first_buffer ring_osc_0/csvco_branch_2/cap_vco_0/t 0.03fF
+C77 div_by_5_0/DFlipFlop_3/latch_diff_0/nD n_out_by_2 0.11fF
+C78 div_by_5_0/DFlipFlop_2/latch_diff_0/D n_out_by_2 0.12fF
+C79 n_out_by_2 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in -0.51fF
+C80 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out n_out_by_2 -0.11fF
+C81 vdd ring_osc_0/csvco_branch_2/vbp 0.03fF
+C82 div_by_5_0/DFlipFlop_2/latch_diff_1/D n_out_by_2 0.10fF
+C83 vdd ring_osc_0/csvco_branch_2/cap_vco_0/t 0.02fF
+C84 div_by_5_0/DFlipFlop_0/D vco_vctrl -0.45fF
+C85 vdd div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.04fF
+C86 div_5_nQ0 n_out_by_2 0.10fF
+C87 biasp Up 0.26fF
+C88 div_by_5_0/DFlipFlop_0/Q out_by_2 0.09fF
+C89 div_5_Q1 n_out_by_2 1.04fF
+C90 vdd buffer_salida_0/a_678_n100# 0.24fF
+C91 ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vco_vctrl 0.04fF
+C92 nUp Up 2.72fF
+C93 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# -0.05fF
+C94 out_to_buffer vdd 0.07fF
+C95 div_by_5_0/DFlipFlop_0/D out_by_2 0.35fF
+C96 div_by_5_0/DFlipFlop_1/D out_by_2 0.38fF
+C97 out_to_div div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out -0.12fF
+C98 div_5_Q0 vco_vctrl 0.48fF
+C99 div_by_5_0/DFlipFlop_1/latch_diff_1/D n_out_by_2 0.10fF
+C100 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/nD 0.24fF
+C101 div_by_5_0/DFlipFlop_0/latch_diff_1/D n_out_by_2 0.17fF
+C102 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# n_out_by_2 0.03fF
+C103 div_by_5_0/DFlipFlop_3/latch_diff_1/nD out_by_2 0.23fF
+C104 div_5_Q0 out_by_2 0.09fF
+C105 iref_cp Down 0.09fF
+C106 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# vco_vctrl -0.11fF
+C107 div_by_5_0/DFlipFlop_2/D n_out_by_2 0.19fF
+C108 PFD_0/and_pfd_0/a_656_410# vss 0.96fF
+C109 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C110 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.07fF
+C111 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C112 PFD_0/dff_pfd_1/nor_pfd_2/B vss 1.40fF
+C113 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C114 PFD_0/dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C115 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C116 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C117 PFD_0/dff_pfd_1/nor_pfd_2/A vss 2.55fF
+C118 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C119 QB vss 4.46fF
+C120 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C121 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C122 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C123 out_div_by_5 vss -0.40fF
+C124 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C125 PFD_0/dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C126 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C127 PFD_0/dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C128 pfd_reset vss 2.17fF
+C129 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C130 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C131 PFD_0/dff_pfd_0/nor_pfd_2/A vss 2.55fF
+C132 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C133 QA vss 4.31fF
+C134 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C135 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C136 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C137 in_ref vss 1.19fF
+C138 pfd_cp_interface_0/inverter_cp_x1_2/in vss 1.85fF
+C139 pfd_cp_interface_0/inverter_cp_x1_0/out vss 1.87fF
+C140 nUp vss 5.50fF
+C141 Up vss 2.37fF
+C142 Down vss 7.92fF
+C143 nDown vss -2.20fF
+C144 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.37fF
+C145 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.38fF
+C146 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.41fF
+C147 div_by_5_0/DFlipFlop_3/nQ vss 0.48fF
+C148 div_5_Q1_shift vss -0.14fF
+C149 div_by_5_0/DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.57fF
+C150 div_by_5_0/DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C151 div_by_5_0/DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C152 div_by_5_0/DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C153 div_by_5_0/DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C154 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C155 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C156 div_by_5_0/DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C157 div_by_5_0/DFlipFlop_2/nQ vss 0.48fF
+C158 div_5_Q1 vss 4.28fF
+C159 div_by_5_0/DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.57fF
+C160 div_by_5_0/DFlipFlop_2/latch_diff_1/nD vss 0.57fF
+C161 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss -1.73fF
+C162 div_by_5_0/DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C163 div_by_5_0/DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C164 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C165 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C166 div_by_5_0/DFlipFlop_2/D vss 3.13fF
+C167 div_by_5_0/DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C168 div_5_nQ0 vss 0.59fF
+C169 div_5_Q0 vss 0.01fF
+C170 div_by_5_0/DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.57fF
+C171 div_by_5_0/DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C172 div_by_5_0/DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C173 div_by_5_0/DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C174 div_by_5_0/DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C175 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C176 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C177 div_by_5_0/DFlipFlop_1/D vss 3.64fF
+C178 div_by_5_0/DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C179 div_5_nQ2 vss 1.24fF
+C180 div_by_5_0/DFlipFlop_0/Q vss -0.94fF
+C181 div_by_5_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C182 n_out_by_2 vss -2.62fF
+C183 div_by_5_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C184 div_by_5_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C185 div_by_5_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C186 out_by_2 vss -4.51fF
+C187 div_by_5_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C188 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C189 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C190 div_by_5_0/DFlipFlop_0/D vss 3.96fF
+C191 div_by_5_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C192 vdd vss 366.82fF
+C193 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C194 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.40fF
+C195 out_to_buffer vss 1.57fF
+C196 out_to_div vss 4.46fF
+C197 out_first_buffer vss 2.88fF
+C198 ring_osc_0/csvco_branch_2/in vss 1.60fF
+C199 ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C200 ring_osc_0/csvco_branch_1/cap_vco_0/t vss 7.10fF
+C201 ring_osc_0/csvco_branch_1/inverter_csvco_0/vss vss 0.52fF
+C202 ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C203 ring_osc_0/csvco_branch_2/cap_vco_0/t vss 7.10fF
+C204 ring_osc_0/csvco_branch_2/inverter_csvco_0/vss vss 0.52fF
+C205 ring_osc_0/csvco_branch_1/in vss 1.58fF
+C206 ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C207 vco_out vss 1.01fF
+C208 vco_D0 vss -4.63fF
+C209 ring_osc_0/csvco_branch_0/cap_vco_0/t vss 7.10fF
+C210 ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vss 0.52fF
+C211 ring_osc_0/csvco_branch_2/vbp vss 0.38fF
+C212 out_to_pad vss 7.50fF
+C213 buffer_salida_0/a_3996_n100# vss 48.29fF
+C214 buffer_salida_0/a_678_n100# vss 13.38fF
+C215 n_out_buffer_div_2 vss 1.63fF
+C216 out_buffer_div_2 vss 1.60fF
+C217 div_by_2_0/DFlipFlop_0/CLK vss 0.31fF
+C218 div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C219 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.89fF
+C220 div_by_2_0/DFlipFlop_0/nCLK vss 1.03fF
+C221 out_div_2 vss -1.30fF
+C222 div_by_2_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C223 div_by_2_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C224 div_by_2_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C225 div_by_2_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C226 div_by_2_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C227 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C228 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C229 n_out_div_2 vss 1.95fF
+C230 div_by_2_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C231 nswitch vss 3.73fF
+C232 biasp vss 5.44fF
+C233 iref_cp vss 2.81fF
+C234 vco_vctrl vss -19.28fF
+C235 pswitch vss 3.57fF
+C236 lf_vc vss -59.89fF
+C237 loop_filter_0/res_loop_filter_2/out vss 7.90fF
+.end
+
diff --git a/mag/extractions/top_pll_v1_pex_c_port.spice b/mag/extractions/top_pll_v1_pex_c_port.spice
new file mode 100644
index 0000000..17a76d5
--- /dev/null
+++ b/mag/extractions/top_pll_v1_pex_c_port.spice
@@ -0,0 +1,2883 @@
+* NGSPICE file created from top_pll_v1.ext - technology: sky130A
+
+.subckt sky130_fd_pr__cap_mim_m3_1_MACBVW VSUBS m3_n2650_n13200# m3_n7969_n2600# m3_7988_8000#
++ m3_2669_n7900# m3_n13288_n2600# m3_n2650_2700# m3_2669_2700# m3_n13288_n13200# m3_n7969_n13200#
++ m3_n13288_8000# m3_7988_2700# m3_n2650_n7900# m3_7988_n7900# m3_2669_n13200# m3_n7969_8000#
++ m3_n13288_2700# m3_n7969_n7900# m3_n13288_n7900# m3_2669_n2600# m3_n7969_2700# m3_7988_n13200#
++ c1_n13188_n13100# m3_7988_n2600# m3_n2650_n2600# m3_n2650_8000# m3_2669_8000#
+X0 c1_n13188_n13100# m3_2669_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X1 c1_n13188_n13100# m3_n2650_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X2 c1_n13188_n13100# m3_2669_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X3 c1_n13188_n13100# m3_n13288_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X4 c1_n13188_n13100# m3_n7969_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X5 c1_n13188_n13100# m3_n13288_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X6 c1_n13188_n13100# m3_2669_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X7 c1_n13188_n13100# m3_7988_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X8 c1_n13188_n13100# m3_2669_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X9 c1_n13188_n13100# m3_7988_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X10 c1_n13188_n13100# m3_n7969_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X11 c1_n13188_n13100# m3_7988_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X12 c1_n13188_n13100# m3_n7969_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X13 c1_n13188_n13100# m3_7988_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X14 c1_n13188_n13100# m3_n13288_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X15 c1_n13188_n13100# m3_n7969_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X16 c1_n13188_n13100# m3_n2650_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X17 c1_n13188_n13100# m3_n2650_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X18 c1_n13188_n13100# m3_n2650_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X19 c1_n13188_n13100# m3_7988_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X20 c1_n13188_n13100# m3_n13288_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X21 c1_n13188_n13100# m3_n13288_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X22 c1_n13188_n13100# m3_n7969_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X23 c1_n13188_n13100# m3_n2650_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X24 c1_n13188_n13100# m3_2669_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+C0 m3_2669_8000# m3_n2650_8000# 2.73fF
+C1 m3_n7969_8000# c1_n13188_n13100# 58.61fF
+C2 m3_n7969_n2600# m3_n7969_2700# 3.28fF
+C3 m3_n7969_n7900# m3_n2650_n7900# 2.73fF
+C4 m3_7988_n7900# m3_7988_n2600# 3.39fF
+C5 c1_n13188_n13100# m3_n13288_2700# 58.61fF
+C6 m3_n2650_2700# m3_2669_2700# 2.73fF
+C7 m3_n2650_2700# m3_n7969_2700# 2.73fF
+C8 c1_n13188_n13100# m3_7988_n2600# 61.01fF
+C9 m3_n7969_n7900# m3_n7969_n2600# 3.28fF
+C10 m3_n7969_n7900# m3_n13288_n7900# 2.73fF
+C11 m3_2669_n2600# m3_7988_n2600# 2.73fF
+C12 c1_n13188_n13100# m3_2669_2700# 58.86fF
+C13 c1_n13188_n13100# m3_n7969_2700# 58.86fF
+C14 m3_2669_n2600# m3_2669_2700# 3.28fF
+C15 c1_n13188_n13100# m3_2669_n13200# 58.61fF
+C16 m3_n13288_8000# c1_n13188_n13100# 58.36fF
+C17 m3_n13288_n2600# m3_n7969_n2600# 2.73fF
+C18 m3_n13288_n2600# m3_n13288_n7900# 3.28fF
+C19 m3_n7969_n7900# c1_n13188_n13100# 58.86fF
+C20 m3_n2650_n13200# m3_2669_n13200# 2.73fF
+C21 m3_n7969_8000# m3_n2650_8000# 2.73fF
+C22 c1_n13188_n13100# m3_n2650_n7900# 58.86fF
+C23 m3_2669_n7900# m3_2669_n13200# 3.28fF
+C24 c1_n13188_n13100# m3_n13288_n2600# 58.61fF
+C25 m3_2669_n13200# m3_7988_n13200# 2.73fF
+C26 c1_n13188_n13100# m3_n7969_n2600# 58.86fF
+C27 m3_n2650_n13200# m3_n2650_n7900# 3.28fF
+C28 m3_n13288_n13200# m3_n13288_n7900# 3.28fF
+C29 m3_2669_8000# m3_2669_2700# 3.28fF
+C30 m3_7988_2700# m3_7988_n2600# 3.39fF
+C31 c1_n13188_n13100# m3_n13288_n7900# 58.61fF
+C32 c1_n13188_n13100# m3_7988_8000# 60.75fF
+C33 m3_n2650_2700# c1_n13188_n13100# 58.86fF
+C34 m3_7988_2700# m3_2669_2700# 2.73fF
+C35 c1_n13188_n13100# m3_7988_n7900# 61.01fF
+C36 m3_n2650_n7900# m3_2669_n7900# 2.73fF
+C37 m3_n7969_n13200# m3_n7969_n7900# 3.28fF
+C38 c1_n13188_n13100# m3_n13288_n13200# 58.36fF
+C39 m3_2669_n2600# c1_n13188_n13100# 58.86fF
+C40 m3_n2650_n7900# m3_n2650_n2600# 3.28fF
+C41 m3_n2650_n13200# c1_n13188_n13100# 58.61fF
+C42 m3_n7969_n2600# m3_n2650_n2600# 2.73fF
+C43 m3_2669_8000# m3_7988_8000# 2.73fF
+C44 m3_7988_n7900# m3_2669_n7900# 2.73fF
+C45 m3_7988_n7900# m3_7988_n13200# 3.39fF
+C46 m3_n2650_2700# m3_n2650_n2600# 3.28fF
+C47 c1_n13188_n13100# m3_2669_n7900# 58.86fF
+C48 c1_n13188_n13100# m3_7988_n13200# 60.75fF
+C49 m3_n7969_8000# m3_n7969_2700# 3.28fF
+C50 m3_7988_2700# m3_7988_8000# 3.39fF
+C51 m3_2669_n2600# m3_2669_n7900# 3.28fF
+C52 m3_n2650_2700# m3_n2650_8000# 3.28fF
+C53 m3_n7969_8000# m3_n13288_8000# 2.73fF
+C54 c1_n13188_n13100# m3_2669_8000# 58.61fF
+C55 m3_n13288_2700# m3_n7969_2700# 2.73fF
+C56 c1_n13188_n13100# m3_n2650_n2600# 58.86fF
+C57 m3_n7969_n13200# m3_n13288_n13200# 2.73fF
+C58 m3_n7969_n13200# c1_n13188_n13100# 58.61fF
+C59 m3_2669_n2600# m3_n2650_n2600# 2.73fF
+C60 m3_n13288_8000# m3_n13288_2700# 3.28fF
+C61 c1_n13188_n13100# m3_7988_2700# 61.01fF
+C62 c1_n13188_n13100# m3_n2650_8000# 58.61fF
+C63 m3_n7969_n13200# m3_n2650_n13200# 2.73fF
+C64 m3_n13288_n2600# m3_n13288_2700# 3.28fF
+C65 c1_n13188_n13100# VSUBS 2.51fF
+C66 m3_7988_n13200# VSUBS 12.57fF
+C67 m3_2669_n13200# VSUBS 12.37fF
+C68 m3_n2650_n13200# VSUBS 12.37fF
+C69 m3_n7969_n13200# VSUBS 12.37fF
+C70 m3_n13288_n13200# VSUBS 12.37fF
+C71 m3_7988_n7900# VSUBS 12.57fF
+C72 m3_2669_n7900# VSUBS 12.37fF
+C73 m3_n2650_n7900# VSUBS 12.37fF
+C74 m3_n7969_n7900# VSUBS 12.37fF
+C75 m3_n13288_n7900# VSUBS 12.37fF
+C76 m3_7988_n2600# VSUBS 12.57fF
+C77 m3_2669_n2600# VSUBS 12.37fF
+C78 m3_n2650_n2600# VSUBS 12.37fF
+C79 m3_n7969_n2600# VSUBS 12.37fF
+C80 m3_n13288_n2600# VSUBS 12.37fF
+C81 m3_7988_2700# VSUBS 12.57fF
+C82 m3_2669_2700# VSUBS 12.37fF
+C83 m3_n2650_2700# VSUBS 12.37fF
+C84 m3_n7969_2700# VSUBS 12.37fF
+C85 m3_n13288_2700# VSUBS 12.37fF
+C86 m3_7988_8000# VSUBS 12.57fF
+C87 m3_2669_8000# VSUBS 12.37fF
+C88 m3_n2650_8000# VSUBS 12.37fF
+C89 m3_n7969_8000# VSUBS 12.37fF
+C90 m3_n13288_8000# VSUBS 12.37fF
+.ends
+
+.subckt cap1_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_MACBVW_0 VSUBS out out out out out out out out out out
++ out out out out out out out out out out out in out out out out sky130_fd_pr__cap_mim_m3_1_MACBVW
+C0 in out 2.17fF
+C1 in VSUBS -10.03fF
+C2 out VSUBS 62.40fF
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_W3JTNJ VSUBS m3_n6469_n2100# c1_n6369_n6300# m3_2169_n6400#
++ m3_n2150_n6400# c1_2269_n6300# m3_n6469_2200# m3_n2150_n2100# c1_n2050_n6300# m3_n2150_2200#
++ m3_n6469_n6400#
+X0 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X1 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X2 c1_n2050_n6300# m3_n2150_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X3 c1_n6369_n6300# m3_n6469_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X4 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X5 c1_n6369_n6300# m3_n6469_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X6 c1_n2050_n6300# m3_n2150_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X7 c1_n2050_n6300# m3_n2150_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X8 c1_n6369_n6300# m3_n6469_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+C0 m3_2169_n6400# m3_n2150_n6400# 1.75fF
+C1 m3_2169_n6400# m3_n2150_2200# 1.75fF
+C2 c1_n6369_n6300# c1_n2050_n6300# 1.99fF
+C3 m3_n2150_n2100# m3_n2150_n6400# 2.63fF
+C4 c1_n6369_n6300# m3_n6469_2200# 38.10fF
+C5 c1_n6369_n6300# m3_n6469_n6400# 38.10fF
+C6 m3_n2150_n2100# m3_2169_n6400# 1.75fF
+C7 m3_n2150_n2100# m3_n6469_n2100# 1.75fF
+C8 m3_n2150_n2100# m3_n2150_2200# 2.63fF
+C9 m3_2169_n6400# c1_2269_n6300# 121.67fF
+C10 c1_n6369_n6300# m3_n6469_n2100# 38.10fF
+C11 c1_n2050_n6300# m3_n2150_n6400# 38.10fF
+C12 c1_n2050_n6300# m3_n2150_2200# 38.10fF
+C13 m3_n6469_n6400# m3_n2150_n6400# 1.75fF
+C14 m3_n6469_n2100# m3_n6469_2200# 2.63fF
+C15 m3_n6469_2200# m3_n2150_2200# 1.75fF
+C16 m3_n6469_n2100# m3_n6469_n6400# 2.63fF
+C17 m3_n2150_n2100# c1_n2050_n6300# 38.10fF
+C18 c1_n2050_n6300# c1_2269_n6300# 1.99fF
+C19 c1_2269_n6300# VSUBS 0.16fF
+C20 c1_n2050_n6300# VSUBS 0.16fF
+C21 c1_n6369_n6300# VSUBS 0.16fF
+C22 m3_n2150_n6400# VSUBS 8.68fF
+C23 m3_n6469_n6400# VSUBS 8.68fF
+C24 m3_n2150_n2100# VSUBS 8.68fF
+C25 m3_n6469_n2100# VSUBS 8.68fF
+C26 m3_2169_n6400# VSUBS 26.86fF
+C27 m3_n2150_2200# VSUBS 8.68fF
+C28 m3_n6469_2200# VSUBS 8.68fF
+.ends
+
+.subckt cap2_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_W3JTNJ_0 VSUBS out in out out in out out in out out sky130_fd_pr__cap_mim_m3_1_W3JTNJ
+C0 in out 8.08fF
+C1 in VSUBS -16.59fF
+C2 out VSUBS 13.00fF
+.ends
+
+.subckt sky130_fd_pr__res_high_po_5p73_X44RQA a_n573_2292# w_n739_n2890# a_n573_n2724#
+X0 a_n573_n2724# a_n573_2292# w_n739_n2890# sky130_fd_pr__res_high_po_5p73 l=2.292e+07u
+C0 a_n573_n2724# w_n739_n2890# 1.98fF
+C1 a_n573_2292# w_n739_n2890# 1.98fF
+.ends
+
+.subckt res_loop_filter vss out in
+Xsky130_fd_pr__res_high_po_5p73_X44RQA_0 in vss out sky130_fd_pr__res_high_po_5p73_X44RQA
+C0 out vss 3.87fF
+C1 in vss 3.02fF
+.ends
+
+.subckt loop_filter vc_pex in vss
+Xcap1_loop_filter_0 vss vc_pex vss cap1_loop_filter
+Xcap2_loop_filter_0 vss in vss cap2_loop_filter
+Xres_loop_filter_0 vss res_loop_filter_2/out in res_loop_filter
+Xres_loop_filter_1 vss res_loop_filter_2/out vc_pex res_loop_filter
+Xres_loop_filter_2 vss res_loop_filter_2/out vc_pex res_loop_filter
+C0 in vc_pex 0.18fF
+C1 vc_pex vss -38.13fF
+C2 res_loop_filter_2/out vss 8.49fF
+C3 in vss -18.79fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4ML9WA VSUBS a_429_n486# w_n2457_n634# a_887_n486#
++ a_n29_n486# a_1345_n486# a_n2261_n512# a_1803_n486# a_n487_n486# a_n945_n486# a_n2319_n486#
++ a_n1403_n486# a_2261_n486# a_n1861_n486#
+X0 a_2261_n486# a_n2261_n512# a_1803_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X1 a_n945_n486# a_n2261_n512# a_n1403_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X2 a_429_n486# a_n2261_n512# a_n29_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X3 a_1803_n486# a_n2261_n512# a_1345_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X4 a_887_n486# a_n2261_n512# a_429_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X5 a_n487_n486# a_n2261_n512# a_n945_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X6 a_n1403_n486# a_n2261_n512# a_n1861_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X7 a_n1861_n486# a_n2261_n512# a_n2319_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X8 a_n29_n486# a_n2261_n512# a_n487_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X9 a_1345_n486# a_n2261_n512# a_887_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+C0 w_n2457_n634# a_2261_n486# 0.02fF
+C1 w_n2457_n634# a_n29_n486# 0.02fF
+C2 w_n2457_n634# a_n1403_n486# 0.02fF
+C3 w_n2457_n634# a_1345_n486# 0.02fF
+C4 w_n2457_n634# a_1803_n486# 0.02fF
+C5 w_n2457_n634# a_n2319_n486# 0.02fF
+C6 w_n2457_n634# a_n945_n486# 0.02fF
+C7 w_n2457_n634# a_n1861_n486# 0.02fF
+C8 w_n2457_n634# a_n487_n486# 0.02fF
+C9 w_n2457_n634# a_887_n486# 0.02fF
+C10 w_n2457_n634# a_429_n486# 0.02fF
+C11 a_2261_n486# VSUBS 0.03fF
+C12 a_1803_n486# VSUBS 0.03fF
+C13 a_1345_n486# VSUBS 0.03fF
+C14 a_887_n486# VSUBS 0.03fF
+C15 a_429_n486# VSUBS 0.03fF
+C16 a_n29_n486# VSUBS 0.03fF
+C17 a_n487_n486# VSUBS 0.03fF
+C18 a_n945_n486# VSUBS 0.03fF
+C19 a_n1403_n486# VSUBS 0.03fF
+C20 a_n1861_n486# VSUBS 0.03fF
+C21 a_n2319_n486# VSUBS 0.03fF
+C22 a_n2261_n512# VSUBS 4.27fF
+C23 w_n2457_n634# VSUBS 21.34fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_YCGG98 a_n1041_n75# a_n561_n75# a_1167_n75# a_303_n75#
++ a_687_n75# a_n849_n75# a_n369_n75# a_975_n75# a_111_n75# a_495_n75# a_n1137_n75#
++ a_n657_n75# a_n177_n75# a_783_n75# a_n945_n75# a_n465_n75# a_207_n75# a_1071_n75#
++ a_591_n75# a_15_n75# a_n753_n75# w_n1367_n285# a_n273_n75# a_879_n75# a_399_n75#
++ a_n1229_n75# a_n81_n75# a_n1167_n101#
+X0 a_207_n75# a_n1167_n101# a_111_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_303_n75# a_n1167_n101# a_207_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_399_n75# a_n1167_n101# a_303_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_495_n75# a_n1167_n101# a_399_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_591_n75# a_n1167_n101# a_495_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_783_n75# a_n1167_n101# a_687_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_687_n75# a_n1167_n101# a_591_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_879_n75# a_n1167_n101# a_783_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_975_n75# a_n1167_n101# a_879_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_n1041_n75# a_n1167_n101# a_n1137_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_n1137_n75# a_n1167_n101# a_n1229_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n561_n75# a_n1167_n101# a_n657_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_1071_n75# a_n1167_n101# a_975_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n945_n75# a_n1167_n101# a_n1041_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n753_n75# a_n1167_n101# a_n849_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n657_n75# a_n1167_n101# a_n753_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n465_n75# a_n1167_n101# a_n561_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n369_n75# a_n1167_n101# a_n465_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_1167_n75# a_n1167_n101# a_1071_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n849_n75# a_n1167_n101# a_n945_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X20 a_15_n75# a_n1167_n101# a_n81_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X21 a_n81_n75# a_n1167_n101# a_n177_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X22 a_111_n75# a_n1167_n101# a_15_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X23 a_n273_n75# a_n1167_n101# a_n369_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X24 a_n177_n75# a_n1167_n101# a_n273_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+C0 a_n945_n75# a_n753_n75# 0.08fF
+C1 a_n849_n75# a_n561_n75# 0.05fF
+C2 a_111_n75# a_399_n75# 0.05fF
+C3 a_n1229_n75# a_n1041_n75# 0.08fF
+C4 a_n81_n75# a_111_n75# 0.08fF
+C5 a_n1137_n75# a_n753_n75# 0.03fF
+C6 a_303_n75# a_591_n75# 0.05fF
+C7 a_591_n75# a_495_n75# 0.22fF
+C8 a_303_n75# a_495_n75# 0.08fF
+C9 a_399_n75# a_207_n75# 0.08fF
+C10 a_n81_n75# a_n177_n75# 0.22fF
+C11 a_n273_n75# a_n465_n75# 0.08fF
+C12 a_n273_n75# a_15_n75# 0.05fF
+C13 a_n81_n75# a_207_n75# 0.05fF
+C14 a_975_n75# a_1071_n75# 0.22fF
+C15 a_n1041_n75# a_n753_n75# 0.05fF
+C16 a_n945_n75# a_n1137_n75# 0.08fF
+C17 a_975_n75# a_879_n75# 0.22fF
+C18 a_15_n75# a_399_n75# 0.03fF
+C19 a_n81_n75# a_n465_n75# 0.03fF
+C20 a_n177_n75# a_n369_n75# 0.08fF
+C21 a_n657_n75# a_n753_n75# 0.22fF
+C22 a_n81_n75# a_15_n75# 0.22fF
+C23 a_n657_n75# a_n465_n75# 0.08fF
+C24 a_n849_n75# a_n1229_n75# 0.03fF
+C25 a_975_n75# a_687_n75# 0.05fF
+C26 a_975_n75# a_1167_n75# 0.08fF
+C27 a_n177_n75# a_n561_n75# 0.03fF
+C28 a_111_n75# a_303_n75# 0.08fF
+C29 a_111_n75# a_495_n75# 0.03fF
+C30 a_n1041_n75# a_n945_n75# 0.22fF
+C31 a_n369_n75# a_n753_n75# 0.03fF
+C32 a_n369_n75# a_n465_n75# 0.22fF
+C33 a_879_n75# a_1071_n75# 0.08fF
+C34 a_15_n75# a_n369_n75# 0.03fF
+C35 a_n945_n75# a_n657_n75# 0.05fF
+C36 a_591_n75# a_207_n75# 0.03fF
+C37 a_n1041_n75# a_n1137_n75# 0.22fF
+C38 a_303_n75# a_207_n75# 0.22fF
+C39 a_495_n75# a_207_n75# 0.05fF
+C40 a_975_n75# a_783_n75# 0.08fF
+C41 a_n561_n75# a_n753_n75# 0.08fF
+C42 a_n849_n75# a_n753_n75# 0.22fF
+C43 a_n561_n75# a_n465_n75# 0.22fF
+C44 a_n81_n75# a_n273_n75# 0.08fF
+C45 a_687_n75# a_1071_n75# 0.03fF
+C46 a_n849_n75# a_n465_n75# 0.03fF
+C47 a_1167_n75# a_1071_n75# 0.22fF
+C48 a_n273_n75# a_n657_n75# 0.03fF
+C49 a_15_n75# a_303_n75# 0.05fF
+C50 a_687_n75# a_879_n75# 0.08fF
+C51 a_975_n75# a_591_n75# 0.03fF
+C52 a_1167_n75# a_879_n75# 0.05fF
+C53 a_399_n75# a_687_n75# 0.05fF
+C54 a_n945_n75# a_n561_n75# 0.03fF
+C55 a_n849_n75# a_n945_n75# 0.22fF
+C56 a_n1041_n75# a_n657_n75# 0.03fF
+C57 a_1071_n75# a_783_n75# 0.05fF
+C58 a_n273_n75# a_n369_n75# 0.22fF
+C59 a_111_n75# a_n177_n75# 0.05fF
+C60 a_111_n75# a_207_n75# 0.22fF
+C61 a_n849_n75# a_n1137_n75# 0.05fF
+C62 a_879_n75# a_783_n75# 0.22fF
+C63 a_n273_n75# a_n561_n75# 0.05fF
+C64 a_n177_n75# a_207_n75# 0.03fF
+C65 a_399_n75# a_783_n75# 0.03fF
+C66 a_n81_n75# a_n369_n75# 0.05fF
+C67 a_687_n75# a_783_n75# 0.22fF
+C68 a_111_n75# a_15_n75# 0.22fF
+C69 a_n657_n75# a_n369_n75# 0.05fF
+C70 a_1167_n75# a_783_n75# 0.03fF
+C71 a_591_n75# a_879_n75# 0.05fF
+C72 a_n849_n75# a_n1041_n75# 0.08fF
+C73 a_879_n75# a_495_n75# 0.03fF
+C74 a_n177_n75# a_n465_n75# 0.05fF
+C75 a_15_n75# a_n177_n75# 0.08fF
+C76 a_399_n75# a_591_n75# 0.08fF
+C77 a_303_n75# a_399_n75# 0.22fF
+C78 a_15_n75# a_207_n75# 0.08fF
+C79 a_n657_n75# a_n561_n75# 0.22fF
+C80 a_399_n75# a_495_n75# 0.22fF
+C81 a_n849_n75# a_n657_n75# 0.08fF
+C82 a_n81_n75# a_303_n75# 0.03fF
+C83 a_591_n75# a_687_n75# 0.22fF
+C84 a_303_n75# a_687_n75# 0.03fF
+C85 a_687_n75# a_495_n75# 0.08fF
+C86 a_n1229_n75# a_n945_n75# 0.05fF
+C87 a_n465_n75# a_n753_n75# 0.05fF
+C88 a_n561_n75# a_n369_n75# 0.08fF
+C89 a_n1229_n75# a_n1137_n75# 0.22fF
+C90 a_111_n75# a_n273_n75# 0.03fF
+C91 a_591_n75# a_783_n75# 0.08fF
+C92 a_495_n75# a_783_n75# 0.05fF
+C93 a_n273_n75# a_n177_n75# 0.22fF
+C94 a_1167_n75# w_n1367_n285# 0.10fF
+C95 a_1071_n75# w_n1367_n285# 0.07fF
+C96 a_975_n75# w_n1367_n285# 0.06fF
+C97 a_879_n75# w_n1367_n285# 0.05fF
+C98 a_783_n75# w_n1367_n285# 0.04fF
+C99 a_687_n75# w_n1367_n285# 0.04fF
+C100 a_591_n75# w_n1367_n285# 0.04fF
+C101 a_495_n75# w_n1367_n285# 0.04fF
+C102 a_399_n75# w_n1367_n285# 0.04fF
+C103 a_303_n75# w_n1367_n285# 0.04fF
+C104 a_207_n75# w_n1367_n285# 0.04fF
+C105 a_111_n75# w_n1367_n285# 0.04fF
+C106 a_15_n75# w_n1367_n285# 0.04fF
+C107 a_n81_n75# w_n1367_n285# 0.04fF
+C108 a_n177_n75# w_n1367_n285# 0.04fF
+C109 a_n273_n75# w_n1367_n285# 0.04fF
+C110 a_n369_n75# w_n1367_n285# 0.04fF
+C111 a_n465_n75# w_n1367_n285# 0.04fF
+C112 a_n561_n75# w_n1367_n285# 0.04fF
+C113 a_n657_n75# w_n1367_n285# 0.04fF
+C114 a_n753_n75# w_n1367_n285# 0.04fF
+C115 a_n849_n75# w_n1367_n285# 0.04fF
+C116 a_n945_n75# w_n1367_n285# 0.04fF
+C117 a_n1041_n75# w_n1367_n285# 0.04fF
+C118 a_n1137_n75# w_n1367_n285# 0.04fF
+C119 a_n1229_n75# w_n1367_n285# 0.04fF
+C120 a_n1167_n101# w_n1367_n285# 2.55fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_MUHGM9 a_33_n101# a_n129_n75# a_735_n75# a_255_n75#
++ a_n417_n75# a_n989_n75# a_63_n75# a_543_n75# a_n705_n75# a_n225_n75# a_n33_n75#
++ a_831_n75# a_351_n75# a_n927_n101# a_n513_n75# a_n897_n75# w_n1127_n285# a_639_n75#
++ a_159_n75# a_n801_n75# a_n321_n75# a_927_n75# a_447_n75# a_n609_n75#
+X0 a_63_n75# a_33_n101# a_n33_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_927_n75# a_33_n101# a_831_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_n33_n75# a_n927_n101# a_n129_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_159_n75# a_33_n101# a_63_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_255_n75# a_33_n101# a_159_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_351_n75# a_33_n101# a_255_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_447_n75# a_33_n101# a_351_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_543_n75# a_33_n101# a_447_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_735_n75# a_33_n101# a_639_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_831_n75# a_33_n101# a_735_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_639_n75# a_33_n101# a_543_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n321_n75# a_n927_n101# a_n417_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_n801_n75# a_n927_n101# a_n897_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n705_n75# a_n927_n101# a_n801_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n513_n75# a_n927_n101# a_n609_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n417_n75# a_n927_n101# a_n513_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n225_n75# a_n927_n101# a_n321_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n129_n75# a_n927_n101# a_n225_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_n897_n75# a_n927_n101# a_n989_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n609_n75# a_n927_n101# a_n705_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+C0 a_n417_n75# a_n129_n75# 0.05fF
+C1 a_63_n75# a_447_n75# 0.03fF
+C2 a_n417_n75# a_n609_n75# 0.08fF
+C3 a_n513_n75# a_n705_n75# 0.08fF
+C4 a_n225_n75# a_63_n75# 0.05fF
+C5 a_63_n75# a_n33_n75# 0.22fF
+C6 a_n225_n75# a_n33_n75# 0.08fF
+C7 a_n129_n75# a_n321_n75# 0.08fF
+C8 a_735_n75# a_543_n75# 0.08fF
+C9 a_n609_n75# a_n321_n75# 0.05fF
+C10 a_n129_n75# a_255_n75# 0.03fF
+C11 a_33_n101# a_n927_n101# 0.08fF
+C12 a_159_n75# a_255_n75# 0.22fF
+C13 a_n513_n75# a_n129_n75# 0.03fF
+C14 a_159_n75# a_543_n75# 0.03fF
+C15 a_n417_n75# a_n801_n75# 0.03fF
+C16 a_831_n75# a_639_n75# 0.08fF
+C17 a_351_n75# a_255_n75# 0.22fF
+C18 a_n513_n75# a_n609_n75# 0.22fF
+C19 a_351_n75# a_543_n75# 0.08fF
+C20 a_n705_n75# a_n989_n75# 0.05fF
+C21 a_n513_n75# a_n897_n75# 0.03fF
+C22 a_831_n75# a_447_n75# 0.03fF
+C23 a_n417_n75# a_n225_n75# 0.08fF
+C24 a_n417_n75# a_n33_n75# 0.03fF
+C25 a_927_n75# a_831_n75# 0.22fF
+C26 a_639_n75# a_255_n75# 0.03fF
+C27 a_639_n75# a_543_n75# 0.22fF
+C28 a_63_n75# a_n321_n75# 0.03fF
+C29 a_n225_n75# a_n321_n75# 0.22fF
+C30 a_n33_n75# a_n321_n75# 0.05fF
+C31 a_447_n75# a_255_n75# 0.08fF
+C32 a_n609_n75# a_n705_n75# 0.22fF
+C33 a_n513_n75# a_n801_n75# 0.05fF
+C34 a_n897_n75# a_n705_n75# 0.08fF
+C35 a_447_n75# a_543_n75# 0.22fF
+C36 a_927_n75# a_543_n75# 0.03fF
+C37 a_n609_n75# a_n989_n75# 0.03fF
+C38 a_n897_n75# a_n989_n75# 0.22fF
+C39 a_63_n75# a_255_n75# 0.08fF
+C40 a_n33_n75# a_255_n75# 0.05fF
+C41 a_735_n75# a_351_n75# 0.03fF
+C42 a_n513_n75# a_n225_n75# 0.05fF
+C43 a_159_n75# a_n129_n75# 0.05fF
+C44 a_735_n75# a_639_n75# 0.22fF
+C45 a_n705_n75# a_n801_n75# 0.22fF
+C46 a_159_n75# a_351_n75# 0.08fF
+C47 a_n417_n75# a_n321_n75# 0.22fF
+C48 a_n897_n75# a_n609_n75# 0.05fF
+C49 a_n801_n75# a_n989_n75# 0.08fF
+C50 a_735_n75# a_447_n75# 0.05fF
+C51 a_927_n75# a_735_n75# 0.08fF
+C52 a_n417_n75# a_n513_n75# 0.22fF
+C53 a_351_n75# a_639_n75# 0.05fF
+C54 a_n609_n75# a_n801_n75# 0.08fF
+C55 a_831_n75# a_543_n75# 0.05fF
+C56 a_159_n75# a_447_n75# 0.05fF
+C57 a_n897_n75# a_n801_n75# 0.22fF
+C58 a_n129_n75# a_63_n75# 0.08fF
+C59 a_n513_n75# a_n321_n75# 0.08fF
+C60 a_n225_n75# a_n129_n75# 0.22fF
+C61 a_351_n75# a_447_n75# 0.22fF
+C62 a_n129_n75# a_n33_n75# 0.22fF
+C63 a_159_n75# a_63_n75# 0.22fF
+C64 a_159_n75# a_n225_n75# 0.03fF
+C65 a_159_n75# a_n33_n75# 0.08fF
+C66 a_n225_n75# a_n609_n75# 0.03fF
+C67 a_63_n75# a_351_n75# 0.05fF
+C68 a_351_n75# a_n33_n75# 0.03fF
+C69 a_543_n75# a_255_n75# 0.05fF
+C70 a_n417_n75# a_n705_n75# 0.05fF
+C71 a_447_n75# a_639_n75# 0.08fF
+C72 a_927_n75# a_639_n75# 0.05fF
+C73 a_n705_n75# a_n321_n75# 0.03fF
+C74 a_735_n75# a_831_n75# 0.22fF
+C75 a_927_n75# w_n1127_n285# 0.04fF
+C76 a_831_n75# w_n1127_n285# 0.04fF
+C77 a_735_n75# w_n1127_n285# 0.04fF
+C78 a_639_n75# w_n1127_n285# 0.04fF
+C79 a_543_n75# w_n1127_n285# 0.04fF
+C80 a_447_n75# w_n1127_n285# 0.04fF
+C81 a_351_n75# w_n1127_n285# 0.04fF
+C82 a_255_n75# w_n1127_n285# 0.04fF
+C83 a_159_n75# w_n1127_n285# 0.04fF
+C84 a_63_n75# w_n1127_n285# 0.04fF
+C85 a_n33_n75# w_n1127_n285# 0.04fF
+C86 a_n129_n75# w_n1127_n285# 0.04fF
+C87 a_n225_n75# w_n1127_n285# 0.04fF
+C88 a_n321_n75# w_n1127_n285# 0.04fF
+C89 a_n417_n75# w_n1127_n285# 0.04fF
+C90 a_n513_n75# w_n1127_n285# 0.04fF
+C91 a_n609_n75# w_n1127_n285# 0.04fF
+C92 a_n705_n75# w_n1127_n285# 0.04fF
+C93 a_n801_n75# w_n1127_n285# 0.04fF
+C94 a_n897_n75# w_n1127_n285# 0.04fF
+C95 a_n989_n75# w_n1127_n285# 0.04fF
+C96 a_33_n101# w_n1127_n285# 0.99fF
+C97 a_n927_n101# w_n1127_n285# 0.99fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_NKZXKB VSUBS a_33_n247# a_n801_n150# a_n417_n150#
++ a_351_n150# a_255_n150# a_n705_n150# a_n609_n150# a_159_n150# a_543_n150# a_447_n150#
++ a_831_n150# a_n897_n150# a_n33_n150# a_735_n150# a_n927_n247# a_639_n150# a_n321_n150#
++ a_927_n150# a_n225_n150# a_63_n150# a_n989_n150# a_n513_n150# a_n129_n150# w_n1127_n369#
+X0 a_n513_n150# a_n927_n247# a_n609_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_63_n150# a_33_n247# a_n33_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_735_n150# a_33_n247# a_639_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n801_n150# a_n927_n247# a_n897_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n927_n247# a_n225_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n927_n247# a_n513_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_639_n150# a_33_n247# a_543_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n705_n150# a_n927_n247# a_n801_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n33_n150# a_n927_n247# a_n129_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_351_n150# a_33_n247# a_255_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_n609_n150# a_n927_n247# a_n705_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n897_n150# a_n927_n247# a_n989_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_927_n150# a_33_n247# a_831_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_255_n150# a_33_n247# a_159_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n321_n150# a_n927_n247# a_n417_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_543_n150# a_33_n247# a_447_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_831_n150# a_33_n247# a_735_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_159_n150# a_33_n247# a_63_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_n225_n150# a_n927_n247# a_n321_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_447_n150# a_33_n247# a_351_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n801_n150# a_n513_n150# 0.10fF
+C1 a_351_n150# a_639_n150# 0.10fF
+C2 a_63_n150# a_n321_n150# 0.07fF
+C3 a_351_n150# a_159_n150# 0.16fF
+C4 a_n897_n150# a_n705_n150# 0.16fF
+C5 a_543_n150# a_735_n150# 0.16fF
+C6 a_639_n150# a_447_n150# 0.16fF
+C7 a_n609_n150# a_n705_n150# 0.43fF
+C8 a_n33_n150# a_n225_n150# 0.16fF
+C9 a_n33_n150# a_255_n150# 0.10fF
+C10 a_n417_n150# a_n705_n150# 0.10fF
+C11 a_159_n150# a_447_n150# 0.10fF
+C12 a_n897_n150# a_n513_n150# 0.07fF
+C13 a_n801_n150# a_n989_n150# 0.16fF
+C14 a_n609_n150# a_n513_n150# 0.43fF
+C15 a_255_n150# a_639_n150# 0.07fF
+C16 a_n609_n150# a_n225_n150# 0.07fF
+C17 a_n417_n150# a_n513_n150# 0.43fF
+C18 a_159_n150# a_n225_n150# 0.07fF
+C19 a_255_n150# a_159_n150# 0.43fF
+C20 a_n417_n150# a_n225_n150# 0.16fF
+C21 a_351_n150# a_63_n150# 0.10fF
+C22 a_n705_n150# a_n321_n150# 0.07fF
+C23 a_351_n150# a_543_n150# 0.16fF
+C24 a_351_n150# a_735_n150# 0.07fF
+C25 a_n897_n150# a_n801_n150# 0.43fF
+C26 a_n129_n150# a_n513_n150# 0.07fF
+C27 a_n609_n150# a_n801_n150# 0.16fF
+C28 a_n129_n150# a_n225_n150# 0.43fF
+C29 a_255_n150# a_n129_n150# 0.07fF
+C30 a_639_n150# a_831_n150# 0.16fF
+C31 a_n801_n150# a_n417_n150# 0.07fF
+C32 a_63_n150# a_447_n150# 0.07fF
+C33 a_n897_n150# a_n989_n150# 0.43fF
+C34 a_543_n150# a_447_n150# 0.43fF
+C35 a_735_n150# a_447_n150# 0.10fF
+C36 a_n609_n150# a_n989_n150# 0.07fF
+C37 a_n321_n150# a_n513_n150# 0.16fF
+C38 a_n321_n150# a_n225_n150# 0.43fF
+C39 a_n33_n150# a_159_n150# 0.16fF
+C40 a_n33_n150# a_n417_n150# 0.07fF
+C41 a_63_n150# a_n225_n150# 0.10fF
+C42 a_255_n150# a_63_n150# 0.16fF
+C43 a_255_n150# a_543_n150# 0.10fF
+C44 a_n609_n150# a_n897_n150# 0.10fF
+C45 a_831_n150# a_927_n150# 0.43fF
+C46 a_n33_n150# a_n129_n150# 0.43fF
+C47 a_n609_n150# a_n417_n150# 0.16fF
+C48 a_351_n150# a_447_n150# 0.43fF
+C49 a_n33_n150# a_n321_n150# 0.10fF
+C50 a_543_n150# a_831_n150# 0.10fF
+C51 a_831_n150# a_735_n150# 0.43fF
+C52 a_639_n150# a_927_n150# 0.10fF
+C53 a_33_n247# a_n927_n247# 0.09fF
+C54 a_n33_n150# a_63_n150# 0.43fF
+C55 a_159_n150# a_n129_n150# 0.10fF
+C56 a_351_n150# a_255_n150# 0.43fF
+C57 a_n417_n150# a_n129_n150# 0.10fF
+C58 a_n705_n150# a_n513_n150# 0.16fF
+C59 a_n609_n150# a_n321_n150# 0.10fF
+C60 a_n417_n150# a_n321_n150# 0.43fF
+C61 a_543_n150# a_639_n150# 0.43fF
+C62 a_255_n150# a_447_n150# 0.16fF
+C63 a_639_n150# a_735_n150# 0.43fF
+C64 a_63_n150# a_159_n150# 0.43fF
+C65 a_543_n150# a_159_n150# 0.07fF
+C66 a_n225_n150# a_n513_n150# 0.10fF
+C67 a_n801_n150# a_n705_n150# 0.43fF
+C68 a_n321_n150# a_n129_n150# 0.16fF
+C69 a_351_n150# a_n33_n150# 0.07fF
+C70 a_n989_n150# a_n705_n150# 0.10fF
+C71 a_63_n150# a_n129_n150# 0.16fF
+C72 a_831_n150# a_447_n150# 0.07fF
+C73 a_543_n150# a_927_n150# 0.07fF
+C74 a_735_n150# a_927_n150# 0.16fF
+C75 a_927_n150# VSUBS 0.03fF
+C76 a_831_n150# VSUBS 0.03fF
+C77 a_735_n150# VSUBS 0.03fF
+C78 a_639_n150# VSUBS 0.03fF
+C79 a_543_n150# VSUBS 0.03fF
+C80 a_447_n150# VSUBS 0.03fF
+C81 a_351_n150# VSUBS 0.03fF
+C82 a_255_n150# VSUBS 0.03fF
+C83 a_159_n150# VSUBS 0.03fF
+C84 a_63_n150# VSUBS 0.03fF
+C85 a_n33_n150# VSUBS 0.03fF
+C86 a_n129_n150# VSUBS 0.03fF
+C87 a_n225_n150# VSUBS 0.03fF
+C88 a_n321_n150# VSUBS 0.03fF
+C89 a_n417_n150# VSUBS 0.03fF
+C90 a_n513_n150# VSUBS 0.03fF
+C91 a_n609_n150# VSUBS 0.03fF
+C92 a_n705_n150# VSUBS 0.03fF
+C93 a_n801_n150# VSUBS 0.03fF
+C94 a_n897_n150# VSUBS 0.03fF
+C95 a_n989_n150# VSUBS 0.03fF
+C96 a_33_n247# VSUBS 1.04fF
+C97 a_n927_n247# VSUBS 1.04fF
+C98 w_n1127_n369# VSUBS 6.17fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_8GRULZ a_n1761_n132# a_1045_n44# a_n1461_n44# a_n1103_n44#
++ a_n29_n44# a_n387_n44# a_1761_n44# a_n1819_n44# a_1403_n44# a_687_n44# w_n1957_n254#
++ a_329_n44# a_n745_n44#
+X0 a_329_n44# a_n1761_n132# a_n29_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X1 a_1761_n44# a_n1761_n132# a_1403_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X2 a_n745_n44# a_n1761_n132# a_n1103_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X3 a_1045_n44# a_n1761_n132# a_687_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X4 a_n29_n44# a_n1761_n132# a_n387_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X5 a_n1103_n44# a_n1761_n132# a_n1461_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X6 a_n387_n44# a_n1761_n132# a_n745_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X7 a_687_n44# a_n1761_n132# a_329_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X8 a_1403_n44# a_n1761_n132# a_1045_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X9 a_n1461_n44# a_n1761_n132# a_n1819_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+C0 a_n387_n44# a_n29_n44# 0.04fF
+C1 a_329_n44# a_n29_n44# 0.04fF
+C2 a_n1461_n44# a_n1103_n44# 0.04fF
+C3 a_n1461_n44# a_n1819_n44# 0.04fF
+C4 a_1045_n44# a_687_n44# 0.04fF
+C5 a_n745_n44# a_n1103_n44# 0.04fF
+C6 a_1403_n44# a_1761_n44# 0.04fF
+C7 a_1403_n44# a_1045_n44# 0.04fF
+C8 a_n745_n44# a_n387_n44# 0.04fF
+C9 a_329_n44# a_687_n44# 0.04fF
+C10 a_1761_n44# w_n1957_n254# 0.04fF
+C11 a_1403_n44# w_n1957_n254# 0.04fF
+C12 a_1045_n44# w_n1957_n254# 0.04fF
+C13 a_687_n44# w_n1957_n254# 0.04fF
+C14 a_329_n44# w_n1957_n254# 0.04fF
+C15 a_n29_n44# w_n1957_n254# 0.04fF
+C16 a_n387_n44# w_n1957_n254# 0.04fF
+C17 a_n745_n44# w_n1957_n254# 0.04fF
+C18 a_n1103_n44# w_n1957_n254# 0.04fF
+C19 a_n1461_n44# w_n1957_n254# 0.04fF
+C20 a_n1819_n44# w_n1957_n254# 0.04fF
+C21 a_n1761_n132# w_n1957_n254# 3.23fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ND88ZC VSUBS a_303_n150# a_n753_n150# a_n369_n150#
++ w_n1367_n369# a_207_n150# a_n657_n150# a_591_n150# a_n1229_n150# a_n945_n150# a_495_n150#
++ a_n1041_n150# a_n849_n150# a_n81_n150# a_399_n150# a_783_n150# a_1071_n150# a_687_n150#
++ a_975_n150# a_n1137_n150# a_n273_n150# a_111_n150# a_879_n150# a_n177_n150# a_n561_n150#
++ a_15_n150# a_1167_n150# a_n1167_n247# a_n465_n150#
+X0 a_n1137_n150# a_n1167_n247# a_n1229_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_495_n150# a_n1167_n247# a_399_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n561_n150# a_n1167_n247# a_n657_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_111_n150# a_n1167_n247# a_15_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_783_n150# a_n1167_n247# a_687_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_1071_n150# a_n1167_n247# a_975_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_399_n150# a_n1167_n247# a_303_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n465_n150# a_n1167_n247# a_n561_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_687_n150# a_n1167_n247# a_591_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n753_n150# a_n1167_n247# a_n849_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_975_n150# a_n1167_n247# a_879_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n81_n150# a_n1167_n247# a_n177_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_15_n150# a_n1167_n247# a_n81_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_n1041_n150# a_n1167_n247# a_n1137_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n369_n150# a_n1167_n247# a_n465_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_n657_n150# a_n1167_n247# a_n753_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_879_n150# a_n1167_n247# a_783_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_n945_n150# a_n1167_n247# a_n1041_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_1167_n150# a_n1167_n247# a_1071_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_303_n150# a_n1167_n247# a_207_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X20 a_n273_n150# a_n1167_n247# a_n369_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X21 a_591_n150# a_n1167_n247# a_495_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X22 a_n849_n150# a_n1167_n247# a_n945_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X23 a_207_n150# a_n1167_n247# a_111_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X24 a_n177_n150# a_n1167_n247# a_n273_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n849_n150# a_n1137_n150# 0.10fF
+C1 a_n81_n150# a_n273_n150# 0.16fF
+C2 a_n1229_n150# a_n945_n150# 0.10fF
+C3 a_207_n150# a_303_n150# 0.43fF
+C4 a_15_n150# a_n369_n150# 0.07fF
+C5 a_399_n150# a_591_n150# 0.16fF
+C6 a_495_n150# a_303_n150# 0.16fF
+C7 a_111_n150# a_15_n150# 0.43fF
+C8 a_n945_n150# a_n753_n150# 0.16fF
+C9 a_n849_n150# a_n561_n150# 0.10fF
+C10 a_n945_n150# a_n1137_n150# 0.16fF
+C11 a_n657_n150# a_n753_n150# 0.43fF
+C12 a_1071_n150# a_783_n150# 0.10fF
+C13 a_n465_n150# a_n753_n150# 0.10fF
+C14 a_1071_n150# a_1167_n150# 0.43fF
+C15 a_n81_n150# a_207_n150# 0.10fF
+C16 a_591_n150# a_303_n150# 0.10fF
+C17 a_n945_n150# a_n561_n150# 0.07fF
+C18 a_1071_n150# a_975_n150# 0.43fF
+C19 a_n657_n150# a_n561_n150# 0.43fF
+C20 a_n657_n150# a_n273_n150# 0.07fF
+C21 a_207_n150# a_495_n150# 0.10fF
+C22 a_n465_n150# a_n561_n150# 0.43fF
+C23 a_495_n150# a_783_n150# 0.10fF
+C24 a_399_n150# a_687_n150# 0.10fF
+C25 a_n465_n150# a_n273_n150# 0.16fF
+C26 a_783_n150# a_1167_n150# 0.07fF
+C27 a_111_n150# a_399_n150# 0.10fF
+C28 a_1071_n150# w_n1367_n369# 0.07fF
+C29 a_n753_n150# a_n369_n150# 0.07fF
+C30 a_n1229_n150# a_n1041_n150# 0.16fF
+C31 a_399_n150# a_15_n150# 0.07fF
+C32 a_975_n150# a_783_n150# 0.16fF
+C33 a_n177_n150# a_n561_n150# 0.07fF
+C34 a_n177_n150# a_n273_n150# 0.43fF
+C35 a_591_n150# a_207_n150# 0.07fF
+C36 a_n1041_n150# a_n753_n150# 0.10fF
+C37 a_975_n150# a_1167_n150# 0.16fF
+C38 a_n81_n150# a_n465_n150# 0.07fF
+C39 a_591_n150# a_783_n150# 0.16fF
+C40 a_687_n150# a_303_n150# 0.07fF
+C41 a_591_n150# a_495_n150# 0.43fF
+C42 a_n1041_n150# a_n1137_n150# 0.43fF
+C43 a_1071_n150# a_879_n150# 0.16fF
+C44 a_n369_n150# a_n561_n150# 0.16fF
+C45 a_n849_n150# a_n945_n150# 0.43fF
+C46 a_111_n150# a_303_n150# 0.16fF
+C47 a_n369_n150# a_n273_n150# 0.43fF
+C48 a_n657_n150# a_n849_n150# 0.16fF
+C49 a_111_n150# a_n273_n150# 0.07fF
+C50 w_n1367_n369# a_1167_n150# 0.14fF
+C51 a_1071_n150# a_687_n150# 0.07fF
+C52 a_15_n150# a_303_n150# 0.10fF
+C53 a_n849_n150# a_n465_n150# 0.07fF
+C54 a_591_n150# a_975_n150# 0.07fF
+C55 a_n81_n150# a_n177_n150# 0.43fF
+C56 a_15_n150# a_n273_n150# 0.10fF
+C57 w_n1367_n369# a_975_n150# 0.05fF
+C58 a_207_n150# a_n177_n150# 0.07fF
+C59 a_n657_n150# a_n945_n150# 0.10fF
+C60 a_783_n150# a_879_n150# 0.43fF
+C61 a_495_n150# a_879_n150# 0.07fF
+C62 a_n81_n150# a_n369_n150# 0.10fF
+C63 a_111_n150# a_n81_n150# 0.16fF
+C64 a_1167_n150# a_879_n150# 0.10fF
+C65 a_783_n150# a_687_n150# 0.43fF
+C66 a_n657_n150# a_n465_n150# 0.16fF
+C67 a_495_n150# a_687_n150# 0.16fF
+C68 a_n1229_n150# a_n1137_n150# 0.43fF
+C69 a_111_n150# a_207_n150# 0.43fF
+C70 a_n81_n150# a_15_n150# 0.43fF
+C71 a_111_n150# a_495_n150# 0.07fF
+C72 a_975_n150# a_879_n150# 0.43fF
+C73 a_207_n150# a_15_n150# 0.16fF
+C74 a_n753_n150# a_n1137_n150# 0.07fF
+C75 a_591_n150# a_879_n150# 0.10fF
+C76 a_975_n150# a_687_n150# 0.10fF
+C77 a_399_n150# a_303_n150# 0.43fF
+C78 a_n849_n150# a_n1041_n150# 0.16fF
+C79 w_n1367_n369# a_879_n150# 0.04fF
+C80 a_n465_n150# a_n177_n150# 0.10fF
+C81 a_591_n150# a_687_n150# 0.43fF
+C82 a_n753_n150# a_n561_n150# 0.16fF
+C83 a_n657_n150# a_n369_n150# 0.10fF
+C84 a_n1041_n150# a_n945_n150# 0.43fF
+C85 a_n465_n150# a_n369_n150# 0.43fF
+C86 a_n657_n150# a_n1041_n150# 0.07fF
+C87 a_n273_n150# a_n561_n150# 0.10fF
+C88 a_399_n150# a_207_n150# 0.16fF
+C89 a_687_n150# a_879_n150# 0.16fF
+C90 a_399_n150# a_783_n150# 0.07fF
+C91 a_n369_n150# a_n177_n150# 0.16fF
+C92 a_399_n150# a_495_n150# 0.43fF
+C93 a_111_n150# a_n177_n150# 0.10fF
+C94 a_n1229_n150# a_n849_n150# 0.07fF
+C95 a_15_n150# a_n177_n150# 0.16fF
+C96 a_n81_n150# a_303_n150# 0.07fF
+C97 a_n849_n150# a_n753_n150# 0.43fF
+C98 a_1167_n150# VSUBS 0.03fF
+C99 a_1071_n150# VSUBS 0.03fF
+C100 a_975_n150# VSUBS 0.03fF
+C101 a_879_n150# VSUBS 0.03fF
+C102 a_783_n150# VSUBS 0.03fF
+C103 a_687_n150# VSUBS 0.03fF
+C104 a_591_n150# VSUBS 0.03fF
+C105 a_495_n150# VSUBS 0.03fF
+C106 a_399_n150# VSUBS 0.03fF
+C107 a_303_n150# VSUBS 0.03fF
+C108 a_207_n150# VSUBS 0.03fF
+C109 a_111_n150# VSUBS 0.03fF
+C110 a_15_n150# VSUBS 0.03fF
+C111 a_n81_n150# VSUBS 0.03fF
+C112 a_n177_n150# VSUBS 0.03fF
+C113 a_n273_n150# VSUBS 0.03fF
+C114 a_n369_n150# VSUBS 0.03fF
+C115 a_n465_n150# VSUBS 0.03fF
+C116 a_n561_n150# VSUBS 0.03fF
+C117 a_n657_n150# VSUBS 0.03fF
+C118 a_n753_n150# VSUBS 0.03fF
+C119 a_n849_n150# VSUBS 0.03fF
+C120 a_n945_n150# VSUBS 0.03fF
+C121 a_n1041_n150# VSUBS 0.03fF
+C122 a_n1137_n150# VSUBS 0.03fF
+C123 a_n1229_n150# VSUBS 0.03fF
+C124 a_n1167_n247# VSUBS 2.63fF
+C125 w_n1367_n369# VSUBS 7.85fF
+.ends
+
+.subckt charge_pump nswitch vdd nUp vss Down biasp out pswitch iref nDown Up
+Xsky130_fd_pr__pfet_01v8_4ML9WA_0 vss pswitch vdd pswitch pswitch pswitch nUp pswitch
++ pswitch pswitch pswitch pswitch pswitch pswitch sky130_fd_pr__pfet_01v8_4ML9WA
+Xsky130_fd_pr__nfet_01v8_YCGG98_0 vss out out vss vss vss out out vss vss out vss
++ out out out vss out vss out out out vss vss vss out vss vss nswitch sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_1 iref vss vss iref iref iref vss vss iref iref vss
++ iref vss vss vss iref vss iref vss vss vss vss iref iref vss iref iref iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_2 biasp vss vss biasp biasp biasp vss vss biasp biasp
++ vss biasp vss vss vss biasp vss biasp vss vss vss vss biasp biasp vss biasp biasp
++ iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_MUHGM9_0 nDown iref nswitch vss nswitch nswitch vss nswitch
++ iref nswitch nswitch vss nswitch Down iref iref vss vss nswitch nswitch iref nswitch
++ vss nswitch sky130_fd_pr__nfet_01v8_MUHGM9
+Xsky130_fd_pr__pfet_01v8_NKZXKB_0 vss Up pswitch pswitch pswitch vdd biasp pswitch
++ pswitch pswitch vdd vdd biasp pswitch pswitch nUp vdd biasp pswitch pswitch vdd
++ pswitch biasp biasp vdd sky130_fd_pr__pfet_01v8_NKZXKB
+Xsky130_fd_pr__nfet_01v8_8GRULZ_0 Down nswitch nswitch nswitch nswitch nswitch nswitch
++ nswitch nswitch nswitch vss nswitch nswitch sky130_fd_pr__nfet_01v8_8GRULZ
+Xsky130_fd_pr__pfet_01v8_ND88ZC_0 vss vdd out out vdd out vdd out vdd out vdd vdd
++ vdd vdd out out vdd vdd out out vdd vdd vdd out out out out pswitch vdd sky130_fd_pr__pfet_01v8_ND88ZC
+Xsky130_fd_pr__pfet_01v8_ND88ZC_1 vss biasp vdd vdd vdd vdd biasp vdd biasp vdd biasp
++ biasp biasp biasp vdd vdd biasp biasp vdd vdd biasp biasp biasp vdd vdd vdd vdd
++ biasp biasp sky130_fd_pr__pfet_01v8_ND88ZC
+C0 out pswitch 4.91fF
+C1 nswitch vdd 0.07fF
+C2 out nUp 0.31fF
+C3 out vdd 6.66fF
+C4 Down nDown 0.13fF
+C5 nswitch out 1.28fF
+C6 iref biasp 0.80fF
+C7 pswitch biasp 3.11fF
+C8 pswitch Up 0.70fF
+C9 Down nUp 0.25fF
+C10 nswitch Down 2.27fF
+C11 pswitch nUp 5.66fF
+C12 Up nUp 0.15fF
+C13 biasp vdd 2.64fF
+C14 iref nswitch 1.91fF
+C15 pswitch vdd 3.98fF
+C16 nswitch biasp 0.03fF
+C17 nswitch pswitch 0.06fF
+C18 nswitch nDown 0.31fF
+C19 vdd vss 35.71fF
+C20 Down vss 4.77fF
+C21 Up vss 1.17fF
+C22 nswitch vss 6.39fF
+C23 nDown vss 1.11fF
+C24 biasp vss 8.73fF
+C25 iref vss 10.12fF
+C26 out vss -3.49fF
+C27 pswitch vss 3.45fF
+C28 nUp vss 5.85fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4798MH VSUBS a_81_n156# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n111_n156# a_n15_n156# a_n81_n125#
+X0 a_n81_n125# a_n111_n156# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n15_n156# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_81_n156# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_15_n125# a_n173_n125# 0.13fF
+C1 a_n111_n156# a_n15_n156# 0.02fF
+C2 a_n81_n125# w_n311_n344# 0.09fF
+C3 a_111_n125# a_n81_n125# 0.13fF
+C4 a_n173_n125# a_n81_n125# 0.36fF
+C5 a_81_n156# a_n15_n156# 0.02fF
+C6 a_15_n125# a_n81_n125# 0.36fF
+C7 a_111_n125# w_n311_n344# 0.14fF
+C8 a_n173_n125# w_n311_n344# 0.14fF
+C9 a_111_n125# a_n173_n125# 0.08fF
+C10 a_15_n125# w_n311_n344# 0.09fF
+C11 a_15_n125# a_111_n125# 0.36fF
+C12 a_111_n125# VSUBS 0.03fF
+C13 a_15_n125# VSUBS 0.03fF
+C14 a_n81_n125# VSUBS 0.03fF
+C15 a_n173_n125# VSUBS 0.03fF
+C16 a_81_n156# VSUBS 0.05fF
+C17 a_n15_n156# VSUBS 0.05fF
+C18 a_n111_n156# VSUBS 0.05fF
+C19 w_n311_n344# VSUBS 2.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_BHR94T a_n15_n151# w_n311_n335# a_81_n151# a_111_n125#
++ a_15_n125# a_n173_n125# a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_81_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n15_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n15_n151# a_81_n151# 0.02fF
+C1 a_15_n125# a_n81_n125# 0.36fF
+C2 a_n111_n151# a_n15_n151# 0.02fF
+C3 a_n173_n125# a_111_n125# 0.08fF
+C4 a_n173_n125# a_n81_n125# 0.36fF
+C5 a_n173_n125# a_15_n125# 0.13fF
+C6 a_n81_n125# a_111_n125# 0.13fF
+C7 a_15_n125# a_111_n125# 0.36fF
+C8 a_111_n125# w_n311_n335# 0.17fF
+C9 a_15_n125# w_n311_n335# 0.12fF
+C10 a_n81_n125# w_n311_n335# 0.12fF
+C11 a_n173_n125# w_n311_n335# 0.17fF
+C12 a_81_n151# w_n311_n335# 0.05fF
+C13 a_n15_n151# w_n311_n335# 0.05fF
+C14 a_n111_n151# w_n311_n335# 0.05fF
+.ends
+
+.subckt trans_gate m1_187_n605# m1_45_n513# vss vdd
+Xsky130_fd_pr__pfet_01v8_4798MH_0 vss vss m1_187_n605# m1_45_n513# m1_45_n513# vdd
++ vss vss m1_187_n605# sky130_fd_pr__pfet_01v8_4798MH
+Xsky130_fd_pr__nfet_01v8_BHR94T_0 vdd vss vdd m1_187_n605# m1_45_n513# m1_45_n513#
++ vdd m1_187_n605# sky130_fd_pr__nfet_01v8_BHR94T
+C0 vdd m1_45_n513# 0.69fF
+C1 m1_187_n605# vdd 0.55fF
+C2 m1_187_n605# m1_45_n513# 0.36fF
+C3 m1_187_n605# vss 0.93fF
+C4 m1_45_n513# vss 1.31fF
+C5 vdd vss 3.36fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7KT7MH VSUBS a_n111_n186# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n81_n125#
+X0 a_n81_n125# a_n111_n186# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n111_n186# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_n111_n186# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_15_n125# a_n173_n125# 0.13fF
+C1 a_111_n125# w_n311_n344# 0.14fF
+C2 a_n81_n125# a_n173_n125# 0.36fF
+C3 a_111_n125# a_15_n125# 0.36fF
+C4 a_15_n125# w_n311_n344# 0.09fF
+C5 a_111_n125# a_n81_n125# 0.13fF
+C6 a_n81_n125# w_n311_n344# 0.09fF
+C7 a_111_n125# a_n173_n125# 0.08fF
+C8 w_n311_n344# a_n173_n125# 0.14fF
+C9 a_15_n125# a_n81_n125# 0.36fF
+C10 a_111_n125# VSUBS 0.03fF
+C11 a_15_n125# VSUBS 0.03fF
+C12 a_n81_n125# VSUBS 0.03fF
+C13 a_n173_n125# VSUBS 0.03fF
+C14 a_n111_n186# VSUBS 0.26fF
+C15 w_n311_n344# VSUBS 2.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS6QM w_n311_n335# a_111_n125# a_15_n125# a_n173_n125#
++ a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_n111_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n111_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_111_n125# a_n81_n125# 0.13fF
+C1 a_111_n125# a_n173_n125# 0.08fF
+C2 a_15_n125# a_n81_n125# 0.36fF
+C3 a_15_n125# a_n173_n125# 0.13fF
+C4 a_n173_n125# a_n81_n125# 0.36fF
+C5 a_111_n125# a_15_n125# 0.36fF
+C6 a_111_n125# w_n311_n335# 0.17fF
+C7 a_15_n125# w_n311_n335# 0.12fF
+C8 a_n81_n125# w_n311_n335# 0.12fF
+C9 a_n173_n125# w_n311_n335# 0.17fF
+C10 a_n111_n151# w_n311_n335# 0.25fF
+.ends
+
+.subckt inverter_cp_x1 out in vss vdd
+Xsky130_fd_pr__pfet_01v8_7KT7MH_0 vss in out vdd vdd vdd out sky130_fd_pr__pfet_01v8_7KT7MH
+Xsky130_fd_pr__nfet_01v8_2BS6QM_0 vss out vss vss in out sky130_fd_pr__nfet_01v8_2BS6QM
+C0 vdd out 0.10fF
+C1 in out 0.32fF
+C2 out vss 0.77fF
+C3 in vss 0.95fF
+C4 vdd vss 3.13fF
+.ends
+
+.subckt clock_inverter vss inverter_cp_x1_2/in CLK vdd inverter_cp_x1_0/out CLK_d
++ nCLK_d
+Xtrans_gate_0 nCLK_d inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 inverter_cp_x1_0/out CLK vss vdd inverter_cp_x1
+Xinverter_cp_x1_1 inverter_cp_x1_2/in CLK vss vdd inverter_cp_x1
+Xinverter_cp_x1_2 CLK_d inverter_cp_x1_2/in vss vdd inverter_cp_x1
+C0 vdd CLK_d 0.03fF
+C1 nCLK_d vdd 0.03fF
+C2 vdd inverter_cp_x1_2/in 0.21fF
+C3 CLK inverter_cp_x1_2/in 0.31fF
+C4 CLK_d inverter_cp_x1_2/in 0.12fF
+C5 vdd inverter_cp_x1_0/out 0.28fF
+C6 CLK inverter_cp_x1_0/out 0.31fF
+C7 nCLK_d inverter_cp_x1_0/out 0.11fF
+C8 vdd CLK 0.36fF
+C9 CLK_d vss 0.96fF
+C10 inverter_cp_x1_2/in vss 2.01fF
+C11 inverter_cp_x1_0/out vss 1.97fF
+C12 CLK vss 3.03fF
+C13 nCLK_d vss 1.44fF
+C14 vdd vss 16.51fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MJG8BZ VSUBS a_n125_n95# a_63_n95# w_n263_n314# a_n33_n95#
++ a_n63_n192#
+X0 a_63_n95# a_n63_n192# a_n33_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n33_n95# a_n63_n192# a_n125_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+C0 a_n33_n95# a_n125_n95# 0.28fF
+C1 a_n33_n95# w_n263_n314# 0.08fF
+C2 a_63_n95# a_n33_n95# 0.28fF
+C3 a_n125_n95# w_n263_n314# 0.11fF
+C4 a_63_n95# a_n125_n95# 0.10fF
+C5 a_63_n95# w_n263_n314# 0.11fF
+C6 a_63_n95# VSUBS 0.03fF
+C7 a_n33_n95# VSUBS 0.03fF
+C8 a_n125_n95# VSUBS 0.03fF
+C9 a_n63_n192# VSUBS 0.20fF
+C10 w_n263_n314# VSUBS 1.80fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS854 w_n311_n335# a_n129_n213# a_111_n125# a_15_n125#
++ a_n173_n125# a_n81_n125#
+X0 a_111_n125# a_n129_n213# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n129_n213# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n129_n213# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n173_n125# a_n81_n125# 0.36fF
+C1 a_n173_n125# a_n129_n213# 0.02fF
+C2 a_111_n125# a_n173_n125# 0.08fF
+C3 a_15_n125# a_n81_n125# 0.36fF
+C4 a_15_n125# a_n129_n213# 0.10fF
+C5 a_111_n125# a_15_n125# 0.36fF
+C6 a_n173_n125# a_15_n125# 0.13fF
+C7 a_n81_n125# a_n129_n213# 0.10fF
+C8 a_111_n125# a_n81_n125# 0.13fF
+C9 a_111_n125# a_n129_n213# 0.01fF
+C10 a_111_n125# w_n311_n335# 0.05fF
+C11 a_15_n125# w_n311_n335# 0.05fF
+C12 a_n81_n125# w_n311_n335# 0.05fF
+C13 a_n173_n125# w_n311_n335# 0.05fF
+C14 a_n129_n213# w_n311_n335# 0.49fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_KU9PSX a_n125_n95# a_n33_n95# a_n81_n183# w_n263_n305#
+X0 a_n33_n95# a_n81_n183# a_n125_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n125_n95# a_n81_n183# a_n33_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+C0 a_n125_n95# a_n33_n95# 0.88fF
+C1 a_n125_n95# a_n81_n183# 0.16fF
+C2 a_n33_n95# a_n81_n183# 0.10fF
+C3 a_n33_n95# w_n263_n305# 0.07fF
+C4 a_n125_n95# w_n263_n305# 0.13fF
+C5 a_n81_n183# w_n263_n305# 0.31fF
+.ends
+
+.subckt latch_diff m1_657_280# nQ Q vss CLK vdd nD D
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_0 vss vdd vdd vdd nQ Q sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_1 vss vdd vdd vdd Q nQ sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__nfet_01v8_2BS854_0 vss CLK vss m1_657_280# m1_657_280# vss sky130_fd_pr__nfet_01v8_2BS854
+Xsky130_fd_pr__nfet_01v8_KU9PSX_0 m1_657_280# Q nD vss sky130_fd_pr__nfet_01v8_KU9PSX
+Xsky130_fd_pr__nfet_01v8_KU9PSX_1 m1_657_280# nQ D vss sky130_fd_pr__nfet_01v8_KU9PSX
+C0 CLK m1_657_280# 0.24fF
+C1 vdd Q 0.16fF
+C2 Q nD 0.05fF
+C3 D Q 0.05fF
+C4 nQ m1_657_280# 1.41fF
+C5 vdd nQ 0.16fF
+C6 nQ nD 0.05fF
+C7 D nQ 0.05fF
+C8 Q nQ 0.93fF
+C9 Q m1_657_280# 0.94fF
+C10 nQ vss 1.16fF
+C11 D vss 0.53fF
+C12 Q vss -0.55fF
+C13 m1_657_280# vss 1.88fF
+C14 nD vss 0.16fF
+C15 CLK vss 0.87fF
+C16 vdd vss 5.98fF
+.ends
+
+.subckt DFlipFlop latch_diff_0/m1_657_280# vss latch_diff_1/D clock_inverter_0/inverter_cp_x1_2/in
++ nQ Q latch_diff_1/nD D latch_diff_1/m1_657_280# latch_diff_0/D vdd CLK clock_inverter_0/inverter_cp_x1_0/out
++ nCLK latch_diff_0/nD
+Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in D vdd clock_inverter_0/inverter_cp_x1_0/out
++ latch_diff_0/D latch_diff_0/nD clock_inverter
+Xlatch_diff_0 latch_diff_0/m1_657_280# latch_diff_1/nD latch_diff_1/D vss CLK vdd
++ latch_diff_0/nD latch_diff_0/D latch_diff
+Xlatch_diff_1 latch_diff_1/m1_657_280# nQ Q vss nCLK vdd latch_diff_1/nD latch_diff_1/D
++ latch_diff
+C0 nQ latch_diff_1/nD 0.08fF
+C1 latch_diff_1/D latch_diff_1/nD 0.33fF
+C2 vdd latch_diff_0/D 0.09fF
+C3 latch_diff_1/D latch_diff_0/m1_657_280# 0.43fF
+C4 clock_inverter_0/inverter_cp_x1_0/out vdd 0.03fF
+C5 latch_diff_1/D latch_diff_1/m1_657_280# 0.32fF
+C6 latch_diff_0/D latch_diff_1/nD 0.04fF
+C7 latch_diff_0/D latch_diff_0/m1_657_280# 0.37fF
+C8 nQ latch_diff_1/D 0.11fF
+C9 vdd latch_diff_0/nD 0.14fF
+C10 latch_diff_0/nD latch_diff_0/m1_657_280# 0.38fF
+C11 latch_diff_1/D latch_diff_0/D 0.11fF
+C12 latch_diff_0/nD latch_diff_1/D 0.41fF
+C13 vdd latch_diff_1/nD 0.02fF
+C14 latch_diff_1/nD latch_diff_0/m1_657_280# 0.14fF
+C15 Q latch_diff_1/nD 0.01fF
+C16 latch_diff_1/m1_657_280# latch_diff_1/nD 0.42fF
+C17 latch_diff_1/m1_657_280# latch_diff_0/m1_657_280# 0.18fF
+C18 vdd latch_diff_1/D 0.03fF
+C19 nQ vss 0.57fF
+C20 Q vss -0.92fF
+C21 latch_diff_1/m1_657_280# vss 0.64fF
+C22 nCLK vss 0.83fF
+C23 latch_diff_1/nD vss 1.83fF
+C24 latch_diff_1/D vss -0.30fF
+C25 latch_diff_0/m1_657_280# vss 0.72fF
+C26 CLK vss 0.83fF
+C27 latch_diff_0/D vss 1.29fF
+C28 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C29 clock_inverter_0/inverter_cp_x1_0/out vss 1.84fF
+C30 D vss 3.27fF
+C31 latch_diff_0/nD vss 1.74fF
+C32 vdd vss 32.62fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZP3U9B VSUBS a_n221_n84# a_159_n84# w_n359_n303# a_n63_n110#
++ a_n129_n84# a_33_n110# a_n159_n110# a_63_n84# a_129_n110# a_n33_n84#
+X0 a_n129_n84# a_n159_n110# a_n221_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_63_n84# a_33_n110# a_n33_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 a_n33_n84# a_n63_n110# a_n129_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_159_n84# a_129_n110# a_63_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+C0 a_n129_n84# a_63_n84# 0.09fF
+C1 a_159_n84# a_n33_n84# 0.09fF
+C2 a_n221_n84# w_n359_n303# 0.08fF
+C3 a_n221_n84# a_n129_n84# 0.24fF
+C4 w_n359_n303# a_n33_n84# 0.05fF
+C5 a_33_n110# a_129_n110# 0.02fF
+C6 a_n129_n84# a_n33_n84# 0.24fF
+C7 w_n359_n303# a_159_n84# 0.08fF
+C8 a_n221_n84# a_63_n84# 0.05fF
+C9 a_159_n84# a_n129_n84# 0.05fF
+C10 a_n33_n84# a_63_n84# 0.24fF
+C11 a_n63_n110# a_33_n110# 0.02fF
+C12 a_n63_n110# a_n159_n110# 0.02fF
+C13 a_159_n84# a_63_n84# 0.24fF
+C14 w_n359_n303# a_n129_n84# 0.06fF
+C15 a_n221_n84# a_n33_n84# 0.09fF
+C16 w_n359_n303# a_63_n84# 0.06fF
+C17 a_n221_n84# a_159_n84# 0.04fF
+C18 a_159_n84# VSUBS 0.03fF
+C19 a_63_n84# VSUBS 0.03fF
+C20 a_n33_n84# VSUBS 0.03fF
+C21 a_n129_n84# VSUBS 0.03fF
+C22 a_n221_n84# VSUBS 0.03fF
+C23 a_129_n110# VSUBS 0.05fF
+C24 a_33_n110# VSUBS 0.05fF
+C25 a_n63_n110# VSUBS 0.05fF
+C26 a_n159_n110# VSUBS 0.05fF
+C27 w_n359_n303# VSUBS 2.19fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_DXA56D w_n359_n252# a_n33_n42# a_129_n68# a_n159_n68#
++ a_n221_n42# a_159_n42# a_n129_n42# a_33_n68# a_n63_n68# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n129_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2 a_159_n42# a_129_n68# a_63_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3 a_n129_n42# a_n159_n68# a_n221_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_n129_n42# a_n33_n42# 0.12fF
+C1 a_n221_n42# a_159_n42# 0.02fF
+C2 a_n221_n42# a_63_n42# 0.03fF
+C3 a_n129_n42# a_159_n42# 0.03fF
+C4 a_n129_n42# a_63_n42# 0.05fF
+C5 a_33_n68# a_n63_n68# 0.02fF
+C6 a_159_n42# a_n33_n42# 0.05fF
+C7 a_n33_n42# a_63_n42# 0.12fF
+C8 a_33_n68# a_129_n68# 0.02fF
+C9 a_n63_n68# a_n159_n68# 0.02fF
+C10 a_159_n42# a_63_n42# 0.12fF
+C11 a_n221_n42# a_n129_n42# 0.12fF
+C12 a_n221_n42# a_n33_n42# 0.05fF
+C13 a_159_n42# w_n359_n252# 0.07fF
+C14 a_63_n42# w_n359_n252# 0.06fF
+C15 a_n33_n42# w_n359_n252# 0.06fF
+C16 a_n129_n42# w_n359_n252# 0.06fF
+C17 a_n221_n42# w_n359_n252# 0.07fF
+C18 a_129_n68# w_n359_n252# 0.05fF
+C19 a_33_n68# w_n359_n252# 0.05fF
+C20 a_n63_n68# w_n359_n252# 0.05fF
+C21 a_n159_n68# w_n359_n252# 0.05fF
+.ends
+
+.subckt inverter_min_x4 in vss out vdd
+Xsky130_fd_pr__pfet_01v8_ZP3U9B_0 vss out out vdd in vdd in in vdd in out sky130_fd_pr__pfet_01v8_ZP3U9B
+Xsky130_fd_pr__nfet_01v8_DXA56D_0 vss out in in out out vss in in vss sky130_fd_pr__nfet_01v8_DXA56D
+C0 out vdd 0.62fF
+C1 in vdd 0.33fF
+C2 in out 0.67fF
+C3 out vss 0.66fF
+C4 in vss 1.89fF
+C5 vdd vss 3.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_5RJ8EK a_n33_n42# a_33_n68# w_n263_n252# a_n63_n68#
++ a_n125_n42# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n125_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_n125_n42# a_n33_n42# 0.12fF
+C1 a_33_n68# a_n63_n68# 0.02fF
+C2 a_63_n42# a_n33_n42# 0.12fF
+C3 a_63_n42# a_n125_n42# 0.05fF
+C4 a_63_n42# w_n263_n252# 0.09fF
+C5 a_n33_n42# w_n263_n252# 0.07fF
+C6 a_n125_n42# w_n263_n252# 0.09fF
+C7 a_33_n68# w_n263_n252# 0.05fF
+C8 a_n63_n68# w_n263_n252# 0.05fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZPB9BB VSUBS a_n63_n110# a_33_n110# a_n125_n84# a_63_n84#
++ w_n263_n303# a_n33_n84#
+X0 a_63_n84# a_33_n110# a_n33_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_n33_n84# a_n63_n110# a_n125_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+C0 a_n33_n84# a_63_n84# 0.24fF
+C1 a_n33_n84# w_n263_n303# 0.07fF
+C2 a_63_n84# w_n263_n303# 0.10fF
+C3 a_33_n110# a_n63_n110# 0.02fF
+C4 a_n33_n84# a_n125_n84# 0.24fF
+C5 a_63_n84# a_n125_n84# 0.09fF
+C6 w_n263_n303# a_n125_n84# 0.10fF
+C7 a_63_n84# VSUBS 0.03fF
+C8 a_n33_n84# VSUBS 0.03fF
+C9 a_n125_n84# VSUBS 0.03fF
+C10 a_33_n110# VSUBS 0.05fF
+C11 a_n63_n110# VSUBS 0.05fF
+C12 w_n263_n303# VSUBS 1.74fF
+.ends
+
+.subckt inverter_min_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_5RJ8EK_0 vss in vss in out out sky130_fd_pr__nfet_01v8_5RJ8EK
+Xsky130_fd_pr__pfet_01v8_ZPB9BB_0 vss in in out out vdd vdd sky130_fd_pr__pfet_01v8_ZPB9BB
+C0 out in 0.30fF
+C1 out vdd 0.15fF
+C2 vdd in 0.01fF
+C3 vdd vss 2.93fF
+C4 out vss 0.66fF
+C5 in vss 0.72fF
+.ends
+
+.subckt div_by_2 vss vdd clock_inverter_0/inverter_cp_x1_2/in CLK_2 nCLK_2 o1 CLK
++ out_div o2 clock_inverter_0/inverter_cp_x1_0/out nout_div
+XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ nout_div out_div DFlipFlop_0/latch_diff_1/nD nout_div DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd DFlipFlop_0/CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
+Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in CLK vdd clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_0/CLK DFlipFlop_0/nCLK clock_inverter
+Xinverter_min_x4_0 o1 vss CLK_2 vdd inverter_min_x4
+Xinverter_min_x4_1 o2 vss nCLK_2 vdd inverter_min_x4
+Xinverter_min_x2_0 nout_div o2 vss vdd inverter_min_x2
+Xinverter_min_x2_1 out_div o1 vss vdd inverter_min_x2
+C0 DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/CLK 0.11fF
+C1 DFlipFlop_0/latch_diff_1/D nout_div 0.64fF
+C2 DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/nCLK -0.09fF
+C3 vdd clock_inverter_0/inverter_cp_x1_0/out 0.10fF
+C4 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C5 DFlipFlop_0/latch_diff_0/D DFlipFlop_0/nCLK 0.13fF
+C6 nCLK_2 vdd 0.08fF
+C7 vdd DFlipFlop_0/CLK 0.40fF
+C8 DFlipFlop_0/latch_diff_0/nD nout_div 0.07fF
+C9 vdd DFlipFlop_0/nCLK 0.30fF
+C10 out_div nout_div 0.22fF
+C11 DFlipFlop_0/latch_diff_0/m1_657_280# DFlipFlop_0/CLK 0.26fF
+C12 DFlipFlop_0/latch_diff_1/m1_657_280# o2 0.02fF
+C13 out_div o1 0.01fF
+C14 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_0/nCLK 0.46fF
+C15 CLK_2 o1 0.11fF
+C16 DFlipFlop_0/latch_diff_1/m1_657_280# nout_div 0.21fF
+C17 DFlipFlop_0/latch_diff_1/m1_657_280# o1 0.02fF
+C18 DFlipFlop_0/latch_diff_1/nD nout_div 1.18fF
+C19 DFlipFlop_0/latch_diff_1/D DFlipFlop_0/CLK -0.48fF
+C20 DFlipFlop_0/latch_diff_0/D nout_div 0.09fF
+C21 vdd out_div 0.03fF
+C22 vdd o2 0.14fF
+C23 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vdd 0.03fF
+C24 vdd CLK_2 0.08fF
+C25 DFlipFlop_0/latch_diff_1/D DFlipFlop_0/nCLK 0.08fF
+C26 vdd nout_div 0.16fF
+C27 DFlipFlop_0/latch_diff_0/nD DFlipFlop_0/CLK 0.12fF
+C28 vdd o1 0.14fF
+C29 nCLK_2 o2 0.11fF
+C30 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_0/CLK 0.29fF
+C31 DFlipFlop_0/latch_diff_0/m1_657_280# nout_div 0.24fF
+C32 nout_div DFlipFlop_0/CLK 0.42fF
+C33 nout_div DFlipFlop_0/nCLK 0.43fF
+C34 DFlipFlop_0/latch_diff_1/m1_657_280# DFlipFlop_0/nCLK 0.26fF
+C35 nCLK_2 vss 1.08fF
+C36 o2 vss 2.21fF
+C37 CLK_2 vss 1.08fF
+C38 o1 vss 2.21fF
+C39 DFlipFlop_0/CLK vss 1.03fF
+C40 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C41 clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C42 CLK vss 3.27fF
+C43 DFlipFlop_0/nCLK vss 1.76fF
+C44 out_div vss -0.77fF
+C45 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.63fF
+C46 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C47 DFlipFlop_0/latch_diff_1/D vss -1.72fF
+C48 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C49 DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C50 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
+C51 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.80fF
+C52 nout_div vss 4.41fF
+C53 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C54 vdd vss 64.43fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_58ZKDE VSUBS a_n257_n777# a_n129_n600# a_n221_n600#
++ w_n257_n702#
+X0 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X1 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X2 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X3 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+C0 a_n257_n777# a_n221_n600# 0.25fF
+C1 a_n129_n600# a_n221_n600# 7.87fF
+C2 a_n257_n777# a_n129_n600# 0.29fF
+C3 a_n129_n600# VSUBS 0.10fF
+C4 a_n221_n600# VSUBS 0.25fF
+C5 a_n257_n777# VSUBS 1.05fF
+C6 w_n257_n702# VSUBS 2.16fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_T69Y3A a_n129_n300# a_n221_n300# w_n257_n327# a_n257_n404#
+X0 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X1 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X2 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X3 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+C0 a_n221_n300# a_n129_n300# 4.05fF
+C1 a_n257_n404# a_n129_n300# 0.30fF
+C2 a_n221_n300# a_n257_n404# 0.21fF
+C3 a_n129_n300# w_n257_n327# 0.11fF
+C4 a_n221_n300# w_n257_n327# 0.25fF
+C5 a_n257_n404# w_n257_n327# 1.11fF
+.ends
+
+.subckt buffer_salida a_678_n100# out in vss vdd
+Xsky130_fd_pr__pfet_01v8_58ZKDE_1 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_2 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_3 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_0 a_678_n100# vss vss in sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_1 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_4 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_5 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_2 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_3 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_6 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_4 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_7 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_70 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_8 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_5 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_71 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_60 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_6 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_9 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_72 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_61 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_50 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_7 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_62 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_51 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_40 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_8 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_63 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_52 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_41 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_30 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_9 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_20 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_64 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_53 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_42 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_31 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_10 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_21 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_65 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_54 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_43 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_32 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_11 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_22 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_66 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_55 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_44 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_33 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_12 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_23 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_67 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_56 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_45 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_34 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_13 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_24 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_68 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_57 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_46 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_35 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_14 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_69 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_58 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_47 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_36 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_25 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_15 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_59 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_48 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_37 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_26 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_16 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_49 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_38 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_27 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_70 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_17 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_39 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_28 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_71 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_60 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_18 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_29 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_72 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_61 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_50 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_19 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_62 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_51 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_40 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_63 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_52 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_41 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_30 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_20 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_64 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_53 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_42 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_31 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_10 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_21 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_65 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_54 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_43 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_32 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_11 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_22 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_66 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_55 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_44 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_33 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_12 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_23 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_67 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_56 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_45 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_34 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_13 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_24 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_68 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_57 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_46 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_35 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_14 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_69 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_58 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_47 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_36 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_25 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_15 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_59 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_48 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_37 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_26 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_16 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_49 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_38 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_27 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_17 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_39 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_28 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_18 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_29 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_19 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_0 vss in a_678_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+C0 in a_678_n100# 0.81fF
+C1 vdd in 0.02fF
+C2 vdd out 47.17fF
+C3 vdd a_678_n100# 0.08fF
+C4 a_3996_n100# out 55.19fF
+C5 a_3996_n100# a_678_n100# 6.52fF
+C6 a_3996_n100# vdd 3.68fF
+C7 vdd vss 20.93fF
+C8 out vss 35.17fF
+C9 a_3996_n100# vss 49.53fF
+C10 a_678_n100# vss 13.08fF
+C11 in vss 0.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_CBAU6Y a_n73_n150# a_n33_n238# w_n211_n360# a_15_n150#
+X0 a_15_n150# a_n33_n238# a_n73_n150# w_n211_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n33_n238# a_n73_n150# 0.02fF
+C1 a_15_n150# a_n73_n150# 0.51fF
+C2 a_15_n150# a_n33_n238# 0.02fF
+C3 a_15_n150# w_n211_n360# 0.23fF
+C4 a_n73_n150# w_n211_n360# 0.23fF
+C5 a_n33_n238# w_n211_n360# 0.17fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4757AC VSUBS a_n73_n150# a_n33_181# w_n211_n369# a_15_n150#
+X0 a_15_n150# a_n33_181# a_n73_n150# w_n211_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 w_n211_n369# a_n33_181# 0.05fF
+C1 a_15_n150# w_n211_n369# 0.20fF
+C2 a_n73_n150# a_n33_181# 0.01fF
+C3 a_n73_n150# a_15_n150# 0.51fF
+C4 a_n73_n150# w_n211_n369# 0.20fF
+C5 a_15_n150# a_n33_181# 0.01fF
+C6 a_15_n150# VSUBS 0.03fF
+C7 a_n73_n150# VSUBS 0.03fF
+C8 a_n33_181# VSUBS 0.13fF
+C9 w_n211_n369# VSUBS 1.98fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_7H8F5S a_n465_172# a_n417_n150# a_351_n150# a_255_n150#
++ w_n647_n360# a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150#
+X0 a_159_n150# a_n465_172# a_63_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n225_n150# a_n465_172# a_n321_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_447_n150# a_n465_172# a_351_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_63_n150# a_n465_172# a_n33_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n465_172# a_n225_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n465_172# a_n509_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n33_n150# a_n465_172# a_n129_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_351_n150# a_n465_172# a_255_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_255_n150# a_n465_172# a_159_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n321_n150# a_n465_172# a_n417_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n129_n150# a_63_n150# 0.16fF
+C1 a_447_n150# a_159_n150# 0.10fF
+C2 a_n321_n150# a_n33_n150# 0.10fF
+C3 a_n225_n150# a_159_n150# 0.07fF
+C4 a_447_n150# a_n465_172# 0.01fF
+C5 a_n225_n150# a_n465_172# 0.10fF
+C6 a_n33_n150# a_159_n150# 0.16fF
+C7 a_n465_172# a_n509_n150# 0.01fF
+C8 a_n417_n150# a_n225_n150# 0.16fF
+C9 a_n321_n150# a_n465_172# 0.10fF
+C10 a_255_n150# a_447_n150# 0.16fF
+C11 a_n33_n150# a_n465_172# 0.10fF
+C12 a_n417_n150# a_n509_n150# 0.43fF
+C13 a_447_n150# a_351_n150# 0.43fF
+C14 a_447_n150# a_63_n150# 0.07fF
+C15 a_n417_n150# a_n321_n150# 0.43fF
+C16 a_n225_n150# a_63_n150# 0.10fF
+C17 a_n417_n150# a_n33_n150# 0.07fF
+C18 a_n465_172# a_159_n150# 0.10fF
+C19 a_n129_n150# a_n225_n150# 0.43fF
+C20 a_255_n150# a_n33_n150# 0.10fF
+C21 a_n321_n150# a_63_n150# 0.07fF
+C22 a_351_n150# a_n33_n150# 0.07fF
+C23 a_n33_n150# a_63_n150# 0.43fF
+C24 a_n129_n150# a_n509_n150# 0.07fF
+C25 a_n321_n150# a_n129_n150# 0.16fF
+C26 a_n417_n150# a_n465_172# 0.10fF
+C27 a_255_n150# a_159_n150# 0.43fF
+C28 a_n129_n150# a_n33_n150# 0.43fF
+C29 a_351_n150# a_159_n150# 0.16fF
+C30 a_159_n150# a_63_n150# 0.43fF
+C31 a_255_n150# a_n465_172# 0.10fF
+C32 a_351_n150# a_n465_172# 0.10fF
+C33 a_n465_172# a_63_n150# 0.10fF
+C34 a_n129_n150# a_159_n150# 0.10fF
+C35 a_n129_n150# a_n465_172# 0.10fF
+C36 a_n225_n150# a_n509_n150# 0.10fF
+C37 a_255_n150# a_351_n150# 0.43fF
+C38 a_255_n150# a_63_n150# 0.16fF
+C39 a_n417_n150# a_n129_n150# 0.10fF
+C40 a_n321_n150# a_n225_n150# 0.43fF
+C41 a_351_n150# a_63_n150# 0.10fF
+C42 a_n225_n150# a_n33_n150# 0.16fF
+C43 a_255_n150# a_n129_n150# 0.07fF
+C44 a_n321_n150# a_n509_n150# 0.16fF
+C45 a_447_n150# w_n647_n360# 0.17fF
+C46 a_351_n150# w_n647_n360# 0.10fF
+C47 a_255_n150# w_n647_n360# 0.08fF
+C48 a_159_n150# w_n647_n360# 0.07fF
+C49 a_63_n150# w_n647_n360# 0.04fF
+C50 a_n33_n150# w_n647_n360# 0.04fF
+C51 a_n129_n150# w_n647_n360# 0.04fF
+C52 a_n225_n150# w_n647_n360# 0.07fF
+C53 a_n321_n150# w_n647_n360# 0.08fF
+C54 a_n417_n150# w_n647_n360# 0.10fF
+C55 a_n509_n150# w_n647_n360# 0.17fF
+C56 a_n465_172# w_n647_n360# 1.49fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_8DL6ZL VSUBS a_n417_n150# a_351_n150# a_255_n150#
++ a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n465_n247# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150# w_n647_n369#
+X0 a_63_n150# a_n465_n247# a_n33_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n129_n150# a_n465_n247# a_n225_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n417_n150# a_n465_n247# a_n509_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n33_n150# a_n465_n247# a_n129_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_351_n150# a_n465_n247# a_255_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_255_n150# a_n465_n247# a_159_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n321_n150# a_n465_n247# a_n417_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_159_n150# a_n465_n247# a_63_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n225_n150# a_n465_n247# a_n321_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_447_n150# a_n465_n247# a_351_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n225_n150# a_n321_n150# 0.43fF
+C1 a_n417_n150# a_n33_n150# 0.07fF
+C2 a_n417_n150# w_n647_n369# 0.07fF
+C3 a_n33_n150# a_n321_n150# 0.10fF
+C4 w_n647_n369# a_n321_n150# 0.05fF
+C5 a_63_n150# a_n321_n150# 0.07fF
+C6 a_n129_n150# a_159_n150# 0.10fF
+C7 a_n129_n150# a_n225_n150# 0.43fF
+C8 a_n129_n150# a_255_n150# 0.07fF
+C9 a_n129_n150# a_n33_n150# 0.43fF
+C10 a_n225_n150# a_159_n150# 0.07fF
+C11 a_n129_n150# w_n647_n369# 0.02fF
+C12 a_255_n150# a_159_n150# 0.43fF
+C13 a_447_n150# a_351_n150# 0.43fF
+C14 a_63_n150# a_n129_n150# 0.16fF
+C15 a_n33_n150# a_159_n150# 0.16fF
+C16 w_n647_n369# a_159_n150# 0.04fF
+C17 a_n225_n150# a_n33_n150# 0.16fF
+C18 a_255_n150# a_n33_n150# 0.10fF
+C19 a_n225_n150# w_n647_n369# 0.04fF
+C20 a_n465_n247# a_n417_n150# 0.08fF
+C21 a_63_n150# a_159_n150# 0.43fF
+C22 a_255_n150# w_n647_n369# 0.05fF
+C23 a_63_n150# a_n225_n150# 0.10fF
+C24 a_63_n150# a_255_n150# 0.16fF
+C25 a_n417_n150# a_n509_n150# 0.43fF
+C26 w_n647_n369# a_n33_n150# 0.02fF
+C27 a_n465_n247# a_n321_n150# 0.08fF
+C28 a_63_n150# a_n33_n150# 0.43fF
+C29 a_63_n150# w_n647_n369# 0.02fF
+C30 a_n509_n150# a_n321_n150# 0.16fF
+C31 a_n129_n150# a_n465_n247# 0.08fF
+C32 a_n129_n150# a_n509_n150# 0.07fF
+C33 a_n465_n247# a_159_n150# 0.08fF
+C34 a_n465_n247# a_n225_n150# 0.08fF
+C35 a_255_n150# a_n465_n247# 0.08fF
+C36 a_159_n150# a_351_n150# 0.16fF
+C37 a_n509_n150# a_n225_n150# 0.10fF
+C38 a_n465_n247# a_n33_n150# 0.08fF
+C39 a_255_n150# a_351_n150# 0.43fF
+C40 a_n465_n247# w_n647_n369# 0.47fF
+C41 a_63_n150# a_n465_n247# 0.08fF
+C42 a_n33_n150# a_351_n150# 0.07fF
+C43 a_n509_n150# w_n647_n369# 0.14fF
+C44 w_n647_n369# a_351_n150# 0.07fF
+C45 a_63_n150# a_351_n150# 0.10fF
+C46 a_n417_n150# a_n321_n150# 0.43fF
+C47 a_447_n150# a_159_n150# 0.10fF
+C48 a_255_n150# a_447_n150# 0.16fF
+C49 a_n465_n247# a_351_n150# 0.08fF
+C50 a_n129_n150# a_n417_n150# 0.10fF
+C51 a_447_n150# w_n647_n369# 0.14fF
+C52 a_63_n150# a_447_n150# 0.07fF
+C53 a_n129_n150# a_n321_n150# 0.16fF
+C54 a_n417_n150# a_n225_n150# 0.16fF
+C55 a_447_n150# VSUBS 0.03fF
+C56 a_351_n150# VSUBS 0.03fF
+C57 a_255_n150# VSUBS 0.03fF
+C58 a_159_n150# VSUBS 0.03fF
+C59 a_63_n150# VSUBS 0.03fF
+C60 a_n33_n150# VSUBS 0.03fF
+C61 a_n129_n150# VSUBS 0.03fF
+C62 a_n225_n150# VSUBS 0.03fF
+C63 a_n321_n150# VSUBS 0.03fF
+C64 a_n417_n150# VSUBS 0.03fF
+C65 a_n509_n150# VSUBS 0.03fF
+C66 a_n465_n247# VSUBS 1.07fF
+C67 w_n647_n369# VSUBS 4.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_EDT3AT a_15_n11# a_n33_n99# w_n211_n221# a_n73_n11#
+X0 a_15_n11# a_n33_n99# a_n73_n11# w_n211_n221# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_15_n11# a_n73_n11# 0.15fF
+C1 a_n33_n99# a_n73_n11# 0.02fF
+C2 a_n33_n99# a_15_n11# 0.02fF
+C3 a_15_n11# w_n211_n221# 0.09fF
+C4 a_n73_n11# w_n211_n221# 0.09fF
+C5 a_n33_n99# w_n211_n221# 0.17fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AQR2CW a_n33_66# a_n78_n106# w_n216_n254# a_20_n106#
+X0 a_20_n106# a_n33_66# a_n78_n106# w_n216_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=200000u
+C0 a_20_n106# a_n78_n106# 0.21fF
+C1 a_20_n106# w_n216_n254# 0.14fF
+C2 a_n78_n106# w_n216_n254# 0.14fF
+C3 a_n33_66# w_n216_n254# 0.12fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_HRYSXS VSUBS a_n33_n211# a_n78_n114# w_n216_n334#
++ a_20_n114#
+X0 a_20_n114# a_n33_n211# a_n78_n114# w_n216_n334# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=200000u
+C0 w_n216_n334# a_n78_n114# 0.20fF
+C1 a_n78_n114# a_20_n114# 0.42fF
+C2 w_n216_n334# a_20_n114# 0.20fF
+C3 a_20_n114# VSUBS 0.03fF
+C4 a_n78_n114# VSUBS 0.03fF
+C5 a_n33_n211# VSUBS 0.12fF
+C6 w_n216_n334# VSUBS 1.66fF
+.ends
+
+.subckt inverter_csvco in vbulkn out vbulkp vdd vss
+Xsky130_fd_pr__nfet_01v8_AQR2CW_0 in vss vbulkn out sky130_fd_pr__nfet_01v8_AQR2CW
+Xsky130_fd_pr__pfet_01v8_HRYSXS_0 vbulkn in vdd vbulkp out sky130_fd_pr__pfet_01v8_HRYSXS
+C0 in vdd 0.01fF
+C1 vdd vbulkp 0.04fF
+C2 in vss 0.01fF
+C3 in out 0.11fF
+C4 vbulkp out 0.08fF
+C5 vbulkp vbulkn 2.49fF
+C6 out vbulkn 0.60fF
+C7 vdd vbulkn 0.06fF
+C8 in vbulkn 0.54fF
+C9 vss vbulkn 0.17fF
+.ends
+
+.subckt cap_vco t b VSUBS
+C0 t b 5.78fF
+C1 t VSUBS 0.42fF
+C2 b VSUBS 0.09fF
+.ends
+
+.subckt csvco_branch vctrl in vbp cap_vco_0/t D0 out inverter_csvco_0/vss vss vdd
++ inverter_csvco_0/vdd
+Xsky130_fd_pr__nfet_01v8_7H8F5S_0 vctrl inverter_csvco_0/vss inverter_csvco_0/vss
++ vss vss inverter_csvco_0/vss vss vss inverter_csvco_0/vss vss inverter_csvco_0/vss
++ vss vss sky130_fd_pr__nfet_01v8_7H8F5S
+Xsky130_fd_pr__pfet_01v8_8DL6ZL_0 vss inverter_csvco_0/vdd inverter_csvco_0/vdd vdd
++ inverter_csvco_0/vdd vdd vdd inverter_csvco_0/vdd vbp vdd inverter_csvco_0/vdd vdd
++ vdd vdd sky130_fd_pr__pfet_01v8_8DL6ZL
+Xsky130_fd_pr__nfet_01v8_EDT3AT_0 cap_vco_0/t D0 vss out sky130_fd_pr__nfet_01v8_EDT3AT
+Xinverter_csvco_0 in vss out vdd inverter_csvco_0/vdd inverter_csvco_0/vss inverter_csvco
+Xcap_vco_0 cap_vco_0/t vss vss cap_vco
+C0 vdd inverter_csvco_0/vdd 1.89fF
+C1 inverter_csvco_0/vss out 0.03fF
+C2 inverter_csvco_0/vss in 0.01fF
+C3 vdd cap_vco_0/t 0.04fF
+C4 inverter_csvco_0/vdd vbp 0.75fF
+C5 out D0 0.09fF
+C6 inverter_csvco_0/vss vctrl 0.87fF
+C7 inverter_csvco_0/vdd out 0.02fF
+C8 inverter_csvco_0/vdd in 0.01fF
+C9 inverter_csvco_0/vss D0 0.02fF
+C10 inverter_csvco_0/vdd cap_vco_0/t 0.10fF
+C11 out in 0.06fF
+C12 out cap_vco_0/t 0.70fF
+C13 vdd vbp 1.21fF
+C14 out vss 0.93fF
+C15 inverter_csvco_0/vdd vss 0.26fF
+C16 in vss 0.69fF
+C17 D0 vss -0.67fF
+C18 vbp vss 0.13fF
+C19 vdd vss 9.58fF
+C20 cap_vco_0/t vss 7.22fF
+C21 inverter_csvco_0/vss vss 1.79fF
+C22 vctrl vss 3.06fF
+.ends
+
+.subckt ring_osc vctrl vdd vss csvco_branch_2/vbp csvco_branch_0/inverter_csvco_0/vss
++ D0 csvco_branch_2/cap_vco_0/t out_vco
+Xsky130_fd_pr__nfet_01v8_CBAU6Y_0 vss vctrl vss csvco_branch_2/vbp sky130_fd_pr__nfet_01v8_CBAU6Y
+Xsky130_fd_pr__pfet_01v8_4757AC_0 vss vdd csvco_branch_2/vbp vdd csvco_branch_2/vbp
++ sky130_fd_pr__pfet_01v8_4757AC
+Xcsvco_branch_0 vctrl out_vco csvco_branch_2/vbp csvco_branch_0/cap_vco_0/t D0 csvco_branch_1/in
++ csvco_branch_0/inverter_csvco_0/vss vss vdd csvco_branch_0/inverter_csvco_0/vdd
++ csvco_branch
+Xcsvco_branch_2 vctrl csvco_branch_2/in csvco_branch_2/vbp csvco_branch_2/cap_vco_0/t
++ D0 out_vco csvco_branch_2/inverter_csvco_0/vss vss vdd csvco_branch_2/inverter_csvco_0/vdd
++ csvco_branch
+Xcsvco_branch_1 vctrl csvco_branch_1/in csvco_branch_2/vbp csvco_branch_1/cap_vco_0/t
++ D0 csvco_branch_2/in csvco_branch_1/inverter_csvco_0/vss vss vdd csvco_branch_1/inverter_csvco_0/vdd
++ csvco_branch
+C0 csvco_branch_1/inverter_csvco_0/vss D0 0.68fF
+C1 out_vco csvco_branch_0/cap_vco_0/t 0.03fF
+C2 vdd csvco_branch_1/inverter_csvco_0/vdd 0.19fF
+C3 csvco_branch_2/inverter_csvco_0/vss D0 0.68fF
+C4 csvco_branch_2/vbp vctrl 0.06fF
+C5 vdd csvco_branch_2/inverter_csvco_0/vdd 0.10fF
+C6 D0 vctrl 4.41fF
+C7 csvco_branch_0/inverter_csvco_0/vss csvco_branch_2/vbp 0.06fF
+C8 csvco_branch_2/vbp vdd 1.49fF
+C9 csvco_branch_2/vbp csvco_branch_0/inverter_csvco_0/vdd 0.06fF
+C10 out_vco csvco_branch_2/in 0.58fF
+C11 csvco_branch_1/cap_vco_0/t out_vco 0.03fF
+C12 csvco_branch_0/inverter_csvco_0/vss D0 0.49fF
+C13 vdd csvco_branch_0/inverter_csvco_0/vdd 0.13fF
+C14 out_vco csvco_branch_1/in 0.76fF
+C15 csvco_branch_2/in vss 1.60fF
+C16 csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C17 csvco_branch_1/cap_vco_0/t vss 7.10fF
+C18 csvco_branch_1/inverter_csvco_0/vss vss 0.72fF
+C19 csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C20 csvco_branch_2/cap_vco_0/t vss 7.10fF
+C21 csvco_branch_2/inverter_csvco_0/vss vss 0.62fF
+C22 csvco_branch_1/in vss 1.58fF
+C23 csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C24 out_vco vss 0.67fF
+C25 D0 vss -1.55fF
+C26 vdd vss 31.40fF
+C27 csvco_branch_0/cap_vco_0/t vss 7.10fF
+C28 csvco_branch_0/inverter_csvco_0/vss vss 0.66fF
+C29 vctrl vss 11.02fF
+C30 csvco_branch_2/vbp vss 0.77fF
+.ends
+
+.subckt ring_osc_buffer vss in_vco vdd o1 out_div out_pad
+Xinverter_min_x4_0 o1 vss out_div vdd inverter_min_x4
+Xinverter_min_x4_1 out_div vss out_pad vdd inverter_min_x4
+Xinverter_min_x2_0 in_vco o1 vss vdd inverter_min_x2
+C0 vdd out_pad 0.10fF
+C1 out_div out_pad 0.15fF
+C2 vdd out_div 0.17fF
+C3 vdd o1 0.09fF
+C4 out_div o1 0.11fF
+C5 in_vco vss 0.83fF
+C6 out_pad vss 0.70fF
+C7 out_div vss 3.00fF
+C8 vdd vss 14.54fF
+C9 o1 vss 2.72fF
+.ends
+
+.subckt sky130_fd_sc_hs__xor2_1 A B VGND VNB VPB VPWR X a_194_125# a_355_368# a_455_87#
++ a_158_392#
+X0 X B a_455_87# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X1 X a_194_125# a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X2 a_194_125# B a_158_392# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 a_158_392# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 VPWR A a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X5 a_355_368# B VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X6 a_194_125# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X7 a_455_87# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X8 VGND B a_194_125# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X9 VGND a_194_125# X VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+C0 a_355_368# a_194_125# 0.51fF
+C1 a_355_368# X 0.17fF
+C2 VPWR VPB 0.06fF
+C3 a_355_368# A 0.02fF
+C4 B a_194_125# 0.57fF
+C5 B X 0.13fF
+C6 VGND VPWR 0.01fF
+C7 B A 0.28fF
+C8 X a_194_125# 0.29fF
+C9 B VGND 0.10fF
+C10 A a_194_125# 0.18fF
+C11 a_355_368# VPWR 0.37fF
+C12 a_194_125# a_158_392# 0.06fF
+C13 VGND a_194_125# 0.25fF
+C14 VGND X 0.28fF
+C15 B VPWR 0.09fF
+C16 VGND A 0.31fF
+C17 B a_355_368# 0.08fF
+C18 VPWR a_194_125# 0.33fF
+C19 X VPWR 0.07fF
+C20 A VPWR 0.15fF
+C21 VGND VNB 0.78fF
+C22 X VNB 0.21fF
+C23 VPWR VNB 0.78fF
+C24 B VNB 0.56fF
+C25 A VNB 0.70fF
+C26 VPB VNB 0.77fF
+C27 a_355_368# VNB 0.08fF
+C28 a_194_125# VNB 0.40fF
+.ends
+
+.subckt sky130_fd_sc_hs__and2_1 A B VGND VNB VPB VPWR X a_143_136# a_56_136#
+X0 VGND B a_143_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1 X a_56_136# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X2 VPWR B a_56_136# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_143_136# A a_56_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X4 a_56_136# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X5 X a_56_136# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+C0 A B 0.08fF
+C1 X a_56_136# 0.26fF
+C2 VPB VPWR 0.04fF
+C3 VGND X 0.15fF
+C4 A VPWR 0.07fF
+C5 VPWR B 0.02fF
+C6 X B 0.02fF
+C7 VGND a_56_136# 0.06fF
+C8 A a_56_136# 0.17fF
+C9 VPWR X 0.20fF
+C10 VGND A 0.21fF
+C11 B a_56_136# 0.30fF
+C12 VGND B 0.03fF
+C13 VPWR a_56_136# 0.57fF
+C14 VGND VNB 0.50fF
+C15 X VNB 0.23fF
+C16 VPWR VNB 0.50fF
+C17 B VNB 0.24fF
+C18 A VNB 0.36fF
+C19 VPB VNB 0.48fF
+C20 a_56_136# VNB 0.38fF
+.ends
+
+.subckt sky130_fd_sc_hs__or2_1 A B VGND VNB VPB VPWR X a_152_368# a_63_368#
+X0 VPWR A a_152_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_152_368# B a_63_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 X a_63_368# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X3 X a_63_368# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X4 a_63_368# B VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X5 VGND A a_63_368# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+C0 a_63_368# a_152_368# 0.03fF
+C1 a_63_368# X 0.33fF
+C2 X VPWR 0.18fF
+C3 a_63_368# A 0.28fF
+C4 A VPWR 0.05fF
+C5 VGND B 0.11fF
+C6 VGND X 0.16fF
+C7 VPB VPWR 0.04fF
+C8 B A 0.10fF
+C9 A X 0.02fF
+C10 a_63_368# VPWR 0.29fF
+C11 a_63_368# B 0.14fF
+C12 B VPWR 0.01fF
+C13 a_63_368# VGND 0.27fF
+C14 VGND VNB 0.53fF
+C15 X VNB 0.24fF
+C16 A VNB 0.21fF
+C17 B VNB 0.31fF
+C18 VPWR VNB 0.46fF
+C19 VPB VNB 0.48fF
+C20 a_63_368# VNB 0.37fF
+.ends
+
+.subckt div_by_5 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_1/latch_diff_0/D
++ nCLK DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd DFlipFlop_2/latch_diff_0/nD Q0 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ CLK DFlipFlop_2/latch_diff_1/D vss DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ sky130_fd_sc_hs__and2_1_0/a_56_136# nQ0 DFlipFlop_1/latch_diff_1/nD CLK_5 DFlipFlop_3/latch_diff_0/nD
++ nQ2 DFlipFlop_0/latch_diff_0/D DFlipFlop_2/latch_diff_1/nD DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_1/latch_diff_1/D Q1 DFlipFlop_2/D DFlipFlop_3/latch_diff_0/D DFlipFlop_1/D
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_3/latch_diff_1/nD DFlipFlop_0/latch_diff_1/D Q1_shift DFlipFlop_0/latch_diff_0/nD
++ DFlipFlop_2/nQ DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_2/latch_diff_0/D
++ sky130_fd_sc_hs__xor2_1_0/a_158_392# DFlipFlop_3/latch_diff_1/D sky130_fd_sc_hs__or2_1_0/a_63_368#
++ DFlipFlop_1/latch_diff_0/nD sky130_fd_sc_hs__and2_1_1/a_143_136# DFlipFlop_0/Q sky130_fd_sc_hs__and2_1_1/a_56_136#
++ sky130_fd_sc_hs__xor2_1_0/a_194_125# DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ sky130_fd_sc_hs__and2_1_0/a_143_136#
+Xsky130_fd_sc_hs__xor2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# sky130_fd_sc_hs__xor2_1_0/a_455_87# sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ sky130_fd_sc_hs__xor2_1
+XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ nQ2 DFlipFlop_0/Q DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
+XDFlipFlop_1 DFlipFlop_1/latch_diff_0/m1_657_280# vss DFlipFlop_1/latch_diff_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ nQ0 Q0 DFlipFlop_1/latch_diff_1/nD DFlipFlop_1/D DFlipFlop_1/latch_diff_1/m1_657_280#
++ DFlipFlop_1/latch_diff_0/D vdd CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_1/latch_diff_0/nD DFlipFlop
+XDFlipFlop_2 DFlipFlop_2/latch_diff_0/m1_657_280# vss DFlipFlop_2/latch_diff_1/D DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in
++ DFlipFlop_2/nQ Q1 DFlipFlop_2/latch_diff_1/nD DFlipFlop_2/D DFlipFlop_2/latch_diff_1/m1_657_280#
++ DFlipFlop_2/latch_diff_0/D vdd CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_2/latch_diff_0/nD DFlipFlop
+XDFlipFlop_3 DFlipFlop_3/latch_diff_0/m1_657_280# vss DFlipFlop_3/latch_diff_1/D DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ DFlipFlop_3/nQ Q1_shift DFlipFlop_3/latch_diff_1/nD Q1 DFlipFlop_3/latch_diff_1/m1_657_280#
++ DFlipFlop_3/latch_diff_0/D vdd nCLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ CLK DFlipFlop_3/latch_diff_0/nD DFlipFlop
+Xsky130_fd_sc_hs__and2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_0/D sky130_fd_sc_hs__and2_1_0/a_143_136#
++ sky130_fd_sc_hs__and2_1_0/a_56_136# sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__and2_1_1 nQ2 nQ0 vss vss vdd vdd DFlipFlop_1/D sky130_fd_sc_hs__and2_1_1/a_143_136#
++ sky130_fd_sc_hs__and2_1_1/a_56_136# sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__or2_1_0 Q1 Q1_shift vss vss vdd vdd CLK_5 sky130_fd_sc_hs__or2_1_0/a_152_368#
++ sky130_fd_sc_hs__or2_1_0/a_63_368# sky130_fd_sc_hs__or2_1
+C0 nCLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in 0.14fF
+C1 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in Q0 0.33fF
+C2 sky130_fd_sc_hs__and2_1_1/a_56_136# nQ0 0.01fF
+C3 DFlipFlop_3/nQ CLK 0.01fF
+C4 Q1 DFlipFlop_2/D 0.10fF
+C5 nQ2 DFlipFlop_0/Q 0.09fF
+C6 nQ0 nQ2 0.03fF
+C7 sky130_fd_sc_hs__and2_1_0/a_56_136# DFlipFlop_0/D 0.04fF
+C8 nCLK DFlipFlop_1/D 0.14fF
+C9 Q1 nQ2 0.07fF
+C10 nQ0 DFlipFlop_1/latch_diff_0/D 0.09fF
+C11 sky130_fd_sc_hs__and2_1_1/a_143_136# nQ2 0.01fF
+C12 vdd nQ0 0.11fF
+C13 Q1 DFlipFlop_1/latch_diff_0/D 0.18fF
+C14 Q1 DFlipFlop_3/latch_diff_1/D 0.79fF
+C15 nCLK DFlipFlop_3/latch_diff_1/nD 0.09fF
+C16 DFlipFlop_1/latch_diff_1/m1_657_280# nQ0 0.21fF
+C17 vdd Q1 9.49fF
+C18 Q1 DFlipFlop_0/latch_diff_1/nD 0.10fF
+C19 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_0/D 0.02fF
+C20 CLK DFlipFlop_2/latch_diff_1/D 0.14fF
+C21 DFlipFlop_3/nQ Q1 0.10fF
+C22 CLK DFlipFlop_0/Q 0.08fF
+C23 CLK nQ0 0.19fF
+C24 DFlipFlop_2/D nCLK 0.41fF
+C25 CLK Q1 -0.10fF
+C26 Q0 DFlipFlop_0/latch_diff_0/D 0.42fF
+C27 nQ2 nCLK 0.10fF
+C28 sky130_fd_sc_hs__and2_1_0/a_143_136# Q1 0.02fF
+C29 CLK sky130_fd_sc_hs__and2_1_1/a_143_136# 0.03fF
+C30 vdd sky130_fd_sc_hs__or2_1_0/a_63_368# 0.02fF
+C31 vdd sky130_fd_sc_hs__and2_1_0/a_56_136# 0.02fF
+C32 DFlipFlop_1/latch_diff_0/D nCLK 0.11fF
+C33 nCLK DFlipFlop_3/latch_diff_1/D 0.14fF
+C34 CLK DFlipFlop_0/latch_diff_1/D 0.03fF
+C35 vdd nCLK 0.34fF
+C36 nCLK DFlipFlop_0/latch_diff_1/nD 0.05fF
+C37 CLK DFlipFlop_1/latch_diff_0/m1_657_280# 0.28fF
+C38 DFlipFlop_1/latch_diff_1/m1_657_280# nCLK 0.28fF
+C39 DFlipFlop_3/nQ nCLK 0.02fF
+C40 DFlipFlop_2/latch_diff_1/D Q1 0.23fF
+C41 nCLK sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.02fF
+C42 CLK DFlipFlop_1/latch_diff_1/D 0.14fF
+C43 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.02fF
+C44 Q1 DFlipFlop_0/Q 0.13fF
+C45 nQ0 Q1 0.06fF
+C46 CLK DFlipFlop_3/latch_diff_1/m1_657_280# 0.27fF
+C47 sky130_fd_sc_hs__and2_1_1/a_143_136# nQ0 0.04fF
+C48 Q1 DFlipFlop_2/latch_diff_0/D 0.42fF
+C49 CLK DFlipFlop_1/latch_diff_1/nD 0.09fF
+C50 DFlipFlop_3/latch_diff_0/m1_657_280# Q1 0.28fF
+C51 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C52 DFlipFlop_2/latch_diff_1/m1_657_280# Q1 0.03fF
+C53 DFlipFlop_0/latch_diff_1/D Q1 0.06fF
+C54 CLK_5 vdd 0.15fF
+C55 DFlipFlop_1/latch_diff_0/m1_657_280# nQ0 0.25fF
+C56 DFlipFlop_2/latch_diff_1/D nCLK 0.08fF
+C57 DFlipFlop_1/latch_diff_1/D nQ0 0.91fF
+C58 sky130_fd_sc_hs__or2_1_0/a_63_368# Q1 0.10fF
+C59 sky130_fd_sc_hs__and2_1_0/a_56_136# Q1 0.14fF
+C60 nCLK DFlipFlop_0/Q 0.11fF
+C61 nQ0 nCLK 0.09fF
+C62 DFlipFlop_1/latch_diff_1/D Q1 -0.10fF
+C63 Q1 nCLK -0.01fF
+C64 DFlipFlop_3/latch_diff_1/m1_657_280# Q1 0.28fF
+C65 sky130_fd_sc_hs__xor2_1_0/a_194_125# Q0 0.26fF
+C66 DFlipFlop_1/latch_diff_1/nD nQ0 0.88fF
+C67 nCLK DFlipFlop_2/latch_diff_0/D 0.11fF
+C68 DFlipFlop_3/latch_diff_0/m1_657_280# nCLK 0.27fF
+C69 DFlipFlop_1/latch_diff_1/nD Q1 0.10fF
+C70 DFlipFlop_2/latch_diff_1/m1_657_280# nCLK 0.28fF
+C71 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in Q1 0.09fF
+C72 CLK DFlipFlop_2/latch_diff_0/nD 0.08fF
+C73 DFlipFlop_1/latch_diff_1/D nCLK 0.08fF
+C74 sky130_fd_sc_hs__or2_1_0/a_152_368# Q1_shift -0.04fF
+C75 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out Q1 0.15fF
+C76 Q0 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in 0.42fF
+C77 CLK DFlipFlop_2/latch_diff_1/nD 0.09fF
+C78 DFlipFlop_1/latch_diff_1/nD nCLK 0.16fF
+C79 Q0 DFlipFlop_1/D 0.07fF
+C80 vdd DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C81 Q0 DFlipFlop_0/D 0.39fF
+C82 sky130_fd_sc_hs__xor2_1_0/a_194_125# DFlipFlop_2/D 0.08fF
+C83 CLK_5 sky130_fd_sc_hs__or2_1_0/a_63_368# 0.06fF
+C84 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out nCLK 0.05fF
+C85 DFlipFlop_0/latch_diff_0/D Q1 0.15fF
+C86 vdd Q1_shift 0.10fF
+C87 nQ2 DFlipFlop_0/latch_diff_1/m1_657_280# 0.05fF
+C88 Q0 DFlipFlop_2/D 0.25fF
+C89 DFlipFlop_2/latch_diff_1/nD Q1 0.21fF
+C90 Q0 sky130_fd_sc_hs__xor2_1_0/a_355_368# 0.03fF
+C91 DFlipFlop_3/nQ Q1_shift 0.04fF
+C92 vdd sky130_fd_sc_hs__xor2_1_0/a_194_125# 0.03fF
+C93 vdd DFlipFlop_2/nQ 0.02fF
+C94 Q0 nQ2 0.23fF
+C95 DFlipFlop_3/latch_diff_0/nD Q1 0.08fF
+C96 Q0 DFlipFlop_1/latch_diff_0/D 0.42fF
+C97 vdd Q0 5.33fF
+C98 Q0 DFlipFlop_0/latch_diff_1/nD 0.21fF
+C99 DFlipFlop_1/latch_diff_1/m1_657_280# Q0 0.01fF
+C100 CLK DFlipFlop_2/nQ 0.13fF
+C101 sky130_fd_sc_hs__and2_1_1/a_56_136# DFlipFlop_1/D 0.04fF
+C102 CLK DFlipFlop_0/latch_diff_0/m1_657_280# 0.28fF
+C103 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in Q1 0.20fF
+C104 DFlipFlop_2/latch_diff_1/nD nCLK 0.16fF
+C105 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C106 CLK Q0 0.08fF
+C107 sky130_fd_sc_hs__and2_1_0/a_143_136# Q0 0.03fF
+C108 DFlipFlop_3/latch_diff_0/nD nCLK 0.08fF
+C109 Q1 Q1_shift 0.36fF
+C110 vdd DFlipFlop_1/D 0.25fF
+C111 DFlipFlop_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C112 CLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C113 vdd DFlipFlop_0/D 0.19fF
+C114 CLK DFlipFlop_1/latch_diff_0/nD 0.08fF
+C115 DFlipFlop_2/nQ Q1 0.31fF
+C116 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in nCLK -0.33fF
+C117 sky130_fd_sc_hs__and2_1_1/a_56_136# nQ2 0.01fF
+C118 Q0 DFlipFlop_0/Q 0.21fF
+C119 CLK DFlipFlop_1/D 0.21fF
+C120 Q0 nQ0 0.33fF
+C121 sky130_fd_sc_hs__or2_1_0/a_63_368# Q1_shift -0.27fF
+C122 Q0 Q1 9.65fF
+C123 vdd sky130_fd_sc_hs__and2_1_1/a_56_136# 0.04fF
+C124 vdd DFlipFlop_2/D 0.07fF
+C125 CLK DFlipFlop_3/latch_diff_0/D 0.11fF
+C126 vdd sky130_fd_sc_hs__xor2_1_0/a_355_368# 0.03fF
+C127 CLK DFlipFlop_3/latch_diff_1/nD 0.16fF
+C128 DFlipFlop_1/latch_diff_1/m1_657_280# DFlipFlop_2/D 0.04fF
+C129 vdd nQ2 0.04fF
+C130 Q1 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in 0.21fF
+C131 DFlipFlop_0/latch_diff_1/D Q0 0.23fF
+C132 DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.08fF
+C133 DFlipFlop_1/latch_diff_0/nD nQ0 0.08fF
+C134 sky130_fd_sc_hs__xor2_1_0/a_194_125# nCLK 0.11fF
+C135 CLK sky130_fd_sc_hs__and2_1_1/a_56_136# 0.06fF
+C136 CLK DFlipFlop_2/D 0.14fF
+C137 DFlipFlop_2/nQ nCLK 0.09fF
+C138 nCLK DFlipFlop_0/latch_diff_1/m1_657_280# 0.28fF
+C139 vdd DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.02fF
+C140 nQ0 DFlipFlop_1/D 0.12fF
+C141 Q0 sky130_fd_sc_hs__and2_1_0/a_56_136# 0.17fF
+C142 DFlipFlop_2/latch_diff_0/m1_657_280# CLK 0.28fF
+C143 DFlipFlop_1/latch_diff_1/D Q0 0.06fF
+C144 CLK nQ2 0.17fF
+C145 Q1 DFlipFlop_1/D 0.03fF
+C146 CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out -0.31fF
+C147 DFlipFlop_3/nQ vdd 0.02fF
+C148 Q0 nCLK 0.20fF
+C149 CLK DFlipFlop_3/latch_diff_1/D 0.08fF
+C150 DFlipFlop_0/D Q1 0.13fF
+C151 Q1 DFlipFlop_3/latch_diff_0/D 0.09fF
+C152 Q1 DFlipFlop_3/latch_diff_1/nD 1.24fF
+C153 vdd CLK 0.41fF
+C154 CLK DFlipFlop_0/latch_diff_1/nD 0.02fF
+C155 CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.15fF
+C156 Q0 DFlipFlop_1/latch_diff_1/nD 0.21fF
+C157 CLK_5 vss -0.18fF
+C158 sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.38fF
+C159 sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.41fF
+C160 sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.38fF
+C161 DFlipFlop_3/nQ vss 0.52fF
+C162 Q1_shift vss -0.29fF
+C163 DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.64fF
+C164 DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C165 DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C166 DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C167 DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C168 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.94fF
+C169 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C170 DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C171 DFlipFlop_2/nQ vss 0.50fF
+C172 Q1 vss 8.55fF
+C173 DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.72fF
+C174 DFlipFlop_2/latch_diff_1/nD vss 0.58fF
+C175 DFlipFlop_2/latch_diff_1/D vss -1.72fF
+C176 DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C177 DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C178 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
+C179 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C180 DFlipFlop_2/D vss 5.34fF
+C181 DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C182 nQ0 vss 3.42fF
+C183 Q0 vss 0.53fF
+C184 DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.62fF
+C185 DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C186 DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C187 DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C188 DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C189 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C190 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.78fF
+C191 DFlipFlop_1/D vss 3.72fF
+C192 DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C193 nQ2 vss 2.05fF
+C194 DFlipFlop_0/Q vss -0.94fF
+C195 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.61fF
+C196 nCLK vss 0.96fF
+C197 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C198 DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C199 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C200 CLK vss 0.20fF
+C201 DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C202 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.88fF
+C203 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C204 DFlipFlop_0/D vss 4.04fF
+C205 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C206 vdd vss 146.76fF
+C207 sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C208 sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.42fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AZESM8 a_n63_n151# a_n33_n125# a_n255_n151# a_33_n151#
++ a_n225_n125# a_63_n125# a_n129_n125# a_n159_n151# w_n455_n335# a_225_n151# a_255_n125#
++ a_129_n151# a_159_n125# a_n317_n125#
+X0 a_159_n125# a_129_n151# a_63_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n225_n125# a_n255_n151# a_n317_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_63_n125# a_33_n151# a_n33_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_n129_n125# a_n159_n151# a_n225_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n33_n125# a_n63_n151# a_n129_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_255_n125# a_225_n151# a_159_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n63_n151# a_33_n151# 0.02fF
+C1 a_159_n125# a_255_n125# 0.36fF
+C2 a_n317_n125# a_63_n125# 0.06fF
+C3 a_n129_n125# a_n225_n125# 0.36fF
+C4 a_63_n125# a_159_n125# 0.36fF
+C5 a_n317_n125# a_n33_n125# 0.08fF
+C6 a_63_n125# a_255_n125# 0.13fF
+C7 a_n33_n125# a_159_n125# 0.13fF
+C8 a_n33_n125# a_255_n125# 0.08fF
+C9 a_63_n125# a_n33_n125# 0.36fF
+C10 a_n63_n151# a_n159_n151# 0.02fF
+C11 a_n255_n151# a_n159_n151# 0.02fF
+C12 a_129_n151# a_33_n151# 0.02fF
+C13 a_n317_n125# a_n129_n125# 0.13fF
+C14 a_n129_n125# a_159_n125# 0.08fF
+C15 a_225_n151# a_129_n151# 0.02fF
+C16 a_n129_n125# a_255_n125# 0.06fF
+C17 a_63_n125# a_n129_n125# 0.13fF
+C18 a_n129_n125# a_n33_n125# 0.36fF
+C19 a_n317_n125# a_n225_n125# 0.36fF
+C20 a_n225_n125# a_159_n125# 0.06fF
+C21 a_63_n125# a_n225_n125# 0.08fF
+C22 a_n33_n125# a_n225_n125# 0.13fF
+C23 a_255_n125# w_n455_n335# 0.14fF
+C24 a_159_n125# w_n455_n335# 0.08fF
+C25 a_63_n125# w_n455_n335# 0.07fF
+C26 a_n33_n125# w_n455_n335# 0.08fF
+C27 a_n129_n125# w_n455_n335# 0.07fF
+C28 a_n225_n125# w_n455_n335# 0.08fF
+C29 a_n317_n125# w_n455_n335# 0.14fF
+C30 a_225_n151# w_n455_n335# 0.05fF
+C31 a_129_n151# w_n455_n335# 0.05fF
+C32 a_33_n151# w_n455_n335# 0.05fF
+C33 a_n63_n151# w_n455_n335# 0.05fF
+C34 a_n159_n151# w_n455_n335# 0.05fF
+C35 a_n255_n151# w_n455_n335# 0.05fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_XJXT7S VSUBS a_n33_n125# a_n255_n154# a_33_n154# a_n225_n125#
++ a_n159_n154# a_63_n125# a_n129_n125# a_225_n154# a_129_n154# a_255_n125# a_159_n125#
++ a_n317_n125# w_n455_n344# a_n63_n154#
+X0 a_n129_n125# a_n159_n154# a_n225_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n33_n125# a_n63_n154# a_n129_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_255_n125# a_225_n154# a_159_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_159_n125# a_129_n154# a_63_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n225_n125# a_n255_n154# a_n317_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_63_n125# a_33_n154# a_n33_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n225_n125# a_n33_n125# 0.13fF
+C1 a_63_n125# a_159_n125# 0.36fF
+C2 a_n129_n125# a_n317_n125# 0.13fF
+C3 a_n225_n125# a_63_n125# 0.08fF
+C4 a_n129_n125# a_n33_n125# 0.36fF
+C5 a_n63_n154# a_33_n154# 0.02fF
+C6 a_129_n154# a_33_n154# 0.02fF
+C7 a_n225_n125# a_159_n125# 0.06fF
+C8 a_n159_n154# a_n63_n154# 0.02fF
+C9 a_n129_n125# a_63_n125# 0.13fF
+C10 a_129_n154# a_225_n154# 0.02fF
+C11 a_255_n125# a_n33_n125# 0.08fF
+C12 a_n129_n125# a_159_n125# 0.08fF
+C13 a_n159_n154# a_n255_n154# 0.02fF
+C14 w_n455_n344# a_n317_n125# 0.11fF
+C15 w_n455_n344# a_n33_n125# 0.05fF
+C16 a_n129_n125# a_n225_n125# 0.36fF
+C17 a_255_n125# a_63_n125# 0.13fF
+C18 w_n455_n344# a_63_n125# 0.04fF
+C19 a_255_n125# a_159_n125# 0.36fF
+C20 w_n455_n344# a_159_n125# 0.06fF
+C21 w_n455_n344# a_n225_n125# 0.06fF
+C22 a_n317_n125# a_n33_n125# 0.08fF
+C23 a_n129_n125# a_255_n125# 0.06fF
+C24 a_n129_n125# w_n455_n344# 0.04fF
+C25 a_n317_n125# a_63_n125# 0.06fF
+C26 a_63_n125# a_n33_n125# 0.36fF
+C27 a_n33_n125# a_159_n125# 0.13fF
+C28 a_255_n125# w_n455_n344# 0.11fF
+C29 a_n317_n125# a_n225_n125# 0.36fF
+C30 a_255_n125# VSUBS 0.03fF
+C31 a_159_n125# VSUBS 0.03fF
+C32 a_63_n125# VSUBS 0.03fF
+C33 a_n33_n125# VSUBS 0.03fF
+C34 a_n129_n125# VSUBS 0.03fF
+C35 a_n225_n125# VSUBS 0.03fF
+C36 a_n317_n125# VSUBS 0.03fF
+C37 a_225_n154# VSUBS 0.05fF
+C38 a_129_n154# VSUBS 0.05fF
+C39 a_33_n154# VSUBS 0.05fF
+C40 a_n63_n154# VSUBS 0.05fF
+C41 a_n159_n154# VSUBS 0.05fF
+C42 a_n255_n154# VSUBS 0.05fF
+C43 w_n455_n344# VSUBS 2.96fF
+.ends
+
+.subckt inverter_cp_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_AZESM8_0 in vss in in vss out out in vss in out in vss out
++ sky130_fd_pr__nfet_01v8_AZESM8
+Xsky130_fd_pr__pfet_01v8_XJXT7S_0 vss vdd in in vdd in out out in in out vdd out vdd
++ in sky130_fd_pr__pfet_01v8_XJXT7S
+C0 vdd in 0.04fF
+C1 vdd out 0.29fF
+C2 in out 0.85fF
+C3 vdd vss 5.90fF
+C4 out vss 1.30fF
+C5 in vss 1.82fF
+.ends
+
+.subckt pfd_cp_interface vss inverter_cp_x1_2/in vdd inverter_cp_x1_0/out Down QA
++ QB nDown Up nUp
+Xinverter_cp_x2_0 nDown Down vss vdd inverter_cp_x2
+Xinverter_cp_x2_1 Up nUp vss vdd inverter_cp_x2
+Xtrans_gate_0 nDown inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 inverter_cp_x1_0/out QB vss vdd inverter_cp_x1
+Xinverter_cp_x1_2 Up inverter_cp_x1_2/in vss vdd inverter_cp_x1
+Xinverter_cp_x1_1 inverter_cp_x1_2/in QA vss vdd inverter_cp_x1
+C0 vdd QB 0.02fF
+C1 vdd Down 0.09fF
+C2 nUp Up 0.20fF
+C3 vdd inverter_cp_x1_2/in 0.42fF
+C4 inverter_cp_x1_0/out nDown 0.11fF
+C5 vdd nUp 0.14fF
+C6 nDown Down 0.23fF
+C7 vdd Up 0.60fF
+C8 vdd nDown 0.80fF
+C9 inverter_cp_x1_2/in Up 0.12fF
+C10 inverter_cp_x1_0/out Down 0.12fF
+C11 vdd inverter_cp_x1_0/out 0.25fF
+C12 vdd QA 0.02fF
+C13 inverter_cp_x1_2/in vss 2.01fF
+C14 QA vss 1.09fF
+C15 inverter_cp_x1_0/out vss 2.00fF
+C16 QB vss 1.09fF
+C17 vdd vss 28.96fF
+C18 nUp vss 1.32fF
+C19 Up vss 2.53fF
+C20 Down vss 1.26fF
+C21 nDown vss 2.98fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F35BC VSUBS a_n129_n90# w_n359_n309# a_n63_n116#
++ a_n159_n207# a_63_n90# a_n33_n90# a_n221_n90# a_159_n90#
+X0 a_159_n90# a_n63_n116# a_63_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n129_n90# a_n159_n207# a_n221_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X2 a_63_n90# a_n159_n207# a_n33_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X3 a_n33_n90# a_n63_n116# a_n129_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_n129_n90# a_63_n90# 0.09fF
+C1 a_n221_n90# a_63_n90# 0.06fF
+C2 w_n359_n309# a_n129_n90# 0.06fF
+C3 a_n221_n90# w_n359_n309# 0.09fF
+C4 a_63_n90# a_159_n90# 0.26fF
+C5 w_n359_n309# a_159_n90# 0.09fF
+C6 w_n359_n309# a_63_n90# 0.06fF
+C7 a_n129_n90# a_n33_n90# 0.26fF
+C8 a_n221_n90# a_n33_n90# 0.09fF
+C9 a_n33_n90# a_159_n90# 0.09fF
+C10 a_n33_n90# a_63_n90# 0.26fF
+C11 a_n221_n90# a_n129_n90# 0.26fF
+C12 w_n359_n309# a_n33_n90# 0.05fF
+C13 a_n129_n90# a_159_n90# 0.06fF
+C14 a_n63_n116# a_n159_n207# 0.12fF
+C15 a_n221_n90# a_159_n90# 0.04fF
+C16 a_159_n90# VSUBS 0.03fF
+C17 a_63_n90# VSUBS 0.03fF
+C18 a_n33_n90# VSUBS 0.03fF
+C19 a_n129_n90# VSUBS 0.03fF
+C20 a_n221_n90# VSUBS 0.03fF
+C21 a_n159_n207# VSUBS 0.30fF
+C22 a_n63_n116# VSUBS 0.37fF
+C23 w_n359_n309# VSUBS 2.23fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_C3YG4M a_n33_n45# a_33_n71# a_n129_71# w_n263_n255#
++ a_n125_n45# a_63_n45#
+X0 a_63_n45# a_33_n71# a_n33_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n129_71# a_n125_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_63_n45# a_n33_n45# 0.13fF
+C1 a_n125_n45# a_n33_n45# 0.13fF
+C2 a_63_n45# a_n125_n45# 0.05fF
+C3 a_n129_71# a_33_n71# 0.04fF
+C4 a_63_n45# w_n263_n255# 0.04fF
+C5 a_n33_n45# w_n263_n255# 0.04fF
+C6 a_n125_n45# w_n263_n255# 0.04fF
+C7 a_33_n71# w_n263_n255# 0.11fF
+C8 a_n129_71# w_n263_n255# 0.14fF
+.ends
+
+.subckt nor_pfd sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd A B
+Xsky130_fd_pr__pfet_01v8_4F35BC_0 vss sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vdd B A sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out vdd vdd sky130_fd_pr__pfet_01v8_4F35BC
+Xsky130_fd_pr__nfet_01v8_C3YG4M_0 out B A vss vss vss sky130_fd_pr__nfet_01v8_C3YG4M
+C0 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.02fF
+C1 A out 0.06fF
+C2 vdd out 0.11fF
+C3 B out 0.40fF
+C4 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out 0.08fF
+C5 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.02fF
+C6 vdd A 0.09fF
+C7 B A 0.24fF
+C8 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C9 out vss 0.45fF
+C10 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C11 A vss 0.83fF
+C12 B vss 1.09fF
+C13 vdd vss 3.79fF
+.ends
+
+.subckt dff_pfd vss vdd nor_pfd_2/A Q CLK nor_pfd_3/A nor_pfd_2/B Reset
+Xnor_pfd_0 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/A nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd CLK Q nor_pfd
+Xnor_pfd_1 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# Q nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_3/A nor_pfd
+Xnor_pfd_2 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_3/A nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_2/B nor_pfd
+Xnor_pfd_3 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/B nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_3/A Reset nor_pfd
+C0 nor_pfd_3/A nor_pfd_2/A 0.38fF
+C1 vdd nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C2 vdd nor_pfd_2/A -0.01fF
+C3 nor_pfd_2/B Reset 0.43fF
+C4 vdd nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
+C5 Reset Q 0.14fF
+C6 vdd nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
+C7 nor_pfd_2/B nor_pfd_3/A 0.58fF
+C8 nor_pfd_3/A Q 0.98fF
+C9 vdd nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C10 vdd nor_pfd_2/B 0.02fF
+C11 vdd Q 0.08fF
+C12 nor_pfd_2/B nor_pfd_2/A 0.05fF
+C13 Q nor_pfd_2/A 1.38fF
+C14 vdd nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
+C15 CLK Q 0.04fF
+C16 vdd nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C17 Reset nor_pfd_3/A 0.12fF
+C18 nor_pfd_2/B Q 2.22fF
+C19 vdd nor_pfd_3/A 0.09fF
+C20 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C21 nor_pfd_2/B vss 1.42fF
+C22 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C23 nor_pfd_3/A vss 3.16fF
+C24 Reset vss 1.48fF
+C25 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C26 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C27 nor_pfd_2/A vss 2.56fF
+C28 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C29 Q vss 2.77fF
+C30 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C31 vdd vss 16.42fF
+C32 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C33 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C34 CLK vss 0.95fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZCYAJJ w_n359_n255# a_n33_n45# a_n159_n173# a_n221_n45#
++ a_159_n45# a_n63_n71# a_n129_n45# a_63_n45#
+X0 a_63_n45# a_n159_n173# a_n33_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n63_n71# a_n129_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X2 a_159_n45# a_n63_n71# a_63_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X3 a_n129_n45# a_n159_n173# a_n221_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_n129_n45# a_159_n45# 0.03fF
+C1 a_n221_n45# a_159_n45# 0.02fF
+C2 a_63_n45# a_159_n45# 0.13fF
+C3 a_n221_n45# a_n129_n45# 0.13fF
+C4 a_n33_n45# a_159_n45# 0.05fF
+C5 a_63_n45# a_n129_n45# 0.05fF
+C6 a_n63_n71# a_n159_n173# 0.10fF
+C7 a_n33_n45# a_n129_n45# 0.13fF
+C8 a_63_n45# a_n221_n45# 0.03fF
+C9 a_n221_n45# a_n33_n45# 0.05fF
+C10 a_63_n45# a_n33_n45# 0.13fF
+C11 a_159_n45# w_n359_n255# 0.04fF
+C12 a_63_n45# w_n359_n255# 0.05fF
+C13 a_n33_n45# w_n359_n255# 0.05fF
+C14 a_n129_n45# w_n359_n255# 0.05fF
+C15 a_n221_n45# w_n359_n255# 0.08fF
+C16 a_n159_n173# w_n359_n255# 0.31fF
+C17 a_n63_n71# w_n359_n255# 0.31fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7T83YG VSUBS a_n125_n90# a_63_n90# a_33_n187# a_n99_n187#
++ a_n33_n90# w_n263_n309#
+X0 a_63_n90# a_33_n187# a_n33_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n33_n90# a_n99_n187# a_n125_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_n125_n90# a_63_n90# 0.09fF
+C1 a_n33_n90# a_63_n90# 0.26fF
+C2 a_33_n187# a_n99_n187# 0.04fF
+C3 a_n125_n90# a_n33_n90# 0.26fF
+C4 a_63_n90# VSUBS 0.03fF
+C5 a_n33_n90# VSUBS 0.03fF
+C6 a_n125_n90# VSUBS 0.03fF
+C7 a_33_n187# VSUBS 0.12fF
+C8 a_n99_n187# VSUBS 0.12fF
+C9 w_n263_n309# VSUBS 1.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZXAV3F a_n73_n45# a_n33_67# a_15_n45# w_n211_n255#
+X0 a_15_n45# a_n33_67# a_n73_n45# w_n211_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_15_n45# a_n73_n45# 0.16fF
+C1 a_15_n45# w_n211_n255# 0.08fF
+C2 a_n73_n45# w_n211_n255# 0.06fF
+C3 a_n33_67# w_n211_n255# 0.10fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F7GBC VSUBS a_n51_n187# a_n73_n90# a_15_n90# w_n211_n309#
+X0 a_15_n90# a_n51_n187# a_n73_n90# w_n211_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_n73_n90# w_n211_n309# 0.04fF
+C1 a_15_n90# w_n211_n309# 0.09fF
+C2 a_15_n90# a_n73_n90# 0.31fF
+C3 a_15_n90# VSUBS 0.03fF
+C4 a_n73_n90# VSUBS 0.03fF
+C5 a_n51_n187# VSUBS 0.12fF
+C6 w_n211_n309# VSUBS 1.24fF
+.ends
+
+.subckt and_pfd a_656_410# vss out vdd A B
+Xsky130_fd_pr__nfet_01v8_ZCYAJJ_0 vss a_656_410# A vss vss B sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45#
++ sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# sky130_fd_pr__nfet_01v8_ZCYAJJ
+Xsky130_fd_pr__pfet_01v8_7T83YG_0 vss vdd vdd B A a_656_410# vdd sky130_fd_pr__pfet_01v8_7T83YG
+Xsky130_fd_pr__nfet_01v8_ZXAV3F_0 vss a_656_410# out vss sky130_fd_pr__nfet_01v8_ZXAV3F
+Xsky130_fd_pr__pfet_01v8_4F7GBC_0 vss a_656_410# vdd out vdd sky130_fd_pr__pfet_01v8_4F7GBC
+C0 A vdd 0.05fF
+C1 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# a_656_410# 0.07fF
+C2 out sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# 0.03fF
+C3 B a_656_410# 0.30fF
+C4 out a_656_410# 0.20fF
+C5 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# B 0.02fF
+C6 B A 0.33fF
+C7 a_656_410# A 0.04fF
+C8 a_656_410# vdd 0.20fF
+C9 out vdd 0.10fF
+C10 vdd vss 4.85fF
+C11 out vss 0.47fF
+C12 a_656_410# vss 1.00fF
+C13 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.13fF
+C14 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.10fF
+C15 A vss 0.85fF
+C16 B vss 0.95fF
+.ends
+
+.subckt PFD vss vdd Down Up A B Reset
+Xdff_pfd_0 vss vdd dff_pfd_0/nor_pfd_2/A Up A dff_pfd_0/nor_pfd_3/A dff_pfd_0/nor_pfd_2/B
++ Reset dff_pfd
+Xdff_pfd_1 vss vdd dff_pfd_1/nor_pfd_2/A Down B dff_pfd_1/nor_pfd_3/A dff_pfd_1/nor_pfd_2/B
++ Reset dff_pfd
+Xand_pfd_0 and_pfd_0/a_656_410# vss Reset vdd Up Down and_pfd
+C0 Down Up 0.06fF
+C1 vdd Up 1.62fF
+C2 dff_pfd_0/nor_pfd_3/A vdd 0.08fF
+C3 vdd Reset 0.02fF
+C4 dff_pfd_1/nor_pfd_2/B vdd 0.04fF
+C5 dff_pfd_0/nor_pfd_2/A vdd 0.13fF
+C6 vdd dff_pfd_1/nor_pfd_3/A 0.08fF
+C7 Down vdd 0.08fF
+C8 dff_pfd_1/nor_pfd_2/A vdd 0.13fF
+C9 dff_pfd_0/nor_pfd_2/B vdd 0.11fF
+C10 and_pfd_0/a_656_410# vss 0.99fF
+C11 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C12 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.05fF
+C13 dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C14 dff_pfd_1/nor_pfd_2/B vss 1.51fF
+C15 dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C16 dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C17 dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C18 dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C19 dff_pfd_1/nor_pfd_2/A vss 2.56fF
+C20 dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C21 Down vss 3.74fF
+C22 dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C23 vdd vss 44.73fF
+C24 dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C25 dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C26 B vss 1.07fF
+C27 dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C28 dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C29 dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C30 dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C31 Reset vss 3.85fF
+C32 dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C33 dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C34 dff_pfd_0/nor_pfd_2/A vss 2.56fF
+C35 dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C36 Up vss 3.18fF
+C37 dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C38 dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C39 dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C40 A vss 1.07fF
+.ends
+
+.subckt top_pll_v1_pex_c iref_cp vss vdd vco_out vco_vctrl Up pfd_QA nUp in_ref out_to_pad Down nDown
++ pfd_QB vco_D0 lf_vc out_first_buffer cp_biasp cp_pswitch pfd_reset cp_nswitch out_by_2 out_to_div
++ out_div_by_5 n_out_by_2 div_5_nQ0 div_5_Q1_shift div_5_Q1 n_out_buffer_div_2 out_buffer_div_2 div_5_Q0
++ n_out_div_2 div_5_nQ2 out_div_2 out_to_buffer
+Xloop_filter_0 lf_vc vco_vctrl vss loop_filter
+Xcharge_pump_0 nswitch vdd nUp vss Down biasp vco_vctrl pswitch iref_cp nDown Up charge_pump
+Xdiv_by_2_0 vss vdd div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in out_by_2 n_out_by_2
++ out_buffer_div_2 out_to_div out_div_2 n_out_buffer_div_2 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out
++ n_out_div_2 div_by_2
+Xbuffer_salida_0 buffer_salida_0/a_678_n100# out_to_pad out_to_buffer vss vdd buffer_salida
+Xring_osc_0 vco_vctrl vdd vss ring_osc_0/csvco_branch_2/vbp ring_osc_0/csvco_branch_0/inverter_csvco_0/vss
++ vco_D0 ring_osc_0/csvco_branch_2/cap_vco_0/t vco_out ring_osc
+Xring_osc_buffer_0 vss vco_out vdd out_first_buffer out_to_div out_to_buffer ring_osc_buffer
+Xdiv_by_5_0 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/DFlipFlop_1/latch_diff_0/D
++ n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD div_by_5_0/DFlipFlop_0/D div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd div_by_5_0/DFlipFlop_2/latch_diff_0/nD div_5_Q0 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# div_5_nQ0 div_by_5_0/DFlipFlop_1/latch_diff_1/nD
++ out_div_by_5 div_by_5_0/DFlipFlop_3/latch_diff_0/nD div_5_nQ2 div_by_5_0/DFlipFlop_0/latch_diff_0/D
++ div_by_5_0/DFlipFlop_2/latch_diff_1/nD div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_1/latch_diff_1/D div_5_Q1 div_by_5_0/DFlipFlop_2/D div_by_5_0/DFlipFlop_3/latch_diff_0/D
++ div_by_5_0/DFlipFlop_1/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_3/latch_diff_1/nD div_by_5_0/DFlipFlop_0/latch_diff_1/D div_5_Q1_shift
++ div_by_5_0/DFlipFlop_0/latch_diff_0/nD div_by_5_0/DFlipFlop_2/nQ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_2/latch_diff_0/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ div_by_5_0/DFlipFlop_3/latch_diff_1/D div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368#
++ div_by_5_0/DFlipFlop_1/latch_diff_0/nD div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136#
++ div_by_5_0/DFlipFlop_0/Q div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136#
++ div_by_5
+Xpfd_cp_interface_0 vss pfd_cp_interface_0/inverter_cp_x1_2/in vdd pfd_cp_interface_0/inverter_cp_x1_0/out
++ Down QA QB nDown Up nUp pfd_cp_interface
+XPFD_0 vss vdd QB QA in_ref out_div_by_5 pfd_reset PFD
+C0 out_by_2 div_by_5_0/DFlipFlop_0/Q 0.09fF
+C1 ring_osc_0/csvco_branch_2/cap_vco_0/t vdd 0.02fF
+C2 div_by_5_0/DFlipFlop_3/latch_diff_1/nD out_by_2 0.23fF
+C3 n_out_by_2 vco_vctrl 0.52fF
+C4 div_by_5_0/DFlipFlop_0/D n_out_by_2 -1.48fF
+C5 vco_vctrl nswitch -0.06fF
+C6 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD 0.17fF
+C7 div_by_5_0/DFlipFlop_1/latch_diff_0/D n_out_by_2 0.12fF
+C8 vco_vctrl ring_osc_0/csvco_branch_2/vbp 0.26fF
+C9 div_by_5_0/DFlipFlop_1/latch_diff_1/nD n_out_by_2 0.24fF
+C10 biasp nUp -0.17fF
+C11 QA vdd -0.04fF
+C12 div_by_5_0/DFlipFlop_1/latch_diff_1/D n_out_by_2 0.10fF
+C13 out_by_2 div_5_nQ0 0.32fF
+C14 out_div_by_5 div_5_Q1 0.01fF
+C15 div_by_5_0/DFlipFlop_2/latch_diff_1/nD out_by_2 0.09fF
+C16 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vdd 0.03fF
+C17 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in out_by_2 -0.22fF
+C18 div_5_Q0 vco_vctrl 0.48fF
+C19 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_0/nD 0.17fF
+C20 out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D 0.23fF
+C21 ring_osc_0/csvco_branch_2/cap_vco_0/t out_first_buffer 0.03fF
+C22 div_5_Q0 out_by_2 0.09fF
+C23 buffer_salida_0/a_678_n100# vdd 0.24fF
+C24 pfd_cp_interface_0/inverter_cp_x1_2/in vdd 0.01fF
+C25 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/D 0.09fF
+C26 div_by_5_0/DFlipFlop_2/latch_diff_0/D n_out_by_2 0.12fF
+C27 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# 0.12fF
+C28 n_out_by_2 div_5_Q1 1.04fF
+C29 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# n_out_by_2 -0.05fF
+C30 lf_vc vdd 0.02fF
+C31 biasp Down 1.24fF
+C32 nUp vdd 0.05fF
+C33 iref_cp vdd 0.15fF
+C34 div_by_5_0/DFlipFlop_1/D n_out_by_2 0.22fF
+C35 div_by_5_0/DFlipFlop_0/D vco_vctrl -0.45fF
+C36 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out out_by_2 -0.04fF
+C37 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_0/nD 0.11fF
+C38 pswitch nUp 0.85fF
+C39 div_by_5_0/DFlipFlop_0/latch_diff_1/D n_out_by_2 0.17fF
+C40 buffer_salida_0/a_678_n100# out_to_buffer 0.22fF
+C41 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in n_out_by_2 -0.51fF
+C42 out_to_div div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out -0.12fF
+C43 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136# out_by_2 -0.02fF
+C44 out_by_2 vco_vctrl 0.53fF
+C45 div_by_5_0/DFlipFlop_0/D out_by_2 0.35fF
+C46 out_div_by_5 vdd 0.28fF
+C47 div_by_5_0/DFlipFlop_1/latch_diff_1/nD out_by_2 0.09fF
+C48 div_by_5_0/DFlipFlop_2/latch_diff_0/nD out_by_2 0.10fF
+C49 Down iref_cp 0.09fF
+C50 div_5_nQ2 n_out_by_2 0.10fF
+C51 out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/D 0.23fF
+C52 div_by_5_0/DFlipFlop_2/nQ n_out_by_2 0.10fF
+C53 ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vco_vctrl 0.04fF
+C54 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# 0.02fF
+C55 div_by_5_0/DFlipFlop_2/D n_out_by_2 0.19fF
+C56 n_out_by_2 vdd 1.03fF
+C57 biasp nDown 0.26fF
+C58 vco_vctrl div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# -0.36fF
+C59 vco_vctrl div_5_Q1 0.14fF
+C60 out_to_div div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in -0.16fF
+C61 vdd out_to_buffer 0.07fF
+C62 biasp Up 0.26fF
+C63 out_to_div vdd 0.21fF
+C64 ring_osc_0/csvco_branch_2/vbp vdd 0.03fF
+C65 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out out_by_2 0.09fF
+C66 div_by_5_0/DFlipFlop_0/Q n_out_by_2 -0.23fF
+C67 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vdd 0.04fF
+C68 out_by_2 div_5_Q1 0.42fF
+C69 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in n_out_by_2 -0.20fF
+C70 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_0/D 0.11fF
+C71 div_by_5_0/DFlipFlop_3/latch_diff_1/nD n_out_by_2 0.10fF
+C72 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# out_by_2 0.10fF
+C73 div_by_5_0/DFlipFlop_0/latch_diff_1/nD n_out_by_2 0.33fF
+C74 vco_D0 vdd 0.03fF
+C75 nUp nDown -0.09fF
+C76 Down nswitch 0.54fF
+C77 Up nUp 2.72fF
+C78 out_by_2 div_by_5_0/DFlipFlop_1/D 0.38fF
+C79 div_5_nQ0 n_out_by_2 0.10fF
+C80 div_by_5_0/DFlipFlop_0/latch_diff_1/D out_by_2 0.33fF
+C81 div_by_5_0/DFlipFlop_2/latch_diff_1/nD n_out_by_2 0.24fF
+C82 out_by_2 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out 0.28fF
+C83 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# out_div_by_5 0.18fF
+C84 out_to_div out_to_buffer 0.13fF
+C85 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in n_out_by_2 0.27fF
+C86 out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_0/nD 0.10fF
+C87 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out n_out_by_2 -0.11fF
+C88 nUp vco_vctrl 0.02fF
+C89 nDown vdd 0.22fF
+C90 div_by_5_0/DFlipFlop_2/latch_diff_1/D n_out_by_2 0.10fF
+C91 out_by_2 div_5_nQ2 0.16fF
+C92 Up vdd 0.28fF
+C93 div_5_Q0 n_out_by_2 -0.12fF
+C94 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/D 0.24fF
+C95 vco_vctrl div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# -0.11fF
+C96 div_by_5_0/DFlipFlop_0/latch_diff_0/D n_out_by_2 0.24fF
+C97 div_by_5_0/DFlipFlop_2/nQ out_by_2 0.23fF
+C98 pswitch nDown 0.53fF
+C99 vco_vctrl vdd -1.02fF
+C100 pswitch Up 1.98fF
+C101 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392# 0.01fF
+C102 div_by_5_0/DFlipFlop_2/D out_by_2 0.22fF
+C103 Down nDown 2.55fF
+C104 out_by_2 vdd 0.97fF
+C105 div_5_Q1_shift out_div_by_5 0.05fF
+C106 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# n_out_by_2 0.03fF
+C107 nDown nswitch 0.76fF
+C108 PFD_0/and_pfd_0/a_656_410# vss 0.96fF
+C109 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C110 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.07fF
+C111 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C112 PFD_0/dff_pfd_1/nor_pfd_2/B vss 1.40fF
+C113 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C114 PFD_0/dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C115 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C116 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C117 PFD_0/dff_pfd_1/nor_pfd_2/A vss 2.55fF
+C118 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C119 QB vss 4.93fF
+C120 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C121 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C122 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C123 out_div_by_5 vss 1.39fF
+C124 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C125 PFD_0/dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C126 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C127 PFD_0/dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C128 pfd_reset vss 2.17fF
+C129 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C130 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C131 PFD_0/dff_pfd_0/nor_pfd_2/A vss 2.55fF
+C132 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C133 QA vss 4.76fF
+C134 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C135 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C136 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C137 in_ref vss 1.19fF
+C138 pfd_cp_interface_0/inverter_cp_x1_2/in vss 1.85fF
+C139 pfd_cp_interface_0/inverter_cp_x1_0/out vss 1.87fF
+C140 nUp vss 6.05fF
+C141 Up vss 2.16fF
+C142 Down vss 6.16fF
+C143 nDown vss 3.38fF
+C144 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.37fF
+C145 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.38fF
+C146 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.41fF
+C147 div_by_5_0/DFlipFlop_3/nQ vss 0.48fF
+C148 div_5_Q1_shift vss -0.14fF
+C149 div_by_5_0/DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.57fF
+C150 div_by_5_0/DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C151 div_by_5_0/DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C152 div_by_5_0/DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C153 div_by_5_0/DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C154 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C155 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C156 div_by_5_0/DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C157 div_by_5_0/DFlipFlop_2/nQ vss 0.48fF
+C158 div_5_Q1 vss 4.28fF
+C159 div_by_5_0/DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.57fF
+C160 div_by_5_0/DFlipFlop_2/latch_diff_1/nD vss 0.57fF
+C161 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss -1.73fF
+C162 div_by_5_0/DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C163 div_by_5_0/DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C164 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C165 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C166 div_by_5_0/DFlipFlop_2/D vss 3.13fF
+C167 div_by_5_0/DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C168 div_5_nQ0 vss 0.59fF
+C169 div_5_Q0 vss 0.01fF
+C170 div_by_5_0/DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.57fF
+C171 div_by_5_0/DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C172 div_by_5_0/DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C173 div_by_5_0/DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C174 div_by_5_0/DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C175 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C176 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C177 div_by_5_0/DFlipFlop_1/D vss 3.64fF
+C178 div_by_5_0/DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C179 div_5_nQ2 vss 1.24fF
+C180 div_by_5_0/DFlipFlop_0/Q vss -0.94fF
+C181 div_by_5_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C182 n_out_by_2 vss -2.62fF
+C183 div_by_5_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C184 div_by_5_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C185 div_by_5_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C186 out_by_2 vss -4.51fF
+C187 div_by_5_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C188 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C189 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C190 div_by_5_0/DFlipFlop_0/D vss 3.96fF
+C191 div_by_5_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C192 vdd vss 366.82fF
+C193 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C194 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.40fF
+C195 out_to_buffer vss 1.57fF
+C196 out_to_div vss 4.46fF
+C197 out_first_buffer vss 2.88fF
+C198 ring_osc_0/csvco_branch_2/in vss 1.60fF
+C199 ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C200 ring_osc_0/csvco_branch_1/cap_vco_0/t vss 7.10fF
+C201 ring_osc_0/csvco_branch_1/inverter_csvco_0/vss vss 0.52fF
+C202 ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C203 ring_osc_0/csvco_branch_2/cap_vco_0/t vss 7.10fF
+C204 ring_osc_0/csvco_branch_2/inverter_csvco_0/vss vss 0.52fF
+C205 ring_osc_0/csvco_branch_1/in vss 1.58fF
+C206 ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C207 vco_out vss 1.01fF
+C208 vco_D0 vss -4.63fF
+C209 ring_osc_0/csvco_branch_0/cap_vco_0/t vss 7.10fF
+C210 ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vss 0.52fF
+C211 ring_osc_0/csvco_branch_2/vbp vss 0.38fF
+C212 out_to_pad vss 7.50fF
+C213 buffer_salida_0/a_3996_n100# vss 48.29fF
+C214 buffer_salida_0/a_678_n100# vss 13.38fF
+C215 n_out_buffer_div_2 vss 1.63fF
+C216 out_buffer_div_2 vss 1.60fF
+C217 div_by_2_0/DFlipFlop_0/CLK vss 0.31fF
+C218 div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C219 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.89fF
+C220 div_by_2_0/DFlipFlop_0/nCLK vss 1.03fF
+C221 out_div_2 vss -1.30fF
+C222 div_by_2_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C223 div_by_2_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C224 div_by_2_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C225 div_by_2_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C226 div_by_2_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C227 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C228 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C229 n_out_div_2 vss 1.95fF
+C230 div_by_2_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C231 nswitch vss 3.73fF
+C232 biasp vss 5.44fF
+C233 iref_cp vss 2.81fF
+C234 vco_vctrl vss -19.28fF
+C235 pswitch vss 3.57fF
+C236 lf_vc vss -59.89fF
+C237 loop_filter_0/res_loop_filter_2/out vss 7.90fF
+.ends
+
diff --git a/mag/extractions/top_pll_v1_pex_rc.spice b/mag/extractions/top_pll_v1_pex_rc.spice
new file mode 100644
index 0000000..2042783
--- /dev/null
+++ b/mag/extractions/top_pll_v1_pex_rc.spice
@@ -0,0 +1,2875 @@
+* NGSPICE file created from top_pll_v1.ext - technology: sky130A
+
+.subckt sky130_fd_pr__cap_mim_m3_1_MACBVW VSUBS m3_n2650_n13200# m3_n7969_n2600# m3_7988_8000#
++ m3_2669_n7900# m3_n13288_n2600# m3_n2650_2700# m3_2669_2700# m3_n13288_n13200# m3_n7969_n13200#
++ m3_n13288_8000# m3_7988_2700# m3_n2650_n7900# m3_7988_n7900# m3_2669_n13200# m3_n7969_8000#
++ m3_n13288_2700# m3_n7969_n7900# m3_n13288_n7900# m3_2669_n2600# m3_n7969_2700# m3_7988_n13200#
++ c1_n13188_n13100# m3_7988_n2600# m3_n2650_n2600# m3_n2650_8000# m3_2669_8000#
+X0 c1_n13188_n13100# m3_2669_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X1 c1_n13188_n13100# m3_n2650_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X2 c1_n13188_n13100# m3_2669_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X3 c1_n13188_n13100# m3_n13288_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X4 c1_n13188_n13100# m3_n7969_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X5 c1_n13188_n13100# m3_n13288_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X6 c1_n13188_n13100# m3_2669_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X7 c1_n13188_n13100# m3_7988_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X8 c1_n13188_n13100# m3_2669_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X9 c1_n13188_n13100# m3_7988_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X10 c1_n13188_n13100# m3_n7969_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X11 c1_n13188_n13100# m3_7988_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X12 c1_n13188_n13100# m3_n7969_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X13 c1_n13188_n13100# m3_7988_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X14 c1_n13188_n13100# m3_n13288_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X15 c1_n13188_n13100# m3_n7969_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X16 c1_n13188_n13100# m3_n2650_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X17 c1_n13188_n13100# m3_n2650_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X18 c1_n13188_n13100# m3_n2650_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X19 c1_n13188_n13100# m3_7988_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X20 c1_n13188_n13100# m3_n13288_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X21 c1_n13188_n13100# m3_n13288_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X22 c1_n13188_n13100# m3_n7969_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X23 c1_n13188_n13100# m3_n2650_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X24 c1_n13188_n13100# m3_2669_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+C0 m3_n2650_n7900# m3_n2650_n2600# 3.28fF
+C1 m3_n7969_8000# c1_n13188_n13100# 58.61fF
+C2 m3_7988_n7900# m3_7988_n2600# 3.39fF
+C3 m3_n2650_n13200# m3_n7969_n13200# 2.73fF
+C4 m3_n7969_n7900# m3_n2650_n7900# 2.73fF
+C5 m3_n2650_2700# m3_n7969_2700# 2.73fF
+C6 m3_2669_n13200# m3_7988_n13200# 2.73fF
+C7 m3_n7969_n2600# m3_n7969_2700# 3.28fF
+C8 m3_2669_8000# m3_7988_8000# 2.73fF
+C9 m3_n13288_n13200# m3_n7969_n13200# 2.73fF
+C10 m3_n2650_n2600# c1_n13188_n13100# 58.86fF
+C11 m3_n7969_8000# m3_n13288_8000# 2.73fF
+C12 m3_7988_2700# c1_n13188_n13100# 61.01fF
+C13 m3_7988_8000# c1_n13188_n13100# 60.75fF
+C14 m3_n13288_n2600# c1_n13188_n13100# 58.61fF
+C15 m3_n7969_n7900# c1_n13188_n13100# 58.86fF
+C16 m3_2669_n13200# c1_n13188_n13100# 58.61fF
+C17 m3_n13288_8000# c1_n13188_n13100# 58.36fF
+C18 m3_2669_2700# m3_2669_n2600# 3.28fF
+C19 m3_n2650_n13200# m3_n2650_n7900# 3.28fF
+C20 m3_2669_n7900# m3_n2650_n7900# 2.73fF
+C21 m3_7988_2700# m3_7988_8000# 3.39fF
+C22 m3_n2650_n13200# c1_n13188_n13100# 58.61fF
+C23 m3_2669_n7900# c1_n13188_n13100# 58.86fF
+C24 m3_2669_n2600# c1_n13188_n13100# 58.86fF
+C25 m3_2669_8000# m3_n2650_8000# 2.73fF
+C26 m3_n2650_2700# m3_2669_2700# 2.73fF
+C27 m3_n7969_8000# m3_n2650_8000# 2.73fF
+C28 m3_7988_n2600# c1_n13188_n13100# 61.01fF
+C29 m3_n13288_n13200# c1_n13188_n13100# 58.36fF
+C30 m3_n2650_8000# c1_n13188_n13100# 58.61fF
+C31 m3_n2650_n2600# m3_2669_n2600# 2.73fF
+C32 m3_n13288_n7900# c1_n13188_n13100# 58.61fF
+C33 m3_n13288_2700# c1_n13188_n13100# 58.61fF
+C34 m3_n2650_n13200# m3_2669_n13200# 2.73fF
+C35 m3_n7969_8000# m3_n7969_2700# 3.28fF
+C36 m3_2669_n13200# m3_2669_n7900# 3.28fF
+C37 m3_7988_2700# m3_7988_n2600# 3.39fF
+C38 m3_7988_n13200# m3_7988_n7900# 3.39fF
+C39 m3_n7969_2700# c1_n13188_n13100# 58.86fF
+C40 m3_n2650_2700# c1_n13188_n13100# 58.86fF
+C41 m3_n7969_n2600# c1_n13188_n13100# 58.86fF
+C42 m3_n13288_n2600# m3_n13288_n7900# 3.28fF
+C43 m3_n7969_n7900# m3_n13288_n7900# 2.73fF
+C44 m3_n13288_n2600# m3_n13288_2700# 3.28fF
+C45 m3_n13288_8000# m3_n13288_2700# 3.28fF
+C46 m3_7988_n7900# c1_n13188_n13100# 61.01fF
+C47 m3_n2650_2700# m3_n2650_n2600# 3.28fF
+C48 m3_n7969_n2600# m3_n2650_n2600# 2.73fF
+C49 m3_2669_n7900# m3_2669_n2600# 3.28fF
+C50 m3_n13288_n2600# m3_n7969_n2600# 2.73fF
+C51 m3_n7969_n7900# m3_n7969_n2600# 3.28fF
+C52 m3_2669_n2600# m3_7988_n2600# 2.73fF
+C53 m3_n7969_n13200# c1_n13188_n13100# 58.61fF
+C54 m3_2669_8000# m3_2669_2700# 3.28fF
+C55 m3_n13288_n13200# m3_n13288_n7900# 3.28fF
+C56 m3_n7969_n7900# m3_n7969_n13200# 3.28fF
+C57 m3_2669_2700# c1_n13188_n13100# 58.86fF
+C58 m3_n2650_n7900# c1_n13188_n13100# 58.86fF
+C59 m3_7988_n13200# c1_n13188_n13100# 60.75fF
+C60 m3_2669_n7900# m3_7988_n7900# 2.73fF
+C61 m3_n2650_2700# m3_n2650_8000# 3.28fF
+C62 m3_2669_8000# c1_n13188_n13100# 58.61fF
+C63 m3_7988_2700# m3_2669_2700# 2.73fF
+C64 m3_n7969_2700# m3_n13288_2700# 2.73fF
+C65 c1_n13188_n13100# VSUBS 2.51fF
+C66 m3_7988_n13200# VSUBS 12.57fF
+C67 m3_2669_n13200# VSUBS 12.37fF
+C68 m3_n2650_n13200# VSUBS 12.37fF
+C69 m3_n7969_n13200# VSUBS 12.37fF
+C70 m3_n13288_n13200# VSUBS 12.37fF
+C71 m3_7988_n7900# VSUBS 12.57fF
+C72 m3_2669_n7900# VSUBS 12.37fF
+C73 m3_n2650_n7900# VSUBS 12.37fF
+C74 m3_n7969_n7900# VSUBS 12.37fF
+C75 m3_n13288_n7900# VSUBS 12.37fF
+C76 m3_7988_n2600# VSUBS 12.57fF
+C77 m3_2669_n2600# VSUBS 12.37fF
+C78 m3_n2650_n2600# VSUBS 12.37fF
+C79 m3_n7969_n2600# VSUBS 12.37fF
+C80 m3_n13288_n2600# VSUBS 12.37fF
+C81 m3_7988_2700# VSUBS 12.57fF
+C82 m3_2669_2700# VSUBS 12.37fF
+C83 m3_n2650_2700# VSUBS 12.37fF
+C84 m3_n7969_2700# VSUBS 12.37fF
+C85 m3_n13288_2700# VSUBS 12.37fF
+C86 m3_7988_8000# VSUBS 12.57fF
+C87 m3_2669_8000# VSUBS 12.37fF
+C88 m3_n2650_8000# VSUBS 12.37fF
+C89 m3_n7969_8000# VSUBS 12.37fF
+C90 m3_n13288_8000# VSUBS 12.37fF
+.ends
+
+.subckt cap1_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_MACBVW_0 VSUBS out out out out out out out out out out
++ out out out out out out out out out out out in out out out out sky130_fd_pr__cap_mim_m3_1_MACBVW
+C0 in out 2.17fF
+C1 in VSUBS -10.03fF
+C2 out VSUBS 62.40fF
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_W3JTNJ VSUBS m3_n6469_n2100# c1_n6369_n6300# m3_2169_n6400#
++ m3_n2150_n6400# c1_2269_n6300# m3_n6469_2200# m3_n2150_n2100# c1_n2050_n6300# m3_n2150_2200#
++ m3_n6469_n6400#
+X0 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X1 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X2 c1_n2050_n6300# m3_n2150_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X3 c1_n6369_n6300# m3_n6469_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X4 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X5 c1_n6369_n6300# m3_n6469_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X6 c1_n2050_n6300# m3_n2150_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X7 c1_n2050_n6300# m3_n2150_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X8 c1_n6369_n6300# m3_n6469_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+C0 m3_n2150_n6400# m3_2169_n6400# 1.75fF
+C1 m3_n6469_n6400# m3_n2150_n6400# 1.75fF
+C2 c1_n6369_n6300# m3_n6469_n2100# 38.10fF
+C3 m3_n6469_n6400# m3_n6469_n2100# 2.63fF
+C4 m3_n6469_n2100# m3_n6469_2200# 2.63fF
+C5 m3_n6469_n6400# c1_n6369_n6300# 38.10fF
+C6 m3_n2150_n6400# c1_n2050_n6300# 38.10fF
+C7 m3_n2150_n2100# m3_n2150_n6400# 2.63fF
+C8 m3_n2150_n2100# m3_n6469_n2100# 1.75fF
+C9 c1_n6369_n6300# m3_n6469_2200# 38.10fF
+C10 m3_2169_n6400# c1_2269_n6300# 121.67fF
+C11 m3_2169_n6400# m3_n2150_2200# 1.75fF
+C12 m3_n2150_n2100# m3_2169_n6400# 1.75fF
+C13 c1_n6369_n6300# c1_n2050_n6300# 1.99fF
+C14 m3_n2150_2200# m3_n6469_2200# 1.75fF
+C15 c1_n2050_n6300# c1_2269_n6300# 1.99fF
+C16 c1_n2050_n6300# m3_n2150_2200# 38.10fF
+C17 m3_n2150_n2100# m3_n2150_2200# 2.63fF
+C18 m3_n2150_n2100# c1_n2050_n6300# 38.10fF
+C19 c1_2269_n6300# VSUBS 0.16fF
+C20 c1_n2050_n6300# VSUBS 0.16fF
+C21 c1_n6369_n6300# VSUBS 0.16fF
+C22 m3_n2150_n6400# VSUBS 8.68fF
+C23 m3_n6469_n6400# VSUBS 8.68fF
+C24 m3_n2150_n2100# VSUBS 8.68fF
+C25 m3_n6469_n2100# VSUBS 8.68fF
+C26 m3_2169_n6400# VSUBS 26.86fF
+C27 m3_n2150_2200# VSUBS 8.68fF
+C28 m3_n6469_2200# VSUBS 8.68fF
+.ends
+
+.subckt cap2_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_W3JTNJ_0 VSUBS out in out out in out out in out out sky130_fd_pr__cap_mim_m3_1_W3JTNJ
+C0 in out 8.08fF
+C1 in VSUBS -16.59fF
+C2 out VSUBS 13.00fF
+.ends
+
+.subckt sky130_fd_pr__res_high_po_5p73_X44RQA a_n573_2292# w_n739_n2890# a_n573_n2724#
+X0 a_n573_n2724# a_n573_2292# w_n739_n2890# sky130_fd_pr__res_high_po_5p73 l=2.292e+07u
+C0 a_n573_n2724# w_n739_n2890# 1.98fF
+C1 a_n573_2292# w_n739_n2890# 1.98fF
+.ends
+
+.subckt res_loop_filter vss out in
+Xsky130_fd_pr__res_high_po_5p73_X44RQA_0 in vss out sky130_fd_pr__res_high_po_5p73_X44RQA
+C0 out vss 3.87fF
+C1 in vss 3.02fF
+.ends
+
+.subckt loop_filter vc_pex in vss
+Xcap1_loop_filter_0 vss vc_pex vss cap1_loop_filter
+Xcap2_loop_filter_0 vss in vss cap2_loop_filter
+Xres_loop_filter_0 vss res_loop_filter_2/out in res_loop_filter
+Xres_loop_filter_1 vss res_loop_filter_2/out vc_pex res_loop_filter
+Xres_loop_filter_2 vss res_loop_filter_2/out vc_pex res_loop_filter
+C0 in vc_pex 0.18fF
+C1 vc_pex vss -38.13fF
+C2 res_loop_filter_2/out vss 8.49fF
+C3 in vss -18.79fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4ML9WA VSUBS a_429_n486# w_n2457_n634# a_887_n486#
++ a_n29_n486# a_1345_n486# a_n2261_n512# a_1803_n486# a_n487_n486# a_n945_n486# a_n2319_n486#
++ a_n1403_n486# a_2261_n486# a_n1861_n486#
+X0 a_2261_n486# a_n2261_n512# a_1803_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X1 a_n945_n486# a_n2261_n512# a_n1403_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X2 a_429_n486# a_n2261_n512# a_n29_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X3 a_1803_n486# a_n2261_n512# a_1345_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X4 a_887_n486# a_n2261_n512# a_429_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X5 a_n487_n486# a_n2261_n512# a_n945_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X6 a_n1403_n486# a_n2261_n512# a_n1861_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X7 a_n1861_n486# a_n2261_n512# a_n2319_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X8 a_n29_n486# a_n2261_n512# a_n487_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X9 a_1345_n486# a_n2261_n512# a_887_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+C0 a_n1861_n486# w_n2457_n634# 0.02fF
+C1 a_n2319_n486# w_n2457_n634# 0.02fF
+C2 w_n2457_n634# a_1803_n486# 0.02fF
+C3 a_n1403_n486# w_n2457_n634# 0.02fF
+C4 w_n2457_n634# a_n29_n486# 0.02fF
+C5 w_n2457_n634# a_887_n486# 0.02fF
+C6 a_2261_n486# w_n2457_n634# 0.02fF
+C7 w_n2457_n634# a_n945_n486# 0.02fF
+C8 a_n487_n486# w_n2457_n634# 0.02fF
+C9 w_n2457_n634# a_1345_n486# 0.02fF
+C10 w_n2457_n634# a_429_n486# 0.02fF
+C11 a_2261_n486# VSUBS 0.03fF
+C12 a_1803_n486# VSUBS 0.03fF
+C13 a_1345_n486# VSUBS 0.03fF
+C14 a_887_n486# VSUBS 0.03fF
+C15 a_429_n486# VSUBS 0.03fF
+C16 a_n29_n486# VSUBS 0.03fF
+C17 a_n487_n486# VSUBS 0.03fF
+C18 a_n945_n486# VSUBS 0.03fF
+C19 a_n1403_n486# VSUBS 0.03fF
+C20 a_n1861_n486# VSUBS 0.03fF
+C21 a_n2319_n486# VSUBS 0.03fF
+C22 a_n2261_n512# VSUBS 4.27fF
+C23 w_n2457_n634# VSUBS 21.34fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_YCGG98 a_n1041_n75# a_n561_n75# a_1167_n75# a_303_n75#
++ a_687_n75# a_n849_n75# a_n369_n75# a_975_n75# a_111_n75# a_495_n75# a_n1137_n75#
++ a_n657_n75# a_n177_n75# a_783_n75# a_n945_n75# a_n465_n75# a_207_n75# a_1071_n75#
++ a_591_n75# a_15_n75# a_n753_n75# w_n1367_n285# a_n273_n75# a_879_n75# a_399_n75#
++ a_n1229_n75# a_n81_n75# a_n1167_n101#
+X0 a_207_n75# a_n1167_n101# a_111_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_303_n75# a_n1167_n101# a_207_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_399_n75# a_n1167_n101# a_303_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_495_n75# a_n1167_n101# a_399_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_591_n75# a_n1167_n101# a_495_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_783_n75# a_n1167_n101# a_687_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_687_n75# a_n1167_n101# a_591_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_879_n75# a_n1167_n101# a_783_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_975_n75# a_n1167_n101# a_879_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_n1041_n75# a_n1167_n101# a_n1137_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_n1137_n75# a_n1167_n101# a_n1229_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n561_n75# a_n1167_n101# a_n657_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_1071_n75# a_n1167_n101# a_975_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n945_n75# a_n1167_n101# a_n1041_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n753_n75# a_n1167_n101# a_n849_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n657_n75# a_n1167_n101# a_n753_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n465_n75# a_n1167_n101# a_n561_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n369_n75# a_n1167_n101# a_n465_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_1167_n75# a_n1167_n101# a_1071_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n849_n75# a_n1167_n101# a_n945_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X20 a_15_n75# a_n1167_n101# a_n81_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X21 a_n81_n75# a_n1167_n101# a_n177_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X22 a_111_n75# a_n1167_n101# a_15_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X23 a_n273_n75# a_n1167_n101# a_n369_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X24 a_n177_n75# a_n1167_n101# a_n273_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+C0 a_n561_n75# a_n657_n75# 0.22fF
+C1 a_n561_n75# a_n177_n75# 0.03fF
+C2 a_399_n75# a_111_n75# 0.05fF
+C3 a_783_n75# a_879_n75# 0.22fF
+C4 a_111_n75# a_495_n75# 0.03fF
+C5 a_687_n75# a_879_n75# 0.08fF
+C6 a_n849_n75# a_n753_n75# 0.22fF
+C7 a_111_n75# a_15_n75# 0.22fF
+C8 a_207_n75# a_399_n75# 0.08fF
+C9 a_n849_n75# a_n1041_n75# 0.08fF
+C10 a_207_n75# a_495_n75# 0.05fF
+C11 a_1071_n75# a_975_n75# 0.22fF
+C12 a_207_n75# a_15_n75# 0.08fF
+C13 a_n561_n75# a_n849_n75# 0.05fF
+C14 a_n945_n75# a_n753_n75# 0.08fF
+C15 a_n657_n75# a_n849_n75# 0.08fF
+C16 a_n273_n75# a_111_n75# 0.03fF
+C17 a_n1229_n75# a_n1041_n75# 0.08fF
+C18 a_n945_n75# a_n1041_n75# 0.22fF
+C19 a_399_n75# a_495_n75# 0.22fF
+C20 a_975_n75# a_591_n75# 0.03fF
+C21 a_1167_n75# a_975_n75# 0.08fF
+C22 a_n369_n75# a_n465_n75# 0.22fF
+C23 a_207_n75# a_591_n75# 0.03fF
+C24 a_399_n75# a_15_n75# 0.03fF
+C25 a_n81_n75# a_111_n75# 0.08fF
+C26 a_n1137_n75# a_n753_n75# 0.03fF
+C27 a_n369_n75# a_15_n75# 0.03fF
+C28 a_n561_n75# a_n945_n75# 0.03fF
+C29 a_783_n75# a_975_n75# 0.08fF
+C30 a_n1137_n75# a_n1041_n75# 0.22fF
+C31 a_975_n75# a_687_n75# 0.05fF
+C32 a_207_n75# a_n81_n75# 0.05fF
+C33 a_n945_n75# a_n657_n75# 0.05fF
+C34 a_n177_n75# a_111_n75# 0.05fF
+C35 a_303_n75# a_111_n75# 0.08fF
+C36 a_399_n75# a_591_n75# 0.08fF
+C37 a_n273_n75# a_n465_n75# 0.08fF
+C38 a_n465_n75# a_n753_n75# 0.05fF
+C39 a_591_n75# a_495_n75# 0.22fF
+C40 a_1167_n75# a_1071_n75# 0.22fF
+C41 a_207_n75# a_n177_n75# 0.03fF
+C42 a_207_n75# a_303_n75# 0.22fF
+C43 a_n369_n75# a_n273_n75# 0.22fF
+C44 a_n369_n75# a_n753_n75# 0.03fF
+C45 a_n273_n75# a_15_n75# 0.05fF
+C46 a_783_n75# a_399_n75# 0.03fF
+C47 a_n81_n75# a_n465_n75# 0.03fF
+C48 a_399_n75# a_687_n75# 0.05fF
+C49 a_975_n75# a_879_n75# 0.22fF
+C50 a_783_n75# a_495_n75# 0.05fF
+C51 a_n849_n75# a_n1229_n75# 0.03fF
+C52 a_687_n75# a_495_n75# 0.08fF
+C53 a_n945_n75# a_n849_n75# 0.22fF
+C54 a_n561_n75# a_n465_n75# 0.22fF
+C55 a_783_n75# a_1071_n75# 0.05fF
+C56 a_1071_n75# a_687_n75# 0.03fF
+C57 a_n369_n75# a_n81_n75# 0.05fF
+C58 a_n81_n75# a_15_n75# 0.22fF
+C59 a_n561_n75# a_n369_n75# 0.08fF
+C60 a_n657_n75# a_n465_n75# 0.08fF
+C61 a_n177_n75# a_n465_n75# 0.05fF
+C62 a_303_n75# a_399_n75# 0.22fF
+C63 a_303_n75# a_495_n75# 0.08fF
+C64 a_n369_n75# a_n657_n75# 0.05fF
+C65 a_n1137_n75# a_n849_n75# 0.05fF
+C66 a_n369_n75# a_n177_n75# 0.08fF
+C67 a_n177_n75# a_15_n75# 0.08fF
+C68 a_303_n75# a_15_n75# 0.05fF
+C69 a_n753_n75# a_n1041_n75# 0.05fF
+C70 a_n945_n75# a_n1229_n75# 0.05fF
+C71 a_783_n75# a_591_n75# 0.08fF
+C72 a_879_n75# a_495_n75# 0.03fF
+C73 a_687_n75# a_591_n75# 0.22fF
+C74 a_1167_n75# a_783_n75# 0.03fF
+C75 a_n273_n75# a_n81_n75# 0.08fF
+C76 a_1071_n75# a_879_n75# 0.08fF
+C77 a_n561_n75# a_n273_n75# 0.05fF
+C78 a_n561_n75# a_n753_n75# 0.08fF
+C79 a_n465_n75# a_n849_n75# 0.03fF
+C80 a_n1137_n75# a_n1229_n75# 0.22fF
+C81 a_783_n75# a_687_n75# 0.22fF
+C82 a_303_n75# a_591_n75# 0.05fF
+C83 a_207_n75# a_111_n75# 0.22fF
+C84 a_n273_n75# a_n657_n75# 0.03fF
+C85 a_n945_n75# a_n1137_n75# 0.08fF
+C86 a_n273_n75# a_n177_n75# 0.22fF
+C87 a_n657_n75# a_n753_n75# 0.22fF
+C88 a_n657_n75# a_n1041_n75# 0.03fF
+C89 a_879_n75# a_591_n75# 0.05fF
+C90 a_1167_n75# a_879_n75# 0.05fF
+C91 a_303_n75# a_687_n75# 0.03fF
+C92 a_n81_n75# a_n177_n75# 0.22fF
+C93 a_303_n75# a_n81_n75# 0.03fF
+C94 a_1167_n75# w_n1367_n285# 0.10fF
+C95 a_1071_n75# w_n1367_n285# 0.07fF
+C96 a_975_n75# w_n1367_n285# 0.06fF
+C97 a_879_n75# w_n1367_n285# 0.05fF
+C98 a_783_n75# w_n1367_n285# 0.04fF
+C99 a_687_n75# w_n1367_n285# 0.04fF
+C100 a_591_n75# w_n1367_n285# 0.04fF
+C101 a_495_n75# w_n1367_n285# 0.04fF
+C102 a_399_n75# w_n1367_n285# 0.04fF
+C103 a_303_n75# w_n1367_n285# 0.04fF
+C104 a_207_n75# w_n1367_n285# 0.04fF
+C105 a_111_n75# w_n1367_n285# 0.04fF
+C106 a_15_n75# w_n1367_n285# 0.04fF
+C107 a_n81_n75# w_n1367_n285# 0.04fF
+C108 a_n177_n75# w_n1367_n285# 0.04fF
+C109 a_n273_n75# w_n1367_n285# 0.04fF
+C110 a_n369_n75# w_n1367_n285# 0.04fF
+C111 a_n465_n75# w_n1367_n285# 0.04fF
+C112 a_n561_n75# w_n1367_n285# 0.04fF
+C113 a_n657_n75# w_n1367_n285# 0.04fF
+C114 a_n753_n75# w_n1367_n285# 0.04fF
+C115 a_n849_n75# w_n1367_n285# 0.04fF
+C116 a_n945_n75# w_n1367_n285# 0.04fF
+C117 a_n1041_n75# w_n1367_n285# 0.04fF
+C118 a_n1137_n75# w_n1367_n285# 0.04fF
+C119 a_n1229_n75# w_n1367_n285# 0.04fF
+C120 a_n1167_n101# w_n1367_n285# 2.55fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_MUHGM9 a_33_n101# a_n129_n75# a_735_n75# a_255_n75#
++ a_n417_n75# a_n989_n75# a_63_n75# a_543_n75# a_n705_n75# a_n225_n75# a_n33_n75#
++ a_831_n75# a_351_n75# a_n927_n101# a_n513_n75# a_n897_n75# w_n1127_n285# a_639_n75#
++ a_159_n75# a_n801_n75# a_n321_n75# a_927_n75# a_447_n75# a_n609_n75#
+X0 a_63_n75# a_33_n101# a_n33_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_927_n75# a_33_n101# a_831_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_n33_n75# a_n927_n101# a_n129_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_159_n75# a_33_n101# a_63_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_255_n75# a_33_n101# a_159_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_351_n75# a_33_n101# a_255_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_447_n75# a_33_n101# a_351_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_543_n75# a_33_n101# a_447_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_735_n75# a_33_n101# a_639_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_831_n75# a_33_n101# a_735_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_639_n75# a_33_n101# a_543_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n321_n75# a_n927_n101# a_n417_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_n801_n75# a_n927_n101# a_n897_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n705_n75# a_n927_n101# a_n801_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n513_n75# a_n927_n101# a_n609_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n417_n75# a_n927_n101# a_n513_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n225_n75# a_n927_n101# a_n321_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n129_n75# a_n927_n101# a_n225_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_n897_n75# a_n927_n101# a_n989_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n609_n75# a_n927_n101# a_n705_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+C0 a_927_n75# a_639_n75# 0.05fF
+C1 a_543_n75# a_447_n75# 0.22fF
+C2 a_543_n75# a_159_n75# 0.03fF
+C3 a_n33_n75# a_n321_n75# 0.05fF
+C4 a_n927_n101# a_33_n101# 0.08fF
+C5 a_n321_n75# a_63_n75# 0.03fF
+C6 a_735_n75# a_927_n75# 0.08fF
+C7 a_255_n75# a_351_n75# 0.22fF
+C8 a_n705_n75# a_n321_n75# 0.03fF
+C9 a_159_n75# a_447_n75# 0.05fF
+C10 a_n129_n75# a_159_n75# 0.05fF
+C11 a_n321_n75# a_n417_n75# 0.22fF
+C12 a_n225_n75# a_n33_n75# 0.08fF
+C13 a_n705_n75# a_n989_n75# 0.05fF
+C14 a_n225_n75# a_63_n75# 0.05fF
+C15 a_n897_n75# a_n989_n75# 0.22fF
+C16 a_n225_n75# a_n417_n75# 0.08fF
+C17 a_543_n75# a_255_n75# 0.05fF
+C18 a_831_n75# a_927_n75# 0.22fF
+C19 a_n321_n75# a_n609_n75# 0.05fF
+C20 a_447_n75# a_255_n75# 0.08fF
+C21 a_159_n75# a_255_n75# 0.22fF
+C22 a_n129_n75# a_255_n75# 0.03fF
+C23 a_n33_n75# a_63_n75# 0.22fF
+C24 a_n989_n75# a_n609_n75# 0.03fF
+C25 a_n801_n75# a_n989_n75# 0.08fF
+C26 a_735_n75# a_639_n75# 0.22fF
+C27 a_n225_n75# a_n609_n75# 0.03fF
+C28 a_n33_n75# a_n417_n75# 0.03fF
+C29 a_n897_n75# a_n705_n75# 0.08fF
+C30 a_n705_n75# a_n417_n75# 0.05fF
+C31 a_n513_n75# a_n321_n75# 0.08fF
+C32 a_543_n75# a_927_n75# 0.03fF
+C33 a_n225_n75# a_n513_n75# 0.05fF
+C34 a_n129_n75# a_n321_n75# 0.08fF
+C35 a_831_n75# a_639_n75# 0.08fF
+C36 a_639_n75# a_351_n75# 0.05fF
+C37 a_n33_n75# a_351_n75# 0.03fF
+C38 a_n705_n75# a_n609_n75# 0.22fF
+C39 a_63_n75# a_351_n75# 0.05fF
+C40 a_n897_n75# a_n609_n75# 0.05fF
+C41 a_n801_n75# a_n705_n75# 0.22fF
+C42 a_n801_n75# a_n897_n75# 0.22fF
+C43 a_n609_n75# a_n417_n75# 0.08fF
+C44 a_n225_n75# a_159_n75# 0.03fF
+C45 a_n225_n75# a_n129_n75# 0.22fF
+C46 a_735_n75# a_831_n75# 0.22fF
+C47 a_n801_n75# a_n417_n75# 0.03fF
+C48 a_735_n75# a_351_n75# 0.03fF
+C49 a_543_n75# a_639_n75# 0.22fF
+C50 a_n705_n75# a_n513_n75# 0.08fF
+C51 a_n897_n75# a_n513_n75# 0.03fF
+C52 a_n513_n75# a_n417_n75# 0.22fF
+C53 a_543_n75# a_735_n75# 0.08fF
+C54 a_447_n75# a_639_n75# 0.08fF
+C55 a_n801_n75# a_n609_n75# 0.08fF
+C56 a_n33_n75# a_159_n75# 0.08fF
+C57 a_n129_n75# a_n33_n75# 0.22fF
+C58 a_447_n75# a_63_n75# 0.03fF
+C59 a_159_n75# a_63_n75# 0.22fF
+C60 a_n129_n75# a_63_n75# 0.08fF
+C61 a_735_n75# a_447_n75# 0.05fF
+C62 a_n129_n75# a_n417_n75# 0.05fF
+C63 a_n513_n75# a_n609_n75# 0.22fF
+C64 a_n801_n75# a_n513_n75# 0.05fF
+C65 a_543_n75# a_831_n75# 0.05fF
+C66 a_543_n75# a_351_n75# 0.08fF
+C67 a_639_n75# a_255_n75# 0.03fF
+C68 a_n33_n75# a_255_n75# 0.05fF
+C69 a_255_n75# a_63_n75# 0.08fF
+C70 a_n225_n75# a_n321_n75# 0.22fF
+C71 a_831_n75# a_447_n75# 0.03fF
+C72 a_447_n75# a_351_n75# 0.22fF
+C73 a_159_n75# a_351_n75# 0.08fF
+C74 a_n129_n75# a_n513_n75# 0.03fF
+C75 a_927_n75# w_n1127_n285# 0.04fF
+C76 a_831_n75# w_n1127_n285# 0.04fF
+C77 a_735_n75# w_n1127_n285# 0.04fF
+C78 a_639_n75# w_n1127_n285# 0.04fF
+C79 a_543_n75# w_n1127_n285# 0.04fF
+C80 a_447_n75# w_n1127_n285# 0.04fF
+C81 a_351_n75# w_n1127_n285# 0.04fF
+C82 a_255_n75# w_n1127_n285# 0.04fF
+C83 a_159_n75# w_n1127_n285# 0.04fF
+C84 a_63_n75# w_n1127_n285# 0.04fF
+C85 a_n33_n75# w_n1127_n285# 0.04fF
+C86 a_n129_n75# w_n1127_n285# 0.04fF
+C87 a_n225_n75# w_n1127_n285# 0.04fF
+C88 a_n321_n75# w_n1127_n285# 0.04fF
+C89 a_n417_n75# w_n1127_n285# 0.04fF
+C90 a_n513_n75# w_n1127_n285# 0.04fF
+C91 a_n609_n75# w_n1127_n285# 0.04fF
+C92 a_n705_n75# w_n1127_n285# 0.04fF
+C93 a_n801_n75# w_n1127_n285# 0.04fF
+C94 a_n897_n75# w_n1127_n285# 0.04fF
+C95 a_n989_n75# w_n1127_n285# 0.04fF
+C96 a_33_n101# w_n1127_n285# 0.99fF
+C97 a_n927_n101# w_n1127_n285# 0.99fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_NKZXKB VSUBS a_33_n247# a_n801_n150# a_n417_n150#
++ a_351_n150# a_255_n150# a_n705_n150# a_n609_n150# a_159_n150# a_543_n150# a_447_n150#
++ a_831_n150# a_n897_n150# a_n33_n150# a_735_n150# a_n927_n247# a_639_n150# a_n321_n150#
++ a_927_n150# a_n225_n150# a_63_n150# a_n989_n150# a_n513_n150# a_n129_n150# w_n1127_n369#
+X0 a_n513_n150# a_n927_n247# a_n609_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_63_n150# a_33_n247# a_n33_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_735_n150# a_33_n247# a_639_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n801_n150# a_n927_n247# a_n897_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n927_n247# a_n225_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n927_n247# a_n513_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_639_n150# a_33_n247# a_543_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n705_n150# a_n927_n247# a_n801_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n33_n150# a_n927_n247# a_n129_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_351_n150# a_33_n247# a_255_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_n609_n150# a_n927_n247# a_n705_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n897_n150# a_n927_n247# a_n989_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_927_n150# a_33_n247# a_831_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_255_n150# a_33_n247# a_159_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n321_n150# a_n927_n247# a_n417_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_543_n150# a_33_n247# a_447_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_831_n150# a_33_n247# a_735_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_159_n150# a_33_n247# a_63_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_n225_n150# a_n927_n247# a_n321_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_447_n150# a_33_n247# a_351_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n609_n150# a_n989_n150# 0.07fF
+C1 a_n129_n150# a_n225_n150# 0.43fF
+C2 a_n129_n150# a_n513_n150# 0.07fF
+C3 a_n705_n150# a_n989_n150# 0.10fF
+C4 a_n417_n150# a_n321_n150# 0.43fF
+C5 a_159_n150# a_543_n150# 0.07fF
+C6 a_n513_n150# a_n225_n150# 0.10fF
+C7 a_543_n150# a_447_n150# 0.43fF
+C8 a_n417_n150# a_n801_n150# 0.07fF
+C9 a_n897_n150# a_n609_n150# 0.10fF
+C10 a_639_n150# a_447_n150# 0.16fF
+C11 a_n705_n150# a_n897_n150# 0.16fF
+C12 a_n129_n150# a_n417_n150# 0.10fF
+C13 a_543_n150# a_255_n150# 0.10fF
+C14 a_63_n150# a_n33_n150# 0.43fF
+C15 a_831_n150# a_543_n150# 0.10fF
+C16 a_n225_n150# a_n417_n150# 0.16fF
+C17 a_n513_n150# a_n417_n150# 0.43fF
+C18 a_n989_n150# a_n801_n150# 0.16fF
+C19 a_159_n150# a_n33_n150# 0.16fF
+C20 a_159_n150# a_63_n150# 0.43fF
+C21 a_639_n150# a_255_n150# 0.07fF
+C22 a_63_n150# a_447_n150# 0.07fF
+C23 a_831_n150# a_639_n150# 0.16fF
+C24 a_n705_n150# a_n609_n150# 0.43fF
+C25 a_159_n150# a_447_n150# 0.10fF
+C26 a_927_n150# a_543_n150# 0.07fF
+C27 a_351_n150# a_543_n150# 0.16fF
+C28 a_n897_n150# a_n801_n150# 0.43fF
+C29 a_639_n150# a_927_n150# 0.10fF
+C30 a_639_n150# a_351_n150# 0.10fF
+C31 a_n33_n150# a_255_n150# 0.10fF
+C32 a_735_n150# a_543_n150# 0.16fF
+C33 a_63_n150# a_255_n150# 0.16fF
+C34 a_n33_n150# a_n321_n150# 0.10fF
+C35 a_63_n150# a_n321_n150# 0.07fF
+C36 a_159_n150# a_255_n150# 0.43fF
+C37 a_n927_n247# a_33_n247# 0.09fF
+C38 a_n609_n150# a_n321_n150# 0.10fF
+C39 a_255_n150# a_447_n150# 0.16fF
+C40 a_639_n150# a_735_n150# 0.43fF
+C41 a_831_n150# a_447_n150# 0.07fF
+C42 a_n705_n150# a_n321_n150# 0.07fF
+C43 a_n609_n150# a_n801_n150# 0.16fF
+C44 a_n897_n150# a_n513_n150# 0.07fF
+C45 a_351_n150# a_n33_n150# 0.07fF
+C46 a_n705_n150# a_n801_n150# 0.43fF
+C47 a_63_n150# a_351_n150# 0.10fF
+C48 a_n129_n150# a_n33_n150# 0.43fF
+C49 a_159_n150# a_351_n150# 0.16fF
+C50 a_n129_n150# a_63_n150# 0.16fF
+C51 a_351_n150# a_447_n150# 0.43fF
+C52 a_n129_n150# a_159_n150# 0.10fF
+C53 a_n225_n150# a_n33_n150# 0.16fF
+C54 a_63_n150# a_n225_n150# 0.10fF
+C55 a_n225_n150# a_n609_n150# 0.07fF
+C56 a_n513_n150# a_n609_n150# 0.43fF
+C57 a_159_n150# a_n225_n150# 0.07fF
+C58 a_n705_n150# a_n513_n150# 0.16fF
+C59 a_735_n150# a_447_n150# 0.10fF
+C60 a_351_n150# a_255_n150# 0.43fF
+C61 a_831_n150# a_927_n150# 0.43fF
+C62 a_n129_n150# a_255_n150# 0.07fF
+C63 a_n129_n150# a_n321_n150# 0.16fF
+C64 a_n33_n150# a_n417_n150# 0.07fF
+C65 a_n417_n150# a_n609_n150# 0.16fF
+C66 a_n897_n150# a_n989_n150# 0.43fF
+C67 a_639_n150# a_543_n150# 0.43fF
+C68 a_831_n150# a_735_n150# 0.43fF
+C69 a_n705_n150# a_n417_n150# 0.10fF
+C70 a_n225_n150# a_n321_n150# 0.43fF
+C71 a_n513_n150# a_n321_n150# 0.16fF
+C72 a_n513_n150# a_n801_n150# 0.10fF
+C73 a_735_n150# a_927_n150# 0.16fF
+C74 a_735_n150# a_351_n150# 0.07fF
+C75 a_927_n150# VSUBS 0.03fF
+C76 a_831_n150# VSUBS 0.03fF
+C77 a_735_n150# VSUBS 0.03fF
+C78 a_639_n150# VSUBS 0.03fF
+C79 a_543_n150# VSUBS 0.03fF
+C80 a_447_n150# VSUBS 0.03fF
+C81 a_351_n150# VSUBS 0.03fF
+C82 a_255_n150# VSUBS 0.03fF
+C83 a_159_n150# VSUBS 0.03fF
+C84 a_63_n150# VSUBS 0.03fF
+C85 a_n33_n150# VSUBS 0.03fF
+C86 a_n129_n150# VSUBS 0.03fF
+C87 a_n225_n150# VSUBS 0.03fF
+C88 a_n321_n150# VSUBS 0.03fF
+C89 a_n417_n150# VSUBS 0.03fF
+C90 a_n513_n150# VSUBS 0.03fF
+C91 a_n609_n150# VSUBS 0.03fF
+C92 a_n705_n150# VSUBS 0.03fF
+C93 a_n801_n150# VSUBS 0.03fF
+C94 a_n897_n150# VSUBS 0.03fF
+C95 a_n989_n150# VSUBS 0.03fF
+C96 a_33_n247# VSUBS 1.04fF
+C97 a_n927_n247# VSUBS 1.04fF
+C98 w_n1127_n369# VSUBS 6.17fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_8GRULZ a_n1761_n132# a_1045_n44# a_n1461_n44# a_n1103_n44#
++ a_n29_n44# a_n387_n44# a_1761_n44# a_n1819_n44# a_1403_n44# a_687_n44# w_n1957_n254#
++ a_329_n44# a_n745_n44#
+X0 a_329_n44# a_n1761_n132# a_n29_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X1 a_1761_n44# a_n1761_n132# a_1403_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X2 a_n745_n44# a_n1761_n132# a_n1103_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X3 a_1045_n44# a_n1761_n132# a_687_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X4 a_n29_n44# a_n1761_n132# a_n387_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X5 a_n1103_n44# a_n1761_n132# a_n1461_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X6 a_n387_n44# a_n1761_n132# a_n745_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X7 a_687_n44# a_n1761_n132# a_329_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X8 a_1403_n44# a_n1761_n132# a_1045_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X9 a_n1461_n44# a_n1761_n132# a_n1819_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+C0 a_n1461_n44# a_n1103_n44# 0.04fF
+C1 a_n29_n44# a_329_n44# 0.04fF
+C2 a_n387_n44# a_n745_n44# 0.04fF
+C3 a_n29_n44# a_n387_n44# 0.04fF
+C4 a_687_n44# a_329_n44# 0.04fF
+C5 a_1045_n44# a_687_n44# 0.04fF
+C6 a_1045_n44# a_1403_n44# 0.04fF
+C7 a_n745_n44# a_n1103_n44# 0.04fF
+C8 a_1761_n44# a_1403_n44# 0.04fF
+C9 a_n1461_n44# a_n1819_n44# 0.04fF
+C10 a_1761_n44# w_n1957_n254# 0.04fF
+C11 a_1403_n44# w_n1957_n254# 0.04fF
+C12 a_1045_n44# w_n1957_n254# 0.04fF
+C13 a_687_n44# w_n1957_n254# 0.04fF
+C14 a_329_n44# w_n1957_n254# 0.04fF
+C15 a_n29_n44# w_n1957_n254# 0.04fF
+C16 a_n387_n44# w_n1957_n254# 0.04fF
+C17 a_n745_n44# w_n1957_n254# 0.04fF
+C18 a_n1103_n44# w_n1957_n254# 0.04fF
+C19 a_n1461_n44# w_n1957_n254# 0.04fF
+C20 a_n1819_n44# w_n1957_n254# 0.04fF
+C21 a_n1761_n132# w_n1957_n254# 3.23fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ND88ZC VSUBS a_303_n150# a_n753_n150# a_n369_n150#
++ w_n1367_n369# a_207_n150# a_n657_n150# a_591_n150# a_n1229_n150# a_n945_n150# a_495_n150#
++ a_n1041_n150# a_n849_n150# a_n81_n150# a_399_n150# a_783_n150# a_1071_n150# a_687_n150#
++ a_975_n150# a_n1137_n150# a_n273_n150# a_111_n150# a_879_n150# a_n177_n150# a_n561_n150#
++ a_15_n150# a_1167_n150# a_n1167_n247# a_n465_n150#
+X0 a_n1137_n150# a_n1167_n247# a_n1229_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_495_n150# a_n1167_n247# a_399_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n561_n150# a_n1167_n247# a_n657_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_111_n150# a_n1167_n247# a_15_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_783_n150# a_n1167_n247# a_687_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_1071_n150# a_n1167_n247# a_975_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_399_n150# a_n1167_n247# a_303_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n465_n150# a_n1167_n247# a_n561_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_687_n150# a_n1167_n247# a_591_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n753_n150# a_n1167_n247# a_n849_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_975_n150# a_n1167_n247# a_879_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n81_n150# a_n1167_n247# a_n177_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_15_n150# a_n1167_n247# a_n81_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_n1041_n150# a_n1167_n247# a_n1137_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n369_n150# a_n1167_n247# a_n465_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_n657_n150# a_n1167_n247# a_n753_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_879_n150# a_n1167_n247# a_783_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_n945_n150# a_n1167_n247# a_n1041_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_1167_n150# a_n1167_n247# a_1071_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_303_n150# a_n1167_n247# a_207_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X20 a_n273_n150# a_n1167_n247# a_n369_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X21 a_591_n150# a_n1167_n247# a_495_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X22 a_n849_n150# a_n1167_n247# a_n945_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X23 a_207_n150# a_n1167_n247# a_111_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X24 a_n177_n150# a_n1167_n247# a_n273_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_783_n150# a_399_n150# 0.07fF
+C1 a_111_n150# a_495_n150# 0.07fF
+C2 a_n849_n150# a_n465_n150# 0.07fF
+C3 a_1071_n150# a_1167_n150# 0.43fF
+C4 a_n945_n150# a_n561_n150# 0.07fF
+C5 a_15_n150# a_303_n150# 0.10fF
+C6 a_975_n150# a_1167_n150# 0.16fF
+C7 a_n369_n150# a_n273_n150# 0.43fF
+C8 a_n465_n150# a_n177_n150# 0.10fF
+C9 a_n657_n150# a_n945_n150# 0.10fF
+C10 a_n945_n150# a_n1041_n150# 0.43fF
+C11 a_n369_n150# a_n753_n150# 0.07fF
+C12 a_111_n150# a_15_n150# 0.43fF
+C13 a_783_n150# a_1167_n150# 0.07fF
+C14 a_15_n150# a_n81_n150# 0.43fF
+C15 a_879_n150# a_591_n150# 0.10fF
+C16 a_207_n150# a_591_n150# 0.07fF
+C17 a_207_n150# a_303_n150# 0.43fF
+C18 a_n945_n150# a_n1229_n150# 0.10fF
+C19 a_n177_n150# a_n369_n150# 0.16fF
+C20 a_n465_n150# a_n369_n150# 0.43fF
+C21 a_879_n150# a_687_n150# 0.16fF
+C22 a_n945_n150# a_n753_n150# 0.16fF
+C23 a_975_n150# a_591_n150# 0.07fF
+C24 a_n657_n150# a_n561_n150# 0.43fF
+C25 a_111_n150# a_207_n150# 0.43fF
+C26 a_111_n150# a_n273_n150# 0.07fF
+C27 a_399_n150# a_591_n150# 0.16fF
+C28 a_207_n150# a_n81_n150# 0.10fF
+C29 a_303_n150# a_399_n150# 0.43fF
+C30 a_n1137_n150# a_n945_n150# 0.16fF
+C31 a_n81_n150# a_n273_n150# 0.16fF
+C32 a_n657_n150# a_n1041_n150# 0.07fF
+C33 a_879_n150# a_495_n150# 0.07fF
+C34 a_207_n150# a_495_n150# 0.10fF
+C35 a_1071_n150# a_687_n150# 0.07fF
+C36 a_n849_n150# a_n945_n150# 0.43fF
+C37 a_687_n150# a_975_n150# 0.10fF
+C38 a_687_n150# a_399_n150# 0.10fF
+C39 a_783_n150# a_591_n150# 0.16fF
+C40 a_111_n150# a_399_n150# 0.10fF
+C41 a_879_n150# w_n1367_n369# 0.04fF
+C42 a_399_n150# a_495_n150# 0.43fF
+C43 a_783_n150# a_687_n150# 0.43fF
+C44 a_n561_n150# a_n273_n150# 0.10fF
+C45 a_111_n150# a_n177_n150# 0.10fF
+C46 a_n1229_n150# a_n1041_n150# 0.16fF
+C47 a_15_n150# a_207_n150# 0.16fF
+C48 a_15_n150# a_n273_n150# 0.10fF
+C49 a_n657_n150# a_n273_n150# 0.07fF
+C50 a_n81_n150# a_n177_n150# 0.43fF
+C51 a_n561_n150# a_n753_n150# 0.16fF
+C52 a_n81_n150# a_n465_n150# 0.07fF
+C53 a_1071_n150# w_n1367_n369# 0.07fF
+C54 a_n657_n150# a_n753_n150# 0.43fF
+C55 w_n1367_n369# a_975_n150# 0.05fF
+C56 a_n1041_n150# a_n753_n150# 0.10fF
+C57 a_783_n150# a_495_n150# 0.10fF
+C58 a_n1137_n150# a_n1041_n150# 0.43fF
+C59 a_n849_n150# a_n561_n150# 0.10fF
+C60 a_15_n150# a_399_n150# 0.07fF
+C61 a_n849_n150# a_n657_n150# 0.16fF
+C62 a_n849_n150# a_n1041_n150# 0.16fF
+C63 a_n177_n150# a_n561_n150# 0.07fF
+C64 a_n465_n150# a_n561_n150# 0.43fF
+C65 a_15_n150# a_n177_n150# 0.16fF
+C66 a_n81_n150# a_n369_n150# 0.10fF
+C67 a_n657_n150# a_n465_n150# 0.16fF
+C68 w_n1367_n369# a_1167_n150# 0.14fF
+C69 a_303_n150# a_591_n150# 0.10fF
+C70 a_n1137_n150# a_n1229_n150# 0.43fF
+C71 a_879_n150# a_1071_n150# 0.16fF
+C72 a_879_n150# a_975_n150# 0.43fF
+C73 a_207_n150# a_399_n150# 0.16fF
+C74 a_n849_n150# a_n1229_n150# 0.07fF
+C75 a_687_n150# a_591_n150# 0.43fF
+C76 a_n1137_n150# a_n753_n150# 0.07fF
+C77 a_303_n150# a_687_n150# 0.07fF
+C78 a_111_n150# a_303_n150# 0.16fF
+C79 a_n369_n150# a_n561_n150# 0.16fF
+C80 a_n849_n150# a_n753_n150# 0.43fF
+C81 a_1071_n150# a_975_n150# 0.43fF
+C82 a_879_n150# a_783_n150# 0.43fF
+C83 a_207_n150# a_n177_n150# 0.07fF
+C84 a_303_n150# a_n81_n150# 0.07fF
+C85 a_15_n150# a_n369_n150# 0.07fF
+C86 a_n657_n150# a_n369_n150# 0.10fF
+C87 a_n177_n150# a_n273_n150# 0.43fF
+C88 a_n465_n150# a_n273_n150# 0.16fF
+C89 a_591_n150# a_495_n150# 0.43fF
+C90 a_303_n150# a_495_n150# 0.16fF
+C91 a_n849_n150# a_n1137_n150# 0.10fF
+C92 a_n465_n150# a_n753_n150# 0.10fF
+C93 a_879_n150# a_1167_n150# 0.10fF
+C94 a_111_n150# a_n81_n150# 0.16fF
+C95 a_1071_n150# a_783_n150# 0.10fF
+C96 a_783_n150# a_975_n150# 0.16fF
+C97 a_687_n150# a_495_n150# 0.16fF
+C98 a_1167_n150# VSUBS 0.03fF
+C99 a_1071_n150# VSUBS 0.03fF
+C100 a_975_n150# VSUBS 0.03fF
+C101 a_879_n150# VSUBS 0.03fF
+C102 a_783_n150# VSUBS 0.03fF
+C103 a_687_n150# VSUBS 0.03fF
+C104 a_591_n150# VSUBS 0.03fF
+C105 a_495_n150# VSUBS 0.03fF
+C106 a_399_n150# VSUBS 0.03fF
+C107 a_303_n150# VSUBS 0.03fF
+C108 a_207_n150# VSUBS 0.03fF
+C109 a_111_n150# VSUBS 0.03fF
+C110 a_15_n150# VSUBS 0.03fF
+C111 a_n81_n150# VSUBS 0.03fF
+C112 a_n177_n150# VSUBS 0.03fF
+C113 a_n273_n150# VSUBS 0.03fF
+C114 a_n369_n150# VSUBS 0.03fF
+C115 a_n465_n150# VSUBS 0.03fF
+C116 a_n561_n150# VSUBS 0.03fF
+C117 a_n657_n150# VSUBS 0.03fF
+C118 a_n753_n150# VSUBS 0.03fF
+C119 a_n849_n150# VSUBS 0.03fF
+C120 a_n945_n150# VSUBS 0.03fF
+C121 a_n1041_n150# VSUBS 0.03fF
+C122 a_n1137_n150# VSUBS 0.03fF
+C123 a_n1229_n150# VSUBS 0.03fF
+C124 a_n1167_n247# VSUBS 2.63fF
+C125 w_n1367_n369# VSUBS 7.85fF
+.ends
+
+.subckt charge_pump nswitch vdd nUp vss Down biasp out pswitch iref nDown Up
+Xsky130_fd_pr__pfet_01v8_4ML9WA_0 vss pswitch vdd pswitch pswitch pswitch nUp pswitch
++ pswitch pswitch pswitch pswitch pswitch pswitch sky130_fd_pr__pfet_01v8_4ML9WA
+Xsky130_fd_pr__nfet_01v8_YCGG98_0 vss out out vss vss vss out out vss vss out vss
++ out out out vss out vss out out out vss vss vss out vss vss nswitch sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_1 iref vss vss iref iref iref vss vss iref iref vss
++ iref vss vss vss iref vss iref vss vss vss vss iref iref vss iref iref iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_2 biasp vss vss biasp biasp biasp vss vss biasp biasp
++ vss biasp vss vss vss biasp vss biasp vss vss vss vss biasp biasp vss biasp biasp
++ iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_MUHGM9_0 nDown iref nswitch vss nswitch nswitch vss nswitch
++ iref nswitch nswitch vss nswitch Down iref iref vss vss nswitch nswitch iref nswitch
++ vss nswitch sky130_fd_pr__nfet_01v8_MUHGM9
+Xsky130_fd_pr__pfet_01v8_NKZXKB_0 vss Up pswitch pswitch pswitch vdd biasp pswitch
++ pswitch pswitch vdd vdd biasp pswitch pswitch nUp vdd biasp pswitch pswitch vdd
++ pswitch biasp biasp vdd sky130_fd_pr__pfet_01v8_NKZXKB
+Xsky130_fd_pr__nfet_01v8_8GRULZ_0 Down nswitch nswitch nswitch nswitch nswitch nswitch
++ nswitch nswitch nswitch vss nswitch nswitch sky130_fd_pr__nfet_01v8_8GRULZ
+Xsky130_fd_pr__pfet_01v8_ND88ZC_0 vss vdd out out vdd out vdd out vdd out vdd vdd
++ vdd vdd out out vdd vdd out out vdd vdd vdd out out out out pswitch vdd sky130_fd_pr__pfet_01v8_ND88ZC
+Xsky130_fd_pr__pfet_01v8_ND88ZC_1 vss biasp vdd vdd vdd vdd biasp vdd biasp vdd biasp
++ biasp biasp biasp vdd vdd biasp biasp vdd vdd biasp biasp biasp vdd vdd vdd vdd
++ biasp biasp sky130_fd_pr__pfet_01v8_ND88ZC
+C0 out nUp 0.31fF
+C1 pswitch nswitch 0.06fF
+C2 biasp nswitch 0.03fF
+C3 nDown Down 0.13fF
+C4 Up nUp 0.15fF
+C5 out nswitch 1.28fF
+C6 pswitch vdd 3.98fF
+C7 biasp vdd 2.64fF
+C8 out vdd 6.66fF
+C9 biasp iref 0.80fF
+C10 nUp Down 0.25fF
+C11 vdd nswitch 0.07fF
+C12 pswitch biasp 3.11fF
+C13 nDown nswitch 0.31fF
+C14 out pswitch 4.91fF
+C15 iref nswitch 1.91fF
+C16 Down nswitch 2.27fF
+C17 pswitch nUp 5.66fF
+C18 pswitch Up 0.70fF
+C19 vdd vss 35.71fF
+C20 Down vss 4.77fF
+C21 Up vss 1.17fF
+C22 nswitch vss 6.39fF
+C23 nDown vss 1.11fF
+C24 biasp vss 8.73fF
+C25 iref vss 10.12fF
+C26 out vss -3.49fF
+C27 pswitch vss 3.45fF
+C28 nUp vss 5.85fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4798MH VSUBS a_81_n156# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n111_n156# a_n15_n156# a_n81_n125#
+X0 a_n81_n125# a_n111_n156# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n15_n156# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_81_n156# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n111_n156# a_n15_n156# 0.02fF
+C1 a_81_n156# a_n15_n156# 0.02fF
+C2 a_111_n125# a_15_n125# 0.36fF
+C3 w_n311_n344# a_15_n125# 0.09fF
+C4 a_n173_n125# a_n81_n125# 0.36fF
+C5 w_n311_n344# a_111_n125# 0.14fF
+C6 a_15_n125# a_n81_n125# 0.36fF
+C7 a_n173_n125# a_15_n125# 0.13fF
+C8 a_111_n125# a_n81_n125# 0.13fF
+C9 a_111_n125# a_n173_n125# 0.08fF
+C10 w_n311_n344# a_n81_n125# 0.09fF
+C11 w_n311_n344# a_n173_n125# 0.14fF
+C12 a_111_n125# VSUBS 0.03fF
+C13 a_15_n125# VSUBS 0.03fF
+C14 a_n81_n125# VSUBS 0.03fF
+C15 a_n173_n125# VSUBS 0.03fF
+C16 a_81_n156# VSUBS 0.05fF
+C17 a_n15_n156# VSUBS 0.05fF
+C18 a_n111_n156# VSUBS 0.05fF
+C19 w_n311_n344# VSUBS 2.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_BHR94T a_n15_n151# w_n311_n335# a_81_n151# a_111_n125#
++ a_15_n125# a_n173_n125# a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_81_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n15_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n173_n125# a_15_n125# 0.13fF
+C1 a_n81_n125# a_15_n125# 0.36fF
+C2 a_111_n125# a_n173_n125# 0.08fF
+C3 a_n81_n125# a_111_n125# 0.13fF
+C4 a_111_n125# a_15_n125# 0.36fF
+C5 a_n15_n151# a_n111_n151# 0.02fF
+C6 a_n15_n151# a_81_n151# 0.02fF
+C7 a_n81_n125# a_n173_n125# 0.36fF
+C8 a_111_n125# w_n311_n335# 0.17fF
+C9 a_15_n125# w_n311_n335# 0.12fF
+C10 a_n81_n125# w_n311_n335# 0.12fF
+C11 a_n173_n125# w_n311_n335# 0.17fF
+C12 a_81_n151# w_n311_n335# 0.05fF
+C13 a_n15_n151# w_n311_n335# 0.05fF
+C14 a_n111_n151# w_n311_n335# 0.05fF
+.ends
+
+.subckt trans_gate m1_187_n605# m1_45_n513# vss vdd
+Xsky130_fd_pr__pfet_01v8_4798MH_0 vss vss m1_187_n605# m1_45_n513# m1_45_n513# vdd
++ vss vss m1_187_n605# sky130_fd_pr__pfet_01v8_4798MH
+Xsky130_fd_pr__nfet_01v8_BHR94T_0 vdd vss vdd m1_187_n605# m1_45_n513# m1_45_n513#
++ vdd m1_187_n605# sky130_fd_pr__nfet_01v8_BHR94T
+C0 vdd m1_187_n605# 0.55fF
+C1 m1_45_n513# vdd 0.69fF
+C2 m1_45_n513# m1_187_n605# 0.36fF
+C3 m1_187_n605# vss 0.93fF
+C4 m1_45_n513# vss 1.31fF
+C5 vdd vss 3.36fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7KT7MH VSUBS a_n111_n186# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n81_n125#
+X0 a_n81_n125# a_n111_n186# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n111_n186# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_n111_n186# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_15_n125# a_n81_n125# 0.36fF
+C1 a_n173_n125# a_n81_n125# 0.36fF
+C2 w_n311_n344# a_n81_n125# 0.09fF
+C3 a_111_n125# a_15_n125# 0.36fF
+C4 a_n173_n125# a_111_n125# 0.08fF
+C5 a_111_n125# w_n311_n344# 0.14fF
+C6 a_n173_n125# a_15_n125# 0.13fF
+C7 a_15_n125# w_n311_n344# 0.09fF
+C8 a_n173_n125# w_n311_n344# 0.14fF
+C9 a_111_n125# a_n81_n125# 0.13fF
+C10 a_111_n125# VSUBS 0.03fF
+C11 a_15_n125# VSUBS 0.03fF
+C12 a_n81_n125# VSUBS 0.03fF
+C13 a_n173_n125# VSUBS 0.03fF
+C14 a_n111_n186# VSUBS 0.26fF
+C15 w_n311_n344# VSUBS 2.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS6QM w_n311_n335# a_111_n125# a_15_n125# a_n173_n125#
++ a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_n111_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n111_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_111_n125# a_15_n125# 0.36fF
+C1 a_n81_n125# a_15_n125# 0.36fF
+C2 a_111_n125# a_n173_n125# 0.08fF
+C3 a_n81_n125# a_n173_n125# 0.36fF
+C4 a_n81_n125# a_111_n125# 0.13fF
+C5 a_n173_n125# a_15_n125# 0.13fF
+C6 a_111_n125# w_n311_n335# 0.17fF
+C7 a_15_n125# w_n311_n335# 0.12fF
+C8 a_n81_n125# w_n311_n335# 0.12fF
+C9 a_n173_n125# w_n311_n335# 0.17fF
+C10 a_n111_n151# w_n311_n335# 0.25fF
+.ends
+
+.subckt inverter_cp_x1 out in vss vdd
+Xsky130_fd_pr__pfet_01v8_7KT7MH_0 vss in out vdd vdd vdd out sky130_fd_pr__pfet_01v8_7KT7MH
+Xsky130_fd_pr__nfet_01v8_2BS6QM_0 vss out vss vss in out sky130_fd_pr__nfet_01v8_2BS6QM
+C0 out in 0.32fF
+C1 vdd out 0.10fF
+C2 out vss 0.77fF
+C3 in vss 0.95fF
+C4 vdd vss 3.13fF
+.ends
+
+.subckt clock_inverter vss inverter_cp_x1_2/in CLK vdd inverter_cp_x1_0/out CLK_d
++ nCLK_d
+Xtrans_gate_0 nCLK_d inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 inverter_cp_x1_0/out CLK vss vdd inverter_cp_x1
+Xinverter_cp_x1_1 inverter_cp_x1_2/in CLK vss vdd inverter_cp_x1
+Xinverter_cp_x1_2 CLK_d inverter_cp_x1_2/in vss vdd inverter_cp_x1
+C0 inverter_cp_x1_2/in vdd 0.21fF
+C1 CLK inverter_cp_x1_0/out 0.31fF
+C2 inverter_cp_x1_2/in CLK_d 0.12fF
+C3 inverter_cp_x1_2/in CLK 0.31fF
+C4 vdd nCLK_d 0.03fF
+C5 vdd CLK_d 0.03fF
+C6 nCLK_d inverter_cp_x1_0/out 0.11fF
+C7 CLK vdd 0.36fF
+C8 vdd inverter_cp_x1_0/out 0.28fF
+C9 CLK_d vss 0.96fF
+C10 inverter_cp_x1_2/in vss 2.01fF
+C11 inverter_cp_x1_0/out vss 1.97fF
+C12 CLK vss 3.03fF
+C13 nCLK_d vss 1.44fF
+C14 vdd vss 16.51fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MJG8BZ VSUBS a_n125_n95# a_63_n95# w_n263_n314# a_n33_n95#
++ a_n63_n192#
+X0 a_63_n95# a_n63_n192# a_n33_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n33_n95# a_n63_n192# a_n125_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+C0 a_n125_n95# a_n33_n95# 0.28fF
+C1 w_n263_n314# a_63_n95# 0.11fF
+C2 a_n33_n95# a_63_n95# 0.28fF
+C3 w_n263_n314# a_n33_n95# 0.08fF
+C4 a_n125_n95# a_63_n95# 0.10fF
+C5 w_n263_n314# a_n125_n95# 0.11fF
+C6 a_63_n95# VSUBS 0.03fF
+C7 a_n33_n95# VSUBS 0.03fF
+C8 a_n125_n95# VSUBS 0.03fF
+C9 a_n63_n192# VSUBS 0.20fF
+C10 w_n263_n314# VSUBS 1.80fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS854 w_n311_n335# a_n129_n213# a_111_n125# a_15_n125#
++ a_n173_n125# a_n81_n125#
+X0 a_111_n125# a_n129_n213# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n129_n213# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n129_n213# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n81_n125# a_15_n125# 0.36fF
+C1 a_n129_n213# a_n173_n125# 0.02fF
+C2 a_n129_n213# a_111_n125# 0.01fF
+C3 a_n173_n125# a_15_n125# 0.13fF
+C4 a_15_n125# a_111_n125# 0.36fF
+C5 a_n81_n125# a_n173_n125# 0.36fF
+C6 a_n129_n213# a_15_n125# 0.10fF
+C7 a_n81_n125# a_111_n125# 0.13fF
+C8 a_n173_n125# a_111_n125# 0.08fF
+C9 a_n129_n213# a_n81_n125# 0.10fF
+C10 a_111_n125# w_n311_n335# 0.05fF
+C11 a_15_n125# w_n311_n335# 0.05fF
+C12 a_n81_n125# w_n311_n335# 0.05fF
+C13 a_n173_n125# w_n311_n335# 0.05fF
+C14 a_n129_n213# w_n311_n335# 0.49fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_KU9PSX a_n125_n95# a_n33_n95# a_n81_n183# w_n263_n305#
+X0 a_n33_n95# a_n81_n183# a_n125_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n125_n95# a_n81_n183# a_n33_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+C0 a_n81_n183# a_n125_n95# 0.16fF
+C1 a_n33_n95# a_n125_n95# 0.88fF
+C2 a_n81_n183# a_n33_n95# 0.10fF
+C3 a_n33_n95# w_n263_n305# 0.07fF
+C4 a_n125_n95# w_n263_n305# 0.13fF
+C5 a_n81_n183# w_n263_n305# 0.31fF
+.ends
+
+.subckt latch_diff m1_657_280# nQ Q vss CLK vdd nD D
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_0 vss vdd vdd vdd nQ Q sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_1 vss vdd vdd vdd Q nQ sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__nfet_01v8_2BS854_0 vss CLK vss m1_657_280# m1_657_280# vss sky130_fd_pr__nfet_01v8_2BS854
+Xsky130_fd_pr__nfet_01v8_KU9PSX_0 m1_657_280# Q nD vss sky130_fd_pr__nfet_01v8_KU9PSX
+Xsky130_fd_pr__nfet_01v8_KU9PSX_1 m1_657_280# nQ D vss sky130_fd_pr__nfet_01v8_KU9PSX
+C0 nQ D 0.05fF
+C1 Q D 0.05fF
+C2 nD nQ 0.05fF
+C3 nD Q 0.05fF
+C4 nQ vdd 0.16fF
+C5 Q vdd 0.16fF
+C6 nQ Q 0.93fF
+C7 nQ m1_657_280# 1.41fF
+C8 m1_657_280# Q 0.94fF
+C9 m1_657_280# CLK 0.24fF
+C10 nQ vss 1.16fF
+C11 D vss 0.53fF
+C12 Q vss -0.55fF
+C13 m1_657_280# vss 1.88fF
+C14 nD vss 0.16fF
+C15 CLK vss 0.87fF
+C16 vdd vss 5.98fF
+.ends
+
+.subckt DFlipFlop latch_diff_0/m1_657_280# vss latch_diff_1/D clock_inverter_0/inverter_cp_x1_2/in
++ nQ Q latch_diff_1/nD D latch_diff_1/m1_657_280# latch_diff_0/D vdd CLK clock_inverter_0/inverter_cp_x1_0/out
++ nCLK latch_diff_0/nD
+Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in D vdd clock_inverter_0/inverter_cp_x1_0/out
++ latch_diff_0/D latch_diff_0/nD clock_inverter
+Xlatch_diff_0 latch_diff_0/m1_657_280# latch_diff_1/nD latch_diff_1/D vss CLK vdd
++ latch_diff_0/nD latch_diff_0/D latch_diff
+Xlatch_diff_1 latch_diff_1/m1_657_280# nQ Q vss nCLK vdd latch_diff_1/nD latch_diff_1/D
++ latch_diff
+C0 latch_diff_1/m1_657_280# latch_diff_1/nD 0.42fF
+C1 vdd clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C2 latch_diff_0/m1_657_280# latch_diff_1/D 0.43fF
+C3 latch_diff_0/m1_657_280# latch_diff_1/nD 0.14fF
+C4 latch_diff_1/D latch_diff_1/nD 0.33fF
+C5 Q latch_diff_1/nD 0.01fF
+C6 vdd latch_diff_1/D 0.03fF
+C7 vdd latch_diff_1/nD 0.02fF
+C8 nQ latch_diff_1/D 0.11fF
+C9 nQ latch_diff_1/nD 0.08fF
+C10 latch_diff_0/m1_657_280# latch_diff_0/nD 0.38fF
+C11 latch_diff_0/m1_657_280# latch_diff_0/D 0.37fF
+C12 latch_diff_0/nD latch_diff_1/D 0.41fF
+C13 latch_diff_0/m1_657_280# latch_diff_1/m1_657_280# 0.18fF
+C14 latch_diff_0/D latch_diff_1/D 0.11fF
+C15 vdd latch_diff_0/nD 0.14fF
+C16 latch_diff_0/D latch_diff_1/nD 0.04fF
+C17 vdd latch_diff_0/D 0.09fF
+C18 latch_diff_1/m1_657_280# latch_diff_1/D 0.32fF
+C19 nQ vss 0.57fF
+C20 Q vss -0.92fF
+C21 latch_diff_1/m1_657_280# vss 0.64fF
+C22 nCLK vss 0.83fF
+C23 latch_diff_1/nD vss 1.83fF
+C24 latch_diff_1/D vss -0.30fF
+C25 latch_diff_0/m1_657_280# vss 0.72fF
+C26 CLK vss 0.83fF
+C27 latch_diff_0/D vss 1.29fF
+C28 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C29 clock_inverter_0/inverter_cp_x1_0/out vss 1.84fF
+C30 D vss 3.27fF
+C31 latch_diff_0/nD vss 1.74fF
+C32 vdd vss 32.62fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZP3U9B VSUBS a_n221_n84# a_159_n84# w_n359_n303# a_n63_n110#
++ a_n129_n84# a_33_n110# a_n159_n110# a_63_n84# a_129_n110# a_n33_n84#
+X0 a_n129_n84# a_n159_n110# a_n221_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_63_n84# a_33_n110# a_n33_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 a_n33_n84# a_n63_n110# a_n129_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_159_n84# a_129_n110# a_63_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+C0 a_n129_n84# w_n359_n303# 0.06fF
+C1 a_159_n84# w_n359_n303# 0.08fF
+C2 a_n63_n110# a_n159_n110# 0.02fF
+C3 a_63_n84# a_n33_n84# 0.24fF
+C4 a_n33_n84# a_n221_n84# 0.09fF
+C5 a_n129_n84# a_n33_n84# 0.24fF
+C6 a_159_n84# a_n33_n84# 0.09fF
+C7 w_n359_n303# a_n33_n84# 0.05fF
+C8 a_129_n110# a_33_n110# 0.02fF
+C9 a_63_n84# a_n221_n84# 0.05fF
+C10 a_63_n84# a_n129_n84# 0.09fF
+C11 a_159_n84# a_63_n84# 0.24fF
+C12 a_n129_n84# a_n221_n84# 0.24fF
+C13 a_159_n84# a_n221_n84# 0.04fF
+C14 a_159_n84# a_n129_n84# 0.05fF
+C15 a_63_n84# w_n359_n303# 0.06fF
+C16 w_n359_n303# a_n221_n84# 0.08fF
+C17 a_n63_n110# a_33_n110# 0.02fF
+C18 a_159_n84# VSUBS 0.03fF
+C19 a_63_n84# VSUBS 0.03fF
+C20 a_n33_n84# VSUBS 0.03fF
+C21 a_n129_n84# VSUBS 0.03fF
+C22 a_n221_n84# VSUBS 0.03fF
+C23 a_129_n110# VSUBS 0.05fF
+C24 a_33_n110# VSUBS 0.05fF
+C25 a_n63_n110# VSUBS 0.05fF
+C26 a_n159_n110# VSUBS 0.05fF
+C27 w_n359_n303# VSUBS 2.19fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_DXA56D w_n359_n252# a_n33_n42# a_129_n68# a_n159_n68#
++ a_n221_n42# a_159_n42# a_n129_n42# a_33_n68# a_n63_n68# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n129_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2 a_159_n42# a_129_n68# a_63_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3 a_n129_n42# a_n159_n68# a_n221_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_63_n42# a_n221_n42# 0.03fF
+C1 a_n129_n42# a_n33_n42# 0.12fF
+C2 a_n33_n42# a_63_n42# 0.12fF
+C3 a_n33_n42# a_n221_n42# 0.05fF
+C4 a_33_n68# a_129_n68# 0.02fF
+C5 a_n63_n68# a_33_n68# 0.02fF
+C6 a_n129_n42# a_159_n42# 0.03fF
+C7 a_63_n42# a_159_n42# 0.12fF
+C8 a_159_n42# a_n221_n42# 0.02fF
+C9 a_n33_n42# a_159_n42# 0.05fF
+C10 a_n63_n68# a_n159_n68# 0.02fF
+C11 a_n129_n42# a_63_n42# 0.05fF
+C12 a_n129_n42# a_n221_n42# 0.12fF
+C13 a_159_n42# w_n359_n252# 0.07fF
+C14 a_63_n42# w_n359_n252# 0.06fF
+C15 a_n33_n42# w_n359_n252# 0.06fF
+C16 a_n129_n42# w_n359_n252# 0.06fF
+C17 a_n221_n42# w_n359_n252# 0.07fF
+C18 a_129_n68# w_n359_n252# 0.05fF
+C19 a_33_n68# w_n359_n252# 0.05fF
+C20 a_n63_n68# w_n359_n252# 0.05fF
+C21 a_n159_n68# w_n359_n252# 0.05fF
+.ends
+
+.subckt inverter_min_x4 in vss out vdd
+Xsky130_fd_pr__pfet_01v8_ZP3U9B_0 vss out out vdd in vdd in in vdd in out sky130_fd_pr__pfet_01v8_ZP3U9B
+Xsky130_fd_pr__nfet_01v8_DXA56D_0 vss out in in out out vss in in vss sky130_fd_pr__nfet_01v8_DXA56D
+C0 in vdd 0.33fF
+C1 vdd out 0.62fF
+C2 in out 0.67fF
+C3 out vss 0.66fF
+C4 in vss 1.89fF
+C5 vdd vss 3.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_5RJ8EK a_n33_n42# a_33_n68# w_n263_n252# a_n63_n68#
++ a_n125_n42# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n125_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_33_n68# a_n63_n68# 0.02fF
+C1 a_63_n42# a_n125_n42# 0.05fF
+C2 a_n33_n42# a_63_n42# 0.12fF
+C3 a_n33_n42# a_n125_n42# 0.12fF
+C4 a_63_n42# w_n263_n252# 0.09fF
+C5 a_n33_n42# w_n263_n252# 0.07fF
+C6 a_n125_n42# w_n263_n252# 0.09fF
+C7 a_33_n68# w_n263_n252# 0.05fF
+C8 a_n63_n68# w_n263_n252# 0.05fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZPB9BB VSUBS a_n63_n110# a_33_n110# a_n125_n84# a_63_n84#
++ w_n263_n303# a_n33_n84#
+X0 a_63_n84# a_33_n110# a_n33_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_n33_n84# a_n63_n110# a_n125_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+C0 a_n125_n84# a_63_n84# 0.09fF
+C1 a_63_n84# w_n263_n303# 0.10fF
+C2 a_n125_n84# a_n33_n84# 0.24fF
+C3 a_n33_n84# w_n263_n303# 0.07fF
+C4 a_n63_n110# a_33_n110# 0.02fF
+C5 a_n33_n84# a_63_n84# 0.24fF
+C6 a_n125_n84# w_n263_n303# 0.10fF
+C7 a_63_n84# VSUBS 0.03fF
+C8 a_n33_n84# VSUBS 0.03fF
+C9 a_n125_n84# VSUBS 0.03fF
+C10 a_33_n110# VSUBS 0.05fF
+C11 a_n63_n110# VSUBS 0.05fF
+C12 w_n263_n303# VSUBS 1.74fF
+.ends
+
+.subckt inverter_min_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_5RJ8EK_0 vss in vss in out out sky130_fd_pr__nfet_01v8_5RJ8EK
+Xsky130_fd_pr__pfet_01v8_ZPB9BB_0 vss in in out out vdd vdd sky130_fd_pr__pfet_01v8_ZPB9BB
+C0 vdd out 0.15fF
+C1 in out 0.30fF
+C2 vdd in 0.01fF
+C3 vdd vss 2.93fF
+C4 out vss 0.66fF
+C5 in vss 0.72fF
+.ends
+
+.subckt div_by_2 vss vdd clock_inverter_0/inverter_cp_x1_2/in CLK_2 nCLK_2 o1 CLK
++ out_div o2 clock_inverter_0/inverter_cp_x1_0/out nout_div
+XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ nout_div out_div DFlipFlop_0/latch_diff_1/nD nout_div DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd DFlipFlop_0/CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
+Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in CLK vdd clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_0/CLK DFlipFlop_0/nCLK clock_inverter
+Xinverter_min_x4_0 o1 vss CLK_2 vdd inverter_min_x4
+Xinverter_min_x4_1 o2 vss nCLK_2 vdd inverter_min_x4
+Xinverter_min_x2_0 nout_div o2 vss vdd inverter_min_x2
+Xinverter_min_x2_1 out_div o1 vss vdd inverter_min_x2
+C0 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_0/D 0.13fF
+C1 vdd CLK_2 0.08fF
+C2 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C3 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_0/m1_657_280# 0.26fF
+C4 DFlipFlop_0/latch_diff_1/m1_657_280# o2 0.02fF
+C5 DFlipFlop_0/CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out 0.29fF
+C6 DFlipFlop_0/nCLK nout_div 0.43fF
+C7 DFlipFlop_0/latch_diff_1/nD nout_div 1.18fF
+C8 o1 vdd 0.14fF
+C9 nCLK_2 o2 0.11fF
+C10 vdd nout_div 0.16fF
+C11 DFlipFlop_0/CLK nout_div 0.42fF
+C12 nout_div DFlipFlop_0/latch_diff_1/D 0.64fF
+C13 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_1/nD -0.09fF
+C14 nout_div DFlipFlop_0/latch_diff_0/nD 0.07fF
+C15 DFlipFlop_0/nCLK vdd 0.30fF
+C16 o1 out_div 0.01fF
+C17 nout_div out_div 0.22fF
+C18 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_1/D 0.08fF
+C19 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_1/nD 0.11fF
+C20 DFlipFlop_0/nCLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.46fF
+C21 o1 DFlipFlop_0/latch_diff_1/m1_657_280# 0.02fF
+C22 DFlipFlop_0/CLK vdd 0.40fF
+C23 DFlipFlop_0/latch_diff_1/m1_657_280# nout_div 0.21fF
+C24 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C25 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_1/D -0.48fF
+C26 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_0/nD 0.12fF
+C27 vdd out_div 0.03fF
+C28 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_1/m1_657_280# 0.26fF
+C29 o1 CLK_2 0.11fF
+C30 DFlipFlop_0/latch_diff_0/m1_657_280# nout_div 0.24fF
+C31 vdd o2 0.14fF
+C32 nCLK_2 vdd 0.08fF
+C33 DFlipFlop_0/latch_diff_0/D nout_div 0.09fF
+C34 clock_inverter_0/inverter_cp_x1_0/out vdd 0.10fF
+C35 nCLK_2 vss 1.08fF
+C36 o2 vss 2.21fF
+C37 CLK_2 vss 1.08fF
+C38 o1 vss 2.21fF
+C39 DFlipFlop_0/CLK vss 1.03fF
+C40 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C41 clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C42 CLK vss 3.27fF
+C43 DFlipFlop_0/nCLK vss 1.76fF
+C44 out_div vss -0.77fF
+C45 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.63fF
+C46 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C47 DFlipFlop_0/latch_diff_1/D vss -1.72fF
+C48 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C49 DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C50 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
+C51 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.80fF
+C52 nout_div vss 4.41fF
+C53 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C54 vdd vss 64.43fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_58ZKDE VSUBS a_n257_n777# a_n129_n600# a_n221_n600#
++ w_n257_n702#
+X0 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X1 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X2 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X3 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+C0 a_n257_n777# a_n221_n600# 0.25fF
+C1 a_n129_n600# a_n221_n600# 7.87fF
+C2 a_n129_n600# a_n257_n777# 0.29fF
+C3 a_n129_n600# VSUBS 0.10fF
+C4 a_n221_n600# VSUBS 0.25fF
+C5 a_n257_n777# VSUBS 1.05fF
+C6 w_n257_n702# VSUBS 2.16fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_T69Y3A a_n129_n300# a_n221_n300# w_n257_n327# a_n257_n404#
+X0 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X1 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X2 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X3 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+C0 a_n221_n300# a_n129_n300# 4.05fF
+C1 a_n257_n404# a_n129_n300# 0.30fF
+C2 a_n257_n404# a_n221_n300# 0.21fF
+C3 a_n129_n300# w_n257_n327# 0.11fF
+C4 a_n221_n300# w_n257_n327# 0.25fF
+C5 a_n257_n404# w_n257_n327# 1.11fF
+.ends
+
+.subckt buffer_salida a_678_n100# out in vss vdd
+Xsky130_fd_pr__pfet_01v8_58ZKDE_1 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_2 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_3 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_0 a_678_n100# vss vss in sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_1 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_4 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_5 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_2 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_3 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_6 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_4 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_7 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_70 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_8 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_5 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_71 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_60 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_6 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_9 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_72 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_61 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_50 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_7 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_62 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_51 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_40 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_8 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_63 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_52 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_41 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_30 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_9 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_20 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_64 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_53 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_42 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_31 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_10 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_21 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_65 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_54 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_43 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_32 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_11 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_22 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_66 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_55 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_44 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_33 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_12 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_23 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_67 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_56 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_45 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_34 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_13 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_24 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_68 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_57 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_46 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_35 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_14 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_69 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_58 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_47 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_36 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_25 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_15 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_59 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_48 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_37 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_26 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_16 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_49 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_38 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_27 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_70 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_17 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_39 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_28 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_71 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_60 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_18 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_29 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_72 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_61 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_50 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_19 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_62 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_51 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_40 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_63 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_52 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_41 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_30 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_20 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_64 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_53 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_42 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_31 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_10 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_21 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_65 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_54 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_43 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_32 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_11 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_22 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_66 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_55 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_44 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_33 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_12 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_23 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_67 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_56 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_45 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_34 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_13 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_24 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_68 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_57 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_46 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_35 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_14 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_69 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_58 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_47 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_36 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_25 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_15 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_59 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_48 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_37 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_26 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_16 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_49 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_38 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_27 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_17 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_39 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_28 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_18 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_29 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_19 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_0 vss in a_678_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+C0 a_3996_n100# vdd 3.68fF
+C1 vdd in 0.02fF
+C2 a_3996_n100# out 55.19fF
+C3 a_3996_n100# a_678_n100# 6.52fF
+C4 a_678_n100# in 0.81fF
+C5 vdd out 47.17fF
+C6 vdd a_678_n100# 0.08fF
+C7 vdd vss 20.93fF
+C8 out vss 35.17fF
+C9 a_3996_n100# vss 49.53fF
+C10 a_678_n100# vss 13.08fF
+C11 in vss 0.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_CBAU6Y a_n73_n150# a_n33_n238# w_n211_n360# a_15_n150#
+X0 a_15_n150# a_n33_n238# a_n73_n150# w_n211_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n73_n150# a_n33_n238# 0.02fF
+C1 a_15_n150# a_n33_n238# 0.02fF
+C2 a_15_n150# a_n73_n150# 0.51fF
+C3 a_15_n150# w_n211_n360# 0.23fF
+C4 a_n73_n150# w_n211_n360# 0.23fF
+C5 a_n33_n238# w_n211_n360# 0.17fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4757AC VSUBS a_n73_n150# a_n33_181# w_n211_n369# a_15_n150#
+X0 a_15_n150# a_n33_181# a_n73_n150# w_n211_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n33_181# w_n211_n369# 0.05fF
+C1 a_n73_n150# w_n211_n369# 0.20fF
+C2 a_15_n150# a_n33_181# 0.01fF
+C3 a_n73_n150# a_15_n150# 0.51fF
+C4 a_n73_n150# a_n33_181# 0.01fF
+C5 a_15_n150# w_n211_n369# 0.20fF
+C6 a_15_n150# VSUBS 0.03fF
+C7 a_n73_n150# VSUBS 0.03fF
+C8 a_n33_181# VSUBS 0.13fF
+C9 w_n211_n369# VSUBS 1.98fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_7H8F5S a_n465_172# a_n417_n150# a_351_n150# a_255_n150#
++ w_n647_n360# a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150#
+X0 a_159_n150# a_n465_172# a_63_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n225_n150# a_n465_172# a_n321_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_447_n150# a_n465_172# a_351_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_63_n150# a_n465_172# a_n33_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n465_172# a_n225_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n465_172# a_n509_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n33_n150# a_n465_172# a_n129_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_351_n150# a_n465_172# a_255_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_255_n150# a_n465_172# a_159_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n321_n150# a_n465_172# a_n417_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n509_n150# a_n465_172# 0.01fF
+C1 a_447_n150# a_n465_172# 0.01fF
+C2 a_255_n150# a_n129_n150# 0.07fF
+C3 a_n33_n150# a_n465_172# 0.10fF
+C4 a_n417_n150# a_n465_172# 0.10fF
+C5 a_n321_n150# a_n129_n150# 0.16fF
+C6 a_n129_n150# a_n509_n150# 0.07fF
+C7 a_n33_n150# a_n129_n150# 0.43fF
+C8 a_n417_n150# a_n129_n150# 0.10fF
+C9 a_159_n150# a_351_n150# 0.16fF
+C10 a_n129_n150# a_n465_172# 0.10fF
+C11 a_n225_n150# a_159_n150# 0.07fF
+C12 a_63_n150# a_351_n150# 0.10fF
+C13 a_63_n150# a_159_n150# 0.43fF
+C14 a_255_n150# a_351_n150# 0.43fF
+C15 a_63_n150# a_n225_n150# 0.10fF
+C16 a_159_n150# a_255_n150# 0.43fF
+C17 a_351_n150# a_447_n150# 0.43fF
+C18 a_n33_n150# a_351_n150# 0.07fF
+C19 a_159_n150# a_447_n150# 0.10fF
+C20 a_n33_n150# a_159_n150# 0.16fF
+C21 a_n225_n150# a_n321_n150# 0.43fF
+C22 a_n225_n150# a_n509_n150# 0.10fF
+C23 a_63_n150# a_255_n150# 0.16fF
+C24 a_351_n150# a_n465_172# 0.10fF
+C25 a_63_n150# a_n321_n150# 0.07fF
+C26 a_n33_n150# a_n225_n150# 0.16fF
+C27 a_n417_n150# a_n225_n150# 0.16fF
+C28 a_63_n150# a_447_n150# 0.07fF
+C29 a_159_n150# a_n465_172# 0.10fF
+C30 a_n33_n150# a_63_n150# 0.43fF
+C31 a_n225_n150# a_n465_172# 0.10fF
+C32 a_159_n150# a_n129_n150# 0.10fF
+C33 a_255_n150# a_447_n150# 0.16fF
+C34 a_n321_n150# a_n509_n150# 0.16fF
+C35 a_63_n150# a_n465_172# 0.10fF
+C36 a_n33_n150# a_255_n150# 0.10fF
+C37 a_n225_n150# a_n129_n150# 0.43fF
+C38 a_n33_n150# a_n321_n150# 0.10fF
+C39 a_n417_n150# a_n321_n150# 0.43fF
+C40 a_n417_n150# a_n509_n150# 0.43fF
+C41 a_63_n150# a_n129_n150# 0.16fF
+C42 a_255_n150# a_n465_172# 0.10fF
+C43 a_n33_n150# a_n417_n150# 0.07fF
+C44 a_n321_n150# a_n465_172# 0.10fF
+C45 a_447_n150# w_n647_n360# 0.17fF
+C46 a_351_n150# w_n647_n360# 0.10fF
+C47 a_255_n150# w_n647_n360# 0.08fF
+C48 a_159_n150# w_n647_n360# 0.07fF
+C49 a_63_n150# w_n647_n360# 0.04fF
+C50 a_n33_n150# w_n647_n360# 0.04fF
+C51 a_n129_n150# w_n647_n360# 0.04fF
+C52 a_n225_n150# w_n647_n360# 0.07fF
+C53 a_n321_n150# w_n647_n360# 0.08fF
+C54 a_n417_n150# w_n647_n360# 0.10fF
+C55 a_n509_n150# w_n647_n360# 0.17fF
+C56 a_n465_172# w_n647_n360# 1.49fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_8DL6ZL VSUBS a_n417_n150# a_351_n150# a_255_n150#
++ a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n465_n247# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150# w_n647_n369#
+X0 a_63_n150# a_n465_n247# a_n33_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n129_n150# a_n465_n247# a_n225_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n417_n150# a_n465_n247# a_n509_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n33_n150# a_n465_n247# a_n129_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_351_n150# a_n465_n247# a_255_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_255_n150# a_n465_n247# a_159_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n321_n150# a_n465_n247# a_n417_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_159_n150# a_n465_n247# a_63_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n225_n150# a_n465_n247# a_n321_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_447_n150# a_n465_n247# a_351_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_255_n150# a_447_n150# 0.16fF
+C1 a_n33_n150# a_159_n150# 0.16fF
+C2 a_n465_n247# a_159_n150# 0.08fF
+C3 w_n647_n369# a_63_n150# 0.02fF
+C4 a_n321_n150# a_63_n150# 0.07fF
+C5 a_63_n150# a_447_n150# 0.07fF
+C6 a_n225_n150# a_63_n150# 0.10fF
+C7 a_n129_n150# a_255_n150# 0.07fF
+C8 w_n647_n369# a_351_n150# 0.07fF
+C9 a_n33_n150# a_255_n150# 0.10fF
+C10 a_351_n150# a_447_n150# 0.43fF
+C11 a_n465_n247# a_255_n150# 0.08fF
+C12 a_n129_n150# a_63_n150# 0.16fF
+C13 w_n647_n369# a_n509_n150# 0.14fF
+C14 a_n321_n150# a_n509_n150# 0.16fF
+C15 a_n33_n150# a_63_n150# 0.43fF
+C16 a_n225_n150# a_n509_n150# 0.10fF
+C17 w_n647_n369# a_n321_n150# 0.05fF
+C18 a_n465_n247# a_63_n150# 0.08fF
+C19 w_n647_n369# a_447_n150# 0.14fF
+C20 a_n225_n150# w_n647_n369# 0.04fF
+C21 a_n33_n150# a_351_n150# 0.07fF
+C22 a_n225_n150# a_n321_n150# 0.43fF
+C23 a_n129_n150# a_n509_n150# 0.07fF
+C24 a_n465_n247# a_351_n150# 0.08fF
+C25 a_n129_n150# w_n647_n369# 0.02fF
+C26 a_n129_n150# a_n321_n150# 0.16fF
+C27 w_n647_n369# a_n33_n150# 0.02fF
+C28 a_n225_n150# a_n129_n150# 0.43fF
+C29 a_n321_n150# a_n33_n150# 0.10fF
+C30 a_159_n150# a_255_n150# 0.43fF
+C31 a_n509_n150# a_n417_n150# 0.43fF
+C32 w_n647_n369# a_n465_n247# 0.47fF
+C33 a_n321_n150# a_n465_n247# 0.08fF
+C34 a_n225_n150# a_n33_n150# 0.16fF
+C35 w_n647_n369# a_n417_n150# 0.07fF
+C36 a_n225_n150# a_n465_n247# 0.08fF
+C37 a_n321_n150# a_n417_n150# 0.43fF
+C38 a_159_n150# a_63_n150# 0.43fF
+C39 a_n225_n150# a_n417_n150# 0.16fF
+C40 a_n129_n150# a_n33_n150# 0.43fF
+C41 a_n129_n150# a_n465_n247# 0.08fF
+C42 a_159_n150# a_351_n150# 0.16fF
+C43 a_n465_n247# a_n33_n150# 0.08fF
+C44 a_n129_n150# a_n417_n150# 0.10fF
+C45 a_255_n150# a_63_n150# 0.16fF
+C46 a_n33_n150# a_n417_n150# 0.07fF
+C47 a_n465_n247# a_n417_n150# 0.08fF
+C48 w_n647_n369# a_159_n150# 0.04fF
+C49 a_255_n150# a_351_n150# 0.43fF
+C50 a_159_n150# a_447_n150# 0.10fF
+C51 a_n225_n150# a_159_n150# 0.07fF
+C52 a_63_n150# a_351_n150# 0.10fF
+C53 w_n647_n369# a_255_n150# 0.05fF
+C54 a_n129_n150# a_159_n150# 0.10fF
+C55 a_447_n150# VSUBS 0.03fF
+C56 a_351_n150# VSUBS 0.03fF
+C57 a_255_n150# VSUBS 0.03fF
+C58 a_159_n150# VSUBS 0.03fF
+C59 a_63_n150# VSUBS 0.03fF
+C60 a_n33_n150# VSUBS 0.03fF
+C61 a_n129_n150# VSUBS 0.03fF
+C62 a_n225_n150# VSUBS 0.03fF
+C63 a_n321_n150# VSUBS 0.03fF
+C64 a_n417_n150# VSUBS 0.03fF
+C65 a_n509_n150# VSUBS 0.03fF
+C66 a_n465_n247# VSUBS 1.07fF
+C67 w_n647_n369# VSUBS 4.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_EDT3AT a_15_n11# a_n33_n99# w_n211_n221# a_n73_n11#
+X0 a_15_n11# a_n33_n99# a_n73_n11# w_n211_n221# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_n73_n11# a_15_n11# 0.15fF
+C1 a_n73_n11# a_n33_n99# 0.02fF
+C2 a_15_n11# a_n33_n99# 0.02fF
+C3 a_15_n11# w_n211_n221# 0.09fF
+C4 a_n73_n11# w_n211_n221# 0.09fF
+C5 a_n33_n99# w_n211_n221# 0.17fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AQR2CW a_n33_66# a_n78_n106# w_n216_n254# a_20_n106#
+X0 a_20_n106# a_n33_66# a_n78_n106# w_n216_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=200000u
+C0 a_n78_n106# a_20_n106# 0.21fF
+C1 a_20_n106# w_n216_n254# 0.14fF
+C2 a_n78_n106# w_n216_n254# 0.14fF
+C3 a_n33_66# w_n216_n254# 0.12fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_HRYSXS VSUBS a_n33_n211# a_n78_n114# w_n216_n334#
++ a_20_n114#
+X0 a_20_n114# a_n33_n211# a_n78_n114# w_n216_n334# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=200000u
+C0 a_n78_n114# w_n216_n334# 0.20fF
+C1 a_n78_n114# a_20_n114# 0.42fF
+C2 w_n216_n334# a_20_n114# 0.20fF
+C3 a_20_n114# VSUBS 0.03fF
+C4 a_n78_n114# VSUBS 0.03fF
+C5 a_n33_n211# VSUBS 0.12fF
+C6 w_n216_n334# VSUBS 1.66fF
+.ends
+
+.subckt inverter_csvco in vbulkn out vbulkp vdd vss
+Xsky130_fd_pr__nfet_01v8_AQR2CW_0 in vss vbulkn out sky130_fd_pr__nfet_01v8_AQR2CW
+Xsky130_fd_pr__pfet_01v8_HRYSXS_0 vbulkn in vdd vbulkp out sky130_fd_pr__pfet_01v8_HRYSXS
+C0 vdd vbulkp 0.04fF
+C1 vbulkp out 0.08fF
+C2 vdd in 0.01fF
+C3 in out 0.11fF
+C4 in vss 0.01fF
+C5 vbulkp vbulkn 2.49fF
+C6 out vbulkn 0.60fF
+C7 vdd vbulkn 0.06fF
+C8 in vbulkn 0.54fF
+C9 vss vbulkn 0.17fF
+.ends
+
+.subckt csvco_branch vctrl in vbp cap_vco_0/t D0 out inverter_csvco_0/vss vss vdd
++ inverter_csvco_0/vdd
+Xsky130_fd_pr__nfet_01v8_7H8F5S_0 vctrl inverter_csvco_0/vss inverter_csvco_0/vss
++ vss vss inverter_csvco_0/vss vss vss inverter_csvco_0/vss vss inverter_csvco_0/vss
++ vss vss sky130_fd_pr__nfet_01v8_7H8F5S
+Xsky130_fd_pr__pfet_01v8_8DL6ZL_0 vss inverter_csvco_0/vdd inverter_csvco_0/vdd vdd
++ inverter_csvco_0/vdd vdd vdd inverter_csvco_0/vdd vbp vdd inverter_csvco_0/vdd vdd
++ vdd vdd sky130_fd_pr__pfet_01v8_8DL6ZL
+Xsky130_fd_pr__nfet_01v8_EDT3AT_0 cap_vco_0/t D0 vss out sky130_fd_pr__nfet_01v8_EDT3AT
+Xinverter_csvco_0 in vss out vdd inverter_csvco_0/vdd inverter_csvco_0/vss inverter_csvco
+C0 vbp inverter_csvco_0/vdd 0.75fF
+C1 inverter_csvco_0/vss D0 0.02fF
+C2 inverter_csvco_0/vss out 0.03fF
+C3 inverter_csvco_0/vss in 0.01fF
+C4 vdd cap_vco_0/t 0.04fF
+C5 D0 out 0.09fF
+C6 vdd vbp 1.21fF
+C7 out cap_vco_0/t 0.70fF
+C8 inverter_csvco_0/vss vctrl 0.87fF
+C9 out in 0.06fF
+C10 vdd inverter_csvco_0/vdd 1.89fF
+C11 out inverter_csvco_0/vdd 0.02fF
+C12 cap_vco_0/t inverter_csvco_0/vdd 0.10fF
+C13 in inverter_csvco_0/vdd 0.01fF
+C14 out vss 0.93fF
+C15 inverter_csvco_0/vdd vss 0.26fF
+C16 in vss 0.69fF
+C17 D0 vss -0.67fF
+C18 vbp vss 0.13fF
+C19 vdd vss 9.58fF
+C20 cap_vco_0/t vss 7.22fF
+C21 inverter_csvco_0/vss vss 1.79fF
+C22 vctrl vss 3.06fF
+.ends
+
+.subckt ring_osc vctrl vdd vss csvco_branch_0/inverter_csvco_0/vss csvco_branch_2/vbp
++ D0 csvco_branch_2/cap_vco_0/t out_vco
+Xsky130_fd_pr__nfet_01v8_CBAU6Y_0 vss vctrl vss csvco_branch_2/vbp sky130_fd_pr__nfet_01v8_CBAU6Y
+Xsky130_fd_pr__pfet_01v8_4757AC_0 vss vdd csvco_branch_2/vbp vdd csvco_branch_2/vbp
++ sky130_fd_pr__pfet_01v8_4757AC
+Xcsvco_branch_0 vctrl out_vco csvco_branch_2/vbp csvco_branch_0/cap_vco_0/t D0 csvco_branch_1/in
++ csvco_branch_0/inverter_csvco_0/vss vss vdd csvco_branch_0/inverter_csvco_0/vdd
++ csvco_branch
+Xcsvco_branch_2 vctrl csvco_branch_2/in csvco_branch_2/vbp csvco_branch_2/cap_vco_0/t
++ D0 out_vco csvco_branch_2/inverter_csvco_0/vss vss vdd csvco_branch_2/inverter_csvco_0/vdd
++ csvco_branch
+Xcsvco_branch_1 vctrl csvco_branch_1/in csvco_branch_2/vbp csvco_branch_1/cap_vco_0/t
++ D0 csvco_branch_2/in csvco_branch_1/inverter_csvco_0/vss vss vdd csvco_branch_1/inverter_csvco_0/vdd
++ csvco_branch
+C0 csvco_branch_2/in out_vco 0.58fF
+C1 csvco_branch_0/inverter_csvco_0/vdd vdd 0.13fF
+C2 D0 csvco_branch_2/inverter_csvco_0/vss 0.68fF
+C3 csvco_branch_0/inverter_csvco_0/vss D0 0.49fF
+C4 vctrl csvco_branch_2/vbp 0.06fF
+C5 csvco_branch_0/inverter_csvco_0/vdd csvco_branch_2/vbp 0.06fF
+C6 csvco_branch_0/cap_vco_0/t out_vco 0.03fF
+C7 csvco_branch_1/inverter_csvco_0/vss D0 0.68fF
+C8 csvco_branch_1/in out_vco 0.76fF
+C9 vctrl D0 4.41fF
+C10 vdd csvco_branch_2/vbp 1.49fF
+C11 vdd csvco_branch_2/inverter_csvco_0/vdd 0.10fF
+C12 csvco_branch_1/inverter_csvco_0/vdd vdd 0.19fF
+C13 csvco_branch_0/inverter_csvco_0/vss csvco_branch_2/vbp 0.06fF
+C14 out_vco csvco_branch_1/cap_vco_0/t 0.03fF
+C15 csvco_branch_2/in vss 1.60fF
+C16 csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C17 csvco_branch_1/cap_vco_0/t vss 7.10fF
+C18 csvco_branch_1/inverter_csvco_0/vss vss 0.72fF
+C19 csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C20 csvco_branch_2/cap_vco_0/t vss 7.10fF
+C21 csvco_branch_2/inverter_csvco_0/vss vss 0.62fF
+C22 csvco_branch_1/in vss 1.58fF
+C23 csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C24 out_vco vss 0.67fF
+C25 D0 vss -1.55fF
+C26 vdd vss 31.40fF
+C27 csvco_branch_0/cap_vco_0/t vss 7.10fF
+C28 csvco_branch_0/inverter_csvco_0/vss vss 0.66fF
+C29 vctrl vss 11.02fF
+C30 csvco_branch_2/vbp vss 0.77fF
+.ends
+
+.subckt ring_osc_buffer vss in_vco vdd o1 out_div out_pad
+Xinverter_min_x4_0 o1 vss out_div vdd inverter_min_x4
+Xinverter_min_x4_1 out_div vss out_pad vdd inverter_min_x4
+Xinverter_min_x2_0 in_vco o1 vss vdd inverter_min_x2
+C0 out_div o1 0.11fF
+C1 o1 vdd 0.09fF
+C2 out_div vdd 0.17fF
+C3 out_div out_pad 0.15fF
+C4 out_pad vdd 0.10fF
+C5 in_vco vss 0.83fF
+C6 out_pad vss 0.70fF
+C7 out_div vss 3.00fF
+C8 vdd vss 14.54fF
+C9 o1 vss 2.72fF
+.ends
+
+.subckt sky130_fd_sc_hs__xor2_1 A B VGND VNB VPB VPWR X a_194_125# a_355_368# a_455_87#
++ a_158_392#
+X0 X B a_455_87# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X1 X a_194_125# a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X2 a_194_125# B a_158_392# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 a_158_392# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 VPWR A a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X5 a_355_368# B VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X6 a_194_125# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X7 a_455_87# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X8 VGND B a_194_125# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X9 VGND a_194_125# X VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+C0 B X 0.13fF
+C1 X VGND 0.28fF
+C2 VPWR X 0.07fF
+C3 a_158_392# a_194_125# 0.06fF
+C4 a_355_368# a_194_125# 0.51fF
+C5 a_355_368# A 0.02fF
+C6 A a_194_125# 0.18fF
+C7 a_355_368# B 0.08fF
+C8 B a_194_125# 0.57fF
+C9 VGND a_194_125# 0.25fF
+C10 a_355_368# VPWR 0.37fF
+C11 VPWR a_194_125# 0.33fF
+C12 B A 0.28fF
+C13 A VGND 0.31fF
+C14 VPWR A 0.15fF
+C15 a_355_368# X 0.17fF
+C16 X a_194_125# 0.29fF
+C17 VPB VPWR 0.06fF
+C18 B VGND 0.10fF
+C19 VPWR B 0.09fF
+C20 VPWR VGND 0.01fF
+C21 VGND VNB 0.78fF
+C22 X VNB 0.21fF
+C23 VPWR VNB 0.78fF
+C24 B VNB 0.56fF
+C25 A VNB 0.70fF
+C26 VPB VNB 0.77fF
+C27 a_355_368# VNB 0.08fF
+C28 a_194_125# VNB 0.40fF
+.ends
+
+.subckt sky130_fd_sc_hs__and2_1 A B VGND VNB VPB VPWR X a_143_136# a_56_136#
+X0 VGND B a_143_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1 X a_56_136# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X2 VPWR B a_56_136# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_143_136# A a_56_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X4 a_56_136# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X5 X a_56_136# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+C0 X VGND 0.15fF
+C1 A a_56_136# 0.17fF
+C2 VGND B 0.03fF
+C3 X a_56_136# 0.26fF
+C4 a_56_136# B 0.30fF
+C5 A B 0.08fF
+C6 X B 0.02fF
+C7 a_56_136# VPWR 0.57fF
+C8 A VPWR 0.07fF
+C9 X VPWR 0.20fF
+C10 VPWR B 0.02fF
+C11 VGND a_56_136# 0.06fF
+C12 VPB VPWR 0.04fF
+C13 A VGND 0.21fF
+C14 VGND VNB 0.50fF
+C15 X VNB 0.23fF
+C16 VPWR VNB 0.50fF
+C17 B VNB 0.24fF
+C18 A VNB 0.36fF
+C19 VPB VNB 0.48fF
+C20 a_56_136# VNB 0.38fF
+.ends
+
+.subckt sky130_fd_sc_hs__or2_1 A B VGND VNB VPB VPWR X a_152_368# a_63_368#
+X0 VPWR A a_152_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_152_368# B a_63_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 X a_63_368# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X3 X a_63_368# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X4 a_63_368# B VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X5 VGND A a_63_368# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+C0 A X 0.02fF
+C1 B a_63_368# 0.14fF
+C2 B VGND 0.11fF
+C3 VPB VPWR 0.04fF
+C4 VPWR X 0.18fF
+C5 A VPWR 0.05fF
+C6 A B 0.10fF
+C7 a_152_368# a_63_368# 0.03fF
+C8 B VPWR 0.01fF
+C9 VGND a_63_368# 0.27fF
+C10 a_63_368# X 0.33fF
+C11 A a_63_368# 0.28fF
+C12 VGND X 0.16fF
+C13 a_63_368# VPWR 0.29fF
+C14 VGND VNB 0.53fF
+C15 X VNB 0.24fF
+C16 A VNB 0.21fF
+C17 B VNB 0.31fF
+C18 VPWR VNB 0.46fF
+C19 VPB VNB 0.48fF
+C20 a_63_368# VNB 0.37fF
+.ends
+
+.subckt div_by_5 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_1/latch_diff_0/D
++ nCLK DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd DFlipFlop_2/latch_diff_0/nD Q0 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ CLK DFlipFlop_2/latch_diff_1/D vss DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ sky130_fd_sc_hs__and2_1_0/a_56_136# nQ0 DFlipFlop_1/latch_diff_1/nD CLK_5 DFlipFlop_3/latch_diff_0/nD
++ nQ2 DFlipFlop_0/latch_diff_0/D DFlipFlop_2/latch_diff_1/nD DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_1/latch_diff_1/D Q1 DFlipFlop_2/D DFlipFlop_3/latch_diff_0/D DFlipFlop_1/D
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_3/latch_diff_1/nD DFlipFlop_0/latch_diff_1/D Q1_shift DFlipFlop_0/latch_diff_0/nD
++ DFlipFlop_2/nQ DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_2/latch_diff_0/D
++ sky130_fd_sc_hs__xor2_1_0/a_158_392# DFlipFlop_3/latch_diff_1/D sky130_fd_sc_hs__or2_1_0/a_63_368#
++ DFlipFlop_1/latch_diff_0/nD sky130_fd_sc_hs__and2_1_1/a_143_136# DFlipFlop_0/Q sky130_fd_sc_hs__and2_1_1/a_56_136#
++ sky130_fd_sc_hs__xor2_1_0/a_194_125# DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ sky130_fd_sc_hs__and2_1_0/a_143_136#
+Xsky130_fd_sc_hs__xor2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# sky130_fd_sc_hs__xor2_1_0/a_455_87# sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ sky130_fd_sc_hs__xor2_1
+XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ nQ2 DFlipFlop_0/Q DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
+XDFlipFlop_1 DFlipFlop_1/latch_diff_0/m1_657_280# vss DFlipFlop_1/latch_diff_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ nQ0 Q0 DFlipFlop_1/latch_diff_1/nD DFlipFlop_1/D DFlipFlop_1/latch_diff_1/m1_657_280#
++ DFlipFlop_1/latch_diff_0/D vdd CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_1/latch_diff_0/nD DFlipFlop
+XDFlipFlop_2 DFlipFlop_2/latch_diff_0/m1_657_280# vss DFlipFlop_2/latch_diff_1/D DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in
++ DFlipFlop_2/nQ Q1 DFlipFlop_2/latch_diff_1/nD DFlipFlop_2/D DFlipFlop_2/latch_diff_1/m1_657_280#
++ DFlipFlop_2/latch_diff_0/D vdd CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_2/latch_diff_0/nD DFlipFlop
+XDFlipFlop_3 DFlipFlop_3/latch_diff_0/m1_657_280# vss DFlipFlop_3/latch_diff_1/D DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ DFlipFlop_3/nQ Q1_shift DFlipFlop_3/latch_diff_1/nD Q1 DFlipFlop_3/latch_diff_1/m1_657_280#
++ DFlipFlop_3/latch_diff_0/D vdd nCLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ CLK DFlipFlop_3/latch_diff_0/nD DFlipFlop
+Xsky130_fd_sc_hs__and2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_0/D sky130_fd_sc_hs__and2_1_0/a_143_136#
++ sky130_fd_sc_hs__and2_1_0/a_56_136# sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__and2_1_1 nQ2 nQ0 vss vss vdd vdd DFlipFlop_1/D sky130_fd_sc_hs__and2_1_1/a_143_136#
++ sky130_fd_sc_hs__and2_1_1/a_56_136# sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__or2_1_0 Q1 Q1_shift vss vss vdd vdd CLK_5 sky130_fd_sc_hs__or2_1_0/a_152_368#
++ sky130_fd_sc_hs__or2_1_0/a_63_368# sky130_fd_sc_hs__or2_1
+C0 nQ0 Q0 0.33fF
+C1 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in Q0 0.42fF
+C2 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in Q1 0.20fF
+C3 nCLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.05fF
+C4 nCLK DFlipFlop_3/latch_diff_1/D 0.14fF
+C5 nCLK DFlipFlop_2/D 0.41fF
+C6 Q0 Q1 9.65fF
+C7 nQ0 sky130_fd_sc_hs__and2_1_1/a_143_136# 0.04fF
+C8 nCLK DFlipFlop_1/latch_diff_1/m1_657_280# 0.28fF
+C9 CLK Q0 0.08fF
+C10 CLK DFlipFlop_0/latch_diff_0/m1_657_280# 0.28fF
+C11 sky130_fd_sc_hs__or2_1_0/a_63_368# Q1 0.10fF
+C12 DFlipFlop_2/latch_diff_1/nD Q1 0.21fF
+C13 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in Q0 0.33fF
+C14 DFlipFlop_0/Q Q0 0.21fF
+C15 sky130_fd_sc_hs__and2_1_1/a_56_136# vdd 0.04fF
+C16 DFlipFlop_2/latch_diff_1/m1_657_280# Q1 0.03fF
+C17 CLK sky130_fd_sc_hs__and2_1_1/a_143_136# 0.03fF
+C18 vdd DFlipFlop_0/D 0.19fF
+C19 nCLK nQ2 0.10fF
+C20 nCLK DFlipFlop_3/latch_diff_1/nD 0.09fF
+C21 DFlipFlop_2/latch_diff_1/nD CLK 0.09fF
+C22 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C23 DFlipFlop_3/latch_diff_0/D Q1 0.09fF
+C24 DFlipFlop_1/D nQ0 0.12fF
+C25 DFlipFlop_3/nQ vdd 0.02fF
+C26 CLK DFlipFlop_3/latch_diff_0/D 0.11fF
+C27 CLK DFlipFlop_2/latch_diff_0/nD 0.08fF
+C28 DFlipFlop_3/latch_diff_0/nD Q1 0.08fF
+C29 DFlipFlop_1/D Q1 0.03fF
+C30 Q0 sky130_fd_sc_hs__and2_1_0/a_56_136# 0.17fF
+C31 CLK_5 sky130_fd_sc_hs__or2_1_0/a_63_368# 0.06fF
+C32 nQ0 Q1 0.06fF
+C33 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in Q1 0.21fF
+C34 CLK DFlipFlop_1/D 0.21fF
+C35 Q0 DFlipFlop_1/latch_diff_0/D 0.42fF
+C36 CLK nQ0 0.19fF
+C37 Q1 DFlipFlop_2/nQ 0.31fF
+C38 nCLK sky130_fd_sc_hs__xor2_1_0/a_194_125# 0.11fF
+C39 CLK Q1 -0.10fF
+C40 nCLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in -0.33fF
+C41 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C42 nCLK Q0 0.20fF
+C43 vdd DFlipFlop_2/D 0.07fF
+C44 sky130_fd_sc_hs__and2_1_1/a_56_136# nQ2 0.01fF
+C45 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in Q1 0.09fF
+C46 DFlipFlop_0/Q Q1 0.13fF
+C47 CLK DFlipFlop_2/nQ 0.13fF
+C48 Q0 DFlipFlop_1/latch_diff_1/nD 0.21fF
+C49 sky130_fd_sc_hs__xor2_1_0/a_455_87# DFlipFlop_2/D 0.08fF
+C50 DFlipFlop_0/Q CLK 0.08fF
+C51 nCLK DFlipFlop_2/latch_diff_1/nD 0.16fF
+C52 Q0 DFlipFlop_0/latch_diff_0/D 0.42fF
+C53 nCLK DFlipFlop_2/latch_diff_1/m1_657_280# 0.28fF
+C54 nQ2 vdd 0.04fF
+C55 Q1 sky130_fd_sc_hs__and2_1_0/a_56_136# 0.14fF
+C56 CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out -0.31fF
+C57 nQ0 DFlipFlop_1/latch_diff_0/nD 0.08fF
+C58 DFlipFlop_1/latch_diff_1/m1_657_280# DFlipFlop_2/D 0.04fF
+C59 nQ0 DFlipFlop_1/latch_diff_0/D 0.09fF
+C60 vdd DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.02fF
+C61 Q1 DFlipFlop_1/latch_diff_0/D 0.18fF
+C62 Q0 DFlipFlop_0/latch_diff_1/nD 0.21fF
+C63 nCLK DFlipFlop_0/latch_diff_1/m1_657_280# 0.28fF
+C64 nCLK DFlipFlop_3/latch_diff_0/nD 0.08fF
+C65 nCLK DFlipFlop_1/D 0.14fF
+C66 DFlipFlop_1/latch_diff_1/D Q0 0.06fF
+C67 CLK DFlipFlop_1/latch_diff_0/nD 0.08fF
+C68 nCLK nQ0 0.09fF
+C69 Q0 DFlipFlop_0/D 0.39fF
+C70 DFlipFlop_3/latch_diff_0/m1_657_280# Q1 0.28fF
+C71 nQ0 DFlipFlop_1/latch_diff_1/nD 0.88fF
+C72 nCLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in 0.14fF
+C73 nCLK Q1 -0.01fF
+C74 DFlipFlop_3/nQ Q1_shift 0.04fF
+C75 DFlipFlop_1/latch_diff_1/nD Q1 0.10fF
+C76 vdd Q1_shift 0.10fF
+C77 sky130_fd_sc_hs__xor2_1_0/a_355_368# vdd 0.03fF
+C78 nQ0 DFlipFlop_1/latch_diff_0/m1_657_280# 0.25fF
+C79 nCLK DFlipFlop_2/nQ 0.09fF
+C80 DFlipFlop_3/latch_diff_1/m1_657_280# Q1 0.28fF
+C81 sky130_fd_sc_hs__xor2_1_0/a_194_125# vdd 0.03fF
+C82 CLK DFlipFlop_1/latch_diff_1/nD 0.09fF
+C83 vdd DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C84 vdd Q0 5.33fF
+C85 Q1 DFlipFlop_0/latch_diff_0/D 0.15fF
+C86 nCLK DFlipFlop_0/Q 0.11fF
+C87 DFlipFlop_3/latch_diff_1/m1_657_280# CLK 0.27fF
+C88 CLK DFlipFlop_1/latch_diff_0/m1_657_280# 0.28fF
+C89 sky130_fd_sc_hs__and2_1_0/a_143_136# Q0 0.03fF
+C90 sky130_fd_sc_hs__or2_1_0/a_152_368# Q1_shift -0.04fF
+C91 vdd sky130_fd_sc_hs__or2_1_0/a_63_368# 0.02fF
+C92 sky130_fd_sc_hs__xor2_1_0/a_194_125# DFlipFlop_2/D 0.08fF
+C93 sky130_fd_sc_hs__and2_1_1/a_56_136# DFlipFlop_1/D 0.04fF
+C94 DFlipFlop_1/latch_diff_1/D nQ0 0.91fF
+C95 sky130_fd_sc_hs__and2_1_1/a_56_136# nQ0 0.01fF
+C96 DFlipFlop_0/latch_diff_1/nD Q1 0.10fF
+C97 Q0 DFlipFlop_2/D 0.25fF
+C98 DFlipFlop_1/latch_diff_1/D Q1 -0.10fF
+C99 Q1 DFlipFlop_0/D 0.13fF
+C100 Q0 DFlipFlop_1/latch_diff_1/m1_657_280# 0.01fF
+C101 Q0 DFlipFlop_0/latch_diff_1/D 0.23fF
+C102 DFlipFlop_2/latch_diff_0/D Q1 0.42fF
+C103 CLK DFlipFlop_0/latch_diff_1/nD 0.02fF
+C104 CLK DFlipFlop_1/latch_diff_1/D 0.14fF
+C105 nCLK DFlipFlop_1/latch_diff_0/D 0.11fF
+C106 CLK sky130_fd_sc_hs__and2_1_1/a_56_136# 0.06fF
+C107 vdd DFlipFlop_1/D 0.25fF
+C108 vdd nQ0 0.11fF
+C109 CLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C110 nCLK DFlipFlop_3/latch_diff_0/m1_657_280# 0.27fF
+C111 DFlipFlop_3/nQ Q1 0.10fF
+C112 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_0/D 0.02fF
+C113 nQ2 Q0 0.23fF
+C114 vdd Q1 9.49fF
+C115 nCLK DFlipFlop_1/latch_diff_1/nD 0.16fF
+C116 nQ2 sky130_fd_sc_hs__and2_1_1/a_143_136# 0.01fF
+C117 vdd DFlipFlop_2/nQ 0.02fF
+C118 CLK DFlipFlop_3/nQ 0.01fF
+C119 sky130_fd_sc_hs__and2_1_0/a_143_136# Q1 0.02fF
+C120 DFlipFlop_2/latch_diff_1/D Q1 0.23fF
+C121 CLK vdd 0.41fF
+C122 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vdd 0.02fF
+C123 DFlipFlop_0/D sky130_fd_sc_hs__and2_1_0/a_56_136# 0.04fF
+C124 DFlipFlop_2/latch_diff_1/D CLK 0.14fF
+C125 Q1 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.15fF
+C126 DFlipFlop_3/latch_diff_1/D Q1 0.79fF
+C127 Q1 DFlipFlop_2/D 0.10fF
+C128 nQ0 DFlipFlop_1/latch_diff_1/m1_657_280# 0.21fF
+C129 DFlipFlop_2/latch_diff_0/m1_657_280# CLK 0.28fF
+C130 CLK_5 vdd 0.15fF
+C131 sky130_fd_sc_hs__xor2_1_0/a_355_368# Q0 0.03fF
+C132 Q1 DFlipFlop_0/latch_diff_1/D 0.06fF
+C133 CLK DFlipFlop_3/latch_diff_1/D 0.08fF
+C134 CLK DFlipFlop_2/D 0.14fF
+C135 sky130_fd_sc_hs__xor2_1_0/a_194_125# Q0 0.26fF
+C136 DFlipFlop_0/latch_diff_1/m1_657_280# nQ2 0.05fF
+C137 nCLK DFlipFlop_0/latch_diff_1/nD 0.05fF
+C138 nCLK DFlipFlop_1/latch_diff_1/D 0.08fF
+C139 vdd sky130_fd_sc_hs__and2_1_0/a_56_136# 0.02fF
+C140 nQ2 nQ0 0.03fF
+C141 CLK DFlipFlop_0/latch_diff_1/D 0.03fF
+C142 sky130_fd_sc_hs__or2_1_0/a_63_368# Q1_shift -0.27fF
+C143 nQ2 Q1 0.07fF
+C144 DFlipFlop_3/latch_diff_1/nD Q1 1.24fF
+C145 nCLK DFlipFlop_2/latch_diff_0/D 0.11fF
+C146 DFlipFlop_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C147 CLK nQ2 0.17fF
+C148 CLK DFlipFlop_3/latch_diff_1/nD 0.16fF
+C149 nCLK DFlipFlop_3/nQ 0.02fF
+C150 DFlipFlop_0/Q nQ2 0.09fF
+C151 nCLK vdd 0.34fF
+C152 nCLK sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.02fF
+C153 CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.15fF
+C154 nCLK DFlipFlop_2/latch_diff_1/D 0.08fF
+C155 Q1 Q1_shift 0.36fF
+C156 DFlipFlop_1/D Q0 0.07fF
+C157 CLK_5 vss -0.18fF
+C158 sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.38fF
+C159 sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.41fF
+C160 sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.38fF
+C161 DFlipFlop_3/nQ vss 0.52fF
+C162 Q1_shift vss -0.29fF
+C163 DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.64fF
+C164 DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C165 DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C166 DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C167 DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C168 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.94fF
+C169 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C170 DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C171 DFlipFlop_2/nQ vss 0.50fF
+C172 Q1 vss 8.55fF
+C173 DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.72fF
+C174 DFlipFlop_2/latch_diff_1/nD vss 0.58fF
+C175 DFlipFlop_2/latch_diff_1/D vss -1.72fF
+C176 DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C177 DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C178 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
+C179 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C180 DFlipFlop_2/D vss 5.34fF
+C181 DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C182 nQ0 vss 3.42fF
+C183 Q0 vss 0.53fF
+C184 DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.62fF
+C185 DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C186 DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C187 DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C188 DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C189 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C190 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.78fF
+C191 DFlipFlop_1/D vss 3.72fF
+C192 DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C193 nQ2 vss 2.05fF
+C194 DFlipFlop_0/Q vss -0.94fF
+C195 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.61fF
+C196 nCLK vss 0.96fF
+C197 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C198 DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C199 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C200 CLK vss 0.20fF
+C201 DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C202 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.88fF
+C203 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C204 DFlipFlop_0/D vss 4.04fF
+C205 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C206 vdd vss 146.76fF
+C207 sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C208 sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.42fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AZESM8 a_n63_n151# a_n33_n125# a_n255_n151# a_33_n151#
++ a_n225_n125# a_63_n125# a_n129_n125# a_n159_n151# w_n455_n335# a_225_n151# a_255_n125#
++ a_129_n151# a_159_n125# a_n317_n125#
+X0 a_159_n125# a_129_n151# a_63_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n225_n125# a_n255_n151# a_n317_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_63_n125# a_33_n151# a_n33_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_n129_n125# a_n159_n151# a_n225_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n33_n125# a_n63_n151# a_n129_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_255_n125# a_225_n151# a_159_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n129_n125# a_159_n125# 0.08fF
+C1 a_n225_n125# a_n129_n125# 0.36fF
+C2 a_n63_n151# a_n159_n151# 0.02fF
+C3 a_n317_n125# a_63_n125# 0.06fF
+C4 a_129_n151# a_33_n151# 0.02fF
+C5 a_n317_n125# a_n33_n125# 0.08fF
+C6 a_n317_n125# a_n225_n125# 0.36fF
+C7 a_n317_n125# a_n129_n125# 0.13fF
+C8 a_129_n151# a_225_n151# 0.02fF
+C9 a_255_n125# a_63_n125# 0.13fF
+C10 a_n33_n125# a_63_n125# 0.36fF
+C11 a_63_n125# a_159_n125# 0.36fF
+C12 a_n225_n125# a_63_n125# 0.08fF
+C13 a_n33_n125# a_255_n125# 0.08fF
+C14 a_63_n125# a_n129_n125# 0.13fF
+C15 a_n255_n151# a_n159_n151# 0.02fF
+C16 a_255_n125# a_159_n125# 0.36fF
+C17 a_255_n125# a_n129_n125# 0.06fF
+C18 a_n63_n151# a_33_n151# 0.02fF
+C19 a_n33_n125# a_159_n125# 0.13fF
+C20 a_n225_n125# a_n33_n125# 0.13fF
+C21 a_n33_n125# a_n129_n125# 0.36fF
+C22 a_n225_n125# a_159_n125# 0.06fF
+C23 a_255_n125# w_n455_n335# 0.14fF
+C24 a_159_n125# w_n455_n335# 0.08fF
+C25 a_63_n125# w_n455_n335# 0.07fF
+C26 a_n33_n125# w_n455_n335# 0.08fF
+C27 a_n129_n125# w_n455_n335# 0.07fF
+C28 a_n225_n125# w_n455_n335# 0.08fF
+C29 a_n317_n125# w_n455_n335# 0.14fF
+C30 a_225_n151# w_n455_n335# 0.05fF
+C31 a_129_n151# w_n455_n335# 0.05fF
+C32 a_33_n151# w_n455_n335# 0.05fF
+C33 a_n63_n151# w_n455_n335# 0.05fF
+C34 a_n159_n151# w_n455_n335# 0.05fF
+C35 a_n255_n151# w_n455_n335# 0.05fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_XJXT7S VSUBS a_n33_n125# a_n255_n154# a_33_n154# a_n225_n125#
++ a_n159_n154# a_63_n125# a_n129_n125# a_225_n154# a_129_n154# a_255_n125# a_159_n125#
++ a_n317_n125# w_n455_n344# a_n63_n154#
+X0 a_n129_n125# a_n159_n154# a_n225_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n33_n125# a_n63_n154# a_n129_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_255_n125# a_225_n154# a_159_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_159_n125# a_129_n154# a_63_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n225_n125# a_n255_n154# a_n317_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_63_n125# a_33_n154# a_n33_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_63_n125# w_n455_n344# 0.04fF
+C1 a_129_n154# a_225_n154# 0.02fF
+C2 a_n33_n125# a_n129_n125# 0.36fF
+C3 a_n129_n125# a_n225_n125# 0.36fF
+C4 a_n129_n125# a_159_n125# 0.08fF
+C5 a_n33_n125# a_255_n125# 0.08fF
+C6 a_159_n125# a_255_n125# 0.36fF
+C7 a_63_n125# a_n129_n125# 0.13fF
+C8 a_n129_n125# w_n455_n344# 0.04fF
+C9 a_63_n125# a_255_n125# 0.13fF
+C10 a_255_n125# w_n455_n344# 0.11fF
+C11 a_n33_n125# a_n317_n125# 0.08fF
+C12 a_n317_n125# a_n225_n125# 0.36fF
+C13 a_n255_n154# a_n159_n154# 0.02fF
+C14 a_63_n125# a_n317_n125# 0.06fF
+C15 a_n317_n125# w_n455_n344# 0.11fF
+C16 a_n129_n125# a_255_n125# 0.06fF
+C17 a_n159_n154# a_n63_n154# 0.02fF
+C18 a_33_n154# a_n63_n154# 0.02fF
+C19 a_n33_n125# a_n225_n125# 0.13fF
+C20 a_n33_n125# a_159_n125# 0.13fF
+C21 a_159_n125# a_n225_n125# 0.06fF
+C22 a_n33_n125# a_63_n125# 0.36fF
+C23 a_63_n125# a_n225_n125# 0.08fF
+C24 a_63_n125# a_159_n125# 0.36fF
+C25 a_129_n154# a_33_n154# 0.02fF
+C26 a_n33_n125# w_n455_n344# 0.05fF
+C27 w_n455_n344# a_n225_n125# 0.06fF
+C28 a_n129_n125# a_n317_n125# 0.13fF
+C29 a_159_n125# w_n455_n344# 0.06fF
+C30 a_255_n125# VSUBS 0.03fF
+C31 a_159_n125# VSUBS 0.03fF
+C32 a_63_n125# VSUBS 0.03fF
+C33 a_n33_n125# VSUBS 0.03fF
+C34 a_n129_n125# VSUBS 0.03fF
+C35 a_n225_n125# VSUBS 0.03fF
+C36 a_n317_n125# VSUBS 0.03fF
+C37 a_225_n154# VSUBS 0.05fF
+C38 a_129_n154# VSUBS 0.05fF
+C39 a_33_n154# VSUBS 0.05fF
+C40 a_n63_n154# VSUBS 0.05fF
+C41 a_n159_n154# VSUBS 0.05fF
+C42 a_n255_n154# VSUBS 0.05fF
+C43 w_n455_n344# VSUBS 2.96fF
+.ends
+
+.subckt inverter_cp_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_AZESM8_0 in vss in in vss out out in vss in out in vss out
++ sky130_fd_pr__nfet_01v8_AZESM8
+Xsky130_fd_pr__pfet_01v8_XJXT7S_0 vss vdd in in vdd in out out in in out vdd out vdd
++ in sky130_fd_pr__pfet_01v8_XJXT7S
+C0 in vdd 0.04fF
+C1 out in 0.85fF
+C2 out vdd 0.29fF
+C3 vdd vss 5.90fF
+C4 out vss 1.30fF
+C5 in vss 1.82fF
+.ends
+
+.subckt pfd_cp_interface vss inverter_cp_x1_2/in vdd inverter_cp_x1_0/out Down QA
++ QB nDown Up nUp
+Xinverter_cp_x2_0 nDown Down vss vdd inverter_cp_x2
+Xinverter_cp_x2_1 Up nUp vss vdd inverter_cp_x2
+Xtrans_gate_0 nDown inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 inverter_cp_x1_0/out QB vss vdd inverter_cp_x1
+Xinverter_cp_x1_2 Up inverter_cp_x1_2/in vss vdd inverter_cp_x1
+Xinverter_cp_x1_1 inverter_cp_x1_2/in QA vss vdd inverter_cp_x1
+C0 vdd Up 0.60fF
+C1 nUp Up 0.20fF
+C2 vdd inverter_cp_x1_0/out 0.25fF
+C3 vdd nUp 0.14fF
+C4 QB vdd 0.02fF
+C5 vdd nDown 0.80fF
+C6 inverter_cp_x1_0/out nDown 0.11fF
+C7 vdd QA 0.02fF
+C8 Down vdd 0.09fF
+C9 Down inverter_cp_x1_0/out 0.12fF
+C10 Down nDown 0.23fF
+C11 Up inverter_cp_x1_2/in 0.12fF
+C12 vdd inverter_cp_x1_2/in 0.42fF
+C13 inverter_cp_x1_2/in vss 2.01fF
+C14 QA vss 1.09fF
+C15 inverter_cp_x1_0/out vss 2.00fF
+C16 QB vss 1.09fF
+C17 vdd vss 28.96fF
+C18 nUp vss 1.32fF
+C19 Up vss 2.53fF
+C20 Down vss 1.26fF
+C21 nDown vss 2.98fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F35BC VSUBS a_n129_n90# w_n359_n309# a_n63_n116#
++ a_n159_n207# a_63_n90# a_n33_n90# a_n221_n90# a_159_n90#
+X0 a_159_n90# a_n63_n116# a_63_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n129_n90# a_n159_n207# a_n221_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X2 a_63_n90# a_n159_n207# a_n33_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X3 a_n33_n90# a_n63_n116# a_n129_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_63_n90# a_n129_n90# 0.09fF
+C1 a_159_n90# a_63_n90# 0.26fF
+C2 a_n221_n90# a_63_n90# 0.06fF
+C3 a_n33_n90# w_n359_n309# 0.05fF
+C4 a_n33_n90# a_n129_n90# 0.26fF
+C5 a_n159_n207# a_n63_n116# 0.12fF
+C6 a_n33_n90# a_159_n90# 0.09fF
+C7 a_n33_n90# a_n221_n90# 0.09fF
+C8 w_n359_n309# a_n129_n90# 0.06fF
+C9 w_n359_n309# a_159_n90# 0.09fF
+C10 a_n221_n90# w_n359_n309# 0.09fF
+C11 a_159_n90# a_n129_n90# 0.06fF
+C12 a_n221_n90# a_n129_n90# 0.26fF
+C13 a_n33_n90# a_63_n90# 0.26fF
+C14 a_n221_n90# a_159_n90# 0.04fF
+C15 w_n359_n309# a_63_n90# 0.06fF
+C16 a_159_n90# VSUBS 0.03fF
+C17 a_63_n90# VSUBS 0.03fF
+C18 a_n33_n90# VSUBS 0.03fF
+C19 a_n129_n90# VSUBS 0.03fF
+C20 a_n221_n90# VSUBS 0.03fF
+C21 a_n159_n207# VSUBS 0.30fF
+C22 a_n63_n116# VSUBS 0.37fF
+C23 w_n359_n309# VSUBS 2.23fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_C3YG4M a_n33_n45# a_33_n71# a_n129_71# w_n263_n255#
++ a_n125_n45# a_63_n45#
+X0 a_63_n45# a_33_n71# a_n33_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n129_71# a_n125_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_n33_n45# a_63_n45# 0.13fF
+C1 a_n33_n45# a_n125_n45# 0.13fF
+C2 a_n129_71# a_33_n71# 0.04fF
+C3 a_63_n45# a_n125_n45# 0.05fF
+C4 a_63_n45# w_n263_n255# 0.04fF
+C5 a_n33_n45# w_n263_n255# 0.04fF
+C6 a_n125_n45# w_n263_n255# 0.04fF
+C7 a_33_n71# w_n263_n255# 0.11fF
+C8 a_n129_71# w_n263_n255# 0.14fF
+.ends
+
+.subckt nor_pfd sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd A B
+Xsky130_fd_pr__pfet_01v8_4F35BC_0 vss sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vdd B A sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out vdd vdd sky130_fd_pr__pfet_01v8_4F35BC
+Xsky130_fd_pr__nfet_01v8_C3YG4M_0 out B A vss vss vss sky130_fd_pr__nfet_01v8_C3YG4M
+C0 out sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.08fF
+C1 out B 0.40fF
+C2 vdd sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.02fF
+C3 B A 0.24fF
+C4 out A 0.06fF
+C5 vdd sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.02fF
+C6 out vdd 0.11fF
+C7 vdd A 0.09fF
+C8 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C9 out vss 0.45fF
+C10 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C11 A vss 0.83fF
+C12 B vss 1.09fF
+C13 vdd vss 3.79fF
+.ends
+
+.subckt dff_pfd vss vdd nor_pfd_2/A Q CLK nor_pfd_3/A nor_pfd_2/B Reset
+Xnor_pfd_0 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/A nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd CLK Q nor_pfd
+Xnor_pfd_1 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# Q nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_3/A nor_pfd
+Xnor_pfd_2 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_3/A nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_2/B nor_pfd
+Xnor_pfd_3 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/B nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_3/A Reset nor_pfd
+C0 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.06fF
+C1 nor_pfd_2/B nor_pfd_3/A 0.58fF
+C2 Q CLK 0.04fF
+C3 Q vdd 0.08fF
+C4 nor_pfd_3/A vdd 0.09fF
+C5 nor_pfd_2/B vdd 0.02fF
+C6 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.06fF
+C7 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.06fF
+C8 Q nor_pfd_2/A 1.38fF
+C9 Q Reset 0.14fF
+C10 nor_pfd_2/A nor_pfd_3/A 0.38fF
+C11 Reset nor_pfd_3/A 0.12fF
+C12 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.06fF
+C13 nor_pfd_2/B nor_pfd_2/A 0.05fF
+C14 nor_pfd_2/B Reset 0.43fF
+C15 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.06fF
+C16 Q nor_pfd_3/A 0.98fF
+C17 Q nor_pfd_2/B 2.22fF
+C18 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.06fF
+C19 nor_pfd_2/A vdd -0.01fF
+C20 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C21 nor_pfd_2/B vss 1.42fF
+C22 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C23 nor_pfd_3/A vss 3.16fF
+C24 Reset vss 1.48fF
+C25 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C26 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C27 nor_pfd_2/A vss 2.56fF
+C28 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C29 Q vss 2.77fF
+C30 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C31 vdd vss 16.42fF
+C32 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C33 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C34 CLK vss 0.95fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZCYAJJ w_n359_n255# a_n33_n45# a_n159_n173# a_n221_n45#
++ a_159_n45# a_n63_n71# a_n129_n45# a_63_n45#
+X0 a_63_n45# a_n159_n173# a_n33_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n63_n71# a_n129_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X2 a_159_n45# a_n63_n71# a_63_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X3 a_n129_n45# a_n159_n173# a_n221_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_n33_n45# a_63_n45# 0.13fF
+C1 a_n129_n45# a_n33_n45# 0.13fF
+C2 a_n33_n45# a_159_n45# 0.05fF
+C3 a_n129_n45# a_63_n45# 0.05fF
+C4 a_n33_n45# a_n221_n45# 0.05fF
+C5 a_63_n45# a_159_n45# 0.13fF
+C6 a_63_n45# a_n221_n45# 0.03fF
+C7 a_n129_n45# a_159_n45# 0.03fF
+C8 a_n129_n45# a_n221_n45# 0.13fF
+C9 a_n63_n71# a_n159_n173# 0.10fF
+C10 a_n221_n45# a_159_n45# 0.02fF
+C11 a_159_n45# w_n359_n255# 0.04fF
+C12 a_63_n45# w_n359_n255# 0.05fF
+C13 a_n33_n45# w_n359_n255# 0.05fF
+C14 a_n129_n45# w_n359_n255# 0.05fF
+C15 a_n221_n45# w_n359_n255# 0.08fF
+C16 a_n159_n173# w_n359_n255# 0.31fF
+C17 a_n63_n71# w_n359_n255# 0.31fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7T83YG VSUBS a_n125_n90# a_63_n90# a_33_n187# a_n99_n187#
++ a_n33_n90# w_n263_n309#
+X0 a_63_n90# a_33_n187# a_n33_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n33_n90# a_n99_n187# a_n125_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_n33_n90# a_n125_n90# 0.26fF
+C1 a_33_n187# a_n99_n187# 0.04fF
+C2 a_63_n90# a_n125_n90# 0.09fF
+C3 a_n33_n90# a_63_n90# 0.26fF
+C4 a_63_n90# VSUBS 0.03fF
+C5 a_n33_n90# VSUBS 0.03fF
+C6 a_n125_n90# VSUBS 0.03fF
+C7 a_33_n187# VSUBS 0.12fF
+C8 a_n99_n187# VSUBS 0.12fF
+C9 w_n263_n309# VSUBS 1.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZXAV3F a_n73_n45# a_n33_67# a_15_n45# w_n211_n255#
+X0 a_15_n45# a_n33_67# a_n73_n45# w_n211_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_15_n45# a_n73_n45# 0.16fF
+C1 a_15_n45# w_n211_n255# 0.08fF
+C2 a_n73_n45# w_n211_n255# 0.06fF
+C3 a_n33_67# w_n211_n255# 0.10fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F7GBC VSUBS a_n51_n187# a_n73_n90# a_15_n90# w_n211_n309#
+X0 a_15_n90# a_n51_n187# a_n73_n90# w_n211_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 w_n211_n309# a_15_n90# 0.09fF
+C1 a_n73_n90# a_15_n90# 0.31fF
+C2 a_n73_n90# w_n211_n309# 0.04fF
+C3 a_15_n90# VSUBS 0.03fF
+C4 a_n73_n90# VSUBS 0.03fF
+C5 a_n51_n187# VSUBS 0.12fF
+C6 w_n211_n309# VSUBS 1.24fF
+.ends
+
+.subckt and_pfd a_656_410# vss out vdd A B
+Xsky130_fd_pr__nfet_01v8_ZCYAJJ_0 vss a_656_410# A vss vss B sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45#
++ sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# sky130_fd_pr__nfet_01v8_ZCYAJJ
+Xsky130_fd_pr__pfet_01v8_7T83YG_0 vss vdd vdd B A a_656_410# vdd sky130_fd_pr__pfet_01v8_7T83YG
+Xsky130_fd_pr__nfet_01v8_ZXAV3F_0 vss a_656_410# out vss sky130_fd_pr__nfet_01v8_ZXAV3F
+Xsky130_fd_pr__pfet_01v8_4F7GBC_0 vss a_656_410# vdd out vdd sky130_fd_pr__pfet_01v8_4F7GBC
+C0 A a_656_410# 0.04fF
+C1 vdd a_656_410# 0.20fF
+C2 vdd out 0.10fF
+C3 vdd A 0.05fF
+C4 B a_656_410# 0.30fF
+C5 B sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# 0.02fF
+C6 A B 0.33fF
+C7 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# a_656_410# 0.07fF
+C8 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# out 0.03fF
+C9 out a_656_410# 0.20fF
+C10 vdd vss 4.85fF
+C11 out vss 0.47fF
+C12 a_656_410# vss 1.00fF
+C13 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.13fF
+C14 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.10fF
+C15 A vss 0.85fF
+C16 B vss 0.95fF
+.ends
+
+.subckt PFD vss vdd Down Up A B Reset
+Xdff_pfd_0 vss vdd dff_pfd_0/nor_pfd_2/A Up A dff_pfd_0/nor_pfd_3/A dff_pfd_0/nor_pfd_2/B
++ Reset dff_pfd
+Xdff_pfd_1 vss vdd dff_pfd_1/nor_pfd_2/A Down B dff_pfd_1/nor_pfd_3/A dff_pfd_1/nor_pfd_2/B
++ Reset dff_pfd
+Xand_pfd_0 and_pfd_0/a_656_410# vss Reset vdd Up Down and_pfd
+C0 vdd dff_pfd_0/nor_pfd_3/A 0.08fF
+C1 vdd Down 0.08fF
+C2 vdd dff_pfd_1/nor_pfd_2/A 0.13fF
+C3 vdd dff_pfd_1/nor_pfd_2/B 0.04fF
+C4 vdd Up 1.62fF
+C5 vdd dff_pfd_1/nor_pfd_3/A 0.08fF
+C6 vdd Reset 0.02fF
+C7 vdd dff_pfd_0/nor_pfd_2/B 0.11fF
+C8 Up Down 0.06fF
+C9 vdd dff_pfd_0/nor_pfd_2/A 0.13fF
+C10 and_pfd_0/a_656_410# vss 0.99fF
+C11 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C12 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.05fF
+C13 dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C14 dff_pfd_1/nor_pfd_2/B vss 1.51fF
+C15 dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C16 dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C17 dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C18 dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C19 dff_pfd_1/nor_pfd_2/A vss 2.56fF
+C20 dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C21 Down vss 3.74fF
+C22 dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C23 vdd vss 44.73fF
+C24 dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C25 dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C26 B vss 1.07fF
+C27 dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C28 dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C29 dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C30 dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C31 Reset vss 3.85fF
+C32 dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C33 dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C34 dff_pfd_0/nor_pfd_2/A vss 2.56fF
+C35 dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C36 Up vss 3.18fF
+C37 dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C38 dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C39 dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C40 A vss 1.07fF
+.ends
+
+
+* Top level circuit top_pll_v1
+
+Xloop_filter_0 lf_vc vco_vctrl vss loop_filter
+Xcharge_pump_0 nswitch vdd nUp vss Down biasp vco_vctrl pswitch iref_cp nDown Up charge_pump
+Xdiv_by_2_0 vss vdd div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in out_by_2 n_out_by_2
++ out_buffer_div_2 out_to_div out_div_2 n_out_buffer_div_2 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out
++ n_out_div_2 div_by_2
+Xbuffer_salida_0 buffer_salida_0/a_678_n100# out_to_pad out_to_buffer vss vdd buffer_salida
+Xring_osc_0 vco_vctrl vdd vss ring_osc_0/csvco_branch_0/inverter_csvco_0/vss ring_osc_0/csvco_branch_2/vbp
++ vco_D0 ring_osc_0/csvco_branch_2/cap_vco_0/t vco_out ring_osc
+Xring_osc_buffer_0 vss vco_out vdd out_first_buffer out_to_div out_to_buffer ring_osc_buffer
+Xdiv_by_5_0 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/DFlipFlop_1/latch_diff_0/D
++ n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD div_by_5_0/DFlipFlop_0/D div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd div_by_5_0/DFlipFlop_2/latch_diff_0/nD div_5_Q0 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# div_5_nQ0 div_by_5_0/DFlipFlop_1/latch_diff_1/nD
++ out_div_by_5 div_by_5_0/DFlipFlop_3/latch_diff_0/nD div_5_nQ2 div_by_5_0/DFlipFlop_0/latch_diff_0/D
++ div_by_5_0/DFlipFlop_2/latch_diff_1/nD div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_1/latch_diff_1/D div_5_Q1 div_by_5_0/DFlipFlop_2/D div_by_5_0/DFlipFlop_3/latch_diff_0/D
++ div_by_5_0/DFlipFlop_1/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_3/latch_diff_1/nD div_by_5_0/DFlipFlop_0/latch_diff_1/D div_5_Q1_shift
++ div_by_5_0/DFlipFlop_0/latch_diff_0/nD div_by_5_0/DFlipFlop_2/nQ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_2/latch_diff_0/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ div_by_5_0/DFlipFlop_3/latch_diff_1/D div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368#
++ div_by_5_0/DFlipFlop_1/latch_diff_0/nD div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136#
++ div_by_5_0/DFlipFlop_0/Q div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136#
++ div_by_5
+Xpfd_cp_interface_0 vss pfd_cp_interface_0/inverter_cp_x1_2/in vdd pfd_cp_interface_0/inverter_cp_x1_0/out
++ Down QA QB nDown Up nUp pfd_cp_interface
+XPFD_0 vss vdd QB QA in_ref out_div_by_5 pfd_reset PFD
+C0 vco_vctrl div_5_Q1 0.14fF
+C1 div_by_5_0/DFlipFlop_1/D out_by_2 0.38fF
+C2 div_by_5_0/DFlipFlop_1/D n_out_by_2 0.22fF
+C3 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/D 0.33fF
+C4 div_by_5_0/DFlipFlop_1/latch_diff_0/D n_out_by_2 0.12fF
+C5 div_by_5_0/DFlipFlop_0/latch_diff_1/D n_out_by_2 0.17fF
+C6 ring_osc_0/csvco_branch_2/cap_vco_0/t out_first_buffer 0.03fF
+C7 vdd out_div_by_5 0.28fF
+C8 vdd ring_osc_0/csvco_branch_2/cap_vco_0/t 0.02fF
+C9 div_by_5_0/DFlipFlop_2/latch_diff_1/nD out_by_2 0.09fF
+C10 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# n_out_by_2 0.03fF
+C11 div_by_5_0/DFlipFlop_2/latch_diff_1/nD n_out_by_2 0.24fF
+C12 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# n_out_by_2 0.02fF
+C13 vco_vctrl vdd -1.02fF
+C14 vco_vctrl nswitch -0.06fF
+C15 out_by_2 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out 0.28fF
+C16 div_by_5_0/DFlipFlop_0/latch_diff_0/D n_out_by_2 0.24fF
+C17 vdd lf_vc 0.02fF
+C18 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136# out_by_2 -0.02fF
+C19 nUp biasp -0.17fF
+C20 div_by_5_0/DFlipFlop_2/latch_diff_0/D n_out_by_2 0.12fF
+C21 vco_vctrl div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# -0.36fF
+C22 nUp Up 2.72fF
+C23 div_5_nQ0 out_by_2 0.32fF
+C24 div_by_5_0/DFlipFlop_0/latch_diff_0/nD out_by_2 0.17fF
+C25 biasp Up 0.26fF
+C26 div_5_nQ0 n_out_by_2 0.10fF
+C27 vdd vco_D0 0.03fF
+C28 vco_vctrl out_by_2 0.53fF
+C29 vco_vctrl n_out_by_2 0.52fF
+C30 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# out_div_by_5 0.18fF
+C31 div_by_5_0/DFlipFlop_3/latch_diff_1/D out_by_2 0.09fF
+C32 div_by_5_0/DFlipFlop_3/latch_diff_1/D n_out_by_2 0.24fF
+C33 div_5_Q0 out_by_2 0.09fF
+C34 vdd ring_osc_0/csvco_branch_2/vbp 0.03fF
+C35 div_5_Q0 n_out_by_2 -0.12fF
+C36 biasp Down 1.24fF
+C37 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in n_out_by_2 -0.20fF
+C38 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/nD 0.23fF
+C39 nUp vdd 0.05fF
+C40 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/nD 0.10fF
+C41 vdd buffer_salida_0/a_678_n100# 0.24fF
+C42 div_by_5_0/DFlipFlop_0/D out_by_2 0.35fF
+C43 vdd Up 0.28fF
+C44 div_by_5_0/DFlipFlop_0/D n_out_by_2 -1.48fF
+C45 div_by_5_0/DFlipFlop_3/latch_diff_0/nD n_out_by_2 0.11fF
+C46 nUp pswitch 0.85fF
+C47 out_to_div div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out -0.12fF
+C48 vco_vctrl div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# -0.11fF
+C49 nUp nDown -0.09fF
+C50 div_by_5_0/DFlipFlop_1/latch_diff_1/D out_by_2 0.23fF
+C51 pswitch Up 1.98fF
+C52 div_by_5_0/DFlipFlop_1/latch_diff_1/D n_out_by_2 0.10fF
+C53 nDown biasp 0.26fF
+C54 out_by_2 div_by_5_0/DFlipFlop_2/D 0.22fF
+C55 div_by_5_0/DFlipFlop_2/D n_out_by_2 0.19fF
+C56 vco_vctrl ring_osc_0/csvco_branch_0/inverter_csvco_0/vss 0.04fF
+C57 nswitch Down 0.54fF
+C58 div_5_Q1_shift out_div_by_5 0.05fF
+C59 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vdd 0.04fF
+C60 out_by_2 div_5_Q1 0.42fF
+C61 out_to_div div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in -0.16fF
+C62 div_by_5_0/DFlipFlop_2/latch_diff_1/D out_by_2 0.23fF
+C63 out_by_2 div_5_nQ2 0.16fF
+C64 out_to_buffer buffer_salida_0/a_678_n100# 0.22fF
+C65 div_5_Q1 n_out_by_2 1.04fF
+C66 div_by_5_0/DFlipFlop_2/latch_diff_1/D n_out_by_2 0.10fF
+C67 div_5_nQ2 n_out_by_2 0.10fF
+C68 nDown Down 2.55fF
+C69 div_by_5_0/DFlipFlop_2/latch_diff_0/nD out_by_2 0.10fF
+C70 vdd out_to_div 0.21fF
+C71 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in n_out_by_2 0.27fF
+C72 vdd nDown 0.22fF
+C73 div_by_5_0/DFlipFlop_0/latch_diff_1/nD out_by_2 0.17fF
+C74 vco_vctrl div_5_Q0 0.48fF
+C75 div_by_5_0/DFlipFlop_0/latch_diff_1/nD n_out_by_2 0.33fF
+C76 vdd div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# 0.03fF
+C77 vdd QA -0.04fF
+C78 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# n_out_by_2 -0.05fF
+C79 nDown nswitch 0.76fF
+C80 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out n_out_by_2 -0.11fF
+C81 vdd pfd_cp_interface_0/inverter_cp_x1_2/in 0.01fF
+C82 vdd out_by_2 0.97fF
+C83 pswitch nDown 0.53fF
+C84 vdd n_out_by_2 1.03fF
+C85 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# out_by_2 0.10fF
+C86 vdd out_to_buffer 0.07fF
+C87 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in out_by_2 -0.22fF
+C88 vco_vctrl div_by_5_0/DFlipFlop_0/D -0.45fF
+C89 out_to_buffer out_to_div 0.13fF
+C90 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_0/D 0.11fF
+C91 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out out_by_2 0.09fF
+C92 Down iref_cp 0.09fF
+C93 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392# n_out_by_2 0.01fF
+C94 out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/nD 0.09fF
+C95 div_by_5_0/DFlipFlop_1/latch_diff_1/nD n_out_by_2 0.24fF
+C96 vco_vctrl ring_osc_0/csvco_branch_2/vbp 0.26fF
+C97 out_by_2 div_by_5_0/DFlipFlop_2/nQ 0.23fF
+C98 div_by_5_0/DFlipFlop_1/latch_diff_0/nD out_by_2 0.10fF
+C99 div_by_5_0/DFlipFlop_2/nQ n_out_by_2 0.10fF
+C100 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# n_out_by_2 0.12fF
+C101 div_5_Q1 out_div_by_5 0.01fF
+C102 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in n_out_by_2 -0.51fF
+C103 vdd iref_cp 0.15fF
+C104 out_by_2 div_by_5_0/DFlipFlop_0/Q 0.09fF
+C105 vco_vctrl nUp 0.02fF
+C106 div_by_5_0/DFlipFlop_0/Q n_out_by_2 -0.23fF
+C107 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out out_by_2 -0.04fF
+C108 PFD_0/and_pfd_0/a_656_410# vss 0.96fF
+C109 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C110 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.07fF
+C111 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C112 PFD_0/dff_pfd_1/nor_pfd_2/B vss 1.40fF
+C113 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C114 PFD_0/dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C115 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C116 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C117 PFD_0/dff_pfd_1/nor_pfd_2/A vss 2.55fF
+C118 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C119 QB vss 4.46fF
+C120 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C121 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C122 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C123 out_div_by_5 vss -0.40fF
+C124 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C125 PFD_0/dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C126 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C127 PFD_0/dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C128 pfd_reset vss 2.17fF
+C129 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C130 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C131 PFD_0/dff_pfd_0/nor_pfd_2/A vss 2.55fF
+C132 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C133 QA vss 4.31fF
+C134 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C135 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C136 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C137 in_ref vss 1.19fF
+C138 pfd_cp_interface_0/inverter_cp_x1_2/in vss 1.85fF
+C139 pfd_cp_interface_0/inverter_cp_x1_0/out vss 1.87fF
+C140 nUp vss 5.50fF
+C141 Up vss 2.37fF
+C142 Down vss 7.92fF
+C143 nDown vss -2.20fF
+C144 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.37fF
+C145 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.38fF
+C146 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.41fF
+C147 div_by_5_0/DFlipFlop_3/nQ vss 0.48fF
+C148 div_5_Q1_shift vss -0.14fF
+C149 div_by_5_0/DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.57fF
+C150 div_by_5_0/DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C151 div_by_5_0/DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C152 div_by_5_0/DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C153 div_by_5_0/DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C154 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C155 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C156 div_by_5_0/DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C157 div_by_5_0/DFlipFlop_2/nQ vss 0.48fF
+C158 div_5_Q1 vss 4.28fF
+C159 div_by_5_0/DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.57fF
+C160 div_by_5_0/DFlipFlop_2/latch_diff_1/nD vss 0.57fF
+C161 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss -1.73fF
+C162 div_by_5_0/DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C163 div_by_5_0/DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C164 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C165 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C166 div_by_5_0/DFlipFlop_2/D vss 3.13fF
+C167 div_by_5_0/DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C168 div_5_nQ0 vss 0.59fF
+C169 div_5_Q0 vss 0.01fF
+C170 div_by_5_0/DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.57fF
+C171 div_by_5_0/DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C172 div_by_5_0/DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C173 div_by_5_0/DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C174 div_by_5_0/DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C175 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C176 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C177 div_by_5_0/DFlipFlop_1/D vss 3.64fF
+C178 div_by_5_0/DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C179 div_5_nQ2 vss 1.24fF
+C180 div_by_5_0/DFlipFlop_0/Q vss -0.94fF
+C181 div_by_5_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C182 n_out_by_2 vss -2.62fF
+C183 div_by_5_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C184 div_by_5_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C185 div_by_5_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C186 out_by_2 vss -4.51fF
+C187 div_by_5_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C188 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C189 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C190 div_by_5_0/DFlipFlop_0/D vss 3.96fF
+C191 div_by_5_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C192 vdd vss 366.82fF
+C193 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C194 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.40fF
+C195 out_to_buffer vss 1.57fF
+C196 out_to_div vss 4.46fF
+C197 out_first_buffer vss 2.88fF
+C198 ring_osc_0/csvco_branch_2/in vss 1.60fF
+C199 ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C200 ring_osc_0/csvco_branch_1/cap_vco_0/t vss 7.10fF
+C201 ring_osc_0/csvco_branch_1/inverter_csvco_0/vss vss 0.52fF
+C202 ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C203 ring_osc_0/csvco_branch_2/cap_vco_0/t vss 7.10fF
+C204 ring_osc_0/csvco_branch_2/inverter_csvco_0/vss vss 0.52fF
+C205 ring_osc_0/csvco_branch_1/in vss 1.58fF
+C206 ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C207 vco_out vss 1.01fF
+C208 vco_D0 vss -4.63fF
+C209 ring_osc_0/csvco_branch_0/cap_vco_0/t vss 7.10fF
+C210 ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vss 0.52fF
+C211 ring_osc_0/csvco_branch_2/vbp vss 0.38fF
+C212 out_to_pad vss 7.50fF
+C213 buffer_salida_0/a_3996_n100# vss 48.29fF
+C214 buffer_salida_0/a_678_n100# vss 13.38fF
+C215 n_out_buffer_div_2 vss 1.63fF
+C216 out_buffer_div_2 vss 1.60fF
+C217 div_by_2_0/DFlipFlop_0/CLK vss 0.31fF
+C218 div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C219 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.89fF
+C220 div_by_2_0/DFlipFlop_0/nCLK vss 1.03fF
+C221 out_div_2 vss -1.30fF
+C222 div_by_2_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C223 div_by_2_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C224 div_by_2_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C225 div_by_2_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C226 div_by_2_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C227 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C228 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C229 n_out_div_2 vss 1.95fF
+C230 div_by_2_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C231 nswitch vss 3.73fF
+C232 biasp vss 5.44fF
+C233 iref_cp vss 2.81fF
+C234 vco_vctrl vss -19.28fF
+C235 pswitch vss 3.57fF
+C236 lf_vc vss -59.89fF
+C237 loop_filter_0/res_loop_filter_2/out vss 7.90fF
+.end
+
diff --git a/mag/extractions/top_pll_v1_pex_rc_port.spice b/mag/extractions/top_pll_v1_pex_rc_port.spice
new file mode 100644
index 0000000..9019034
--- /dev/null
+++ b/mag/extractions/top_pll_v1_pex_rc_port.spice
@@ -0,0 +1,2876 @@
+* NGSPICE file created from top_pll_v1.ext - technology: sky130A
+
+.subckt sky130_fd_pr__cap_mim_m3_1_MACBVW VSUBS m3_n2650_n13200# m3_n7969_n2600# m3_7988_8000#
++ m3_2669_n7900# m3_n13288_n2600# m3_n2650_2700# m3_2669_2700# m3_n13288_n13200# m3_n7969_n13200#
++ m3_n13288_8000# m3_7988_2700# m3_n2650_n7900# m3_7988_n7900# m3_2669_n13200# m3_n7969_8000#
++ m3_n13288_2700# m3_n7969_n7900# m3_n13288_n7900# m3_2669_n2600# m3_n7969_2700# m3_7988_n13200#
++ c1_n13188_n13100# m3_7988_n2600# m3_n2650_n2600# m3_n2650_8000# m3_2669_8000#
+X0 c1_n13188_n13100# m3_2669_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X1 c1_n13188_n13100# m3_n2650_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X2 c1_n13188_n13100# m3_2669_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X3 c1_n13188_n13100# m3_n13288_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X4 c1_n13188_n13100# m3_n7969_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X5 c1_n13188_n13100# m3_n13288_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X6 c1_n13188_n13100# m3_2669_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X7 c1_n13188_n13100# m3_7988_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X8 c1_n13188_n13100# m3_2669_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X9 c1_n13188_n13100# m3_7988_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X10 c1_n13188_n13100# m3_n7969_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X11 c1_n13188_n13100# m3_7988_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X12 c1_n13188_n13100# m3_n7969_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X13 c1_n13188_n13100# m3_7988_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X14 c1_n13188_n13100# m3_n13288_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X15 c1_n13188_n13100# m3_n7969_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X16 c1_n13188_n13100# m3_n2650_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X17 c1_n13188_n13100# m3_n2650_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X18 c1_n13188_n13100# m3_n2650_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X19 c1_n13188_n13100# m3_7988_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X20 c1_n13188_n13100# m3_n13288_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X21 c1_n13188_n13100# m3_n13288_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X22 c1_n13188_n13100# m3_n7969_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X23 c1_n13188_n13100# m3_n2650_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X24 c1_n13188_n13100# m3_2669_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+C0 m3_n7969_n13200# m3_n7969_n7900# 3.28fF
+C1 m3_n2650_n13200# m3_2669_n13200# 2.73fF
+C2 m3_n7969_n13200# m3_n2650_n13200# 2.73fF
+C3 m3_n2650_n7900# m3_n7969_n7900# 2.73fF
+C4 m3_2669_n7900# c1_n13188_n13100# 58.86fF
+C5 c1_n13188_n13100# m3_7988_n13200# 60.75fF
+C6 c1_n13188_n13100# m3_n2650_2700# 58.86fF
+C7 c1_n13188_n13100# m3_2669_8000# 58.61fF
+C8 m3_n2650_n7900# m3_n2650_n13200# 3.28fF
+C9 m3_n7969_n7900# m3_n13288_n7900# 2.73fF
+C10 c1_n13188_n13100# m3_7988_2700# 61.01fF
+C11 m3_n13288_n2600# m3_n13288_n7900# 3.28fF
+C12 m3_n7969_2700# m3_n7969_n2600# 3.28fF
+C13 m3_n2650_2700# m3_n2650_8000# 3.28fF
+C14 m3_2669_8000# m3_n2650_8000# 2.73fF
+C15 c1_n13188_n13100# m3_n13288_n13200# 58.36fF
+C16 m3_7988_n7900# c1_n13188_n13100# 61.01fF
+C17 m3_n7969_n7900# m3_n7969_n2600# 3.28fF
+C18 m3_n13288_n2600# m3_n7969_n2600# 2.73fF
+C19 c1_n13188_n13100# m3_2669_n2600# 58.86fF
+C20 c1_n13188_n13100# m3_n7969_2700# 58.86fF
+C21 c1_n13188_n13100# m3_n13288_8000# 58.36fF
+C22 c1_n13188_n13100# m3_n7969_n7900# 58.86fF
+C23 c1_n13188_n13100# m3_n13288_n2600# 58.61fF
+C24 m3_2669_n7900# m3_7988_n7900# 2.73fF
+C25 m3_7988_n7900# m3_7988_n13200# 3.39fF
+C26 c1_n13188_n13100# m3_2669_2700# 58.86fF
+C27 c1_n13188_n13100# m3_n2650_n13200# 58.61fF
+C28 m3_n2650_n7900# m3_n2650_n2600# 3.28fF
+C29 m3_2669_n7900# m3_2669_n2600# 3.28fF
+C30 c1_n13188_n13100# m3_7988_n2600# 61.01fF
+C31 m3_n2650_2700# m3_n7969_2700# 2.73fF
+C32 c1_n13188_n13100# m3_n13288_2700# 58.61fF
+C33 m3_n2650_n2600# m3_n7969_n2600# 2.73fF
+C34 m3_n2650_2700# m3_2669_2700# 2.73fF
+C35 m3_2669_2700# m3_2669_8000# 3.28fF
+C36 m3_2669_2700# m3_7988_2700# 2.73fF
+C37 m3_7988_2700# m3_7988_n2600# 3.39fF
+C38 c1_n13188_n13100# m3_n7969_8000# 58.61fF
+C39 m3_7988_8000# c1_n13188_n13100# 60.75fF
+C40 c1_n13188_n13100# m3_2669_n13200# 58.61fF
+C41 c1_n13188_n13100# m3_n7969_n13200# 58.61fF
+C42 c1_n13188_n13100# m3_n2650_n2600# 58.86fF
+C43 m3_7988_n7900# m3_7988_n2600# 3.39fF
+C44 m3_n7969_8000# m3_n2650_8000# 2.73fF
+C45 m3_n2650_n7900# c1_n13188_n13100# 58.86fF
+C46 m3_2669_2700# m3_2669_n2600# 3.28fF
+C47 m3_7988_n2600# m3_2669_n2600# 2.73fF
+C48 c1_n13188_n13100# m3_n13288_n7900# 58.61fF
+C49 m3_n13288_2700# m3_n7969_2700# 2.73fF
+C50 m3_n13288_2700# m3_n13288_8000# 3.28fF
+C51 m3_7988_8000# m3_2669_8000# 2.73fF
+C52 m3_2669_n7900# m3_2669_n13200# 3.28fF
+C53 m3_7988_n13200# m3_2669_n13200# 2.73fF
+C54 c1_n13188_n13100# m3_n7969_n2600# 58.86fF
+C55 m3_7988_8000# m3_7988_2700# 3.39fF
+C56 m3_n2650_2700# m3_n2650_n2600# 3.28fF
+C57 m3_2669_n7900# m3_n2650_n7900# 2.73fF
+C58 m3_n13288_n2600# m3_n13288_2700# 3.28fF
+C59 m3_n7969_n13200# m3_n13288_n13200# 2.73fF
+C60 m3_n7969_8000# m3_n7969_2700# 3.28fF
+C61 m3_n13288_n7900# m3_n13288_n13200# 3.28fF
+C62 m3_n7969_8000# m3_n13288_8000# 2.73fF
+C63 m3_n2650_n2600# m3_2669_n2600# 2.73fF
+C64 c1_n13188_n13100# m3_n2650_8000# 58.61fF
+C65 c1_n13188_n13100# VSUBS 2.51fF
+C66 m3_7988_n13200# VSUBS 12.57fF
+C67 m3_2669_n13200# VSUBS 12.37fF
+C68 m3_n2650_n13200# VSUBS 12.37fF
+C69 m3_n7969_n13200# VSUBS 12.37fF
+C70 m3_n13288_n13200# VSUBS 12.37fF
+C71 m3_7988_n7900# VSUBS 12.57fF
+C72 m3_2669_n7900# VSUBS 12.37fF
+C73 m3_n2650_n7900# VSUBS 12.37fF
+C74 m3_n7969_n7900# VSUBS 12.37fF
+C75 m3_n13288_n7900# VSUBS 12.37fF
+C76 m3_7988_n2600# VSUBS 12.57fF
+C77 m3_2669_n2600# VSUBS 12.37fF
+C78 m3_n2650_n2600# VSUBS 12.37fF
+C79 m3_n7969_n2600# VSUBS 12.37fF
+C80 m3_n13288_n2600# VSUBS 12.37fF
+C81 m3_7988_2700# VSUBS 12.57fF
+C82 m3_2669_2700# VSUBS 12.37fF
+C83 m3_n2650_2700# VSUBS 12.37fF
+C84 m3_n7969_2700# VSUBS 12.37fF
+C85 m3_n13288_2700# VSUBS 12.37fF
+C86 m3_7988_8000# VSUBS 12.57fF
+C87 m3_2669_8000# VSUBS 12.37fF
+C88 m3_n2650_8000# VSUBS 12.37fF
+C89 m3_n7969_8000# VSUBS 12.37fF
+C90 m3_n13288_8000# VSUBS 12.37fF
+.ends
+
+.subckt cap1_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_MACBVW_0 VSUBS out out out out out out out out out out
++ out out out out out out out out out out out in out out out out sky130_fd_pr__cap_mim_m3_1_MACBVW
+C0 out in 2.17fF
+C1 in VSUBS -10.03fF
+C2 out VSUBS 62.40fF
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_W3JTNJ VSUBS m3_n6469_n2100# c1_n6369_n6300# m3_2169_n6400#
++ m3_n2150_n6400# c1_2269_n6300# m3_n6469_2200# m3_n2150_n2100# c1_n2050_n6300# m3_n2150_2200#
++ m3_n6469_n6400#
+X0 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X1 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X2 c1_n2050_n6300# m3_n2150_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X3 c1_n6369_n6300# m3_n6469_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X4 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X5 c1_n6369_n6300# m3_n6469_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X6 c1_n2050_n6300# m3_n2150_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X7 c1_n2050_n6300# m3_n2150_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X8 c1_n6369_n6300# m3_n6469_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+C0 m3_n6469_n6400# c1_n6369_n6300# 38.10fF
+C1 m3_n2150_n2100# m3_n2150_n6400# 2.63fF
+C2 m3_2169_n6400# m3_n2150_n2100# 1.75fF
+C3 c1_n6369_n6300# c1_n2050_n6300# 1.99fF
+C4 m3_2169_n6400# m3_n2150_2200# 1.75fF
+C5 m3_n6469_n2100# m3_n2150_n2100# 1.75fF
+C6 c1_2269_n6300# c1_n2050_n6300# 1.99fF
+C7 m3_2169_n6400# m3_n2150_n6400# 1.75fF
+C8 m3_n6469_2200# m3_n2150_2200# 1.75fF
+C9 m3_2169_n6400# c1_2269_n6300# 121.67fF
+C10 m3_n6469_n2100# c1_n6369_n6300# 38.10fF
+C11 m3_n6469_n2100# m3_n6469_2200# 2.63fF
+C12 m3_n2150_n2100# c1_n2050_n6300# 38.10fF
+C13 m3_n2150_2200# c1_n2050_n6300# 38.10fF
+C14 m3_n6469_2200# c1_n6369_n6300# 38.10fF
+C15 m3_n6469_n6400# m3_n2150_n6400# 1.75fF
+C16 m3_n2150_n6400# c1_n2050_n6300# 38.10fF
+C17 m3_n6469_n2100# m3_n6469_n6400# 2.63fF
+C18 m3_n2150_n2100# m3_n2150_2200# 2.63fF
+C19 c1_2269_n6300# VSUBS 0.16fF
+C20 c1_n2050_n6300# VSUBS 0.16fF
+C21 c1_n6369_n6300# VSUBS 0.16fF
+C22 m3_n2150_n6400# VSUBS 8.68fF
+C23 m3_n6469_n6400# VSUBS 8.68fF
+C24 m3_n2150_n2100# VSUBS 8.68fF
+C25 m3_n6469_n2100# VSUBS 8.68fF
+C26 m3_2169_n6400# VSUBS 26.86fF
+C27 m3_n2150_2200# VSUBS 8.68fF
+C28 m3_n6469_2200# VSUBS 8.68fF
+.ends
+
+.subckt cap2_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_W3JTNJ_0 VSUBS out in out out in out out in out out sky130_fd_pr__cap_mim_m3_1_W3JTNJ
+C0 out in 8.08fF
+C1 in VSUBS -16.59fF
+C2 out VSUBS 13.00fF
+.ends
+
+.subckt sky130_fd_pr__res_high_po_5p73_X44RQA a_n573_2292# w_n739_n2890# a_n573_n2724#
+X0 a_n573_n2724# a_n573_2292# w_n739_n2890# sky130_fd_pr__res_high_po_5p73 l=2.292e+07u
+C0 a_n573_n2724# w_n739_n2890# 1.98fF
+C1 a_n573_2292# w_n739_n2890# 1.98fF
+.ends
+
+.subckt res_loop_filter vss out in
+Xsky130_fd_pr__res_high_po_5p73_X44RQA_0 in vss out sky130_fd_pr__res_high_po_5p73_X44RQA
+C0 out vss 3.87fF
+C1 in vss 3.02fF
+.ends
+
+.subckt loop_filter vc_pex in vss
+Xcap1_loop_filter_0 vss vc_pex vss cap1_loop_filter
+Xcap2_loop_filter_0 vss in vss cap2_loop_filter
+Xres_loop_filter_0 vss res_loop_filter_2/out in res_loop_filter
+Xres_loop_filter_1 vss res_loop_filter_2/out vc_pex res_loop_filter
+Xres_loop_filter_2 vss res_loop_filter_2/out vc_pex res_loop_filter
+C0 in vc_pex 0.18fF
+C1 vc_pex vss -38.13fF
+C2 res_loop_filter_2/out vss 8.49fF
+C3 in vss -18.79fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4ML9WA VSUBS a_429_n486# w_n2457_n634# a_887_n486#
++ a_n29_n486# a_1345_n486# a_n2261_n512# a_1803_n486# a_n487_n486# a_n945_n486# a_n2319_n486#
++ a_n1403_n486# a_2261_n486# a_n1861_n486#
+X0 a_2261_n486# a_n2261_n512# a_1803_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X1 a_n945_n486# a_n2261_n512# a_n1403_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X2 a_429_n486# a_n2261_n512# a_n29_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X3 a_1803_n486# a_n2261_n512# a_1345_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X4 a_887_n486# a_n2261_n512# a_429_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X5 a_n487_n486# a_n2261_n512# a_n945_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X6 a_n1403_n486# a_n2261_n512# a_n1861_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X7 a_n1861_n486# a_n2261_n512# a_n2319_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X8 a_n29_n486# a_n2261_n512# a_n487_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X9 a_1345_n486# a_n2261_n512# a_887_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+C0 a_429_n486# w_n2457_n634# 0.02fF
+C1 a_n945_n486# w_n2457_n634# 0.02fF
+C2 w_n2457_n634# a_n1403_n486# 0.02fF
+C3 a_1345_n486# w_n2457_n634# 0.02fF
+C4 a_n1861_n486# w_n2457_n634# 0.02fF
+C5 a_887_n486# w_n2457_n634# 0.02fF
+C6 a_n2319_n486# w_n2457_n634# 0.02fF
+C7 a_1803_n486# w_n2457_n634# 0.02fF
+C8 a_n29_n486# w_n2457_n634# 0.02fF
+C9 a_n487_n486# w_n2457_n634# 0.02fF
+C10 a_2261_n486# w_n2457_n634# 0.02fF
+C11 a_2261_n486# VSUBS 0.03fF
+C12 a_1803_n486# VSUBS 0.03fF
+C13 a_1345_n486# VSUBS 0.03fF
+C14 a_887_n486# VSUBS 0.03fF
+C15 a_429_n486# VSUBS 0.03fF
+C16 a_n29_n486# VSUBS 0.03fF
+C17 a_n487_n486# VSUBS 0.03fF
+C18 a_n945_n486# VSUBS 0.03fF
+C19 a_n1403_n486# VSUBS 0.03fF
+C20 a_n1861_n486# VSUBS 0.03fF
+C21 a_n2319_n486# VSUBS 0.03fF
+C22 a_n2261_n512# VSUBS 4.27fF
+C23 w_n2457_n634# VSUBS 21.34fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_YCGG98 a_n1041_n75# a_n561_n75# a_1167_n75# a_303_n75#
++ a_687_n75# a_n849_n75# a_n369_n75# a_975_n75# a_111_n75# a_495_n75# a_n1137_n75#
++ a_n657_n75# a_n177_n75# a_783_n75# a_n945_n75# a_n465_n75# a_207_n75# a_1071_n75#
++ a_591_n75# a_15_n75# a_n753_n75# w_n1367_n285# a_n273_n75# a_879_n75# a_399_n75#
++ a_n1229_n75# a_n81_n75# a_n1167_n101#
+X0 a_207_n75# a_n1167_n101# a_111_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_303_n75# a_n1167_n101# a_207_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_399_n75# a_n1167_n101# a_303_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_495_n75# a_n1167_n101# a_399_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_591_n75# a_n1167_n101# a_495_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_783_n75# a_n1167_n101# a_687_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_687_n75# a_n1167_n101# a_591_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_879_n75# a_n1167_n101# a_783_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_975_n75# a_n1167_n101# a_879_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_n1041_n75# a_n1167_n101# a_n1137_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_n1137_n75# a_n1167_n101# a_n1229_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n561_n75# a_n1167_n101# a_n657_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_1071_n75# a_n1167_n101# a_975_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n945_n75# a_n1167_n101# a_n1041_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n753_n75# a_n1167_n101# a_n849_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n657_n75# a_n1167_n101# a_n753_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n465_n75# a_n1167_n101# a_n561_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n369_n75# a_n1167_n101# a_n465_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_1167_n75# a_n1167_n101# a_1071_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n849_n75# a_n1167_n101# a_n945_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X20 a_15_n75# a_n1167_n101# a_n81_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X21 a_n81_n75# a_n1167_n101# a_n177_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X22 a_111_n75# a_n1167_n101# a_15_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X23 a_n273_n75# a_n1167_n101# a_n369_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X24 a_n177_n75# a_n1167_n101# a_n273_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+C0 a_n81_n75# a_15_n75# 0.22fF
+C1 a_n849_n75# a_n1229_n75# 0.03fF
+C2 a_n273_n75# a_n561_n75# 0.05fF
+C3 a_591_n75# a_207_n75# 0.03fF
+C4 a_n177_n75# a_n561_n75# 0.03fF
+C5 a_495_n75# a_591_n75# 0.22fF
+C6 a_n849_n75# a_n1041_n75# 0.08fF
+C7 a_n945_n75# a_n1229_n75# 0.05fF
+C8 a_303_n75# a_15_n75# 0.05fF
+C9 a_n945_n75# a_n1041_n75# 0.22fF
+C10 a_975_n75# a_687_n75# 0.05fF
+C11 a_399_n75# a_687_n75# 0.05fF
+C12 a_687_n75# a_783_n75# 0.22fF
+C13 a_n561_n75# a_n753_n75# 0.08fF
+C14 a_879_n75# a_687_n75# 0.08fF
+C15 a_n561_n75# a_n657_n75# 0.22fF
+C16 a_n273_n75# a_111_n75# 0.03fF
+C17 a_n273_n75# a_n177_n75# 0.22fF
+C18 a_n177_n75# a_111_n75# 0.05fF
+C19 a_591_n75# a_303_n75# 0.05fF
+C20 a_111_n75# a_399_n75# 0.05fF
+C21 a_495_n75# a_207_n75# 0.05fF
+C22 a_975_n75# a_783_n75# 0.08fF
+C23 a_n465_n75# a_n369_n75# 0.22fF
+C24 a_n849_n75# a_n465_n75# 0.03fF
+C25 a_879_n75# a_975_n75# 0.22fF
+C26 a_399_n75# a_783_n75# 0.03fF
+C27 a_n945_n75# a_n849_n75# 0.22fF
+C28 a_687_n75# a_1071_n75# 0.03fF
+C29 a_207_n75# a_n81_n75# 0.05fF
+C30 a_n81_n75# a_n369_n75# 0.05fF
+C31 a_879_n75# a_783_n75# 0.22fF
+C32 a_n273_n75# a_n657_n75# 0.03fF
+C33 a_n273_n75# a_15_n75# 0.05fF
+C34 a_111_n75# a_15_n75# 0.22fF
+C35 a_n465_n75# a_n81_n75# 0.03fF
+C36 a_n177_n75# a_15_n75# 0.08fF
+C37 a_591_n75# a_687_n75# 0.22fF
+C38 a_399_n75# a_15_n75# 0.03fF
+C39 a_207_n75# a_303_n75# 0.22fF
+C40 a_495_n75# a_303_n75# 0.08fF
+C41 a_n1137_n75# a_n753_n75# 0.03fF
+C42 a_975_n75# a_1071_n75# 0.22fF
+C43 a_1167_n75# a_975_n75# 0.08fF
+C44 a_n657_n75# a_n753_n75# 0.22fF
+C45 a_591_n75# a_975_n75# 0.03fF
+C46 a_1071_n75# a_783_n75# 0.05fF
+C47 a_303_n75# a_n81_n75# 0.03fF
+C48 a_879_n75# a_1071_n75# 0.08fF
+C49 a_399_n75# a_591_n75# 0.08fF
+C50 a_n561_n75# a_n369_n75# 0.08fF
+C51 a_1167_n75# a_783_n75# 0.03fF
+C52 a_n849_n75# a_n561_n75# 0.05fF
+C53 a_879_n75# a_1167_n75# 0.05fF
+C54 a_591_n75# a_783_n75# 0.08fF
+C55 a_n465_n75# a_n561_n75# 0.22fF
+C56 a_n1137_n75# a_n1229_n75# 0.22fF
+C57 a_879_n75# a_591_n75# 0.05fF
+C58 a_n945_n75# a_n561_n75# 0.03fF
+C59 a_495_n75# a_687_n75# 0.08fF
+C60 a_n1137_n75# a_n1041_n75# 0.22fF
+C61 a_n1041_n75# a_n753_n75# 0.05fF
+C62 a_n1041_n75# a_n657_n75# 0.03fF
+C63 a_111_n75# a_207_n75# 0.22fF
+C64 a_495_n75# a_111_n75# 0.03fF
+C65 a_n177_n75# a_207_n75# 0.03fF
+C66 a_n273_n75# a_n369_n75# 0.22fF
+C67 a_1167_n75# a_1071_n75# 0.22fF
+C68 a_n177_n75# a_n369_n75# 0.08fF
+C69 a_399_n75# a_207_n75# 0.08fF
+C70 a_495_n75# a_399_n75# 0.22fF
+C71 a_n273_n75# a_n465_n75# 0.08fF
+C72 a_n177_n75# a_n465_n75# 0.05fF
+C73 a_495_n75# a_783_n75# 0.05fF
+C74 a_n1229_n75# a_n1041_n75# 0.08fF
+C75 a_687_n75# a_303_n75# 0.03fF
+C76 a_879_n75# a_495_n75# 0.03fF
+C77 a_n273_n75# a_n81_n75# 0.08fF
+C78 a_111_n75# a_n81_n75# 0.08fF
+C79 a_n177_n75# a_n81_n75# 0.22fF
+C80 a_n1137_n75# a_n849_n75# 0.05fF
+C81 a_n753_n75# a_n369_n75# 0.03fF
+C82 a_n849_n75# a_n753_n75# 0.22fF
+C83 a_n945_n75# a_n1137_n75# 0.08fF
+C84 a_207_n75# a_15_n75# 0.08fF
+C85 a_n657_n75# a_n369_n75# 0.05fF
+C86 a_n465_n75# a_n753_n75# 0.05fF
+C87 a_n849_n75# a_n657_n75# 0.08fF
+C88 a_15_n75# a_n369_n75# 0.03fF
+C89 a_111_n75# a_303_n75# 0.08fF
+C90 a_n945_n75# a_n753_n75# 0.08fF
+C91 a_n465_n75# a_n657_n75# 0.08fF
+C92 a_399_n75# a_303_n75# 0.22fF
+C93 a_n945_n75# a_n657_n75# 0.05fF
+C94 a_1167_n75# w_n1367_n285# 0.10fF
+C95 a_1071_n75# w_n1367_n285# 0.07fF
+C96 a_975_n75# w_n1367_n285# 0.06fF
+C97 a_879_n75# w_n1367_n285# 0.05fF
+C98 a_783_n75# w_n1367_n285# 0.04fF
+C99 a_687_n75# w_n1367_n285# 0.04fF
+C100 a_591_n75# w_n1367_n285# 0.04fF
+C101 a_495_n75# w_n1367_n285# 0.04fF
+C102 a_399_n75# w_n1367_n285# 0.04fF
+C103 a_303_n75# w_n1367_n285# 0.04fF
+C104 a_207_n75# w_n1367_n285# 0.04fF
+C105 a_111_n75# w_n1367_n285# 0.04fF
+C106 a_15_n75# w_n1367_n285# 0.04fF
+C107 a_n81_n75# w_n1367_n285# 0.04fF
+C108 a_n177_n75# w_n1367_n285# 0.04fF
+C109 a_n273_n75# w_n1367_n285# 0.04fF
+C110 a_n369_n75# w_n1367_n285# 0.04fF
+C111 a_n465_n75# w_n1367_n285# 0.04fF
+C112 a_n561_n75# w_n1367_n285# 0.04fF
+C113 a_n657_n75# w_n1367_n285# 0.04fF
+C114 a_n753_n75# w_n1367_n285# 0.04fF
+C115 a_n849_n75# w_n1367_n285# 0.04fF
+C116 a_n945_n75# w_n1367_n285# 0.04fF
+C117 a_n1041_n75# w_n1367_n285# 0.04fF
+C118 a_n1137_n75# w_n1367_n285# 0.04fF
+C119 a_n1229_n75# w_n1367_n285# 0.04fF
+C120 a_n1167_n101# w_n1367_n285# 2.55fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_MUHGM9 a_33_n101# a_n129_n75# a_735_n75# a_255_n75#
++ a_n417_n75# a_n989_n75# a_63_n75# a_543_n75# a_n705_n75# a_n225_n75# a_n33_n75#
++ a_831_n75# a_351_n75# a_n927_n101# a_n513_n75# a_n897_n75# w_n1127_n285# a_639_n75#
++ a_159_n75# a_n801_n75# a_n321_n75# a_927_n75# a_447_n75# a_n609_n75#
+X0 a_63_n75# a_33_n101# a_n33_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_927_n75# a_33_n101# a_831_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_n33_n75# a_n927_n101# a_n129_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_159_n75# a_33_n101# a_63_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_255_n75# a_33_n101# a_159_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_351_n75# a_33_n101# a_255_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_447_n75# a_33_n101# a_351_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_543_n75# a_33_n101# a_447_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_735_n75# a_33_n101# a_639_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_831_n75# a_33_n101# a_735_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_639_n75# a_33_n101# a_543_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n321_n75# a_n927_n101# a_n417_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_n801_n75# a_n927_n101# a_n897_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n705_n75# a_n927_n101# a_n801_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n513_n75# a_n927_n101# a_n609_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n417_n75# a_n927_n101# a_n513_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n225_n75# a_n927_n101# a_n321_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n129_n75# a_n927_n101# a_n225_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_n897_n75# a_n927_n101# a_n989_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n609_n75# a_n927_n101# a_n705_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+C0 a_n513_n75# a_n417_n75# 0.22fF
+C1 a_831_n75# a_543_n75# 0.05fF
+C2 a_n609_n75# a_n225_n75# 0.03fF
+C3 a_159_n75# a_543_n75# 0.03fF
+C4 a_n897_n75# a_n513_n75# 0.03fF
+C5 a_n705_n75# a_n513_n75# 0.08fF
+C6 a_n129_n75# a_n417_n75# 0.05fF
+C7 a_n801_n75# a_n513_n75# 0.05fF
+C8 a_n609_n75# a_n513_n75# 0.22fF
+C9 a_543_n75# a_351_n75# 0.08fF
+C10 a_543_n75# a_447_n75# 0.22fF
+C11 a_n321_n75# a_n33_n75# 0.05fF
+C12 a_159_n75# a_n33_n75# 0.08fF
+C13 a_63_n75# a_n225_n75# 0.05fF
+C14 a_63_n75# a_255_n75# 0.08fF
+C15 a_639_n75# a_735_n75# 0.22fF
+C16 a_255_n75# a_639_n75# 0.03fF
+C17 a_927_n75# a_735_n75# 0.08fF
+C18 a_927_n75# a_639_n75# 0.05fF
+C19 a_n225_n75# a_n513_n75# 0.05fF
+C20 a_159_n75# a_351_n75# 0.08fF
+C21 a_33_n101# a_n927_n101# 0.08fF
+C22 a_831_n75# a_447_n75# 0.03fF
+C23 a_159_n75# a_447_n75# 0.05fF
+C24 a_n33_n75# a_351_n75# 0.03fF
+C25 a_n321_n75# a_n417_n75# 0.22fF
+C26 a_n33_n75# a_n417_n75# 0.03fF
+C27 a_n225_n75# a_n129_n75# 0.22fF
+C28 a_63_n75# a_n129_n75# 0.08fF
+C29 a_255_n75# a_n129_n75# 0.03fF
+C30 a_n705_n75# a_n321_n75# 0.03fF
+C31 a_n989_n75# a_n897_n75# 0.22fF
+C32 a_n705_n75# a_n989_n75# 0.05fF
+C33 a_n801_n75# a_n989_n75# 0.08fF
+C34 a_n321_n75# a_n609_n75# 0.05fF
+C35 a_447_n75# a_351_n75# 0.22fF
+C36 a_n609_n75# a_n989_n75# 0.03fF
+C37 a_n129_n75# a_n513_n75# 0.03fF
+C38 a_543_n75# a_735_n75# 0.08fF
+C39 a_255_n75# a_543_n75# 0.05fF
+C40 a_639_n75# a_543_n75# 0.22fF
+C41 a_927_n75# a_543_n75# 0.03fF
+C42 a_n705_n75# a_n417_n75# 0.05fF
+C43 a_n801_n75# a_n417_n75# 0.03fF
+C44 a_n609_n75# a_n417_n75# 0.08fF
+C45 a_n705_n75# a_n897_n75# 0.08fF
+C46 a_n321_n75# a_n225_n75# 0.22fF
+C47 a_63_n75# a_n321_n75# 0.03fF
+C48 a_159_n75# a_n225_n75# 0.03fF
+C49 a_63_n75# a_159_n75# 0.22fF
+C50 a_831_n75# a_735_n75# 0.22fF
+C51 a_n801_n75# a_n897_n75# 0.22fF
+C52 a_n705_n75# a_n801_n75# 0.22fF
+C53 a_159_n75# a_255_n75# 0.22fF
+C54 a_n33_n75# a_n225_n75# 0.08fF
+C55 a_63_n75# a_n33_n75# 0.22fF
+C56 a_n609_n75# a_n897_n75# 0.05fF
+C57 a_831_n75# a_639_n75# 0.08fF
+C58 a_n705_n75# a_n609_n75# 0.22fF
+C59 a_255_n75# a_n33_n75# 0.05fF
+C60 a_831_n75# a_927_n75# 0.22fF
+C61 a_n801_n75# a_n609_n75# 0.08fF
+C62 a_n321_n75# a_n513_n75# 0.08fF
+C63 a_63_n75# a_351_n75# 0.05fF
+C64 a_351_n75# a_735_n75# 0.03fF
+C65 a_255_n75# a_351_n75# 0.22fF
+C66 a_63_n75# a_447_n75# 0.03fF
+C67 a_n225_n75# a_n417_n75# 0.08fF
+C68 a_447_n75# a_735_n75# 0.05fF
+C69 a_639_n75# a_351_n75# 0.05fF
+C70 a_255_n75# a_447_n75# 0.08fF
+C71 a_n321_n75# a_n129_n75# 0.08fF
+C72 a_159_n75# a_n129_n75# 0.05fF
+C73 a_639_n75# a_447_n75# 0.08fF
+C74 a_n33_n75# a_n129_n75# 0.22fF
+C75 a_927_n75# w_n1127_n285# 0.04fF
+C76 a_831_n75# w_n1127_n285# 0.04fF
+C77 a_735_n75# w_n1127_n285# 0.04fF
+C78 a_639_n75# w_n1127_n285# 0.04fF
+C79 a_543_n75# w_n1127_n285# 0.04fF
+C80 a_447_n75# w_n1127_n285# 0.04fF
+C81 a_351_n75# w_n1127_n285# 0.04fF
+C82 a_255_n75# w_n1127_n285# 0.04fF
+C83 a_159_n75# w_n1127_n285# 0.04fF
+C84 a_63_n75# w_n1127_n285# 0.04fF
+C85 a_n33_n75# w_n1127_n285# 0.04fF
+C86 a_n129_n75# w_n1127_n285# 0.04fF
+C87 a_n225_n75# w_n1127_n285# 0.04fF
+C88 a_n321_n75# w_n1127_n285# 0.04fF
+C89 a_n417_n75# w_n1127_n285# 0.04fF
+C90 a_n513_n75# w_n1127_n285# 0.04fF
+C91 a_n609_n75# w_n1127_n285# 0.04fF
+C92 a_n705_n75# w_n1127_n285# 0.04fF
+C93 a_n801_n75# w_n1127_n285# 0.04fF
+C94 a_n897_n75# w_n1127_n285# 0.04fF
+C95 a_n989_n75# w_n1127_n285# 0.04fF
+C96 a_33_n101# w_n1127_n285# 0.99fF
+C97 a_n927_n101# w_n1127_n285# 0.99fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_NKZXKB VSUBS a_33_n247# a_n801_n150# a_n417_n150#
++ a_351_n150# a_255_n150# a_n705_n150# a_n609_n150# a_159_n150# a_543_n150# a_447_n150#
++ a_831_n150# a_n897_n150# a_n33_n150# a_735_n150# a_n927_n247# a_639_n150# a_n321_n150#
++ a_927_n150# a_n225_n150# a_63_n150# a_n989_n150# a_n513_n150# a_n129_n150# w_n1127_n369#
+X0 a_n513_n150# a_n927_n247# a_n609_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_63_n150# a_33_n247# a_n33_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_735_n150# a_33_n247# a_639_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n801_n150# a_n927_n247# a_n897_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n927_n247# a_n225_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n927_n247# a_n513_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_639_n150# a_33_n247# a_543_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n705_n150# a_n927_n247# a_n801_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n33_n150# a_n927_n247# a_n129_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_351_n150# a_33_n247# a_255_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_n609_n150# a_n927_n247# a_n705_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n897_n150# a_n927_n247# a_n989_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_927_n150# a_33_n247# a_831_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_255_n150# a_33_n247# a_159_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n321_n150# a_n927_n247# a_n417_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_543_n150# a_33_n247# a_447_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_831_n150# a_33_n247# a_735_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_159_n150# a_33_n247# a_63_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_n225_n150# a_n927_n247# a_n321_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_447_n150# a_33_n247# a_351_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_351_n150# a_447_n150# 0.43fF
+C1 a_n609_n150# a_n989_n150# 0.07fF
+C2 a_831_n150# a_639_n150# 0.16fF
+C3 a_159_n150# a_n33_n150# 0.16fF
+C4 a_n989_n150# a_n705_n150# 0.10fF
+C5 a_255_n150# a_639_n150# 0.07fF
+C6 a_n513_n150# a_n129_n150# 0.07fF
+C7 a_n609_n150# a_n801_n150# 0.16fF
+C8 a_n801_n150# a_n705_n150# 0.43fF
+C9 a_n417_n150# a_n801_n150# 0.07fF
+C10 a_63_n150# a_n129_n150# 0.16fF
+C11 a_n33_n150# a_255_n150# 0.10fF
+C12 a_159_n150# a_351_n150# 0.16fF
+C13 a_735_n150# a_447_n150# 0.10fF
+C14 a_543_n150# a_927_n150# 0.07fF
+C15 a_255_n150# a_351_n150# 0.43fF
+C16 a_n129_n150# a_n321_n150# 0.16fF
+C17 a_831_n150# a_735_n150# 0.43fF
+C18 a_n129_n150# a_n225_n150# 0.43fF
+C19 a_n609_n150# a_n705_n150# 0.43fF
+C20 a_n609_n150# a_n417_n150# 0.16fF
+C21 a_n417_n150# a_n705_n150# 0.10fF
+C22 a_159_n150# a_n129_n150# 0.10fF
+C23 a_n417_n150# a_n33_n150# 0.07fF
+C24 a_n513_n150# a_n897_n150# 0.07fF
+C25 a_n129_n150# a_255_n150# 0.07fF
+C26 a_639_n150# a_351_n150# 0.10fF
+C27 a_n513_n150# a_n321_n150# 0.16fF
+C28 a_n513_n150# a_n225_n150# 0.10fF
+C29 a_831_n150# a_927_n150# 0.43fF
+C30 a_543_n150# a_447_n150# 0.43fF
+C31 a_63_n150# a_n321_n150# 0.07fF
+C32 a_n33_n150# a_351_n150# 0.07fF
+C33 a_33_n247# a_n927_n247# 0.09fF
+C34 a_63_n150# a_n225_n150# 0.10fF
+C35 a_63_n150# a_447_n150# 0.07fF
+C36 a_639_n150# a_735_n150# 0.43fF
+C37 a_159_n150# a_543_n150# 0.07fF
+C38 a_159_n150# a_63_n150# 0.43fF
+C39 a_543_n150# a_831_n150# 0.10fF
+C40 a_543_n150# a_255_n150# 0.10fF
+C41 a_n225_n150# a_n321_n150# 0.43fF
+C42 a_n513_n150# a_n801_n150# 0.10fF
+C43 a_735_n150# a_351_n150# 0.07fF
+C44 a_63_n150# a_255_n150# 0.16fF
+C45 a_n417_n150# a_n129_n150# 0.10fF
+C46 a_n33_n150# a_n129_n150# 0.43fF
+C47 a_n897_n150# a_n989_n150# 0.43fF
+C48 a_639_n150# a_927_n150# 0.10fF
+C49 a_n897_n150# a_n801_n150# 0.43fF
+C50 a_159_n150# a_n225_n150# 0.07fF
+C51 a_159_n150# a_447_n150# 0.10fF
+C52 a_831_n150# a_447_n150# 0.07fF
+C53 a_255_n150# a_447_n150# 0.16fF
+C54 a_543_n150# a_639_n150# 0.43fF
+C55 a_n609_n150# a_n513_n150# 0.43fF
+C56 a_n513_n150# a_n705_n150# 0.16fF
+C57 a_n513_n150# a_n417_n150# 0.43fF
+C58 a_159_n150# a_255_n150# 0.43fF
+C59 a_n989_n150# a_n801_n150# 0.16fF
+C60 a_n33_n150# a_63_n150# 0.43fF
+C61 a_927_n150# a_735_n150# 0.16fF
+C62 a_543_n150# a_351_n150# 0.16fF
+C63 a_n609_n150# a_n897_n150# 0.10fF
+C64 a_n897_n150# a_n705_n150# 0.16fF
+C65 a_63_n150# a_351_n150# 0.10fF
+C66 a_n609_n150# a_n321_n150# 0.10fF
+C67 a_n321_n150# a_n705_n150# 0.07fF
+C68 a_n417_n150# a_n321_n150# 0.43fF
+C69 a_n609_n150# a_n225_n150# 0.07fF
+C70 a_639_n150# a_447_n150# 0.16fF
+C71 a_n33_n150# a_n321_n150# 0.10fF
+C72 a_n417_n150# a_n225_n150# 0.16fF
+C73 a_543_n150# a_735_n150# 0.16fF
+C74 a_n33_n150# a_n225_n150# 0.16fF
+C75 a_927_n150# VSUBS 0.03fF
+C76 a_831_n150# VSUBS 0.03fF
+C77 a_735_n150# VSUBS 0.03fF
+C78 a_639_n150# VSUBS 0.03fF
+C79 a_543_n150# VSUBS 0.03fF
+C80 a_447_n150# VSUBS 0.03fF
+C81 a_351_n150# VSUBS 0.03fF
+C82 a_255_n150# VSUBS 0.03fF
+C83 a_159_n150# VSUBS 0.03fF
+C84 a_63_n150# VSUBS 0.03fF
+C85 a_n33_n150# VSUBS 0.03fF
+C86 a_n129_n150# VSUBS 0.03fF
+C87 a_n225_n150# VSUBS 0.03fF
+C88 a_n321_n150# VSUBS 0.03fF
+C89 a_n417_n150# VSUBS 0.03fF
+C90 a_n513_n150# VSUBS 0.03fF
+C91 a_n609_n150# VSUBS 0.03fF
+C92 a_n705_n150# VSUBS 0.03fF
+C93 a_n801_n150# VSUBS 0.03fF
+C94 a_n897_n150# VSUBS 0.03fF
+C95 a_n989_n150# VSUBS 0.03fF
+C96 a_33_n247# VSUBS 1.04fF
+C97 a_n927_n247# VSUBS 1.04fF
+C98 w_n1127_n369# VSUBS 6.17fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_8GRULZ a_n1761_n132# a_1045_n44# a_n1461_n44# a_n1103_n44#
++ a_n29_n44# a_n387_n44# a_1761_n44# a_n1819_n44# a_1403_n44# a_687_n44# w_n1957_n254#
++ a_329_n44# a_n745_n44#
+X0 a_329_n44# a_n1761_n132# a_n29_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X1 a_1761_n44# a_n1761_n132# a_1403_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X2 a_n745_n44# a_n1761_n132# a_n1103_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X3 a_1045_n44# a_n1761_n132# a_687_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X4 a_n29_n44# a_n1761_n132# a_n387_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X5 a_n1103_n44# a_n1761_n132# a_n1461_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X6 a_n387_n44# a_n1761_n132# a_n745_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X7 a_687_n44# a_n1761_n132# a_329_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X8 a_1403_n44# a_n1761_n132# a_1045_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X9 a_n1461_n44# a_n1761_n132# a_n1819_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+C0 a_n29_n44# a_n387_n44# 0.04fF
+C1 a_1403_n44# a_1761_n44# 0.04fF
+C2 a_n1819_n44# a_n1461_n44# 0.04fF
+C3 a_329_n44# a_n29_n44# 0.04fF
+C4 a_n1103_n44# a_n745_n44# 0.04fF
+C5 a_n1103_n44# a_n1461_n44# 0.04fF
+C6 a_n745_n44# a_n387_n44# 0.04fF
+C7 a_1045_n44# a_687_n44# 0.04fF
+C8 a_1045_n44# a_1403_n44# 0.04fF
+C9 a_329_n44# a_687_n44# 0.04fF
+C10 a_1761_n44# w_n1957_n254# 0.04fF
+C11 a_1403_n44# w_n1957_n254# 0.04fF
+C12 a_1045_n44# w_n1957_n254# 0.04fF
+C13 a_687_n44# w_n1957_n254# 0.04fF
+C14 a_329_n44# w_n1957_n254# 0.04fF
+C15 a_n29_n44# w_n1957_n254# 0.04fF
+C16 a_n387_n44# w_n1957_n254# 0.04fF
+C17 a_n745_n44# w_n1957_n254# 0.04fF
+C18 a_n1103_n44# w_n1957_n254# 0.04fF
+C19 a_n1461_n44# w_n1957_n254# 0.04fF
+C20 a_n1819_n44# w_n1957_n254# 0.04fF
+C21 a_n1761_n132# w_n1957_n254# 3.23fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ND88ZC VSUBS a_303_n150# a_n753_n150# a_n369_n150#
++ w_n1367_n369# a_207_n150# a_n657_n150# a_591_n150# a_n1229_n150# a_n945_n150# a_495_n150#
++ a_n1041_n150# a_n849_n150# a_n81_n150# a_399_n150# a_783_n150# a_1071_n150# a_687_n150#
++ a_975_n150# a_n1137_n150# a_n273_n150# a_111_n150# a_879_n150# a_n177_n150# a_n561_n150#
++ a_15_n150# a_1167_n150# a_n1167_n247# a_n465_n150#
+X0 a_n1137_n150# a_n1167_n247# a_n1229_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_495_n150# a_n1167_n247# a_399_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n561_n150# a_n1167_n247# a_n657_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_111_n150# a_n1167_n247# a_15_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_783_n150# a_n1167_n247# a_687_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_1071_n150# a_n1167_n247# a_975_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_399_n150# a_n1167_n247# a_303_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n465_n150# a_n1167_n247# a_n561_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_687_n150# a_n1167_n247# a_591_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n753_n150# a_n1167_n247# a_n849_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_975_n150# a_n1167_n247# a_879_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n81_n150# a_n1167_n247# a_n177_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_15_n150# a_n1167_n247# a_n81_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_n1041_n150# a_n1167_n247# a_n1137_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n369_n150# a_n1167_n247# a_n465_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_n657_n150# a_n1167_n247# a_n753_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_879_n150# a_n1167_n247# a_783_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_n945_n150# a_n1167_n247# a_n1041_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_1167_n150# a_n1167_n247# a_1071_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_303_n150# a_n1167_n247# a_207_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X20 a_n273_n150# a_n1167_n247# a_n369_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X21 a_591_n150# a_n1167_n247# a_495_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X22 a_n849_n150# a_n1167_n247# a_n945_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X23 a_207_n150# a_n1167_n247# a_111_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X24 a_n177_n150# a_n1167_n247# a_n273_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_111_n150# a_15_n150# 0.43fF
+C1 a_783_n150# a_1071_n150# 0.10fF
+C2 a_n369_n150# a_15_n150# 0.07fF
+C3 a_1167_n150# a_783_n150# 0.07fF
+C4 a_n1137_n150# a_n1041_n150# 0.43fF
+C5 a_303_n150# a_15_n150# 0.10fF
+C6 a_n945_n150# a_n849_n150# 0.43fF
+C7 a_n465_n150# a_n849_n150# 0.07fF
+C8 a_n561_n150# a_n753_n150# 0.16fF
+C9 a_207_n150# a_495_n150# 0.10fF
+C10 a_495_n150# a_687_n150# 0.16fF
+C11 a_n753_n150# a_n657_n150# 0.43fF
+C12 a_n369_n150# a_n753_n150# 0.07fF
+C13 a_303_n150# a_591_n150# 0.10fF
+C14 a_399_n150# a_111_n150# 0.10fF
+C15 a_687_n150# a_975_n150# 0.10fF
+C16 w_n1367_n369# a_975_n150# 0.05fF
+C17 a_303_n150# a_399_n150# 0.43fF
+C18 a_n1229_n150# a_n1137_n150# 0.43fF
+C19 a_n753_n150# a_n1137_n150# 0.07fF
+C20 a_975_n150# a_1071_n150# 0.43fF
+C21 a_1167_n150# a_975_n150# 0.16fF
+C22 a_591_n150# a_783_n150# 0.16fF
+C23 a_879_n150# a_783_n150# 0.43fF
+C24 a_n849_n150# a_n1041_n150# 0.16fF
+C25 a_n561_n150# a_n657_n150# 0.43fF
+C26 a_n561_n150# a_n369_n150# 0.16fF
+C27 a_399_n150# a_783_n150# 0.07fF
+C28 a_n369_n150# a_n657_n150# 0.10fF
+C29 a_687_n150# a_1071_n150# 0.07fF
+C30 a_303_n150# a_111_n150# 0.16fF
+C31 w_n1367_n369# a_1071_n150# 0.07fF
+C32 a_207_n150# a_n81_n150# 0.10fF
+C33 a_n465_n150# a_n81_n150# 0.07fF
+C34 a_495_n150# a_591_n150# 0.43fF
+C35 a_495_n150# a_879_n150# 0.07fF
+C36 a_1167_n150# w_n1367_n369# 0.14fF
+C37 a_n849_n150# a_n1229_n150# 0.07fF
+C38 a_n849_n150# a_n753_n150# 0.43fF
+C39 a_n465_n150# a_n273_n150# 0.16fF
+C40 a_1167_n150# a_1071_n150# 0.43fF
+C41 a_591_n150# a_975_n150# 0.07fF
+C42 a_207_n150# a_n177_n150# 0.07fF
+C43 a_n465_n150# a_n177_n150# 0.10fF
+C44 a_879_n150# a_975_n150# 0.43fF
+C45 a_n945_n150# a_n1041_n150# 0.43fF
+C46 a_495_n150# a_399_n150# 0.43fF
+C47 a_207_n150# a_15_n150# 0.16fF
+C48 a_n81_n150# a_n273_n150# 0.16fF
+C49 a_n81_n150# a_n177_n150# 0.43fF
+C50 a_207_n150# a_591_n150# 0.07fF
+C51 a_591_n150# a_687_n150# 0.43fF
+C52 a_879_n150# a_687_n150# 0.16fF
+C53 a_n945_n150# a_n1229_n150# 0.10fF
+C54 a_n177_n150# a_n273_n150# 0.43fF
+C55 a_n945_n150# a_n753_n150# 0.16fF
+C56 a_n465_n150# a_n753_n150# 0.10fF
+C57 w_n1367_n369# a_879_n150# 0.04fF
+C58 a_n561_n150# a_n849_n150# 0.10fF
+C59 a_n81_n150# a_15_n150# 0.43fF
+C60 a_207_n150# a_399_n150# 0.16fF
+C61 a_n849_n150# a_n657_n150# 0.16fF
+C62 a_495_n150# a_111_n150# 0.07fF
+C63 a_879_n150# a_1071_n150# 0.16fF
+C64 a_399_n150# a_687_n150# 0.10fF
+C65 a_1167_n150# a_879_n150# 0.10fF
+C66 a_15_n150# a_n273_n150# 0.10fF
+C67 a_303_n150# a_495_n150# 0.16fF
+C68 a_15_n150# a_n177_n150# 0.16fF
+C69 a_n849_n150# a_n1137_n150# 0.10fF
+C70 a_n1229_n150# a_n1041_n150# 0.16fF
+C71 a_n753_n150# a_n1041_n150# 0.10fF
+C72 a_207_n150# a_111_n150# 0.43fF
+C73 a_n561_n150# a_n945_n150# 0.07fF
+C74 a_n561_n150# a_n465_n150# 0.43fF
+C75 a_n945_n150# a_n657_n150# 0.10fF
+C76 a_n465_n150# a_n657_n150# 0.16fF
+C77 a_n465_n150# a_n369_n150# 0.43fF
+C78 a_495_n150# a_783_n150# 0.10fF
+C79 a_591_n150# a_879_n150# 0.10fF
+C80 a_207_n150# a_303_n150# 0.43fF
+C81 a_303_n150# a_687_n150# 0.07fF
+C82 a_975_n150# a_783_n150# 0.16fF
+C83 a_399_n150# a_15_n150# 0.07fF
+C84 a_n945_n150# a_n1137_n150# 0.16fF
+C85 a_n81_n150# a_111_n150# 0.16fF
+C86 a_591_n150# a_399_n150# 0.16fF
+C87 a_n81_n150# a_n369_n150# 0.10fF
+C88 a_111_n150# a_n273_n150# 0.07fF
+C89 a_n561_n150# a_n273_n150# 0.10fF
+C90 a_n273_n150# a_n657_n150# 0.07fF
+C91 a_n369_n150# a_n273_n150# 0.43fF
+C92 a_303_n150# a_n81_n150# 0.07fF
+C93 a_111_n150# a_n177_n150# 0.10fF
+C94 a_n561_n150# a_n177_n150# 0.07fF
+C95 a_n369_n150# a_n177_n150# 0.16fF
+C96 a_n1041_n150# a_n657_n150# 0.07fF
+C97 a_687_n150# a_783_n150# 0.43fF
+C98 a_1167_n150# VSUBS 0.03fF
+C99 a_1071_n150# VSUBS 0.03fF
+C100 a_975_n150# VSUBS 0.03fF
+C101 a_879_n150# VSUBS 0.03fF
+C102 a_783_n150# VSUBS 0.03fF
+C103 a_687_n150# VSUBS 0.03fF
+C104 a_591_n150# VSUBS 0.03fF
+C105 a_495_n150# VSUBS 0.03fF
+C106 a_399_n150# VSUBS 0.03fF
+C107 a_303_n150# VSUBS 0.03fF
+C108 a_207_n150# VSUBS 0.03fF
+C109 a_111_n150# VSUBS 0.03fF
+C110 a_15_n150# VSUBS 0.03fF
+C111 a_n81_n150# VSUBS 0.03fF
+C112 a_n177_n150# VSUBS 0.03fF
+C113 a_n273_n150# VSUBS 0.03fF
+C114 a_n369_n150# VSUBS 0.03fF
+C115 a_n465_n150# VSUBS 0.03fF
+C116 a_n561_n150# VSUBS 0.03fF
+C117 a_n657_n150# VSUBS 0.03fF
+C118 a_n753_n150# VSUBS 0.03fF
+C119 a_n849_n150# VSUBS 0.03fF
+C120 a_n945_n150# VSUBS 0.03fF
+C121 a_n1041_n150# VSUBS 0.03fF
+C122 a_n1137_n150# VSUBS 0.03fF
+C123 a_n1229_n150# VSUBS 0.03fF
+C124 a_n1167_n247# VSUBS 2.63fF
+C125 w_n1367_n369# VSUBS 7.85fF
+.ends
+
+.subckt charge_pump nswitch vdd nUp vss Down biasp out pswitch iref nDown Up
+Xsky130_fd_pr__pfet_01v8_4ML9WA_0 vss pswitch vdd pswitch pswitch pswitch nUp pswitch
++ pswitch pswitch pswitch pswitch pswitch pswitch sky130_fd_pr__pfet_01v8_4ML9WA
+Xsky130_fd_pr__nfet_01v8_YCGG98_0 vss out out vss vss vss out out vss vss out vss
++ out out out vss out vss out out out vss vss vss out vss vss nswitch sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_1 iref vss vss iref iref iref vss vss iref iref vss
++ iref vss vss vss iref vss iref vss vss vss vss iref iref vss iref iref iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_2 biasp vss vss biasp biasp biasp vss vss biasp biasp
++ vss biasp vss vss vss biasp vss biasp vss vss vss vss biasp biasp vss biasp biasp
++ iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_MUHGM9_0 nDown iref nswitch vss nswitch nswitch vss nswitch
++ iref nswitch nswitch vss nswitch Down iref iref vss vss nswitch nswitch iref nswitch
++ vss nswitch sky130_fd_pr__nfet_01v8_MUHGM9
+Xsky130_fd_pr__pfet_01v8_NKZXKB_0 vss Up pswitch pswitch pswitch vdd biasp pswitch
++ pswitch pswitch vdd vdd biasp pswitch pswitch nUp vdd biasp pswitch pswitch vdd
++ pswitch biasp biasp vdd sky130_fd_pr__pfet_01v8_NKZXKB
+Xsky130_fd_pr__nfet_01v8_8GRULZ_0 Down nswitch nswitch nswitch nswitch nswitch nswitch
++ nswitch nswitch nswitch vss nswitch nswitch sky130_fd_pr__nfet_01v8_8GRULZ
+Xsky130_fd_pr__pfet_01v8_ND88ZC_0 vss vdd out out vdd out vdd out vdd out vdd vdd
++ vdd vdd out out vdd vdd out out vdd vdd vdd out out out out pswitch vdd sky130_fd_pr__pfet_01v8_ND88ZC
+Xsky130_fd_pr__pfet_01v8_ND88ZC_1 vss biasp vdd vdd vdd vdd biasp vdd biasp vdd biasp
++ biasp biasp biasp vdd vdd biasp biasp vdd vdd biasp biasp biasp vdd vdd vdd vdd
++ biasp biasp sky130_fd_pr__pfet_01v8_ND88ZC
+C0 nswitch biasp 0.03fF
+C1 pswitch nswitch 0.06fF
+C2 Down nUp 0.25fF
+C3 Up pswitch 0.70fF
+C4 pswitch nUp 5.66fF
+C5 vdd out 6.66fF
+C6 Down nDown 0.13fF
+C7 pswitch out 4.91fF
+C8 iref biasp 0.80fF
+C9 Up nUp 0.15fF
+C10 out nswitch 1.28fF
+C11 vdd biasp 2.64fF
+C12 vdd pswitch 3.98fF
+C13 nDown nswitch 0.31fF
+C14 out nUp 0.31fF
+C15 pswitch biasp 3.11fF
+C16 iref nswitch 1.91fF
+C17 Down nswitch 2.27fF
+C18 vdd nswitch 0.07fF
+C19 vdd vss 35.71fF
+C20 Down vss 4.77fF
+C21 Up vss 1.17fF
+C22 nswitch vss 6.39fF
+C23 nDown vss 1.11fF
+C24 biasp vss 8.73fF
+C25 iref vss 10.12fF
+C26 out vss -3.49fF
+C27 pswitch vss 3.45fF
+C28 nUp vss 5.85fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4798MH VSUBS a_81_n156# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n111_n156# a_n15_n156# a_n81_n125#
+X0 a_n81_n125# a_n111_n156# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n15_n156# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_81_n156# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_81_n156# a_n15_n156# 0.02fF
+C1 a_111_n125# a_15_n125# 0.36fF
+C2 w_n311_n344# a_15_n125# 0.09fF
+C3 w_n311_n344# a_111_n125# 0.14fF
+C4 a_n173_n125# a_n81_n125# 0.36fF
+C5 a_n111_n156# a_n15_n156# 0.02fF
+C6 a_n173_n125# a_15_n125# 0.13fF
+C7 a_n81_n125# a_15_n125# 0.36fF
+C8 a_111_n125# a_n173_n125# 0.08fF
+C9 a_111_n125# a_n81_n125# 0.13fF
+C10 w_n311_n344# a_n173_n125# 0.14fF
+C11 w_n311_n344# a_n81_n125# 0.09fF
+C12 a_111_n125# VSUBS 0.03fF
+C13 a_15_n125# VSUBS 0.03fF
+C14 a_n81_n125# VSUBS 0.03fF
+C15 a_n173_n125# VSUBS 0.03fF
+C16 a_81_n156# VSUBS 0.05fF
+C17 a_n15_n156# VSUBS 0.05fF
+C18 a_n111_n156# VSUBS 0.05fF
+C19 w_n311_n344# VSUBS 2.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_BHR94T a_n15_n151# w_n311_n335# a_81_n151# a_111_n125#
++ a_15_n125# a_n173_n125# a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_81_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n15_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n15_n151# a_n111_n151# 0.02fF
+C1 a_n173_n125# a_15_n125# 0.13fF
+C2 a_81_n151# a_n15_n151# 0.02fF
+C3 a_111_n125# a_n173_n125# 0.08fF
+C4 a_n173_n125# a_n81_n125# 0.36fF
+C5 a_111_n125# a_15_n125# 0.36fF
+C6 a_n81_n125# a_15_n125# 0.36fF
+C7 a_111_n125# a_n81_n125# 0.13fF
+C8 a_111_n125# w_n311_n335# 0.17fF
+C9 a_15_n125# w_n311_n335# 0.12fF
+C10 a_n81_n125# w_n311_n335# 0.12fF
+C11 a_n173_n125# w_n311_n335# 0.17fF
+C12 a_81_n151# w_n311_n335# 0.05fF
+C13 a_n15_n151# w_n311_n335# 0.05fF
+C14 a_n111_n151# w_n311_n335# 0.05fF
+.ends
+
+.subckt trans_gate m1_187_n605# m1_45_n513# vss vdd
+Xsky130_fd_pr__pfet_01v8_4798MH_0 vss vss m1_187_n605# m1_45_n513# m1_45_n513# vdd
++ vss vss m1_187_n605# sky130_fd_pr__pfet_01v8_4798MH
+Xsky130_fd_pr__nfet_01v8_BHR94T_0 vdd vss vdd m1_187_n605# m1_45_n513# m1_45_n513#
++ vdd m1_187_n605# sky130_fd_pr__nfet_01v8_BHR94T
+C0 m1_45_n513# vdd 0.69fF
+C1 m1_45_n513# m1_187_n605# 0.36fF
+C2 m1_187_n605# vdd 0.55fF
+C3 m1_187_n605# vss 0.93fF
+C4 m1_45_n513# vss 1.31fF
+C5 vdd vss 3.36fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7KT7MH VSUBS a_n111_n186# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n81_n125#
+X0 a_n81_n125# a_n111_n186# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n111_n186# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_n111_n186# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_15_n125# a_n173_n125# 0.13fF
+C1 a_15_n125# a_n81_n125# 0.36fF
+C2 a_111_n125# a_15_n125# 0.36fF
+C3 a_n173_n125# w_n311_n344# 0.14fF
+C4 a_n81_n125# w_n311_n344# 0.09fF
+C5 a_n173_n125# a_n81_n125# 0.36fF
+C6 a_111_n125# w_n311_n344# 0.14fF
+C7 a_111_n125# a_n173_n125# 0.08fF
+C8 a_111_n125# a_n81_n125# 0.13fF
+C9 a_15_n125# w_n311_n344# 0.09fF
+C10 a_111_n125# VSUBS 0.03fF
+C11 a_15_n125# VSUBS 0.03fF
+C12 a_n81_n125# VSUBS 0.03fF
+C13 a_n173_n125# VSUBS 0.03fF
+C14 a_n111_n186# VSUBS 0.26fF
+C15 w_n311_n344# VSUBS 2.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS6QM w_n311_n335# a_111_n125# a_15_n125# a_n173_n125#
++ a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_n111_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n111_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_111_n125# a_n81_n125# 0.13fF
+C1 a_111_n125# a_15_n125# 0.36fF
+C2 a_n173_n125# a_n81_n125# 0.36fF
+C3 a_n173_n125# a_15_n125# 0.13fF
+C4 a_n81_n125# a_15_n125# 0.36fF
+C5 a_111_n125# a_n173_n125# 0.08fF
+C6 a_111_n125# w_n311_n335# 0.17fF
+C7 a_15_n125# w_n311_n335# 0.12fF
+C8 a_n81_n125# w_n311_n335# 0.12fF
+C9 a_n173_n125# w_n311_n335# 0.17fF
+C10 a_n111_n151# w_n311_n335# 0.25fF
+.ends
+
+.subckt inverter_cp_x1 out in vss vdd
+Xsky130_fd_pr__pfet_01v8_7KT7MH_0 vss in out vdd vdd vdd out sky130_fd_pr__pfet_01v8_7KT7MH
+Xsky130_fd_pr__nfet_01v8_2BS6QM_0 vss out vss vss in out sky130_fd_pr__nfet_01v8_2BS6QM
+C0 out vdd 0.10fF
+C1 out in 0.32fF
+C2 out vss 0.77fF
+C3 in vss 0.95fF
+C4 vdd vss 3.13fF
+.ends
+
+.subckt clock_inverter vss inverter_cp_x1_2/in CLK vdd inverter_cp_x1_0/out CLK_d
++ nCLK_d
+Xtrans_gate_0 nCLK_d inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 inverter_cp_x1_0/out CLK vss vdd inverter_cp_x1
+Xinverter_cp_x1_1 inverter_cp_x1_2/in CLK vss vdd inverter_cp_x1
+Xinverter_cp_x1_2 CLK_d inverter_cp_x1_2/in vss vdd inverter_cp_x1
+C0 inverter_cp_x1_0/out nCLK_d 0.11fF
+C1 vdd inverter_cp_x1_2/in 0.21fF
+C2 vdd nCLK_d 0.03fF
+C3 CLK inverter_cp_x1_2/in 0.31fF
+C4 vdd inverter_cp_x1_0/out 0.28fF
+C5 vdd CLK_d 0.03fF
+C6 CLK inverter_cp_x1_0/out 0.31fF
+C7 vdd CLK 0.36fF
+C8 inverter_cp_x1_2/in CLK_d 0.12fF
+C9 CLK_d vss 0.96fF
+C10 inverter_cp_x1_2/in vss 2.01fF
+C11 inverter_cp_x1_0/out vss 1.97fF
+C12 CLK vss 3.03fF
+C13 nCLK_d vss 1.44fF
+C14 vdd vss 16.51fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MJG8BZ VSUBS a_n125_n95# a_63_n95# w_n263_n314# a_n33_n95#
++ a_n63_n192#
+X0 a_63_n95# a_n63_n192# a_n33_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n33_n95# a_n63_n192# a_n125_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+C0 a_63_n95# a_n33_n95# 0.28fF
+C1 a_n125_n95# w_n263_n314# 0.11fF
+C2 a_n125_n95# a_n33_n95# 0.28fF
+C3 w_n263_n314# a_n33_n95# 0.08fF
+C4 a_n125_n95# a_63_n95# 0.10fF
+C5 w_n263_n314# a_63_n95# 0.11fF
+C6 a_63_n95# VSUBS 0.03fF
+C7 a_n33_n95# VSUBS 0.03fF
+C8 a_n125_n95# VSUBS 0.03fF
+C9 a_n63_n192# VSUBS 0.20fF
+C10 w_n263_n314# VSUBS 1.80fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS854 w_n311_n335# a_n129_n213# a_111_n125# a_15_n125#
++ a_n173_n125# a_n81_n125#
+X0 a_111_n125# a_n129_n213# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n129_n213# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n129_n213# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n173_n125# a_15_n125# 0.13fF
+C1 a_n173_n125# a_111_n125# 0.08fF
+C2 a_111_n125# a_15_n125# 0.36fF
+C3 a_n81_n125# a_n129_n213# 0.10fF
+C4 a_n173_n125# a_n81_n125# 0.36fF
+C5 a_n173_n125# a_n129_n213# 0.02fF
+C6 a_n81_n125# a_15_n125# 0.36fF
+C7 a_n129_n213# a_15_n125# 0.10fF
+C8 a_n81_n125# a_111_n125# 0.13fF
+C9 a_n129_n213# a_111_n125# 0.01fF
+C10 a_111_n125# w_n311_n335# 0.05fF
+C11 a_15_n125# w_n311_n335# 0.05fF
+C12 a_n81_n125# w_n311_n335# 0.05fF
+C13 a_n173_n125# w_n311_n335# 0.05fF
+C14 a_n129_n213# w_n311_n335# 0.49fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_KU9PSX a_n125_n95# a_n33_n95# a_n81_n183# w_n263_n305#
+X0 a_n33_n95# a_n81_n183# a_n125_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n125_n95# a_n81_n183# a_n33_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+C0 a_n33_n95# a_n81_n183# 0.10fF
+C1 a_n125_n95# a_n33_n95# 0.88fF
+C2 a_n125_n95# a_n81_n183# 0.16fF
+C3 a_n33_n95# w_n263_n305# 0.07fF
+C4 a_n125_n95# w_n263_n305# 0.13fF
+C5 a_n81_n183# w_n263_n305# 0.31fF
+.ends
+
+.subckt latch_diff m1_657_280# nQ Q vss CLK vdd nD D
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_0 vss vdd vdd vdd nQ Q sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_1 vss vdd vdd vdd Q nQ sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__nfet_01v8_2BS854_0 vss CLK vss m1_657_280# m1_657_280# vss sky130_fd_pr__nfet_01v8_2BS854
+Xsky130_fd_pr__nfet_01v8_KU9PSX_0 m1_657_280# Q nD vss sky130_fd_pr__nfet_01v8_KU9PSX
+Xsky130_fd_pr__nfet_01v8_KU9PSX_1 m1_657_280# nQ D vss sky130_fd_pr__nfet_01v8_KU9PSX
+C0 nQ vdd 0.16fF
+C1 nQ Q 0.93fF
+C2 m1_657_280# CLK 0.24fF
+C3 m1_657_280# nQ 1.41fF
+C4 Q vdd 0.16fF
+C5 nQ D 0.05fF
+C6 nQ nD 0.05fF
+C7 m1_657_280# Q 0.94fF
+C8 Q D 0.05fF
+C9 Q nD 0.05fF
+C10 nQ vss 1.16fF
+C11 D vss 0.53fF
+C12 Q vss -0.55fF
+C13 m1_657_280# vss 1.88fF
+C14 nD vss 0.16fF
+C15 CLK vss 0.87fF
+C16 vdd vss 5.98fF
+.ends
+
+.subckt DFlipFlop latch_diff_0/m1_657_280# vss latch_diff_1/D clock_inverter_0/inverter_cp_x1_2/in
++ nQ Q latch_diff_1/nD D latch_diff_1/m1_657_280# latch_diff_0/D vdd CLK clock_inverter_0/inverter_cp_x1_0/out
++ nCLK latch_diff_0/nD
+Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in D vdd clock_inverter_0/inverter_cp_x1_0/out
++ latch_diff_0/D latch_diff_0/nD clock_inverter
+Xlatch_diff_0 latch_diff_0/m1_657_280# latch_diff_1/nD latch_diff_1/D vss CLK vdd
++ latch_diff_0/nD latch_diff_0/D latch_diff
+Xlatch_diff_1 latch_diff_1/m1_657_280# nQ Q vss nCLK vdd latch_diff_1/nD latch_diff_1/D
++ latch_diff
+C0 latch_diff_1/m1_657_280# latch_diff_0/m1_657_280# 0.18fF
+C1 latch_diff_0/nD latch_diff_0/m1_657_280# 0.38fF
+C2 vdd latch_diff_1/nD 0.02fF
+C3 vdd latch_diff_0/D 0.09fF
+C4 vdd clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C5 latch_diff_1/D latch_diff_1/nD 0.33fF
+C6 latch_diff_1/D latch_diff_0/D 0.11fF
+C7 latch_diff_0/nD vdd 0.14fF
+C8 latch_diff_0/m1_657_280# latch_diff_1/D 0.43fF
+C9 latch_diff_1/m1_657_280# latch_diff_1/D 0.32fF
+C10 latch_diff_1/D nQ 0.11fF
+C11 latch_diff_0/nD latch_diff_1/D 0.41fF
+C12 Q latch_diff_1/nD 0.01fF
+C13 latch_diff_1/D vdd 0.03fF
+C14 latch_diff_0/D latch_diff_1/nD 0.04fF
+C15 latch_diff_0/m1_657_280# latch_diff_1/nD 0.14fF
+C16 latch_diff_0/m1_657_280# latch_diff_0/D 0.37fF
+C17 latch_diff_1/m1_657_280# latch_diff_1/nD 0.42fF
+C18 nQ latch_diff_1/nD 0.08fF
+C19 nQ vss 0.57fF
+C20 Q vss -0.92fF
+C21 latch_diff_1/m1_657_280# vss 0.64fF
+C22 nCLK vss 0.83fF
+C23 latch_diff_1/nD vss 1.83fF
+C24 latch_diff_1/D vss -0.30fF
+C25 latch_diff_0/m1_657_280# vss 0.72fF
+C26 CLK vss 0.83fF
+C27 latch_diff_0/D vss 1.29fF
+C28 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C29 clock_inverter_0/inverter_cp_x1_0/out vss 1.84fF
+C30 D vss 3.27fF
+C31 latch_diff_0/nD vss 1.74fF
+C32 vdd vss 32.62fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZP3U9B VSUBS a_n221_n84# a_159_n84# w_n359_n303# a_n63_n110#
++ a_n129_n84# a_33_n110# a_n159_n110# a_63_n84# a_129_n110# a_n33_n84#
+X0 a_n129_n84# a_n159_n110# a_n221_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_63_n84# a_33_n110# a_n33_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 a_n33_n84# a_n63_n110# a_n129_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_159_n84# a_129_n110# a_63_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+C0 a_n33_n84# a_n129_n84# 0.24fF
+C1 a_n33_n84# a_n221_n84# 0.09fF
+C2 a_n33_n84# w_n359_n303# 0.05fF
+C3 a_n63_n110# a_33_n110# 0.02fF
+C4 a_129_n110# a_33_n110# 0.02fF
+C5 a_n63_n110# a_n159_n110# 0.02fF
+C6 a_159_n84# a_n129_n84# 0.05fF
+C7 a_159_n84# a_n221_n84# 0.04fF
+C8 w_n359_n303# a_159_n84# 0.08fF
+C9 a_n33_n84# a_63_n84# 0.24fF
+C10 a_n221_n84# a_n129_n84# 0.24fF
+C11 w_n359_n303# a_n129_n84# 0.06fF
+C12 w_n359_n303# a_n221_n84# 0.08fF
+C13 a_63_n84# a_159_n84# 0.24fF
+C14 a_63_n84# a_n129_n84# 0.09fF
+C15 a_63_n84# a_n221_n84# 0.05fF
+C16 a_63_n84# w_n359_n303# 0.06fF
+C17 a_n33_n84# a_159_n84# 0.09fF
+C18 a_159_n84# VSUBS 0.03fF
+C19 a_63_n84# VSUBS 0.03fF
+C20 a_n33_n84# VSUBS 0.03fF
+C21 a_n129_n84# VSUBS 0.03fF
+C22 a_n221_n84# VSUBS 0.03fF
+C23 a_129_n110# VSUBS 0.05fF
+C24 a_33_n110# VSUBS 0.05fF
+C25 a_n63_n110# VSUBS 0.05fF
+C26 a_n159_n110# VSUBS 0.05fF
+C27 w_n359_n303# VSUBS 2.19fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_DXA56D w_n359_n252# a_n33_n42# a_129_n68# a_n159_n68#
++ a_n221_n42# a_159_n42# a_n129_n42# a_33_n68# a_n63_n68# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n129_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2 a_159_n42# a_129_n68# a_63_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3 a_n129_n42# a_n159_n68# a_n221_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_63_n42# a_n221_n42# 0.03fF
+C1 a_n33_n42# a_n129_n42# 0.12fF
+C2 a_63_n42# a_n33_n42# 0.12fF
+C3 a_159_n42# a_n129_n42# 0.03fF
+C4 a_63_n42# a_159_n42# 0.12fF
+C5 a_63_n42# a_n129_n42# 0.05fF
+C6 a_n221_n42# a_n33_n42# 0.05fF
+C7 a_129_n68# a_33_n68# 0.02fF
+C8 a_n221_n42# a_159_n42# 0.02fF
+C9 a_159_n42# a_n33_n42# 0.05fF
+C10 a_n159_n68# a_n63_n68# 0.02fF
+C11 a_33_n68# a_n63_n68# 0.02fF
+C12 a_n221_n42# a_n129_n42# 0.12fF
+C13 a_159_n42# w_n359_n252# 0.07fF
+C14 a_63_n42# w_n359_n252# 0.06fF
+C15 a_n33_n42# w_n359_n252# 0.06fF
+C16 a_n129_n42# w_n359_n252# 0.06fF
+C17 a_n221_n42# w_n359_n252# 0.07fF
+C18 a_129_n68# w_n359_n252# 0.05fF
+C19 a_33_n68# w_n359_n252# 0.05fF
+C20 a_n63_n68# w_n359_n252# 0.05fF
+C21 a_n159_n68# w_n359_n252# 0.05fF
+.ends
+
+.subckt inverter_min_x4 in vss out vdd
+Xsky130_fd_pr__pfet_01v8_ZP3U9B_0 vss out out vdd in vdd in in vdd in out sky130_fd_pr__pfet_01v8_ZP3U9B
+Xsky130_fd_pr__nfet_01v8_DXA56D_0 vss out in in out out vss in in vss sky130_fd_pr__nfet_01v8_DXA56D
+C0 out in 0.67fF
+C1 out vdd 0.62fF
+C2 in vdd 0.33fF
+C3 out vss 0.66fF
+C4 in vss 1.89fF
+C5 vdd vss 3.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_5RJ8EK a_n33_n42# a_33_n68# w_n263_n252# a_n63_n68#
++ a_n125_n42# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n125_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_n63_n68# a_33_n68# 0.02fF
+C1 a_n125_n42# a_63_n42# 0.05fF
+C2 a_n125_n42# a_n33_n42# 0.12fF
+C3 a_63_n42# a_n33_n42# 0.12fF
+C4 a_63_n42# w_n263_n252# 0.09fF
+C5 a_n33_n42# w_n263_n252# 0.07fF
+C6 a_n125_n42# w_n263_n252# 0.09fF
+C7 a_33_n68# w_n263_n252# 0.05fF
+C8 a_n63_n68# w_n263_n252# 0.05fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZPB9BB VSUBS a_n63_n110# a_33_n110# a_n125_n84# a_63_n84#
++ w_n263_n303# a_n33_n84#
+X0 a_63_n84# a_33_n110# a_n33_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_n33_n84# a_n63_n110# a_n125_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+C0 w_n263_n303# a_n33_n84# 0.07fF
+C1 a_n125_n84# a_63_n84# 0.09fF
+C2 w_n263_n303# a_n125_n84# 0.10fF
+C3 w_n263_n303# a_63_n84# 0.10fF
+C4 a_33_n110# a_n63_n110# 0.02fF
+C5 a_n33_n84# a_n125_n84# 0.24fF
+C6 a_n33_n84# a_63_n84# 0.24fF
+C7 a_63_n84# VSUBS 0.03fF
+C8 a_n33_n84# VSUBS 0.03fF
+C9 a_n125_n84# VSUBS 0.03fF
+C10 a_33_n110# VSUBS 0.05fF
+C11 a_n63_n110# VSUBS 0.05fF
+C12 w_n263_n303# VSUBS 1.74fF
+.ends
+
+.subckt inverter_min_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_5RJ8EK_0 vss in vss in out out sky130_fd_pr__nfet_01v8_5RJ8EK
+Xsky130_fd_pr__pfet_01v8_ZPB9BB_0 vss in in out out vdd vdd sky130_fd_pr__pfet_01v8_ZPB9BB
+C0 out vdd 0.15fF
+C1 in vdd 0.01fF
+C2 in out 0.30fF
+C3 vdd vss 2.93fF
+C4 out vss 0.66fF
+C5 in vss 0.72fF
+.ends
+
+.subckt div_by_2 vss vdd clock_inverter_0/inverter_cp_x1_2/in CLK_2 nCLK_2 o1 CLK
++ out_div o2 clock_inverter_0/inverter_cp_x1_0/out nout_div
+XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ nout_div out_div DFlipFlop_0/latch_diff_1/nD nout_div DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd DFlipFlop_0/CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
+Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in CLK vdd clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_0/CLK DFlipFlop_0/nCLK clock_inverter
+Xinverter_min_x4_0 o1 vss CLK_2 vdd inverter_min_x4
+Xinverter_min_x4_1 o2 vss nCLK_2 vdd inverter_min_x4
+Xinverter_min_x2_0 nout_div o2 vss vdd inverter_min_x2
+Xinverter_min_x2_1 out_div o1 vss vdd inverter_min_x2
+C0 nCLK_2 vdd 0.08fF
+C1 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C2 DFlipFlop_0/CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out 0.29fF
+C3 DFlipFlop_0/CLK nout_div 0.42fF
+C4 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_0/m1_657_280# 0.26fF
+C5 nout_div DFlipFlop_0/nCLK 0.43fF
+C6 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_1/D -0.48fF
+C7 DFlipFlop_0/latch_diff_1/D DFlipFlop_0/nCLK 0.08fF
+C8 nout_div DFlipFlop_0/latch_diff_1/m1_657_280# 0.21fF
+C9 CLK_2 vdd 0.08fF
+C10 nout_div DFlipFlop_0/latch_diff_1/nD 1.18fF
+C11 o1 DFlipFlop_0/latch_diff_1/m1_657_280# 0.02fF
+C12 o2 vdd 0.14fF
+C13 DFlipFlop_0/latch_diff_1/m1_657_280# DFlipFlop_0/nCLK 0.26fF
+C14 clock_inverter_0/inverter_cp_x1_0/out vdd 0.10fF
+C15 DFlipFlop_0/nCLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.46fF
+C16 out_div vdd 0.03fF
+C17 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_1/nD 0.11fF
+C18 nout_div DFlipFlop_0/latch_diff_0/D 0.09fF
+C19 DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/nCLK -0.09fF
+C20 CLK_2 o1 0.11fF
+C21 nout_div DFlipFlop_0/latch_diff_0/nD 0.07fF
+C22 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vdd 0.03fF
+C23 nout_div vdd 0.16fF
+C24 nout_div out_div 0.22fF
+C25 DFlipFlop_0/latch_diff_0/D DFlipFlop_0/nCLK 0.13fF
+C26 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_0/nD 0.12fF
+C27 o1 vdd 0.14fF
+C28 o1 out_div 0.01fF
+C29 DFlipFlop_0/CLK vdd 0.40fF
+C30 vdd DFlipFlop_0/nCLK 0.30fF
+C31 nout_div DFlipFlop_0/latch_diff_0/m1_657_280# 0.24fF
+C32 o2 DFlipFlop_0/latch_diff_1/m1_657_280# 0.02fF
+C33 nCLK_2 o2 0.11fF
+C34 DFlipFlop_0/latch_diff_1/D nout_div 0.64fF
+C35 nCLK_2 vss 1.08fF
+C36 o2 vss 2.21fF
+C37 CLK_2 vss 1.08fF
+C38 o1 vss 2.21fF
+C39 DFlipFlop_0/CLK vss 1.03fF
+C40 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C41 clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C42 CLK vss 3.27fF
+C43 DFlipFlop_0/nCLK vss 1.76fF
+C44 out_div vss -0.77fF
+C45 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.63fF
+C46 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C47 DFlipFlop_0/latch_diff_1/D vss -1.72fF
+C48 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C49 DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C50 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
+C51 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.80fF
+C52 nout_div vss 4.41fF
+C53 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C54 vdd vss 64.43fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_58ZKDE VSUBS a_n257_n777# a_n129_n600# a_n221_n600#
++ w_n257_n702#
+X0 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X1 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X2 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X3 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+C0 a_n257_n777# a_n129_n600# 0.29fF
+C1 a_n257_n777# a_n221_n600# 0.25fF
+C2 a_n129_n600# a_n221_n600# 7.87fF
+C3 a_n129_n600# VSUBS 0.10fF
+C4 a_n221_n600# VSUBS 0.25fF
+C5 a_n257_n777# VSUBS 1.05fF
+C6 w_n257_n702# VSUBS 2.16fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_T69Y3A a_n129_n300# a_n221_n300# w_n257_n327# a_n257_n404#
+X0 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X1 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X2 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X3 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+C0 a_n221_n300# a_n129_n300# 4.05fF
+C1 a_n221_n300# a_n257_n404# 0.21fF
+C2 a_n257_n404# a_n129_n300# 0.30fF
+C3 a_n129_n300# w_n257_n327# 0.11fF
+C4 a_n221_n300# w_n257_n327# 0.25fF
+C5 a_n257_n404# w_n257_n327# 1.11fF
+.ends
+
+.subckt buffer_salida a_678_n100# out in vss vdd
+Xsky130_fd_pr__pfet_01v8_58ZKDE_1 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_2 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_3 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_0 a_678_n100# vss vss in sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_1 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_4 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_5 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_2 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_3 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_6 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_4 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_7 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_70 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_8 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_5 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_71 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_60 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_6 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_9 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_72 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_61 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_50 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_7 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_62 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_51 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_40 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_8 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_63 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_52 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_41 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_30 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_9 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_20 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_64 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_53 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_42 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_31 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_10 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_21 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_65 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_54 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_43 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_32 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_11 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_22 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_66 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_55 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_44 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_33 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_12 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_23 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_67 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_56 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_45 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_34 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_13 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_24 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_68 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_57 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_46 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_35 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_14 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_69 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_58 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_47 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_36 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_25 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_15 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_59 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_48 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_37 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_26 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_16 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_49 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_38 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_27 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_70 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_17 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_39 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_28 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_71 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_60 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_18 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_29 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_72 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_61 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_50 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_19 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_62 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_51 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_40 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_63 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_52 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_41 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_30 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_20 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_64 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_53 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_42 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_31 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_10 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_21 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_65 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_54 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_43 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_32 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_11 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_22 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_66 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_55 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_44 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_33 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_12 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_23 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_67 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_56 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_45 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_34 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_13 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_24 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_68 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_57 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_46 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_35 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_14 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_69 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_58 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_47 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_36 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_25 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_15 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_59 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_48 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_37 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_26 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_16 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_49 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_38 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_27 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_17 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_39 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_28 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_18 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_29 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_19 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_0 vss in a_678_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+C0 out vdd 47.17fF
+C1 vdd a_3996_n100# 3.68fF
+C2 in vdd 0.02fF
+C3 a_678_n100# a_3996_n100# 6.52fF
+C4 a_678_n100# in 0.81fF
+C5 out a_3996_n100# 55.19fF
+C6 a_678_n100# vdd 0.08fF
+C7 vdd vss 20.93fF
+C8 out vss 35.17fF
+C9 a_3996_n100# vss 49.53fF
+C10 a_678_n100# vss 13.08fF
+C11 in vss 0.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_CBAU6Y a_n73_n150# a_n33_n238# w_n211_n360# a_15_n150#
+X0 a_15_n150# a_n33_n238# a_n73_n150# w_n211_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n33_n238# a_n73_n150# 0.02fF
+C1 a_15_n150# a_n73_n150# 0.51fF
+C2 a_15_n150# a_n33_n238# 0.02fF
+C3 a_15_n150# w_n211_n360# 0.23fF
+C4 a_n73_n150# w_n211_n360# 0.23fF
+C5 a_n33_n238# w_n211_n360# 0.17fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4757AC VSUBS a_n73_n150# a_n33_181# w_n211_n369# a_15_n150#
+X0 a_15_n150# a_n33_181# a_n73_n150# w_n211_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n73_n150# a_15_n150# 0.51fF
+C1 w_n211_n369# a_n33_181# 0.05fF
+C2 a_15_n150# a_n33_181# 0.01fF
+C3 a_n73_n150# a_n33_181# 0.01fF
+C4 w_n211_n369# a_15_n150# 0.20fF
+C5 a_n73_n150# w_n211_n369# 0.20fF
+C6 a_15_n150# VSUBS 0.03fF
+C7 a_n73_n150# VSUBS 0.03fF
+C8 a_n33_181# VSUBS 0.13fF
+C9 w_n211_n369# VSUBS 1.98fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_7H8F5S a_n465_172# a_n417_n150# a_351_n150# a_255_n150#
++ w_n647_n360# a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150#
+X0 a_159_n150# a_n465_172# a_63_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n225_n150# a_n465_172# a_n321_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_447_n150# a_n465_172# a_351_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_63_n150# a_n465_172# a_n33_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n465_172# a_n225_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n465_172# a_n509_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n33_n150# a_n465_172# a_n129_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_351_n150# a_n465_172# a_255_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_255_n150# a_n465_172# a_159_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n321_n150# a_n465_172# a_n417_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n465_172# a_159_n150# 0.10fF
+C1 a_n465_172# a_447_n150# 0.01fF
+C2 a_n465_172# a_n225_n150# 0.10fF
+C3 a_63_n150# a_n465_172# 0.10fF
+C4 a_n465_172# a_n129_n150# 0.10fF
+C5 a_n465_172# a_n33_n150# 0.10fF
+C6 a_n465_172# a_351_n150# 0.10fF
+C7 a_n417_n150# a_n321_n150# 0.43fF
+C8 a_n321_n150# a_n225_n150# 0.43fF
+C9 a_159_n150# a_255_n150# 0.43fF
+C10 a_n465_172# a_n509_n150# 0.01fF
+C11 a_447_n150# a_255_n150# 0.16fF
+C12 a_n417_n150# a_n225_n150# 0.16fF
+C13 a_447_n150# a_159_n150# 0.10fF
+C14 a_159_n150# a_n225_n150# 0.07fF
+C15 a_63_n150# a_n321_n150# 0.07fF
+C16 a_63_n150# a_255_n150# 0.16fF
+C17 a_n321_n150# a_n129_n150# 0.16fF
+C18 a_63_n150# a_159_n150# 0.43fF
+C19 a_n321_n150# a_n33_n150# 0.10fF
+C20 a_63_n150# a_447_n150# 0.07fF
+C21 a_n417_n150# a_n129_n150# 0.10fF
+C22 a_255_n150# a_n129_n150# 0.07fF
+C23 a_63_n150# a_n225_n150# 0.10fF
+C24 a_n417_n150# a_n33_n150# 0.07fF
+C25 a_n33_n150# a_255_n150# 0.10fF
+C26 a_159_n150# a_n129_n150# 0.10fF
+C27 a_255_n150# a_351_n150# 0.43fF
+C28 a_n33_n150# a_159_n150# 0.16fF
+C29 a_n129_n150# a_n225_n150# 0.43fF
+C30 a_159_n150# a_351_n150# 0.16fF
+C31 a_447_n150# a_351_n150# 0.43fF
+C32 a_n321_n150# a_n509_n150# 0.16fF
+C33 a_n33_n150# a_n225_n150# 0.16fF
+C34 a_n417_n150# a_n509_n150# 0.43fF
+C35 a_63_n150# a_n129_n150# 0.16fF
+C36 a_n509_n150# a_n225_n150# 0.10fF
+C37 a_63_n150# a_n33_n150# 0.43fF
+C38 a_63_n150# a_351_n150# 0.10fF
+C39 a_n33_n150# a_n129_n150# 0.43fF
+C40 a_n33_n150# a_351_n150# 0.07fF
+C41 a_n509_n150# a_n129_n150# 0.07fF
+C42 a_n465_172# a_n321_n150# 0.10fF
+C43 a_n417_n150# a_n465_172# 0.10fF
+C44 a_n465_172# a_255_n150# 0.10fF
+C45 a_447_n150# w_n647_n360# 0.17fF
+C46 a_351_n150# w_n647_n360# 0.10fF
+C47 a_255_n150# w_n647_n360# 0.08fF
+C48 a_159_n150# w_n647_n360# 0.07fF
+C49 a_63_n150# w_n647_n360# 0.04fF
+C50 a_n33_n150# w_n647_n360# 0.04fF
+C51 a_n129_n150# w_n647_n360# 0.04fF
+C52 a_n225_n150# w_n647_n360# 0.07fF
+C53 a_n321_n150# w_n647_n360# 0.08fF
+C54 a_n417_n150# w_n647_n360# 0.10fF
+C55 a_n509_n150# w_n647_n360# 0.17fF
+C56 a_n465_172# w_n647_n360# 1.49fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_8DL6ZL VSUBS a_n417_n150# a_351_n150# a_255_n150#
++ a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n465_n247# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150# w_n647_n369#
+X0 a_63_n150# a_n465_n247# a_n33_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n129_n150# a_n465_n247# a_n225_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n417_n150# a_n465_n247# a_n509_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n33_n150# a_n465_n247# a_n129_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_351_n150# a_n465_n247# a_255_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_255_n150# a_n465_n247# a_159_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n321_n150# a_n465_n247# a_n417_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_159_n150# a_n465_n247# a_63_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n225_n150# a_n465_n247# a_n321_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_447_n150# a_n465_n247# a_351_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n321_n150# a_n225_n150# 0.43fF
+C1 a_n33_n150# a_n465_n247# 0.08fF
+C2 a_63_n150# a_n33_n150# 0.43fF
+C3 a_n33_n150# w_n647_n369# 0.02fF
+C4 a_n417_n150# a_n33_n150# 0.07fF
+C5 a_n33_n150# a_n225_n150# 0.16fF
+C6 a_n321_n150# a_n509_n150# 0.16fF
+C7 a_n129_n150# a_n465_n247# 0.08fF
+C8 a_n33_n150# a_159_n150# 0.16fF
+C9 a_63_n150# a_n129_n150# 0.16fF
+C10 a_n129_n150# w_n647_n369# 0.02fF
+C11 a_n129_n150# a_n417_n150# 0.10fF
+C12 a_63_n150# a_n465_n247# 0.08fF
+C13 a_n129_n150# a_n225_n150# 0.43fF
+C14 w_n647_n369# a_n465_n247# 0.47fF
+C15 a_63_n150# w_n647_n369# 0.02fF
+C16 a_n33_n150# a_351_n150# 0.07fF
+C17 a_n417_n150# a_n465_n247# 0.08fF
+C18 a_n129_n150# a_159_n150# 0.10fF
+C19 a_n417_n150# w_n647_n369# 0.07fF
+C20 a_n465_n247# a_n225_n150# 0.08fF
+C21 a_63_n150# a_n225_n150# 0.10fF
+C22 w_n647_n369# a_n225_n150# 0.04fF
+C23 a_n417_n150# a_n225_n150# 0.16fF
+C24 a_255_n150# a_n33_n150# 0.10fF
+C25 a_n465_n247# a_159_n150# 0.08fF
+C26 a_63_n150# a_159_n150# 0.43fF
+C27 a_n129_n150# a_n509_n150# 0.07fF
+C28 w_n647_n369# a_159_n150# 0.04fF
+C29 a_159_n150# a_n225_n150# 0.07fF
+C30 a_n465_n247# a_351_n150# 0.08fF
+C31 w_n647_n369# a_n509_n150# 0.14fF
+C32 a_63_n150# a_447_n150# 0.07fF
+C33 a_63_n150# a_351_n150# 0.10fF
+C34 a_n417_n150# a_n509_n150# 0.43fF
+C35 a_447_n150# w_n647_n369# 0.14fF
+C36 a_255_n150# a_n129_n150# 0.07fF
+C37 w_n647_n369# a_351_n150# 0.07fF
+C38 a_n225_n150# a_n509_n150# 0.10fF
+C39 a_255_n150# a_n465_n247# 0.08fF
+C40 a_255_n150# a_63_n150# 0.16fF
+C41 a_255_n150# w_n647_n369# 0.05fF
+C42 a_447_n150# a_159_n150# 0.10fF
+C43 a_159_n150# a_351_n150# 0.16fF
+C44 a_n33_n150# a_n321_n150# 0.10fF
+C45 a_255_n150# a_159_n150# 0.43fF
+C46 a_447_n150# a_351_n150# 0.43fF
+C47 a_n129_n150# a_n321_n150# 0.16fF
+C48 a_255_n150# a_447_n150# 0.16fF
+C49 a_255_n150# a_351_n150# 0.43fF
+C50 a_n465_n247# a_n321_n150# 0.08fF
+C51 a_63_n150# a_n321_n150# 0.07fF
+C52 w_n647_n369# a_n321_n150# 0.05fF
+C53 a_n129_n150# a_n33_n150# 0.43fF
+C54 a_n417_n150# a_n321_n150# 0.43fF
+C55 a_447_n150# VSUBS 0.03fF
+C56 a_351_n150# VSUBS 0.03fF
+C57 a_255_n150# VSUBS 0.03fF
+C58 a_159_n150# VSUBS 0.03fF
+C59 a_63_n150# VSUBS 0.03fF
+C60 a_n33_n150# VSUBS 0.03fF
+C61 a_n129_n150# VSUBS 0.03fF
+C62 a_n225_n150# VSUBS 0.03fF
+C63 a_n321_n150# VSUBS 0.03fF
+C64 a_n417_n150# VSUBS 0.03fF
+C65 a_n509_n150# VSUBS 0.03fF
+C66 a_n465_n247# VSUBS 1.07fF
+C67 w_n647_n369# VSUBS 4.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_EDT3AT a_15_n11# a_n33_n99# w_n211_n221# a_n73_n11#
+X0 a_15_n11# a_n33_n99# a_n73_n11# w_n211_n221# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_n33_n99# a_n73_n11# 0.02fF
+C1 a_15_n11# a_n33_n99# 0.02fF
+C2 a_15_n11# a_n73_n11# 0.15fF
+C3 a_15_n11# w_n211_n221# 0.09fF
+C4 a_n73_n11# w_n211_n221# 0.09fF
+C5 a_n33_n99# w_n211_n221# 0.17fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AQR2CW a_n33_66# a_n78_n106# w_n216_n254# a_20_n106#
+X0 a_20_n106# a_n33_66# a_n78_n106# w_n216_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=200000u
+C0 a_20_n106# a_n78_n106# 0.21fF
+C1 a_20_n106# w_n216_n254# 0.14fF
+C2 a_n78_n106# w_n216_n254# 0.14fF
+C3 a_n33_66# w_n216_n254# 0.12fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_HRYSXS VSUBS a_n33_n211# a_n78_n114# w_n216_n334#
++ a_20_n114#
+X0 a_20_n114# a_n33_n211# a_n78_n114# w_n216_n334# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=200000u
+C0 w_n216_n334# a_20_n114# 0.20fF
+C1 a_n78_n114# w_n216_n334# 0.20fF
+C2 a_n78_n114# a_20_n114# 0.42fF
+C3 a_20_n114# VSUBS 0.03fF
+C4 a_n78_n114# VSUBS 0.03fF
+C5 a_n33_n211# VSUBS 0.12fF
+C6 w_n216_n334# VSUBS 1.66fF
+.ends
+
+.subckt inverter_csvco in vbulkn out vbulkp vdd vss
+Xsky130_fd_pr__nfet_01v8_AQR2CW_0 in vss vbulkn out sky130_fd_pr__nfet_01v8_AQR2CW
+Xsky130_fd_pr__pfet_01v8_HRYSXS_0 vbulkn in vdd vbulkp out sky130_fd_pr__pfet_01v8_HRYSXS
+C0 in vdd 0.01fF
+C1 in out 0.11fF
+C2 vdd vbulkp 0.04fF
+C3 in vss 0.01fF
+C4 out vbulkp 0.08fF
+C5 vbulkp vbulkn 2.49fF
+C6 out vbulkn 0.60fF
+C7 vdd vbulkn 0.06fF
+C8 in vbulkn 0.54fF
+C9 vss vbulkn 0.17fF
+.ends
+
+.subckt csvco_branch vctrl in vbp cap_vco_0/t D0 out inverter_csvco_0/vss vss vdd
++ inverter_csvco_0/vdd
+Xsky130_fd_pr__nfet_01v8_7H8F5S_0 vctrl inverter_csvco_0/vss inverter_csvco_0/vss
++ vss vss inverter_csvco_0/vss vss vss inverter_csvco_0/vss vss inverter_csvco_0/vss
++ vss vss sky130_fd_pr__nfet_01v8_7H8F5S
+Xsky130_fd_pr__pfet_01v8_8DL6ZL_0 vss inverter_csvco_0/vdd inverter_csvco_0/vdd vdd
++ inverter_csvco_0/vdd vdd vdd inverter_csvco_0/vdd vbp vdd inverter_csvco_0/vdd vdd
++ vdd vdd sky130_fd_pr__pfet_01v8_8DL6ZL
+Xsky130_fd_pr__nfet_01v8_EDT3AT_0 cap_vco_0/t D0 vss out sky130_fd_pr__nfet_01v8_EDT3AT
+Xinverter_csvco_0 in vss out vdd inverter_csvco_0/vdd inverter_csvco_0/vss inverter_csvco
+C0 in inverter_csvco_0/vss 0.01fF
+C1 inverter_csvco_0/vss D0 0.02fF
+C2 out inverter_csvco_0/vss 0.03fF
+C3 inverter_csvco_0/vdd vdd 1.89fF
+C4 cap_vco_0/t vdd 0.04fF
+C5 vbp inverter_csvco_0/vdd 0.75fF
+C6 in out 0.06fF
+C7 vctrl inverter_csvco_0/vss 0.87fF
+C8 out D0 0.09fF
+C9 in inverter_csvco_0/vdd 0.01fF
+C10 vbp vdd 1.21fF
+C11 out inverter_csvco_0/vdd 0.02fF
+C12 cap_vco_0/t out 0.70fF
+C13 cap_vco_0/t inverter_csvco_0/vdd 0.10fF
+C14 out vss 0.93fF
+C15 inverter_csvco_0/vdd vss 0.26fF
+C16 in vss 0.69fF
+C17 D0 vss -0.67fF
+C18 vbp vss 0.13fF
+C19 vdd vss 9.58fF
+C20 cap_vco_0/t vss 7.22fF
+C21 inverter_csvco_0/vss vss 1.79fF
+C22 vctrl vss 3.06fF
+.ends
+
+.subckt ring_osc vctrl vdd vss csvco_branch_2/vbp csvco_branch_0/inverter_csvco_0/vss
++ D0 csvco_branch_2/cap_vco_0/t out_vco
+Xsky130_fd_pr__nfet_01v8_CBAU6Y_0 vss vctrl vss csvco_branch_2/vbp sky130_fd_pr__nfet_01v8_CBAU6Y
+Xsky130_fd_pr__pfet_01v8_4757AC_0 vss vdd csvco_branch_2/vbp vdd csvco_branch_2/vbp
++ sky130_fd_pr__pfet_01v8_4757AC
+Xcsvco_branch_0 vctrl out_vco csvco_branch_2/vbp csvco_branch_0/cap_vco_0/t D0 csvco_branch_1/in
++ csvco_branch_0/inverter_csvco_0/vss vss vdd csvco_branch_0/inverter_csvco_0/vdd
++ csvco_branch
+Xcsvco_branch_2 vctrl csvco_branch_2/in csvco_branch_2/vbp csvco_branch_2/cap_vco_0/t
++ D0 out_vco csvco_branch_2/inverter_csvco_0/vss vss vdd csvco_branch_2/inverter_csvco_0/vdd
++ csvco_branch
+Xcsvco_branch_1 vctrl csvco_branch_1/in csvco_branch_2/vbp csvco_branch_1/cap_vco_0/t
++ D0 csvco_branch_2/in csvco_branch_1/inverter_csvco_0/vss vss vdd csvco_branch_1/inverter_csvco_0/vdd
++ csvco_branch
+C0 csvco_branch_2/inverter_csvco_0/vdd vdd 0.10fF
+C1 csvco_branch_0/cap_vco_0/t out_vco 0.03fF
+C2 csvco_branch_0/inverter_csvco_0/vss D0 0.49fF
+C3 out_vco csvco_branch_1/in 0.76fF
+C4 vctrl D0 4.41fF
+C5 csvco_branch_0/inverter_csvco_0/vdd vdd 0.13fF
+C6 csvco_branch_2/vbp csvco_branch_0/inverter_csvco_0/vdd 0.06fF
+C7 csvco_branch_1/inverter_csvco_0/vss D0 0.68fF
+C8 csvco_branch_2/vbp vdd 1.49fF
+C9 csvco_branch_1/cap_vco_0/t out_vco 0.03fF
+C10 out_vco csvco_branch_2/in 0.58fF
+C11 csvco_branch_2/vbp csvco_branch_0/inverter_csvco_0/vss 0.06fF
+C12 csvco_branch_2/vbp vctrl 0.06fF
+C13 csvco_branch_1/inverter_csvco_0/vdd vdd 0.19fF
+C14 csvco_branch_2/inverter_csvco_0/vss D0 0.68fF
+C15 csvco_branch_2/in vss 1.60fF
+C16 csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C17 csvco_branch_1/cap_vco_0/t vss 7.10fF
+C18 csvco_branch_1/inverter_csvco_0/vss vss 0.72fF
+C19 csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C20 csvco_branch_2/cap_vco_0/t vss 7.10fF
+C21 csvco_branch_2/inverter_csvco_0/vss vss 0.62fF
+C22 csvco_branch_1/in vss 1.58fF
+C23 csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C24 out_vco vss 0.67fF
+C25 D0 vss -1.55fF
+C26 vdd vss 31.40fF
+C27 csvco_branch_0/cap_vco_0/t vss 7.10fF
+C28 csvco_branch_0/inverter_csvco_0/vss vss 0.66fF
+C29 vctrl vss 11.02fF
+C30 csvco_branch_2/vbp vss 0.77fF
+.ends
+
+.subckt ring_osc_buffer vss in_vco vdd o1 out_div out_pad
+Xinverter_min_x4_0 o1 vss out_div vdd inverter_min_x4
+Xinverter_min_x4_1 out_div vss out_pad vdd inverter_min_x4
+Xinverter_min_x2_0 in_vco o1 vss vdd inverter_min_x2
+C0 o1 out_div 0.11fF
+C1 vdd out_pad 0.10fF
+C2 out_div out_pad 0.15fF
+C3 vdd out_div 0.17fF
+C4 o1 vdd 0.09fF
+C5 in_vco vss 0.83fF
+C6 out_pad vss 0.70fF
+C7 out_div vss 3.00fF
+C8 vdd vss 14.54fF
+C9 o1 vss 2.72fF
+.ends
+
+.subckt sky130_fd_sc_hs__xor2_1 A B VGND VNB VPB VPWR X a_194_125# a_355_368# a_455_87#
++ a_158_392#
+X0 X B a_455_87# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X1 X a_194_125# a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X2 a_194_125# B a_158_392# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 a_158_392# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 VPWR A a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X5 a_355_368# B VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X6 a_194_125# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X7 a_455_87# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X8 VGND B a_194_125# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X9 VGND a_194_125# X VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+C0 B X 0.13fF
+C1 VGND X 0.28fF
+C2 a_194_125# a_158_392# 0.06fF
+C3 a_194_125# A 0.18fF
+C4 a_355_368# A 0.02fF
+C5 a_194_125# a_355_368# 0.51fF
+C6 B A 0.28fF
+C7 a_194_125# B 0.57fF
+C8 B a_355_368# 0.08fF
+C9 X VPWR 0.07fF
+C10 VGND A 0.31fF
+C11 VGND a_194_125# 0.25fF
+C12 VGND B 0.10fF
+C13 VPB VPWR 0.06fF
+C14 A VPWR 0.15fF
+C15 a_194_125# VPWR 0.33fF
+C16 a_355_368# VPWR 0.37fF
+C17 B VPWR 0.09fF
+C18 VGND VPWR 0.01fF
+C19 a_194_125# X 0.29fF
+C20 a_355_368# X 0.17fF
+C21 VGND VNB 0.78fF
+C22 X VNB 0.21fF
+C23 VPWR VNB 0.78fF
+C24 B VNB 0.56fF
+C25 A VNB 0.70fF
+C26 VPB VNB 0.77fF
+C27 a_355_368# VNB 0.08fF
+C28 a_194_125# VNB 0.40fF
+.ends
+
+.subckt sky130_fd_sc_hs__and2_1 A B VGND VNB VPB VPWR X a_143_136# a_56_136#
+X0 VGND B a_143_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1 X a_56_136# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X2 VPWR B a_56_136# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_143_136# A a_56_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X4 a_56_136# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X5 X a_56_136# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+C0 X a_56_136# 0.26fF
+C1 X VGND 0.15fF
+C2 VPWR A 0.07fF
+C3 VPWR B 0.02fF
+C4 a_56_136# VGND 0.06fF
+C5 B X 0.02fF
+C6 A a_56_136# 0.17fF
+C7 B a_56_136# 0.30fF
+C8 A VGND 0.21fF
+C9 B VGND 0.03fF
+C10 VPWR VPB 0.04fF
+C11 VPWR X 0.20fF
+C12 B A 0.08fF
+C13 VPWR a_56_136# 0.57fF
+C14 VGND VNB 0.50fF
+C15 X VNB 0.23fF
+C16 VPWR VNB 0.50fF
+C17 B VNB 0.24fF
+C18 A VNB 0.36fF
+C19 VPB VNB 0.48fF
+C20 a_56_136# VNB 0.38fF
+.ends
+
+.subckt sky130_fd_sc_hs__or2_1 A B VGND VNB VPB VPWR X a_152_368# a_63_368#
+X0 VPWR A a_152_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_152_368# B a_63_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 X a_63_368# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X3 X a_63_368# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X4 a_63_368# B VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X5 VGND A a_63_368# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+C0 a_152_368# a_63_368# 0.03fF
+C1 B VPWR 0.01fF
+C2 B A 0.10fF
+C3 X a_63_368# 0.33fF
+C4 B VGND 0.11fF
+C5 VPWR a_63_368# 0.29fF
+C6 A a_63_368# 0.28fF
+C7 X VPWR 0.18fF
+C8 VPB VPWR 0.04fF
+C9 A X 0.02fF
+C10 VGND a_63_368# 0.27fF
+C11 VGND X 0.16fF
+C12 A VPWR 0.05fF
+C13 B a_63_368# 0.14fF
+C14 VGND VNB 0.53fF
+C15 X VNB 0.24fF
+C16 A VNB 0.21fF
+C17 B VNB 0.31fF
+C18 VPWR VNB 0.46fF
+C19 VPB VNB 0.48fF
+C20 a_63_368# VNB 0.37fF
+.ends
+
+.subckt div_by_5 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_1/latch_diff_0/D
++ nCLK DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd DFlipFlop_2/latch_diff_0/nD Q0 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ CLK DFlipFlop_2/latch_diff_1/D vss DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ sky130_fd_sc_hs__and2_1_0/a_56_136# nQ0 DFlipFlop_1/latch_diff_1/nD CLK_5 DFlipFlop_3/latch_diff_0/nD
++ nQ2 DFlipFlop_0/latch_diff_0/D DFlipFlop_2/latch_diff_1/nD DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_1/latch_diff_1/D Q1 DFlipFlop_2/D DFlipFlop_3/latch_diff_0/D DFlipFlop_1/D
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_3/latch_diff_1/nD DFlipFlop_0/latch_diff_1/D Q1_shift DFlipFlop_0/latch_diff_0/nD
++ DFlipFlop_2/nQ DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_2/latch_diff_0/D
++ sky130_fd_sc_hs__xor2_1_0/a_158_392# DFlipFlop_3/latch_diff_1/D sky130_fd_sc_hs__or2_1_0/a_63_368#
++ DFlipFlop_1/latch_diff_0/nD sky130_fd_sc_hs__and2_1_1/a_143_136# DFlipFlop_0/Q sky130_fd_sc_hs__and2_1_1/a_56_136#
++ sky130_fd_sc_hs__xor2_1_0/a_194_125# DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ sky130_fd_sc_hs__and2_1_0/a_143_136#
+Xsky130_fd_sc_hs__xor2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# sky130_fd_sc_hs__xor2_1_0/a_455_87# sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ sky130_fd_sc_hs__xor2_1
+XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ nQ2 DFlipFlop_0/Q DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
+XDFlipFlop_1 DFlipFlop_1/latch_diff_0/m1_657_280# vss DFlipFlop_1/latch_diff_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ nQ0 Q0 DFlipFlop_1/latch_diff_1/nD DFlipFlop_1/D DFlipFlop_1/latch_diff_1/m1_657_280#
++ DFlipFlop_1/latch_diff_0/D vdd CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_1/latch_diff_0/nD DFlipFlop
+XDFlipFlop_2 DFlipFlop_2/latch_diff_0/m1_657_280# vss DFlipFlop_2/latch_diff_1/D DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in
++ DFlipFlop_2/nQ Q1 DFlipFlop_2/latch_diff_1/nD DFlipFlop_2/D DFlipFlop_2/latch_diff_1/m1_657_280#
++ DFlipFlop_2/latch_diff_0/D vdd CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_2/latch_diff_0/nD DFlipFlop
+XDFlipFlop_3 DFlipFlop_3/latch_diff_0/m1_657_280# vss DFlipFlop_3/latch_diff_1/D DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ DFlipFlop_3/nQ Q1_shift DFlipFlop_3/latch_diff_1/nD Q1 DFlipFlop_3/latch_diff_1/m1_657_280#
++ DFlipFlop_3/latch_diff_0/D vdd nCLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ CLK DFlipFlop_3/latch_diff_0/nD DFlipFlop
+Xsky130_fd_sc_hs__and2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_0/D sky130_fd_sc_hs__and2_1_0/a_143_136#
++ sky130_fd_sc_hs__and2_1_0/a_56_136# sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__and2_1_1 nQ2 nQ0 vss vss vdd vdd DFlipFlop_1/D sky130_fd_sc_hs__and2_1_1/a_143_136#
++ sky130_fd_sc_hs__and2_1_1/a_56_136# sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__or2_1_0 Q1 Q1_shift vss vss vdd vdd CLK_5 sky130_fd_sc_hs__or2_1_0/a_152_368#
++ sky130_fd_sc_hs__or2_1_0/a_63_368# sky130_fd_sc_hs__or2_1
+C0 sky130_fd_sc_hs__xor2_1_0/a_194_125# Q0 0.26fF
+C1 sky130_fd_sc_hs__and2_1_1/a_56_136# CLK 0.06fF
+C2 CLK DFlipFlop_3/latch_diff_0/D 0.11fF
+C3 nCLK DFlipFlop_3/latch_diff_1/D 0.14fF
+C4 CLK_5 sky130_fd_sc_hs__or2_1_0/a_63_368# 0.06fF
+C5 Q0 DFlipFlop_1/latch_diff_1/nD 0.21fF
+C6 DFlipFlop_2/D nCLK 0.41fF
+C7 Q1 Q1_shift 0.36fF
+C8 nQ0 nCLK 0.09fF
+C9 Q1 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.15fF
+C10 DFlipFlop_0/latch_diff_1/m1_657_280# nQ2 0.05fF
+C11 CLK DFlipFlop_0/latch_diff_1/nD 0.02fF
+C12 Q0 DFlipFlop_0/D 0.39fF
+C13 DFlipFlop_3/latch_diff_1/nD nCLK 0.09fF
+C14 Q1 DFlipFlop_1/latch_diff_1/nD 0.10fF
+C15 CLK DFlipFlop_1/latch_diff_1/D 0.14fF
+C16 sky130_fd_sc_hs__and2_1_0/a_56_136# DFlipFlop_0/D 0.04fF
+C17 nCLK nQ2 0.10fF
+C18 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in nCLK 0.14fF
+C19 DFlipFlop_2/D vdd 0.07fF
+C20 nQ0 vdd 0.11fF
+C21 Q1 DFlipFlop_0/D 0.13fF
+C22 Q0 CLK 0.08fF
+C23 DFlipFlop_1/latch_diff_1/m1_657_280# nCLK 0.28fF
+C24 vdd sky130_fd_sc_hs__or2_1_0/a_63_368# 0.02fF
+C25 Q1 DFlipFlop_3/latch_diff_0/nD 0.08fF
+C26 DFlipFlop_1/latch_diff_0/D nCLK 0.11fF
+C27 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out CLK 0.15fF
+C28 DFlipFlop_1/latch_diff_0/m1_657_280# CLK 0.28fF
+C29 DFlipFlop_0/latch_diff_1/nD nCLK 0.05fF
+C30 vdd nQ2 0.04fF
+C31 DFlipFlop_0/latch_diff_1/D CLK 0.03fF
+C32 DFlipFlop_1/latch_diff_1/D nCLK 0.08fF
+C33 Q1 CLK -0.10fF
+C34 sky130_fd_sc_hs__and2_1_1/a_56_136# vdd 0.04fF
+C35 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_0/D 0.02fF
+C36 DFlipFlop_2/latch_diff_1/nD Q1 0.21fF
+C37 Q0 nCLK 0.20fF
+C38 Q1 DFlipFlop_3/nQ 0.10fF
+C39 nQ2 DFlipFlop_0/Q 0.09fF
+C40 Q0 DFlipFlop_0/latch_diff_0/D 0.42fF
+C41 Q0 sky130_fd_sc_hs__xor2_1_0/a_355_368# 0.03fF
+C42 DFlipFlop_1/D CLK 0.21fF
+C43 DFlipFlop_2/latch_diff_1/m1_657_280# nCLK 0.28fF
+C44 Q1 nCLK -0.01fF
+C45 Q1 DFlipFlop_2/nQ 0.31fF
+C46 Q1 DFlipFlop_2/latch_diff_1/D 0.23fF
+C47 nQ0 nQ2 0.03fF
+C48 Q0 vdd 5.33fF
+C49 Q1 DFlipFlop_0/latch_diff_0/D 0.15fF
+C50 CLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C51 sky130_fd_sc_hs__and2_1_0/a_56_136# vdd 0.02fF
+C52 sky130_fd_sc_hs__and2_1_1/a_56_136# nQ0 0.01fF
+C53 Q1 DFlipFlop_3/latch_diff_0/m1_657_280# 0.28fF
+C54 sky130_fd_sc_hs__xor2_1_0/a_455_87# nCLK 0.02fF
+C55 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vdd 0.02fF
+C56 DFlipFlop_2/latch_diff_0/D Q1 0.42fF
+C57 DFlipFlop_2/D DFlipFlop_1/latch_diff_1/m1_657_280# 0.04fF
+C58 nQ0 DFlipFlop_1/latch_diff_1/m1_657_280# 0.21fF
+C59 DFlipFlop_1/D nCLK 0.14fF
+C60 CLK DFlipFlop_2/latch_diff_0/nD 0.08fF
+C61 Q1 vdd 9.49fF
+C62 Q0 DFlipFlop_0/Q 0.21fF
+C63 DFlipFlop_1/latch_diff_0/D nQ0 0.09fF
+C64 sky130_fd_sc_hs__and2_1_1/a_56_136# nQ2 0.01fF
+C65 CLK sky130_fd_sc_hs__and2_1_1/a_143_136# 0.03fF
+C66 nQ0 DFlipFlop_1/latch_diff_1/D 0.91fF
+C67 DFlipFlop_2/latch_diff_0/m1_657_280# CLK 0.28fF
+C68 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in nCLK -0.33fF
+C69 Q1_shift DFlipFlop_3/nQ 0.04fF
+C70 DFlipFlop_1/latch_diff_1/nD CLK 0.09fF
+C71 DFlipFlop_3/latch_diff_1/m1_657_280# Q1 0.28fF
+C72 Q1 DFlipFlop_0/Q 0.13fF
+C73 DFlipFlop_2/D Q0 0.25fF
+C74 vdd DFlipFlop_1/D 0.25fF
+C75 Q0 nQ0 0.33fF
+C76 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.02fF
+C77 DFlipFlop_1/latch_diff_0/m1_657_280# nQ0 0.25fF
+C78 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out nCLK 0.05fF
+C79 CLK DFlipFlop_1/latch_diff_0/nD 0.08fF
+C80 Q1 DFlipFlop_3/latch_diff_1/D 0.79fF
+C81 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vdd 0.03fF
+C82 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C83 Q1 DFlipFlop_2/D 0.10fF
+C84 Q1 nQ0 0.06fF
+C85 Q0 nQ2 0.23fF
+C86 sky130_fd_sc_hs__xor2_1_0/a_194_125# nCLK 0.11fF
+C87 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in Q0 0.42fF
+C88 DFlipFlop_1/latch_diff_1/nD nCLK 0.16fF
+C89 Q1 sky130_fd_sc_hs__or2_1_0/a_63_368# 0.10fF
+C90 Q0 DFlipFlop_1/latch_diff_1/m1_657_280# 0.01fF
+C91 Q1 DFlipFlop_3/latch_diff_1/nD 1.24fF
+C92 vdd Q1_shift 0.10fF
+C93 DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.08fF
+C94 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C95 Q1 nQ2 0.07fF
+C96 DFlipFlop_2/latch_diff_1/nD CLK 0.09fF
+C97 Q0 sky130_fd_sc_hs__and2_1_0/a_143_136# 0.03fF
+C98 DFlipFlop_1/latch_diff_0/D Q0 0.42fF
+C99 CLK DFlipFlop_3/nQ 0.01fF
+C100 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in Q1 0.21fF
+C101 nQ0 DFlipFlop_1/D 0.12fF
+C102 Q0 DFlipFlop_0/latch_diff_1/nD 0.21fF
+C103 Q1 DFlipFlop_3/latch_diff_0/D 0.09fF
+C104 sky130_fd_sc_hs__xor2_1_0/a_194_125# vdd 0.03fF
+C105 nCLK DFlipFlop_3/latch_diff_0/nD 0.08fF
+C106 Q0 DFlipFlop_1/latch_diff_1/D 0.06fF
+C107 Q1 sky130_fd_sc_hs__and2_1_0/a_143_136# 0.02fF
+C108 DFlipFlop_1/latch_diff_0/D Q1 0.18fF
+C109 DFlipFlop_0/latch_diff_0/m1_657_280# CLK 0.28fF
+C110 CLK DFlipFlop_2/nQ 0.13fF
+C111 DFlipFlop_2/latch_diff_1/D CLK 0.14fF
+C112 Q1 DFlipFlop_0/latch_diff_1/nD 0.10fF
+C113 Q1 DFlipFlop_1/latch_diff_1/D -0.10fF
+C114 vdd DFlipFlop_0/D 0.19fF
+C115 Q0 sky130_fd_sc_hs__and2_1_0/a_56_136# 0.17fF
+C116 Q1_shift sky130_fd_sc_hs__or2_1_0/a_152_368# -0.04fF
+C117 sky130_fd_sc_hs__and2_1_1/a_56_136# DFlipFlop_1/D 0.04fF
+C118 DFlipFlop_2/latch_diff_1/nD nCLK 0.16fF
+C119 DFlipFlop_3/nQ nCLK 0.02fF
+C120 DFlipFlop_0/latch_diff_1/D Q0 0.23fF
+C121 nQ0 sky130_fd_sc_hs__and2_1_1/a_143_136# 0.04fF
+C122 Q1 Q0 9.65fF
+C123 DFlipFlop_0/latch_diff_1/m1_657_280# nCLK 0.28fF
+C124 Q1 sky130_fd_sc_hs__and2_1_0/a_56_136# 0.14fF
+C125 vdd CLK 0.41fF
+C126 Q1_shift sky130_fd_sc_hs__or2_1_0/a_63_368# -0.27fF
+C127 sky130_fd_sc_hs__xor2_1_0/a_194_125# DFlipFlop_2/D 0.08fF
+C128 DFlipFlop_2/nQ nCLK 0.09fF
+C129 DFlipFlop_2/latch_diff_1/D nCLK 0.08fF
+C130 nQ0 DFlipFlop_1/latch_diff_1/nD 0.88fF
+C131 Q1 DFlipFlop_0/latch_diff_1/D 0.06fF
+C132 Q1 DFlipFlop_2/latch_diff_1/m1_657_280# 0.03fF
+C133 vdd DFlipFlop_3/nQ 0.02fF
+C134 sky130_fd_sc_hs__and2_1_1/a_143_136# nQ2 0.01fF
+C135 vdd CLK_5 0.15fF
+C136 DFlipFlop_3/latch_diff_1/m1_657_280# CLK 0.27fF
+C137 CLK DFlipFlop_0/Q 0.08fF
+C138 DFlipFlop_3/latch_diff_0/m1_657_280# nCLK 0.27fF
+C139 Q0 DFlipFlop_1/D 0.07fF
+C140 DFlipFlop_2/latch_diff_0/D nCLK 0.11fF
+C141 Q0 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.33fF
+C142 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_1/D 0.03fF
+C143 nQ0 DFlipFlop_1/latch_diff_0/nD 0.08fF
+C144 vdd nCLK 0.34fF
+C145 vdd DFlipFlop_2/nQ 0.02fF
+C146 CLK DFlipFlop_3/latch_diff_1/D 0.08fF
+C147 Q1 DFlipFlop_1/D 0.03fF
+C148 vdd sky130_fd_sc_hs__xor2_1_0/a_355_368# 0.03fF
+C149 CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out -0.31fF
+C150 DFlipFlop_2/D CLK 0.14fF
+C151 nQ0 CLK 0.19fF
+C152 Q1 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.09fF
+C153 nCLK DFlipFlop_0/Q 0.11fF
+C154 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in Q1 0.20fF
+C155 CLK DFlipFlop_3/latch_diff_1/nD 0.16fF
+C156 CLK nQ2 0.17fF
+C157 CLK_5 vss -0.18fF
+C158 sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.38fF
+C159 sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.41fF
+C160 sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.38fF
+C161 DFlipFlop_3/nQ vss 0.52fF
+C162 Q1_shift vss -0.29fF
+C163 DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.64fF
+C164 DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C165 DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C166 DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C167 DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C168 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.94fF
+C169 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C170 DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C171 DFlipFlop_2/nQ vss 0.50fF
+C172 Q1 vss 8.55fF
+C173 DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.72fF
+C174 DFlipFlop_2/latch_diff_1/nD vss 0.58fF
+C175 DFlipFlop_2/latch_diff_1/D vss -1.72fF
+C176 DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C177 DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C178 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
+C179 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C180 DFlipFlop_2/D vss 5.34fF
+C181 DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C182 nQ0 vss 3.42fF
+C183 Q0 vss 0.53fF
+C184 DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.62fF
+C185 DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C186 DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C187 DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C188 DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C189 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C190 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.78fF
+C191 DFlipFlop_1/D vss 3.72fF
+C192 DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C193 nQ2 vss 2.05fF
+C194 DFlipFlop_0/Q vss -0.94fF
+C195 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.61fF
+C196 nCLK vss 0.96fF
+C197 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C198 DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C199 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C200 CLK vss 0.20fF
+C201 DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C202 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.88fF
+C203 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C204 DFlipFlop_0/D vss 4.04fF
+C205 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C206 vdd vss 146.76fF
+C207 sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C208 sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.42fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AZESM8 a_n63_n151# a_n33_n125# a_n255_n151# a_33_n151#
++ a_n225_n125# a_63_n125# a_n129_n125# a_n159_n151# w_n455_n335# a_225_n151# a_255_n125#
++ a_129_n151# a_159_n125# a_n317_n125#
+X0 a_159_n125# a_129_n151# a_63_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n225_n125# a_n255_n151# a_n317_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_63_n125# a_33_n151# a_n33_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_n129_n125# a_n159_n151# a_n225_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n33_n125# a_n63_n151# a_n129_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_255_n125# a_225_n151# a_159_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n225_n125# a_n317_n125# 0.36fF
+C1 a_129_n151# a_33_n151# 0.02fF
+C2 a_63_n125# a_n129_n125# 0.13fF
+C3 a_63_n125# a_n33_n125# 0.36fF
+C4 a_159_n125# a_255_n125# 0.36fF
+C5 a_63_n125# a_n317_n125# 0.06fF
+C6 a_n129_n125# a_n33_n125# 0.36fF
+C7 a_n129_n125# a_n317_n125# 0.13fF
+C8 a_n33_n125# a_n317_n125# 0.08fF
+C9 a_159_n125# a_n225_n125# 0.06fF
+C10 a_63_n125# a_255_n125# 0.13fF
+C11 a_n255_n151# a_n159_n151# 0.02fF
+C12 a_n63_n151# a_n159_n151# 0.02fF
+C13 a_n129_n125# a_255_n125# 0.06fF
+C14 a_n33_n125# a_255_n125# 0.08fF
+C15 a_n63_n151# a_33_n151# 0.02fF
+C16 a_63_n125# a_159_n125# 0.36fF
+C17 a_63_n125# a_n225_n125# 0.08fF
+C18 a_159_n125# a_n129_n125# 0.08fF
+C19 a_159_n125# a_n33_n125# 0.13fF
+C20 a_n129_n125# a_n225_n125# 0.36fF
+C21 a_n33_n125# a_n225_n125# 0.13fF
+C22 a_129_n151# a_225_n151# 0.02fF
+C23 a_255_n125# w_n455_n335# 0.14fF
+C24 a_159_n125# w_n455_n335# 0.08fF
+C25 a_63_n125# w_n455_n335# 0.07fF
+C26 a_n33_n125# w_n455_n335# 0.08fF
+C27 a_n129_n125# w_n455_n335# 0.07fF
+C28 a_n225_n125# w_n455_n335# 0.08fF
+C29 a_n317_n125# w_n455_n335# 0.14fF
+C30 a_225_n151# w_n455_n335# 0.05fF
+C31 a_129_n151# w_n455_n335# 0.05fF
+C32 a_33_n151# w_n455_n335# 0.05fF
+C33 a_n63_n151# w_n455_n335# 0.05fF
+C34 a_n159_n151# w_n455_n335# 0.05fF
+C35 a_n255_n151# w_n455_n335# 0.05fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_XJXT7S VSUBS a_n33_n125# a_n255_n154# a_33_n154# a_n225_n125#
++ a_n159_n154# a_63_n125# a_n129_n125# a_225_n154# a_129_n154# a_255_n125# a_159_n125#
++ a_n317_n125# w_n455_n344# a_n63_n154#
+X0 a_n129_n125# a_n159_n154# a_n225_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n33_n125# a_n63_n154# a_n129_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_255_n125# a_225_n154# a_159_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_159_n125# a_129_n154# a_63_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n225_n125# a_n255_n154# a_n317_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_63_n125# a_33_n154# a_n33_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_129_n154# a_33_n154# 0.02fF
+C1 a_255_n125# a_63_n125# 0.13fF
+C2 a_255_n125# a_n33_n125# 0.08fF
+C3 a_255_n125# a_n129_n125# 0.06fF
+C4 a_n159_n154# a_n255_n154# 0.02fF
+C5 a_n63_n154# a_33_n154# 0.02fF
+C6 a_159_n125# a_63_n125# 0.36fF
+C7 a_159_n125# a_n33_n125# 0.13fF
+C8 a_159_n125# a_n129_n125# 0.08fF
+C9 w_n455_n344# a_n225_n125# 0.06fF
+C10 a_255_n125# a_159_n125# 0.36fF
+C11 w_n455_n344# a_n317_n125# 0.11fF
+C12 a_n225_n125# a_n317_n125# 0.36fF
+C13 w_n455_n344# a_63_n125# 0.04fF
+C14 w_n455_n344# a_n33_n125# 0.05fF
+C15 a_n225_n125# a_63_n125# 0.08fF
+C16 w_n455_n344# a_n129_n125# 0.04fF
+C17 a_n225_n125# a_n33_n125# 0.13fF
+C18 a_n129_n125# a_n225_n125# 0.36fF
+C19 a_n159_n154# a_n63_n154# 0.02fF
+C20 a_n317_n125# a_63_n125# 0.06fF
+C21 a_n317_n125# a_n33_n125# 0.08fF
+C22 a_255_n125# w_n455_n344# 0.11fF
+C23 a_n129_n125# a_n317_n125# 0.13fF
+C24 a_63_n125# a_n33_n125# 0.36fF
+C25 a_n129_n125# a_63_n125# 0.13fF
+C26 a_159_n125# w_n455_n344# 0.06fF
+C27 a_129_n154# a_225_n154# 0.02fF
+C28 a_n129_n125# a_n33_n125# 0.36fF
+C29 a_159_n125# a_n225_n125# 0.06fF
+C30 a_255_n125# VSUBS 0.03fF
+C31 a_159_n125# VSUBS 0.03fF
+C32 a_63_n125# VSUBS 0.03fF
+C33 a_n33_n125# VSUBS 0.03fF
+C34 a_n129_n125# VSUBS 0.03fF
+C35 a_n225_n125# VSUBS 0.03fF
+C36 a_n317_n125# VSUBS 0.03fF
+C37 a_225_n154# VSUBS 0.05fF
+C38 a_129_n154# VSUBS 0.05fF
+C39 a_33_n154# VSUBS 0.05fF
+C40 a_n63_n154# VSUBS 0.05fF
+C41 a_n159_n154# VSUBS 0.05fF
+C42 a_n255_n154# VSUBS 0.05fF
+C43 w_n455_n344# VSUBS 2.96fF
+.ends
+
+.subckt inverter_cp_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_AZESM8_0 in vss in in vss out out in vss in out in vss out
++ sky130_fd_pr__nfet_01v8_AZESM8
+Xsky130_fd_pr__pfet_01v8_XJXT7S_0 vss vdd in in vdd in out out in in out vdd out vdd
++ in sky130_fd_pr__pfet_01v8_XJXT7S
+C0 in out 0.85fF
+C1 vdd out 0.29fF
+C2 vdd in 0.04fF
+C3 vdd vss 5.90fF
+C4 out vss 1.30fF
+C5 in vss 1.82fF
+.ends
+
+.subckt pfd_cp_interface vss inverter_cp_x1_2/in vdd inverter_cp_x1_0/out Down QA
++ QB nDown Up nUp
+Xinverter_cp_x2_0 nDown Down vss vdd inverter_cp_x2
+Xinverter_cp_x2_1 Up nUp vss vdd inverter_cp_x2
+Xtrans_gate_0 nDown inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 inverter_cp_x1_0/out QB vss vdd inverter_cp_x1
+Xinverter_cp_x1_2 Up inverter_cp_x1_2/in vss vdd inverter_cp_x1
+Xinverter_cp_x1_1 inverter_cp_x1_2/in QA vss vdd inverter_cp_x1
+C0 Down vdd 0.09fF
+C1 Up inverter_cp_x1_2/in 0.12fF
+C2 inverter_cp_x1_0/out nDown 0.11fF
+C3 vdd nUp 0.14fF
+C4 Up vdd 0.60fF
+C5 Down nDown 0.23fF
+C6 vdd nDown 0.80fF
+C7 Down inverter_cp_x1_0/out 0.12fF
+C8 vdd inverter_cp_x1_0/out 0.25fF
+C9 vdd inverter_cp_x1_2/in 0.42fF
+C10 Up nUp 0.20fF
+C11 vdd QA 0.02fF
+C12 vdd QB 0.02fF
+C13 inverter_cp_x1_2/in vss 2.01fF
+C14 QA vss 1.09fF
+C15 inverter_cp_x1_0/out vss 2.00fF
+C16 QB vss 1.09fF
+C17 vdd vss 28.96fF
+C18 nUp vss 1.32fF
+C19 Up vss 2.53fF
+C20 Down vss 1.26fF
+C21 nDown vss 2.98fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F35BC VSUBS a_n129_n90# w_n359_n309# a_n63_n116#
++ a_n159_n207# a_63_n90# a_n33_n90# a_n221_n90# a_159_n90#
+X0 a_159_n90# a_n63_n116# a_63_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n129_n90# a_n159_n207# a_n221_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X2 a_63_n90# a_n159_n207# a_n33_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X3 a_n33_n90# a_n63_n116# a_n129_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_63_n90# a_n33_n90# 0.26fF
+C1 a_n63_n116# a_n159_n207# 0.12fF
+C2 a_n221_n90# a_63_n90# 0.06fF
+C3 a_63_n90# a_159_n90# 0.26fF
+C4 w_n359_n309# a_63_n90# 0.06fF
+C5 a_n129_n90# a_n33_n90# 0.26fF
+C6 a_n221_n90# a_n129_n90# 0.26fF
+C7 a_n129_n90# a_159_n90# 0.06fF
+C8 a_n221_n90# a_n33_n90# 0.09fF
+C9 w_n359_n309# a_n129_n90# 0.06fF
+C10 a_159_n90# a_n33_n90# 0.09fF
+C11 a_n221_n90# a_159_n90# 0.04fF
+C12 w_n359_n309# a_n33_n90# 0.05fF
+C13 w_n359_n309# a_n221_n90# 0.09fF
+C14 a_63_n90# a_n129_n90# 0.09fF
+C15 w_n359_n309# a_159_n90# 0.09fF
+C16 a_159_n90# VSUBS 0.03fF
+C17 a_63_n90# VSUBS 0.03fF
+C18 a_n33_n90# VSUBS 0.03fF
+C19 a_n129_n90# VSUBS 0.03fF
+C20 a_n221_n90# VSUBS 0.03fF
+C21 a_n159_n207# VSUBS 0.30fF
+C22 a_n63_n116# VSUBS 0.37fF
+C23 w_n359_n309# VSUBS 2.23fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_C3YG4M a_n33_n45# a_33_n71# a_n129_71# w_n263_n255#
++ a_n125_n45# a_63_n45#
+X0 a_63_n45# a_33_n71# a_n33_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n129_71# a_n125_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_n125_n45# a_n33_n45# 0.13fF
+C1 a_33_n71# a_n129_71# 0.04fF
+C2 a_n125_n45# a_63_n45# 0.05fF
+C3 a_63_n45# a_n33_n45# 0.13fF
+C4 a_63_n45# w_n263_n255# 0.04fF
+C5 a_n33_n45# w_n263_n255# 0.04fF
+C6 a_n125_n45# w_n263_n255# 0.04fF
+C7 a_33_n71# w_n263_n255# 0.11fF
+C8 a_n129_71# w_n263_n255# 0.14fF
+.ends
+
+.subckt nor_pfd sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd A B
+Xsky130_fd_pr__pfet_01v8_4F35BC_0 vss sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vdd B A sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out vdd vdd sky130_fd_pr__pfet_01v8_4F35BC
+Xsky130_fd_pr__nfet_01v8_C3YG4M_0 out B A vss vss vss sky130_fd_pr__nfet_01v8_C3YG4M
+C0 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.02fF
+C1 A vdd 0.09fF
+C2 B out 0.40fF
+C3 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.02fF
+C4 A out 0.06fF
+C5 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out 0.08fF
+C6 A B 0.24fF
+C7 vdd out 0.11fF
+C8 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C9 out vss 0.45fF
+C10 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C11 A vss 0.83fF
+C12 B vss 1.09fF
+C13 vdd vss 3.79fF
+.ends
+
+.subckt dff_pfd vss vdd nor_pfd_2/A Q CLK nor_pfd_3/A nor_pfd_2/B Reset
+Xnor_pfd_0 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/A nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd CLK Q nor_pfd
+Xnor_pfd_1 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# Q nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_3/A nor_pfd
+Xnor_pfd_2 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_3/A nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_2/B nor_pfd
+Xnor_pfd_3 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/B nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_3/A Reset nor_pfd
+C0 vdd nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C1 vdd nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
+C2 nor_pfd_2/A Q 1.38fF
+C3 nor_pfd_2/A nor_pfd_3/A 0.38fF
+C4 nor_pfd_2/A nor_pfd_2/B 0.05fF
+C5 vdd nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
+C6 Q nor_pfd_3/A 0.98fF
+C7 nor_pfd_2/B Q 2.22fF
+C8 nor_pfd_2/B nor_pfd_3/A 0.58fF
+C9 CLK Q 0.04fF
+C10 nor_pfd_2/A vdd -0.01fF
+C11 vdd Q 0.08fF
+C12 vdd nor_pfd_3/A 0.09fF
+C13 vdd nor_pfd_2/B 0.02fF
+C14 vdd nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C15 Reset Q 0.14fF
+C16 Reset nor_pfd_3/A 0.12fF
+C17 nor_pfd_2/B Reset 0.43fF
+C18 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.06fF
+C19 vdd nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
+C20 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C21 nor_pfd_2/B vss 1.42fF
+C22 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C23 nor_pfd_3/A vss 3.16fF
+C24 Reset vss 1.48fF
+C25 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C26 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C27 nor_pfd_2/A vss 2.56fF
+C28 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C29 Q vss 2.77fF
+C30 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C31 vdd vss 16.42fF
+C32 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C33 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C34 CLK vss 0.95fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZCYAJJ w_n359_n255# a_n33_n45# a_n159_n173# a_n221_n45#
++ a_159_n45# a_n63_n71# a_n129_n45# a_63_n45#
+X0 a_63_n45# a_n159_n173# a_n33_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n63_n71# a_n129_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X2 a_159_n45# a_n63_n71# a_63_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X3 a_n129_n45# a_n159_n173# a_n221_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_n159_n173# a_n63_n71# 0.10fF
+C1 a_n129_n45# a_n221_n45# 0.13fF
+C2 a_63_n45# a_n129_n45# 0.05fF
+C3 a_n33_n45# a_n221_n45# 0.05fF
+C4 a_n129_n45# a_159_n45# 0.03fF
+C5 a_63_n45# a_n33_n45# 0.13fF
+C6 a_159_n45# a_n33_n45# 0.05fF
+C7 a_63_n45# a_n221_n45# 0.03fF
+C8 a_159_n45# a_n221_n45# 0.02fF
+C9 a_63_n45# a_159_n45# 0.13fF
+C10 a_n129_n45# a_n33_n45# 0.13fF
+C11 a_159_n45# w_n359_n255# 0.04fF
+C12 a_63_n45# w_n359_n255# 0.05fF
+C13 a_n33_n45# w_n359_n255# 0.05fF
+C14 a_n129_n45# w_n359_n255# 0.05fF
+C15 a_n221_n45# w_n359_n255# 0.08fF
+C16 a_n159_n173# w_n359_n255# 0.31fF
+C17 a_n63_n71# w_n359_n255# 0.31fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7T83YG VSUBS a_n125_n90# a_63_n90# a_33_n187# a_n99_n187#
++ a_n33_n90# w_n263_n309#
+X0 a_63_n90# a_33_n187# a_n33_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n33_n90# a_n99_n187# a_n125_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_n99_n187# a_33_n187# 0.04fF
+C1 a_63_n90# a_n125_n90# 0.09fF
+C2 a_n33_n90# a_n125_n90# 0.26fF
+C3 a_63_n90# a_n33_n90# 0.26fF
+C4 a_63_n90# VSUBS 0.03fF
+C5 a_n33_n90# VSUBS 0.03fF
+C6 a_n125_n90# VSUBS 0.03fF
+C7 a_33_n187# VSUBS 0.12fF
+C8 a_n99_n187# VSUBS 0.12fF
+C9 w_n263_n309# VSUBS 1.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZXAV3F a_n73_n45# a_n33_67# a_15_n45# w_n211_n255#
+X0 a_15_n45# a_n33_67# a_n73_n45# w_n211_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_n73_n45# a_15_n45# 0.16fF
+C1 a_15_n45# w_n211_n255# 0.08fF
+C2 a_n73_n45# w_n211_n255# 0.06fF
+C3 a_n33_67# w_n211_n255# 0.10fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F7GBC VSUBS a_n51_n187# a_n73_n90# a_15_n90# w_n211_n309#
+X0 a_15_n90# a_n51_n187# a_n73_n90# w_n211_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 w_n211_n309# a_15_n90# 0.09fF
+C1 a_n73_n90# a_15_n90# 0.31fF
+C2 a_n73_n90# w_n211_n309# 0.04fF
+C3 a_15_n90# VSUBS 0.03fF
+C4 a_n73_n90# VSUBS 0.03fF
+C5 a_n51_n187# VSUBS 0.12fF
+C6 w_n211_n309# VSUBS 1.24fF
+.ends
+
+.subckt and_pfd a_656_410# vss out vdd A B
+Xsky130_fd_pr__nfet_01v8_ZCYAJJ_0 vss a_656_410# A vss vss B sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45#
++ sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# sky130_fd_pr__nfet_01v8_ZCYAJJ
+Xsky130_fd_pr__pfet_01v8_7T83YG_0 vss vdd vdd B A a_656_410# vdd sky130_fd_pr__pfet_01v8_7T83YG
+Xsky130_fd_pr__nfet_01v8_ZXAV3F_0 vss a_656_410# out vss sky130_fd_pr__nfet_01v8_ZXAV3F
+Xsky130_fd_pr__pfet_01v8_4F7GBC_0 vss a_656_410# vdd out vdd sky130_fd_pr__pfet_01v8_4F7GBC
+C0 B sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# 0.02fF
+C1 A B 0.33fF
+C2 vdd a_656_410# 0.20fF
+C3 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# a_656_410# 0.07fF
+C4 A a_656_410# 0.04fF
+C5 vdd A 0.05fF
+C6 a_656_410# out 0.20fF
+C7 vdd out 0.10fF
+C8 a_656_410# B 0.30fF
+C9 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# out 0.03fF
+C10 vdd vss 4.85fF
+C11 out vss 0.47fF
+C12 a_656_410# vss 1.00fF
+C13 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.13fF
+C14 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.10fF
+C15 A vss 0.85fF
+C16 B vss 0.95fF
+.ends
+
+.subckt PFD vss vdd Down Up A B Reset
+Xdff_pfd_0 vss vdd dff_pfd_0/nor_pfd_2/A Up A dff_pfd_0/nor_pfd_3/A dff_pfd_0/nor_pfd_2/B
++ Reset dff_pfd
+Xdff_pfd_1 vss vdd dff_pfd_1/nor_pfd_2/A Down B dff_pfd_1/nor_pfd_3/A dff_pfd_1/nor_pfd_2/B
++ Reset dff_pfd
+Xand_pfd_0 and_pfd_0/a_656_410# vss Reset vdd Up Down and_pfd
+C0 Down Up 0.06fF
+C1 vdd dff_pfd_0/nor_pfd_3/A 0.08fF
+C2 vdd dff_pfd_1/nor_pfd_2/B 0.04fF
+C3 vdd Reset 0.02fF
+C4 vdd dff_pfd_0/nor_pfd_2/A 0.13fF
+C5 vdd Down 0.08fF
+C6 vdd Up 1.62fF
+C7 vdd dff_pfd_1/nor_pfd_3/A 0.08fF
+C8 vdd dff_pfd_1/nor_pfd_2/A 0.13fF
+C9 vdd dff_pfd_0/nor_pfd_2/B 0.11fF
+C10 and_pfd_0/a_656_410# vss 0.99fF
+C11 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C12 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.05fF
+C13 dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C14 dff_pfd_1/nor_pfd_2/B vss 1.51fF
+C15 dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C16 dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C17 dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C18 dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C19 dff_pfd_1/nor_pfd_2/A vss 2.56fF
+C20 dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C21 Down vss 3.74fF
+C22 dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C23 vdd vss 44.73fF
+C24 dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C25 dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C26 B vss 1.07fF
+C27 dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C28 dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C29 dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C30 dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C31 Reset vss 3.85fF
+C32 dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C33 dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C34 dff_pfd_0/nor_pfd_2/A vss 2.56fF
+C35 dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C36 Up vss 3.18fF
+C37 dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C38 dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C39 dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C40 A vss 1.07fF
+.ends
+
+.subckt top_pll_v1 pfd_reset in_ref QA QB Down nDown Up nUp biasp pswitch nswitch
++ vco_vctrl vco_D0 vco_out out_first_buffer out_to_div out_div_2 n_out_div_2 n_out_buffer_div_2
++ out_buffer_div_2 out_by_2 n_out_by_2 div_5_Q1_shift out_div_by_5 div_5_Q1 div_5_Q0
++ div_5_nQ0 div_5_nQ2 iref_cp vdd vss lf_vc
+Xloop_filter_0 lf_vc vco_vctrl vss loop_filter
+Xcharge_pump_0 nswitch vdd nUp vss Down biasp vco_vctrl pswitch iref_cp nDown Up charge_pump
+Xdiv_by_2_0 vss vdd div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in out_by_2 n_out_by_2
++ out_buffer_div_2 out_to_div out_div_2 n_out_buffer_div_2 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out
++ n_out_div_2 div_by_2
+Xbuffer_salida_0 buffer_salida_0/a_678_n100# out_to_pad out_to_buffer vss vdd buffer_salida
+Xring_osc_0 vco_vctrl vdd vss ring_osc_0/csvco_branch_2/vbp ring_osc_0/csvco_branch_0/inverter_csvco_0/vss
++ vco_D0 ring_osc_0/csvco_branch_2/cap_vco_0/t vco_out ring_osc
+Xring_osc_buffer_0 vss vco_out vdd out_first_buffer out_to_div out_to_buffer ring_osc_buffer
+Xdiv_by_5_0 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/DFlipFlop_1/latch_diff_0/D
++ n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD div_by_5_0/DFlipFlop_0/D div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd div_by_5_0/DFlipFlop_2/latch_diff_0/nD div_5_Q0 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# div_5_nQ0 div_by_5_0/DFlipFlop_1/latch_diff_1/nD
++ out_div_by_5 div_by_5_0/DFlipFlop_3/latch_diff_0/nD div_5_nQ2 div_by_5_0/DFlipFlop_0/latch_diff_0/D
++ div_by_5_0/DFlipFlop_2/latch_diff_1/nD div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_1/latch_diff_1/D div_5_Q1 div_by_5_0/DFlipFlop_2/D div_by_5_0/DFlipFlop_3/latch_diff_0/D
++ div_by_5_0/DFlipFlop_1/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_3/latch_diff_1/nD div_by_5_0/DFlipFlop_0/latch_diff_1/D div_5_Q1_shift
++ div_by_5_0/DFlipFlop_0/latch_diff_0/nD div_by_5_0/DFlipFlop_2/nQ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_2/latch_diff_0/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ div_by_5_0/DFlipFlop_3/latch_diff_1/D div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368#
++ div_by_5_0/DFlipFlop_1/latch_diff_0/nD div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136#
++ div_by_5_0/DFlipFlop_0/Q div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136#
++ div_by_5
+Xpfd_cp_interface_0 vss pfd_cp_interface_0/inverter_cp_x1_2/in vdd pfd_cp_interface_0/inverter_cp_x1_0/out
++ Down QA QB nDown Up nUp pfd_cp_interface
+XPFD_0 vss vdd QB QA in_ref out_div_by_5 pfd_reset PFD
+C0 n_out_by_2 div_5_nQ0 0.10fF
+C1 n_out_by_2 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in -0.51fF
+C2 div_by_5_0/DFlipFlop_2/latch_diff_0/nD out_by_2 0.10fF
+C3 vco_vctrl nswitch -0.06fF
+C4 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out out_to_div -0.12fF
+C5 biasp nUp -0.17fF
+C6 vdd nUp 0.05fF
+C7 vco_vctrl out_by_2 0.53fF
+C8 vco_vctrl ring_osc_0/csvco_branch_0/inverter_csvco_0/vss 0.04fF
+C9 n_out_by_2 vco_vctrl 0.52fF
+C10 div_by_5_0/DFlipFlop_3/latch_diff_1/nD out_by_2 0.23fF
+C11 nswitch Down 0.54fF
+C12 vdd out_div_by_5 0.28fF
+C13 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/nD 0.10fF
+C14 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# vco_vctrl -0.11fF
+C15 n_out_by_2 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.27fF
+C16 nDown Down 2.55fF
+C17 div_5_Q0 out_by_2 0.09fF
+C18 nUp Up 2.72fF
+C19 div_by_5_0/DFlipFlop_2/latch_diff_1/nD out_by_2 0.09fF
+C20 n_out_by_2 div_5_Q0 -0.12fF
+C21 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/nD 0.24fF
+C22 out_by_2 div_5_nQ2 0.16fF
+C23 vdd QA -0.04fF
+C24 vdd pfd_cp_interface_0/inverter_cp_x1_2/in 0.01fF
+C25 n_out_by_2 div_5_nQ2 0.10fF
+C26 ring_osc_0/csvco_branch_2/cap_vco_0/t vdd 0.02fF
+C27 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# n_out_by_2 -0.05fF
+C28 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vdd 0.04fF
+C29 ring_osc_0/csvco_branch_2/vbp vdd 0.03fF
+C30 nUp nDown -0.09fF
+C31 ring_osc_0/csvco_branch_2/cap_vco_0/t out_first_buffer 0.03fF
+C32 div_5_Q1 out_by_2 0.42fF
+C33 div_by_5_0/DFlipFlop_2/nQ out_by_2 0.23fF
+C34 vco_vctrl div_5_Q0 0.48fF
+C35 div_by_5_0/DFlipFlop_1/latch_diff_1/D out_by_2 0.23fF
+C36 div_5_Q1 n_out_by_2 1.04fF
+C37 n_out_by_2 div_by_5_0/DFlipFlop_2/nQ 0.10fF
+C38 n_out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/D 0.10fF
+C39 div_by_5_0/DFlipFlop_0/D out_by_2 0.35fF
+C40 vdd div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# 0.03fF
+C41 div_by_5_0/DFlipFlop_0/D n_out_by_2 -1.48fF
+C42 vdd iref_cp 0.15fF
+C43 vco_D0 vdd 0.03fF
+C44 vdd out_to_div 0.21fF
+C45 div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in out_to_div -0.16fF
+C46 nUp vco_vctrl 0.02fF
+C47 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in out_by_2 -0.22fF
+C48 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_0/nD 0.11fF
+C49 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# n_out_by_2 0.03fF
+C50 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out n_out_by_2 -0.11fF
+C51 pswitch Up 1.98fF
+C52 out_to_div out_to_buffer 0.13fF
+C53 div_5_Q1 vco_vctrl 0.14fF
+C54 div_by_5_0/DFlipFlop_2/D out_by_2 0.22fF
+C55 div_by_5_0/DFlipFlop_0/D vco_vctrl -0.45fF
+C56 div_by_5_0/DFlipFlop_2/D n_out_by_2 0.19fF
+C57 vdd out_to_buffer 0.07fF
+C58 div_by_5_0/DFlipFlop_1/D out_by_2 0.38fF
+C59 div_by_5_0/DFlipFlop_1/D n_out_by_2 0.22fF
+C60 div_by_5_0/DFlipFlop_0/Q out_by_2 0.09fF
+C61 div_by_5_0/DFlipFlop_0/Q n_out_by_2 -0.23fF
+C62 biasp Up 0.26fF
+C63 vdd Up 0.28fF
+C64 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# n_out_by_2 0.12fF
+C65 div_by_5_0/DFlipFlop_0/latch_diff_1/nD out_by_2 0.17fF
+C66 pswitch nDown 0.53fF
+C67 n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD 0.33fF
+C68 n_out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_0/D 0.12fF
+C69 vdd buffer_salida_0/a_678_n100# 0.24fF
+C70 div_by_5_0/DFlipFlop_3/latch_diff_0/D out_by_2 0.11fF
+C71 div_by_5_0/DFlipFlop_0/latch_diff_0/nD out_by_2 0.17fF
+C72 ring_osc_0/csvco_branch_2/vbp vco_vctrl 0.26fF
+C73 vdd out_by_2 0.97fF
+C74 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# out_by_2 0.10fF
+C75 vdd n_out_by_2 1.03fF
+C76 out_div_by_5 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# 0.18fF
+C77 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out out_by_2 0.09fF
+C78 out_to_buffer buffer_salida_0/a_678_n100# 0.22fF
+C79 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392# n_out_by_2 0.01fF
+C80 biasp nDown 0.26fF
+C81 vdd nDown 0.22fF
+C82 div_by_5_0/DFlipFlop_3/latch_diff_1/D out_by_2 0.09fF
+C83 div_5_Q1 out_div_by_5 0.01fF
+C84 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vco_vctrl -0.36fF
+C85 out_div_by_5 div_5_Q1_shift 0.05fF
+C86 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/D 0.24fF
+C87 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136# out_by_2 -0.02fF
+C88 iref_cp Down 0.09fF
+C89 n_out_by_2 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in -0.20fF
+C90 vdd vco_vctrl -1.02fF
+C91 div_by_5_0/DFlipFlop_0/latch_diff_1/D out_by_2 0.33fF
+C92 n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/D 0.17fF
+C93 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out out_by_2 0.28fF
+C94 biasp Down 1.24fF
+C95 div_by_5_0/DFlipFlop_1/latch_diff_0/nD out_by_2 0.10fF
+C96 vdd lf_vc 0.02fF
+C97 nDown nswitch 0.76fF
+C98 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_0/D 0.12fF
+C99 n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_0/D 0.24fF
+C100 pswitch nUp 0.85fF
+C101 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out out_by_2 -0.04fF
+C102 div_by_5_0/DFlipFlop_1/latch_diff_1/nD out_by_2 0.09fF
+C103 div_by_5_0/DFlipFlop_2/latch_diff_1/D out_by_2 0.23fF
+C104 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# n_out_by_2 0.02fF
+C105 div_by_5_0/DFlipFlop_1/latch_diff_1/nD n_out_by_2 0.24fF
+C106 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D 0.10fF
+C107 div_5_nQ0 out_by_2 0.32fF
+C108 PFD_0/and_pfd_0/a_656_410# vss 0.96fF
+C109 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C110 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.07fF
+C111 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C112 PFD_0/dff_pfd_1/nor_pfd_2/B vss 1.40fF
+C113 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C114 PFD_0/dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C115 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C116 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C117 PFD_0/dff_pfd_1/nor_pfd_2/A vss 2.55fF
+C118 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C119 QB vss 4.93fF
+C120 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C121 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C122 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C123 out_div_by_5 vss 1.39fF
+C124 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C125 PFD_0/dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C126 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C127 PFD_0/dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C128 pfd_reset vss 2.17fF
+C129 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C130 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C131 PFD_0/dff_pfd_0/nor_pfd_2/A vss 2.55fF
+C132 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C133 QA vss 4.76fF
+C134 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C135 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C136 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C137 in_ref vss 1.19fF
+C138 pfd_cp_interface_0/inverter_cp_x1_2/in vss 1.85fF
+C139 pfd_cp_interface_0/inverter_cp_x1_0/out vss 1.87fF
+C140 nUp vss 6.05fF
+C141 Up vss 2.16fF
+C142 Down vss 6.16fF
+C143 nDown vss 3.38fF
+C144 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.37fF
+C145 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.38fF
+C146 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.41fF
+C147 div_by_5_0/DFlipFlop_3/nQ vss 0.48fF
+C148 div_5_Q1_shift vss -0.14fF
+C149 div_by_5_0/DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.57fF
+C150 div_by_5_0/DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C151 div_by_5_0/DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C152 div_by_5_0/DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C153 div_by_5_0/DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C154 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C155 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C156 div_by_5_0/DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C157 div_by_5_0/DFlipFlop_2/nQ vss 0.48fF
+C158 div_5_Q1 vss 4.28fF
+C159 div_by_5_0/DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.57fF
+C160 div_by_5_0/DFlipFlop_2/latch_diff_1/nD vss 0.57fF
+C161 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss -1.73fF
+C162 div_by_5_0/DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C163 div_by_5_0/DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C164 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C165 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C166 div_by_5_0/DFlipFlop_2/D vss 3.13fF
+C167 div_by_5_0/DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C168 div_5_nQ0 vss 0.59fF
+C169 div_5_Q0 vss 0.01fF
+C170 div_by_5_0/DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.57fF
+C171 div_by_5_0/DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C172 div_by_5_0/DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C173 div_by_5_0/DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C174 div_by_5_0/DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C175 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C176 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C177 div_by_5_0/DFlipFlop_1/D vss 3.64fF
+C178 div_by_5_0/DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C179 div_5_nQ2 vss 1.24fF
+C180 div_by_5_0/DFlipFlop_0/Q vss -0.94fF
+C181 div_by_5_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C182 n_out_by_2 vss -2.62fF
+C183 div_by_5_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C184 div_by_5_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C185 div_by_5_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C186 out_by_2 vss -4.51fF
+C187 div_by_5_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C188 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C189 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C190 div_by_5_0/DFlipFlop_0/D vss 3.96fF
+C191 div_by_5_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C192 vdd vss 366.82fF
+C193 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C194 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.40fF
+C195 out_to_buffer vss 1.57fF
+C196 out_to_div vss 4.46fF
+C197 out_first_buffer vss 2.88fF
+C198 ring_osc_0/csvco_branch_2/in vss 1.60fF
+C199 ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C200 ring_osc_0/csvco_branch_1/cap_vco_0/t vss 7.10fF
+C201 ring_osc_0/csvco_branch_1/inverter_csvco_0/vss vss 0.52fF
+C202 ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C203 ring_osc_0/csvco_branch_2/cap_vco_0/t vss 7.10fF
+C204 ring_osc_0/csvco_branch_2/inverter_csvco_0/vss vss 0.52fF
+C205 ring_osc_0/csvco_branch_1/in vss 1.58fF
+C206 ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C207 vco_out vss 1.01fF
+C208 vco_D0 vss -4.63fF
+C209 ring_osc_0/csvco_branch_0/cap_vco_0/t vss 7.10fF
+C210 ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vss 0.52fF
+C211 ring_osc_0/csvco_branch_2/vbp vss 0.38fF
+C212 out_to_pad vss 7.50fF
+C213 buffer_salida_0/a_3996_n100# vss 48.29fF
+C214 buffer_salida_0/a_678_n100# vss 13.38fF
+C215 n_out_buffer_div_2 vss 1.63fF
+C216 out_buffer_div_2 vss 1.60fF
+C217 div_by_2_0/DFlipFlop_0/CLK vss 0.31fF
+C218 div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C219 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.89fF
+C220 div_by_2_0/DFlipFlop_0/nCLK vss 1.03fF
+C221 out_div_2 vss -1.30fF
+C222 div_by_2_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C223 div_by_2_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C224 div_by_2_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C225 div_by_2_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C226 div_by_2_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C227 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C228 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C229 n_out_div_2 vss 1.95fF
+C230 div_by_2_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C231 nswitch vss 3.73fF
+C232 biasp vss 5.44fF
+C233 iref_cp vss 2.81fF
+C234 vco_vctrl vss -19.28fF
+C235 pswitch vss 3.57fF
+C236 lf_vc vss -59.89fF
+C237 loop_filter_0/res_loop_filter_2/out vss 7.90fF
+.ends
+
diff --git a/mag/extractions/user_analog_project_wrapper.mag_lvs.spice b/mag/extractions/user_analog_project_wrapper.mag_lvs.spice
new file mode 100644
index 0000000..d9a1e27
--- /dev/null
+++ b/mag/extractions/user_analog_project_wrapper.mag_lvs.spice
@@ -0,0 +1,7 @@
+* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
+
+
+* Top level circuit user_analog_project_wrapper
+
+.end
+
diff --git a/mag/extractions/user_analog_project_wrapper.mag_pex_c.spice b/mag/extractions/user_analog_project_wrapper.mag_pex_c.spice
new file mode 100644
index 0000000..d9a1e27
--- /dev/null
+++ b/mag/extractions/user_analog_project_wrapper.mag_pex_c.spice
@@ -0,0 +1,7 @@
+* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
+
+
+* Top level circuit user_analog_project_wrapper
+
+.end
+
diff --git a/mag/extractions/user_analog_project_wrapper.mag_pex_rc.spice b/mag/extractions/user_analog_project_wrapper.mag_pex_rc.spice
new file mode 100644
index 0000000..d9a1e27
--- /dev/null
+++ b/mag/extractions/user_analog_project_wrapper.mag_pex_rc.spice
@@ -0,0 +1,7 @@
+* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
+
+
+* Top level circuit user_analog_project_wrapper
+
+.end
+
diff --git a/mag/extractions/user_analog_project_wrapper_lvs.spice b/mag/extractions/user_analog_project_wrapper_lvs.spice
new file mode 100644
index 0000000..25a0862
--- /dev/null
+++ b/mag/extractions/user_analog_project_wrapper_lvs.spice
@@ -0,0 +1,974 @@
+* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
+
+.subckt sky130_fd_pr__cap_mim_m3_1_MACBVW VSUBS m3_n2650_n13200# m3_n7969_n2600# m3_7988_8000#
++ m3_2669_n7900# m3_n13288_n2600# m3_n2650_2700# m3_2669_2700# m3_n13288_n13200# m3_n7969_n13200#
++ m3_n13288_8000# m3_7988_2700# m3_n2650_n7900# m3_7988_n7900# m3_2669_n13200# m3_n7969_8000#
++ m3_n13288_2700# m3_n7969_n7900# m3_n13288_n7900# m3_2669_n2600# m3_n7969_2700# m3_7988_n13200#
++ c1_n13188_n13100# m3_7988_n2600# m3_n2650_n2600# m3_n2650_8000# m3_2669_8000#
+X0 c1_n13188_n13100# m3_2669_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X1 c1_n13188_n13100# m3_n2650_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X2 c1_n13188_n13100# m3_2669_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X3 c1_n13188_n13100# m3_n13288_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X4 c1_n13188_n13100# m3_n7969_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X5 c1_n13188_n13100# m3_n13288_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X6 c1_n13188_n13100# m3_2669_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X7 c1_n13188_n13100# m3_7988_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X8 c1_n13188_n13100# m3_2669_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X9 c1_n13188_n13100# m3_7988_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X10 c1_n13188_n13100# m3_n7969_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X11 c1_n13188_n13100# m3_7988_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X12 c1_n13188_n13100# m3_n7969_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X13 c1_n13188_n13100# m3_7988_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X14 c1_n13188_n13100# m3_n13288_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X15 c1_n13188_n13100# m3_n7969_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X16 c1_n13188_n13100# m3_n2650_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X17 c1_n13188_n13100# m3_n2650_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X18 c1_n13188_n13100# m3_n2650_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X19 c1_n13188_n13100# m3_7988_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X20 c1_n13188_n13100# m3_n13288_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X21 c1_n13188_n13100# m3_n13288_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X22 c1_n13188_n13100# m3_n7969_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X23 c1_n13188_n13100# m3_n2650_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X24 c1_n13188_n13100# m3_2669_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+.ends
+
+.subckt cap1_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_MACBVW_0 VSUBS out out out out out out out out out out
++ out out out out out out out out out out out in out out out out sky130_fd_pr__cap_mim_m3_1_MACBVW
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_W3JTNJ VSUBS m3_n6469_n2100# c1_n6369_n6300# m3_2169_n6400#
++ m3_n2150_n6400# c1_2269_n6300# m3_n6469_2200# m3_n2150_n2100# c1_n2050_n6300# m3_n2150_2200#
++ m3_n6469_n6400#
+X0 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X1 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X2 c1_n2050_n6300# m3_n2150_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X3 c1_n6369_n6300# m3_n6469_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X4 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X5 c1_n6369_n6300# m3_n6469_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X6 c1_n2050_n6300# m3_n2150_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X7 c1_n2050_n6300# m3_n2150_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X8 c1_n6369_n6300# m3_n6469_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+.ends
+
+.subckt cap2_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_W3JTNJ_0 VSUBS out in out out in out out in out out sky130_fd_pr__cap_mim_m3_1_W3JTNJ
+.ends
+
+.subckt sky130_fd_pr__res_high_po_5p73_X44RQA a_n573_2292# w_n739_n2890# a_n573_n2724#
+X0 a_n573_n2724# a_n573_2292# w_n739_n2890# sky130_fd_pr__res_high_po_5p73 l=2.292e+07u
+.ends
+
+.subckt res_loop_filter vss out in
+Xsky130_fd_pr__res_high_po_5p73_X44RQA_0 in vss out sky130_fd_pr__res_high_po_5p73_X44RQA
+.ends
+
+.subckt loop_filter vc_pex in vss
+Xcap1_loop_filter_0 vss vc_pex vss cap1_loop_filter
+Xcap2_loop_filter_0 vss in vss cap2_loop_filter
+Xres_loop_filter_0 vss res_loop_filter_2/out in res_loop_filter
+Xres_loop_filter_1 vss res_loop_filter_2/out vc_pex res_loop_filter
+Xres_loop_filter_2 vss res_loop_filter_2/out vc_pex res_loop_filter
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4ML9WA VSUBS a_429_n486# w_n2457_n634# a_887_n486#
++ a_n29_n486# a_1345_n486# a_n2261_n512# a_1803_n486# a_n487_n486# a_n945_n486# a_n2319_n486#
++ a_n1403_n486# a_2261_n486# a_n1861_n486#
+X0 a_2261_n486# a_n2261_n512# a_1803_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X1 a_n945_n486# a_n2261_n512# a_n1403_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X2 a_429_n486# a_n2261_n512# a_n29_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X3 a_1803_n486# a_n2261_n512# a_1345_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X4 a_887_n486# a_n2261_n512# a_429_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X5 a_n487_n486# a_n2261_n512# a_n945_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X6 a_n1403_n486# a_n2261_n512# a_n1861_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X7 a_n1861_n486# a_n2261_n512# a_n2319_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X8 a_n29_n486# a_n2261_n512# a_n487_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X9 a_1345_n486# a_n2261_n512# a_887_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_YCGG98 a_n1041_n75# a_n561_n75# a_1167_n75# a_303_n75#
++ a_687_n75# a_n849_n75# a_n369_n75# a_975_n75# a_111_n75# a_495_n75# a_n1137_n75#
++ a_n657_n75# a_n177_n75# a_783_n75# a_n945_n75# a_n465_n75# a_207_n75# a_1071_n75#
++ a_591_n75# a_15_n75# a_n753_n75# w_n1367_n285# a_n273_n75# a_879_n75# a_399_n75#
++ a_n1229_n75# a_n81_n75# a_n1167_n101#
+X0 a_207_n75# a_n1167_n101# a_111_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_303_n75# a_n1167_n101# a_207_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_399_n75# a_n1167_n101# a_303_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_495_n75# a_n1167_n101# a_399_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_591_n75# a_n1167_n101# a_495_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_783_n75# a_n1167_n101# a_687_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_687_n75# a_n1167_n101# a_591_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_879_n75# a_n1167_n101# a_783_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_975_n75# a_n1167_n101# a_879_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_n1041_n75# a_n1167_n101# a_n1137_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_n1137_n75# a_n1167_n101# a_n1229_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n561_n75# a_n1167_n101# a_n657_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_1071_n75# a_n1167_n101# a_975_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n945_n75# a_n1167_n101# a_n1041_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n753_n75# a_n1167_n101# a_n849_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n657_n75# a_n1167_n101# a_n753_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n465_n75# a_n1167_n101# a_n561_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n369_n75# a_n1167_n101# a_n465_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_1167_n75# a_n1167_n101# a_1071_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n849_n75# a_n1167_n101# a_n945_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X20 a_15_n75# a_n1167_n101# a_n81_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X21 a_n81_n75# a_n1167_n101# a_n177_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X22 a_111_n75# a_n1167_n101# a_15_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X23 a_n273_n75# a_n1167_n101# a_n369_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X24 a_n177_n75# a_n1167_n101# a_n273_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_MUHGM9 a_33_n101# a_n129_n75# a_735_n75# a_255_n75#
++ a_n417_n75# a_n989_n75# a_63_n75# a_543_n75# a_n705_n75# a_n225_n75# a_n33_n75#
++ a_831_n75# a_351_n75# a_n927_n101# a_n513_n75# a_n897_n75# w_n1127_n285# a_639_n75#
++ a_159_n75# a_n801_n75# a_n321_n75# a_927_n75# a_447_n75# a_n609_n75#
+X0 a_63_n75# a_33_n101# a_n33_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_927_n75# a_33_n101# a_831_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_n33_n75# a_n927_n101# a_n129_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_159_n75# a_33_n101# a_63_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_255_n75# a_33_n101# a_159_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_351_n75# a_33_n101# a_255_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_447_n75# a_33_n101# a_351_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_543_n75# a_33_n101# a_447_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_735_n75# a_33_n101# a_639_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_831_n75# a_33_n101# a_735_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_639_n75# a_33_n101# a_543_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n321_n75# a_n927_n101# a_n417_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_n801_n75# a_n927_n101# a_n897_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n705_n75# a_n927_n101# a_n801_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n513_n75# a_n927_n101# a_n609_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n417_n75# a_n927_n101# a_n513_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n225_n75# a_n927_n101# a_n321_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n129_n75# a_n927_n101# a_n225_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_n897_n75# a_n927_n101# a_n989_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n609_n75# a_n927_n101# a_n705_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_NKZXKB VSUBS a_33_n247# a_n801_n150# a_n417_n150#
++ a_351_n150# a_255_n150# a_n705_n150# a_n609_n150# a_159_n150# a_543_n150# a_447_n150#
++ a_831_n150# a_n897_n150# a_n33_n150# a_735_n150# a_n927_n247# a_639_n150# a_n321_n150#
++ a_927_n150# a_n225_n150# a_63_n150# a_n989_n150# a_n513_n150# a_n129_n150# w_n1127_n369#
+X0 a_n513_n150# a_n927_n247# a_n609_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_63_n150# a_33_n247# a_n33_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_735_n150# a_33_n247# a_639_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n801_n150# a_n927_n247# a_n897_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n927_n247# a_n225_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n927_n247# a_n513_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_639_n150# a_33_n247# a_543_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n705_n150# a_n927_n247# a_n801_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n33_n150# a_n927_n247# a_n129_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_351_n150# a_33_n247# a_255_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_n609_n150# a_n927_n247# a_n705_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n897_n150# a_n927_n247# a_n989_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_927_n150# a_33_n247# a_831_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_255_n150# a_33_n247# a_159_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n321_n150# a_n927_n247# a_n417_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_543_n150# a_33_n247# a_447_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_831_n150# a_33_n247# a_735_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_159_n150# a_33_n247# a_63_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_n225_n150# a_n927_n247# a_n321_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_447_n150# a_33_n247# a_351_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_8GRULZ a_n1761_n132# a_1045_n44# a_n1461_n44# a_n1103_n44#
++ a_n29_n44# a_n387_n44# a_1761_n44# a_n1819_n44# a_1403_n44# a_687_n44# w_n1957_n254#
++ a_329_n44# a_n745_n44#
+X0 a_329_n44# a_n1761_n132# a_n29_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X1 a_1761_n44# a_n1761_n132# a_1403_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X2 a_n745_n44# a_n1761_n132# a_n1103_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X3 a_1045_n44# a_n1761_n132# a_687_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X4 a_n29_n44# a_n1761_n132# a_n387_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X5 a_n1103_n44# a_n1761_n132# a_n1461_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X6 a_n387_n44# a_n1761_n132# a_n745_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X7 a_687_n44# a_n1761_n132# a_329_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X8 a_1403_n44# a_n1761_n132# a_1045_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X9 a_n1461_n44# a_n1761_n132# a_n1819_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ND88ZC VSUBS a_303_n150# a_n753_n150# a_n369_n150#
++ w_n1367_n369# a_207_n150# a_n657_n150# a_591_n150# a_n1229_n150# a_n945_n150# a_495_n150#
++ a_n1041_n150# a_n849_n150# a_n81_n150# a_399_n150# a_783_n150# a_1071_n150# a_687_n150#
++ a_975_n150# a_n1137_n150# a_n273_n150# a_111_n150# a_879_n150# a_n177_n150# a_n561_n150#
++ a_15_n150# a_1167_n150# a_n1167_n247# a_n465_n150#
+X0 a_n1137_n150# a_n1167_n247# a_n1229_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_495_n150# a_n1167_n247# a_399_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n561_n150# a_n1167_n247# a_n657_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_111_n150# a_n1167_n247# a_15_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_783_n150# a_n1167_n247# a_687_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_1071_n150# a_n1167_n247# a_975_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_399_n150# a_n1167_n247# a_303_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n465_n150# a_n1167_n247# a_n561_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_687_n150# a_n1167_n247# a_591_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n753_n150# a_n1167_n247# a_n849_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_975_n150# a_n1167_n247# a_879_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n81_n150# a_n1167_n247# a_n177_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_15_n150# a_n1167_n247# a_n81_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_n1041_n150# a_n1167_n247# a_n1137_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n369_n150# a_n1167_n247# a_n465_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_n657_n150# a_n1167_n247# a_n753_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_879_n150# a_n1167_n247# a_783_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_n945_n150# a_n1167_n247# a_n1041_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_1167_n150# a_n1167_n247# a_1071_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_303_n150# a_n1167_n247# a_207_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X20 a_n273_n150# a_n1167_n247# a_n369_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X21 a_591_n150# a_n1167_n247# a_495_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X22 a_n849_n150# a_n1167_n247# a_n945_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X23 a_207_n150# a_n1167_n247# a_111_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X24 a_n177_n150# a_n1167_n247# a_n273_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt charge_pump Down out iref pswitch nDown biasp Up nswitch vss vdd nUp
+Xsky130_fd_pr__pfet_01v8_4ML9WA_0 vss pswitch vdd pswitch pswitch pswitch nUp pswitch
++ pswitch pswitch pswitch pswitch pswitch pswitch sky130_fd_pr__pfet_01v8_4ML9WA
+Xsky130_fd_pr__nfet_01v8_YCGG98_0 vss out out vss vss vss out out vss vss out vss
++ out out out vss out vss out out out vss vss vss out vss vss nswitch sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_1 iref vss vss iref iref iref vss vss iref iref vss
++ iref vss vss vss iref vss iref vss vss vss vss iref iref vss iref iref iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_2 biasp vss vss biasp biasp biasp vss vss biasp biasp
++ vss biasp vss vss vss biasp vss biasp vss vss vss vss biasp biasp vss biasp biasp
++ iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_MUHGM9_0 nDown iref nswitch vss nswitch nswitch vss nswitch
++ iref nswitch nswitch vss nswitch Down iref iref vss vss nswitch nswitch iref nswitch
++ vss nswitch sky130_fd_pr__nfet_01v8_MUHGM9
+Xsky130_fd_pr__pfet_01v8_NKZXKB_0 vss Up pswitch pswitch pswitch vdd biasp pswitch
++ pswitch pswitch vdd vdd biasp pswitch pswitch nUp vdd biasp pswitch pswitch vdd
++ pswitch biasp biasp vdd sky130_fd_pr__pfet_01v8_NKZXKB
+Xsky130_fd_pr__nfet_01v8_8GRULZ_0 Down nswitch nswitch nswitch nswitch nswitch nswitch
++ nswitch nswitch nswitch vss nswitch nswitch sky130_fd_pr__nfet_01v8_8GRULZ
+Xsky130_fd_pr__pfet_01v8_ND88ZC_0 vss vdd out out vdd out vdd out vdd out vdd vdd
++ vdd vdd out out vdd vdd out out vdd vdd vdd out out out out pswitch vdd sky130_fd_pr__pfet_01v8_ND88ZC
+Xsky130_fd_pr__pfet_01v8_ND88ZC_1 vss biasp vdd vdd vdd vdd biasp vdd biasp vdd biasp
++ biasp biasp biasp vdd vdd biasp biasp vdd vdd biasp biasp biasp vdd vdd vdd vdd
++ biasp biasp sky130_fd_pr__pfet_01v8_ND88ZC
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4798MH VSUBS a_81_n156# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n111_n156# a_n15_n156# a_n81_n125#
+X0 a_n81_n125# a_n111_n156# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n15_n156# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_81_n156# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_BHR94T a_n15_n151# w_n311_n335# a_81_n151# a_111_n125#
++ a_15_n125# a_n173_n125# a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_81_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n15_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt trans_gate m1_187_n605# m1_45_n513# vss vdd
+Xsky130_fd_pr__pfet_01v8_4798MH_0 vss vss m1_187_n605# m1_45_n513# m1_45_n513# vdd
++ vss vss m1_187_n605# sky130_fd_pr__pfet_01v8_4798MH
+Xsky130_fd_pr__nfet_01v8_BHR94T_0 vdd vss vdd m1_187_n605# m1_45_n513# m1_45_n513#
++ vdd m1_187_n605# sky130_fd_pr__nfet_01v8_BHR94T
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7KT7MH VSUBS a_n111_n186# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n81_n125#
+X0 a_n81_n125# a_n111_n186# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n111_n186# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_n111_n186# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS6QM w_n311_n335# a_111_n125# a_15_n125# a_n173_n125#
++ a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_n111_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n111_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt inverter_cp_x1 in vss out vdd
+Xsky130_fd_pr__pfet_01v8_7KT7MH_0 vss in out vdd vdd vdd out sky130_fd_pr__pfet_01v8_7KT7MH
+Xsky130_fd_pr__nfet_01v8_2BS6QM_0 vss out vss vss in out sky130_fd_pr__nfet_01v8_2BS6QM
+.ends
+
+.subckt clock_inverter vss CLK vdd CLK_d nCLK_d
+Xtrans_gate_0 nCLK_d inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 CLK vss inverter_cp_x1_0/out vdd inverter_cp_x1
+Xinverter_cp_x1_1 CLK vss inverter_cp_x1_2/in vdd inverter_cp_x1
+Xinverter_cp_x1_2 inverter_cp_x1_2/in vss CLK_d vdd inverter_cp_x1
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MJG8BZ VSUBS a_n125_n95# a_63_n95# w_n263_n314# a_n33_n95#
++ a_n63_n192#
+X0 a_63_n95# a_n63_n192# a_n33_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n33_n95# a_n63_n192# a_n125_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS854 w_n311_n335# a_n129_n213# a_111_n125# a_15_n125#
++ a_n173_n125# a_n81_n125#
+X0 a_111_n125# a_n129_n213# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n129_n213# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n129_n213# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_KU9PSX a_n125_n95# a_n33_n95# a_n81_n183# w_n263_n305#
+X0 a_n33_n95# a_n81_n183# a_n125_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n125_n95# a_n81_n183# a_n33_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+.ends
+
+.subckt latch_diff nQ Q vss CLK vdd nD D
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_0 vss vdd vdd vdd nQ Q sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_1 vss vdd vdd vdd Q nQ sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__nfet_01v8_2BS854_0 vss CLK vss m1_657_280# m1_657_280# vss sky130_fd_pr__nfet_01v8_2BS854
+Xsky130_fd_pr__nfet_01v8_KU9PSX_0 m1_657_280# Q nD vss sky130_fd_pr__nfet_01v8_KU9PSX
+Xsky130_fd_pr__nfet_01v8_KU9PSX_1 m1_657_280# nQ D vss sky130_fd_pr__nfet_01v8_KU9PSX
+.ends
+
+.subckt DFlipFlop vss nQ Q vdd CLK nCLK D
+Xclock_inverter_0 vss D vdd latch_diff_0/D latch_diff_0/nD clock_inverter
+Xlatch_diff_0 latch_diff_1/nD latch_diff_1/D vss CLK vdd latch_diff_0/nD latch_diff_0/D
++ latch_diff
+Xlatch_diff_1 nQ Q vss nCLK vdd latch_diff_1/nD latch_diff_1/D latch_diff
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZP3U9B VSUBS a_n221_n84# a_159_n84# w_n359_n303# a_n63_n110#
++ a_n129_n84# a_33_n110# a_n159_n110# a_63_n84# a_129_n110# a_n33_n84#
+X0 a_n129_n84# a_n159_n110# a_n221_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_63_n84# a_33_n110# a_n33_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 a_n33_n84# a_n63_n110# a_n129_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_159_n84# a_129_n110# a_63_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_DXA56D w_n359_n252# a_n33_n42# a_129_n68# a_n159_n68#
++ a_n221_n42# a_159_n42# a_n129_n42# a_33_n68# a_n63_n68# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n129_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2 a_159_n42# a_129_n68# a_63_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3 a_n129_n42# a_n159_n68# a_n221_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt inverter_min_x4 in vss out vdd
+Xsky130_fd_pr__pfet_01v8_ZP3U9B_0 vss out out vdd in vdd in in vdd in out sky130_fd_pr__pfet_01v8_ZP3U9B
+Xsky130_fd_pr__nfet_01v8_DXA56D_0 vss out in in out out vss in in vss sky130_fd_pr__nfet_01v8_DXA56D
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_5RJ8EK a_n33_n42# a_33_n68# w_n263_n252# a_n63_n68#
++ a_n125_n42# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n125_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZPB9BB VSUBS a_n63_n110# a_33_n110# a_n125_n84# a_63_n84#
++ w_n263_n303# a_n33_n84#
+X0 a_63_n84# a_33_n110# a_n33_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_n33_n84# a_n63_n110# a_n125_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+.ends
+
+.subckt inverter_min_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_5RJ8EK_0 vss in vss in out out sky130_fd_pr__nfet_01v8_5RJ8EK
+Xsky130_fd_pr__pfet_01v8_ZPB9BB_0 vss in in out out vdd vdd sky130_fd_pr__pfet_01v8_ZPB9BB
+.ends
+
+.subckt div_by_2 vss vdd CLK_2 nCLK_2 o1 CLK out_div o2 nout_div
+XDFlipFlop_0 vss nout_div out_div vdd DFlipFlop_0/CLK DFlipFlop_0/nCLK nout_div DFlipFlop
+Xclock_inverter_0 vss CLK vdd DFlipFlop_0/CLK DFlipFlop_0/nCLK clock_inverter
+Xinverter_min_x4_0 o1 vss CLK_2 vdd inverter_min_x4
+Xinverter_min_x4_1 o2 vss nCLK_2 vdd inverter_min_x4
+Xinverter_min_x2_0 nout_div o2 vss vdd inverter_min_x2
+Xinverter_min_x2_1 out_div o1 vss vdd inverter_min_x2
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_58ZKDE VSUBS a_n257_n777# a_n129_n600# a_n221_n600#
++ w_n257_n702#
+X0 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X1 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X2 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X3 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_T69Y3A a_n129_n300# a_n221_n300# w_n257_n327# a_n257_n404#
+X0 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X1 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X2 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X3 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+.ends
+
+.subckt buffer_salida in out vss vdd
+Xsky130_fd_pr__pfet_01v8_58ZKDE_1 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_2 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_3 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_0 a_678_n100# vss vss in sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_1 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_4 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_5 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_2 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_3 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_6 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_4 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_7 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_70 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_8 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_5 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_71 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_60 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_6 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_9 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_72 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_61 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_50 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_7 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_62 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_51 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_40 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_8 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_63 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_52 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_41 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_30 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_9 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_20 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_64 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_53 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_42 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_31 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_10 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_21 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_65 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_54 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_43 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_32 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_11 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_22 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_66 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_55 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_44 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_33 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_12 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_23 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_67 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_56 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_45 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_34 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_13 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_24 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_68 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_57 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_46 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_35 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_14 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_69 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_58 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_47 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_36 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_25 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_15 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_59 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_48 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_37 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_26 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_16 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_49 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_38 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_27 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_70 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_17 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_39 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_28 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_71 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_60 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_18 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_29 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_72 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_61 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_50 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_19 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_62 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_51 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_40 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_63 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_52 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_41 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_30 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_20 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_64 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_53 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_42 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_31 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_10 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_21 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_65 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_54 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_43 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_32 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_11 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_22 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_66 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_55 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_44 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_33 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_12 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_23 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_67 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_56 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_45 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_34 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_13 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_24 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_68 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_57 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_46 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_35 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_14 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_69 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_58 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_47 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_36 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_25 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_15 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_59 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_48 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_37 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_26 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_16 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_49 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_38 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_27 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_17 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_39 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_28 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_18 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_29 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_19 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_0 vss in a_678_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_CBAU6Y a_n73_n150# a_n33_n238# w_n211_n360# a_15_n150#
+X0 a_15_n150# a_n33_n238# a_n73_n150# w_n211_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4757AC VSUBS a_n73_n150# a_n33_181# w_n211_n369# a_15_n150#
+X0 a_15_n150# a_n33_181# a_n73_n150# w_n211_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_7H8F5S a_n465_172# a_n417_n150# a_351_n150# a_255_n150#
++ w_n647_n360# a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150#
+X0 a_159_n150# a_n465_172# a_63_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n225_n150# a_n465_172# a_n321_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_447_n150# a_n465_172# a_351_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_63_n150# a_n465_172# a_n33_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n465_172# a_n225_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n465_172# a_n509_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n33_n150# a_n465_172# a_n129_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_351_n150# a_n465_172# a_255_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_255_n150# a_n465_172# a_159_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n321_n150# a_n465_172# a_n417_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_8DL6ZL VSUBS a_n417_n150# a_351_n150# a_255_n150#
++ a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n465_n247# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150# w_n647_n369#
+X0 a_63_n150# a_n465_n247# a_n33_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n129_n150# a_n465_n247# a_n225_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n417_n150# a_n465_n247# a_n509_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n33_n150# a_n465_n247# a_n129_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_351_n150# a_n465_n247# a_255_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_255_n150# a_n465_n247# a_159_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n321_n150# a_n465_n247# a_n417_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_159_n150# a_n465_n247# a_63_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n225_n150# a_n465_n247# a_n321_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_447_n150# a_n465_n247# a_351_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_EDT3AT a_15_n11# a_n33_n99# w_n211_n221# a_n73_n11#
+X0 a_15_n11# a_n33_n99# a_n73_n11# w_n211_n221# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AQR2CW a_n33_66# a_n78_n106# w_n216_n254# a_20_n106#
+X0 a_20_n106# a_n33_66# a_n78_n106# w_n216_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=200000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_HRYSXS VSUBS a_n33_n211# a_n78_n114# w_n216_n334#
++ a_20_n114#
+X0 a_20_n114# a_n33_n211# a_n78_n114# w_n216_n334# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=200000u
+.ends
+
+.subckt inverter_csvco in vbulkn out vbulkp vdd vss
+Xsky130_fd_pr__nfet_01v8_AQR2CW_0 in vss vbulkn out sky130_fd_pr__nfet_01v8_AQR2CW
+Xsky130_fd_pr__pfet_01v8_HRYSXS_0 vbulkn in vdd vbulkp out sky130_fd_pr__pfet_01v8_HRYSXS
+.ends
+
+.subckt cap_vco t b VSUBS
+C0 t b 5.78fF
+*C1 t VSUBS 0.42fF
+*C2 b VSUBS 0.09fF
+.ends
+
+.subckt csvco_branch vctrl in vbp D0 out vss vdd
+Xsky130_fd_pr__nfet_01v8_7H8F5S_0 vctrl inverter_csvco_0/vss inverter_csvco_0/vss
++ vss vss inverter_csvco_0/vss vss vss inverter_csvco_0/vss vss inverter_csvco_0/vss
++ vss vss sky130_fd_pr__nfet_01v8_7H8F5S
+Xsky130_fd_pr__pfet_01v8_8DL6ZL_0 vss inverter_csvco_0/vdd inverter_csvco_0/vdd vdd
++ inverter_csvco_0/vdd vdd vdd inverter_csvco_0/vdd vbp vdd inverter_csvco_0/vdd vdd
++ vdd vdd sky130_fd_pr__pfet_01v8_8DL6ZL
+Xsky130_fd_pr__nfet_01v8_EDT3AT_0 cap_vco_0/t D0 vss out sky130_fd_pr__nfet_01v8_EDT3AT
+Xinverter_csvco_0 in vss out vdd inverter_csvco_0/vdd inverter_csvco_0/vss inverter_csvco
+Xcap_vco_0 cap_vco_0/t vss vss cap_vco
+.ends
+
+.subckt ring_osc vctrl vdd vss D0 out_vco
+Xsky130_fd_pr__nfet_01v8_CBAU6Y_0 vss vctrl vss csvco_branch_2/vbp sky130_fd_pr__nfet_01v8_CBAU6Y
+Xsky130_fd_pr__pfet_01v8_4757AC_0 vss vdd csvco_branch_2/vbp vdd csvco_branch_2/vbp
++ sky130_fd_pr__pfet_01v8_4757AC
+Xcsvco_branch_0 vctrl out_vco csvco_branch_2/vbp D0 csvco_branch_1/in vss vdd csvco_branch
+Xcsvco_branch_2 vctrl csvco_branch_2/in csvco_branch_2/vbp D0 out_vco vss vdd csvco_branch
+Xcsvco_branch_1 vctrl csvco_branch_1/in csvco_branch_2/vbp D0 csvco_branch_2/in vss
++ vdd csvco_branch
+.ends
+
+.subckt ring_osc_buffer vss in_vco vdd o1 out_div out_pad
+Xinverter_min_x4_0 o1 vss out_div vdd inverter_min_x4
+Xinverter_min_x4_1 out_div vss out_pad vdd inverter_min_x4
+Xinverter_min_x2_0 in_vco o1 vss vdd inverter_min_x2
+.ends
+
+.subckt sky130_fd_sc_hs__xor2_1 A B VGND VNB VPB VPWR X
+X0 X B a_455_87# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X1 X a_194_125# a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X2 a_194_125# B a_158_392# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 a_158_392# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 VPWR A a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X5 a_355_368# B VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X6 a_194_125# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X7 a_455_87# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X8 VGND B a_194_125# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X9 VGND a_194_125# X VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hs__and2_1 A B VGND VNB VPB VPWR X
+X0 VGND B a_143_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1 X a_56_136# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X2 VPWR B a_56_136# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_143_136# A a_56_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X4 a_56_136# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X5 X a_56_136# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+.ends
+
+.subckt sky130_fd_sc_hs__or2_1 A B VGND VNB VPB VPWR X
+X0 VPWR A a_152_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_152_368# B a_63_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 X a_63_368# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X3 X a_63_368# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X4 a_63_368# B VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X5 VGND A a_63_368# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+.ends
+
+.subckt div_by_5 nCLK vdd Q0 CLK nQ0 CLK_5 nQ2 vss Q1 Q1_shift
+Xsky130_fd_sc_hs__xor2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_2/D sky130_fd_sc_hs__xor2_1
+XDFlipFlop_0 vss nQ2 DFlipFlop_0/Q vdd CLK nCLK DFlipFlop_0/D DFlipFlop
+XDFlipFlop_1 vss nQ0 Q0 vdd CLK nCLK DFlipFlop_1/D DFlipFlop
+XDFlipFlop_2 vss DFlipFlop_2/nQ Q1 vdd CLK nCLK DFlipFlop_2/D DFlipFlop
+XDFlipFlop_3 vss DFlipFlop_3/nQ Q1_shift vdd nCLK CLK Q1 DFlipFlop
+Xsky130_fd_sc_hs__and2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_0/D sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__and2_1_1 nQ2 nQ0 vss vss vdd vdd DFlipFlop_1/D sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__or2_1_0 Q1 Q1_shift vss vss vdd vdd CLK_5 sky130_fd_sc_hs__or2_1
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AZESM8 a_n63_n151# a_n33_n125# a_n255_n151# a_33_n151#
++ a_n225_n125# a_63_n125# a_n129_n125# a_n159_n151# w_n455_n335# a_225_n151# a_255_n125#
++ a_129_n151# a_159_n125# a_n317_n125#
+X0 a_159_n125# a_129_n151# a_63_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n225_n125# a_n255_n151# a_n317_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_63_n125# a_33_n151# a_n33_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_n129_n125# a_n159_n151# a_n225_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n33_n125# a_n63_n151# a_n129_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_255_n125# a_225_n151# a_159_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_XJXT7S VSUBS a_n33_n125# a_n255_n154# a_33_n154# a_n225_n125#
++ a_n159_n154# a_63_n125# a_n129_n125# a_225_n154# a_129_n154# a_255_n125# a_159_n125#
++ a_n317_n125# w_n455_n344# a_n63_n154#
+X0 a_n129_n125# a_n159_n154# a_n225_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n33_n125# a_n63_n154# a_n129_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_255_n125# a_225_n154# a_159_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_159_n125# a_129_n154# a_63_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n225_n125# a_n255_n154# a_n317_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_63_n125# a_33_n154# a_n33_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+.ends
+
+.subckt inverter_cp_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_AZESM8_0 in vss in in vss out out in vss in out in vss out
++ sky130_fd_pr__nfet_01v8_AZESM8
+Xsky130_fd_pr__pfet_01v8_XJXT7S_0 vss vdd in in vdd in out out in in out vdd out vdd
++ in sky130_fd_pr__pfet_01v8_XJXT7S
+.ends
+
+.subckt pfd_cp_interface vss vdd Down QA QB nDown Up nUp
+Xinverter_cp_x2_0 nDown Down vss vdd inverter_cp_x2
+Xinverter_cp_x2_1 Up nUp vss vdd inverter_cp_x2
+Xtrans_gate_0 nDown inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 QB vss inverter_cp_x1_0/out vdd inverter_cp_x1
+Xinverter_cp_x1_2 inverter_cp_x1_2/in vss Up vdd inverter_cp_x1
+Xinverter_cp_x1_1 QA vss inverter_cp_x1_2/in vdd inverter_cp_x1
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F35BC VSUBS w_n359_n309# a_n63_n116# a_n159_n207#
++ a_n33_n90# a_n221_n90# a_159_n90#
+X0 a_159_n90# a_n63_n116# a_63_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n129_n90# a_n159_n207# a_n221_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X2 a_63_n90# a_n159_n207# a_n33_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X3 a_n33_n90# a_n63_n116# a_n129_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_C3YG4M a_n33_n45# a_33_n71# a_n129_71# w_n263_n255#
++ a_n125_n45# a_63_n45#
+X0 a_63_n45# a_33_n71# a_n33_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n129_71# a_n125_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+.ends
+
+.subckt nor_pfd out vss vdd A B
+Xsky130_fd_pr__pfet_01v8_4F35BC_0 vss vdd B A out vdd vdd sky130_fd_pr__pfet_01v8_4F35BC
+Xsky130_fd_pr__nfet_01v8_C3YG4M_0 out B A vss vss vss sky130_fd_pr__nfet_01v8_C3YG4M
+.ends
+
+.subckt dff_pfd vdd vss Q CLK Reset
+Xnor_pfd_0 nor_pfd_2/A vss vdd CLK Q nor_pfd
+Xnor_pfd_1 Q vss vdd nor_pfd_2/A nor_pfd_3/A nor_pfd
+Xnor_pfd_2 nor_pfd_3/A vss vdd nor_pfd_2/A nor_pfd_2/B nor_pfd
+Xnor_pfd_3 nor_pfd_2/B vss vdd nor_pfd_3/A Reset nor_pfd
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZCYAJJ w_n359_n255# a_n33_n45# a_n159_n173# a_n221_n45#
++ a_159_n45# a_n63_n71#
+X0 a_63_n45# a_n159_n173# a_n33_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n63_n71# a_n129_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X2 a_159_n45# a_n63_n71# a_63_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X3 a_n129_n45# a_n159_n173# a_n221_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7T83YG VSUBS a_n125_n90# a_63_n90# a_33_n187# a_n99_n187#
++ a_n33_n90# w_n263_n309#
+X0 a_63_n90# a_33_n187# a_n33_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n33_n90# a_n99_n187# a_n125_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZXAV3F a_n73_n45# a_n33_67# a_15_n45# w_n211_n255#
+X0 a_15_n45# a_n33_67# a_n73_n45# w_n211_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F7GBC VSUBS a_n51_n187# a_n73_n90# a_15_n90# w_n211_n309#
+X0 a_15_n90# a_n51_n187# a_n73_n90# w_n211_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+.ends
+
+.subckt and_pfd vss out vdd A B
+Xsky130_fd_pr__nfet_01v8_ZCYAJJ_0 vss a_656_410# A vss vss B sky130_fd_pr__nfet_01v8_ZCYAJJ
+Xsky130_fd_pr__pfet_01v8_7T83YG_0 vss vdd vdd B A a_656_410# vdd sky130_fd_pr__pfet_01v8_7T83YG
+Xsky130_fd_pr__nfet_01v8_ZXAV3F_0 vss a_656_410# out vss sky130_fd_pr__nfet_01v8_ZXAV3F
+Xsky130_fd_pr__pfet_01v8_4F7GBC_0 vss a_656_410# vdd out vdd sky130_fd_pr__pfet_01v8_4F7GBC
+.ends
+
+.subckt PFD vss vdd Down Up A B Reset
+Xdff_pfd_0 vdd vss Up A Reset dff_pfd
+Xdff_pfd_1 vdd vss Down B Reset dff_pfd
+Xand_pfd_0 vss Reset vdd Up Down and_pfd
+.ends
+
+.subckt top_pll_v1 vdd in_ref w_13905_n238# vss vco_D0 iref_cp out_to_pad
+Xloop_filter_0 lf_vc vco_vctrl vss loop_filter
+Xcharge_pump_0 Down vco_vctrl iref_cp pswitch nDown biasp Up nswitch vss vdd nUp charge_pump
+Xdiv_by_2_0 vss vdd out_by_2 n_out_by_2 out_buffer_div_2 out_to_div out_div_2 n_out_buffer_div_2
++ n_out_div_2 div_by_2
+Xbuffer_salida_0 out_to_buffer out_to_pad vss vdd buffer_salida
+Xring_osc_0 vco_vctrl vdd vss vco_D0 vco_out ring_osc
+Xring_osc_buffer_0 vss vco_out vdd out_first_buffer out_to_div out_to_buffer ring_osc_buffer
+Xdiv_by_5_0 n_out_by_2 vdd div_5_Q0 out_by_2 div_5_nQ0 out_div_by_5 div_5_nQ2 vss
++ div_5_Q1 div_5_Q1_shift div_by_5
+Xpfd_cp_interface_0 vss vdd Down QA QB nDown Up nUp pfd_cp_interface
+XPFD_0 vss vdd QB QA in_ref out_div_by_5 pfd_reset PFD
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_lvt_8P223X VSUBS a_n2017_n1317# a_n1731_n1219# a_n1879_n1219#
++ a_n2017_n61# w_n2018_n202#
+X0 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X1 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X2 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X3 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X4 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X5 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X6 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X7 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X8 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X9 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X10 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X11 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X12 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X13 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X14 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X15 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X16 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X17 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X18 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X19 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X20 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X21 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X22 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X23 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X24 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X25 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X26 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X27 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X28 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X29 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X30 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X31 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X32 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X33 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X34 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X35 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X36 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X37 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X38 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X39 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X40 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X41 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X42 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X43 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X44 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X45 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X46 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X47 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X48 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X49 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+.ends
+
+.subckt bias VSUBS vdd iref_1 iref_2 iref
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_5 VSUBS iref m1_20168_984# iref m1_20168_984#
++ vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_6 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_6/a_n1731_n1219#
++ iref_5 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_7 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_7/a_n1731_n1219#
++ iref_6 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_9 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_9/a_n1731_n1219#
++ iref_8 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_8 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_8/a_n1731_n1219#
++ iref_7 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_10 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_10/a_n1731_n1219#
++ iref_9 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_0 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_0/a_n1731_n1219#
++ iref_0 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_1 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219#
++ iref_1 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_2 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_2/a_n1731_n1219#
++ iref_2 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_3 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219#
++ iref_3 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_4 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219#
++ iref_4 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+.ends
+
+*.subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
+*+ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
+*+ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
+*+ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10]
+*+ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
+*+ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
+*+ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
+*+ io_analog[1] io_analog[2] io_analog[3] io_analog[5] io_analog[7] io_analog[8] io_analog[9]
+*+ io_analog[4] io_analog[6] io_clamp_high[0] io_clamp_high[2] io_clamp_low[0] io_clamp_low[2]
+*+ io_in[0] io_in[10] io_in[11] io_in[12] io_in[13] io_in[14] io_in[15] io_in[16] io_in[17]
+*+ io_in[18] io_in[19] io_in[1] io_in[20] io_in[21] io_in[22] io_in[23] io_in[24] io_in[25]
+*+ io_in[26] io_in[2] io_in[3] io_in[4] io_in[5] io_in[6] io_in[7] io_in[8] io_in[9]
+*+ io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12] io_in_3v3[13] io_in_3v3[14]
+*+ io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18] io_in_3v3[19] io_in_3v3[1]
+*+ io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23] io_in_3v3[24] io_in_3v3[25]
+*+ io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4] io_in_3v3[5] io_in_3v3[6] io_in_3v3[7]
+*+ io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10] io_oeb[11] io_oeb[12] io_oeb[13]
+*+ io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18] io_oeb[19] io_oeb[1] io_oeb[20]
+*+ io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25] io_oeb[26] io_oeb[2] io_oeb[3]
+*+ io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8] io_oeb[9] io_out[0] io_out[10]
+*+ io_out[11] io_out[12] io_out[13] io_out[14] io_out[15] io_out[16] io_out[17] io_out[18]
+*+ io_out[19] io_out[1] io_out[20] io_out[21] io_out[22] io_out[23] io_out[24] io_out[25]
+*+ io_out[26] io_out[2] io_out[3] io_out[4] io_out[5] io_out[6] io_out[7] io_out[8]
+*+ io_out[9] la_data_in[0] la_data_in[100] la_data_in[101] la_data_in[102] la_data_in[103]
+*+ la_data_in[104] la_data_in[105] la_data_in[106] la_data_in[107] la_data_in[108]
+*+ la_data_in[109] la_data_in[10] la_data_in[110] la_data_in[111] la_data_in[112] la_data_in[113]
+*+ la_data_in[114] la_data_in[115] la_data_in[116] la_data_in[117] la_data_in[118]
+*+ la_data_in[119] la_data_in[11] la_data_in[120] la_data_in[121] la_data_in[122] la_data_in[123]
+*+ la_data_in[124] la_data_in[125] la_data_in[126] la_data_in[127] la_data_in[12] la_data_in[13]
+*+ la_data_in[14] la_data_in[15] la_data_in[16] la_data_in[17] la_data_in[18] la_data_in[19]
+*+ la_data_in[1] la_data_in[20] la_data_in[21] la_data_in[22] la_data_in[23] la_data_in[24]
+*+ la_data_in[25] la_data_in[26] la_data_in[27] la_data_in[28] la_data_in[29] la_data_in[2]
+*+ la_data_in[30] la_data_in[31] la_data_in[32] la_data_in[33] la_data_in[34] la_data_in[35]
+*+ la_data_in[36] la_data_in[37] la_data_in[38] la_data_in[39] la_data_in[3] la_data_in[40]
+*+ la_data_in[41] la_data_in[42] la_data_in[43] la_data_in[44] la_data_in[45] la_data_in[46]
+*+ la_data_in[47] la_data_in[48] la_data_in[49] la_data_in[4] la_data_in[50] la_data_in[51]
+*+ la_data_in[52] la_data_in[53] la_data_in[54] la_data_in[55] la_data_in[56] la_data_in[57]
+*+ la_data_in[58] la_data_in[59] la_data_in[5] la_data_in[60] la_data_in[61] la_data_in[62]
+*+ la_data_in[63] la_data_in[64] la_data_in[65] la_data_in[66] la_data_in[67] la_data_in[68]
+*+ la_data_in[69] la_data_in[6] la_data_in[70] la_data_in[71] la_data_in[72] la_data_in[73]
+*+ la_data_in[74] la_data_in[75] la_data_in[76] la_data_in[77] la_data_in[78] la_data_in[79]
+*+ la_data_in[7] la_data_in[80] la_data_in[81] la_data_in[82] la_data_in[83] la_data_in[84]
+*+ la_data_in[85] la_data_in[86] la_data_in[87] la_data_in[88] la_data_in[89] la_data_in[8]
+*+ la_data_in[90] la_data_in[91] la_data_in[92] la_data_in[93] la_data_in[94] la_data_in[95]
+*+ la_data_in[96] la_data_in[97] la_data_in[98] la_data_in[99] la_data_in[9] la_data_out[0]
+*+ la_data_out[100] la_data_out[101] la_data_out[102] la_data_out[103] la_data_out[104]
+*+ la_data_out[105] la_data_out[106] la_data_out[107] la_data_out[108] la_data_out[109]
+*+ la_data_out[10] la_data_out[110] la_data_out[111] la_data_out[112] la_data_out[113]
+*+ la_data_out[114] la_data_out[115] la_data_out[116] la_data_out[117] la_data_out[118]
+*+ la_data_out[119] la_data_out[11] la_data_out[120] la_data_out[121] la_data_out[122]
+*+ la_data_out[123] la_data_out[124] la_data_out[125] la_data_out[126] la_data_out[127]
+*+ la_data_out[12] la_data_out[13] la_data_out[14] la_data_out[15] la_data_out[16]
+*+ la_data_out[17] la_data_out[18] la_data_out[19] la_data_out[1] la_data_out[20] la_data_out[21]
+*+ la_data_out[22] la_data_out[23] la_data_out[24] la_data_out[25] la_data_out[26]
+*+ la_data_out[27] la_data_out[28] la_data_out[29] la_data_out[2] la_data_out[30] la_data_out[31]
+*+ la_data_out[32] la_data_out[33] la_data_out[34] la_data_out[35] la_data_out[36]
+*+ la_data_out[37] la_data_out[38] la_data_out[39] la_data_out[3] la_data_out[40] la_data_out[41]
+*+ la_data_out[42] la_data_out[43] la_data_out[44] la_data_out[45] la_data_out[46]
+*+ la_data_out[47] la_data_out[48] la_data_out[49] la_data_out[4] la_data_out[50] la_data_out[51]
+*+ la_data_out[52] la_data_out[53] la_data_out[54] la_data_out[55] la_data_out[56]
+*+ la_data_out[57] la_data_out[58] la_data_out[59] la_data_out[5] la_data_out[60] la_data_out[61]
+*+ la_data_out[62] la_data_out[63] la_data_out[64] la_data_out[65] la_data_out[66]
+*+ la_data_out[67] la_data_out[68] la_data_out[69] la_data_out[6] la_data_out[70] la_data_out[71]
+*+ la_data_out[72] la_data_out[73] la_data_out[74] la_data_out[75] la_data_out[76]
+*+ la_data_out[77] la_data_out[78] la_data_out[79] la_data_out[7] la_data_out[80] la_data_out[81]
+*+ la_data_out[82] la_data_out[83] la_data_out[84] la_data_out[85] la_data_out[86]
+*+ la_data_out[87] la_data_out[88] la_data_out[89] la_data_out[8] la_data_out[90] la_data_out[91]
+*+ la_data_out[92] la_data_out[93] la_data_out[94] la_data_out[95] la_data_out[96]
+*+ la_data_out[97] la_data_out[98] la_data_out[99] la_data_out[9] la_oenb[0] la_oenb[100]
+*+ la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104] la_oenb[105] la_oenb[106] la_oenb[107]
+*+ la_oenb[108] la_oenb[109] la_oenb[10] la_oenb[110] la_oenb[111] la_oenb[112] la_oenb[113]
+*+ la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117] la_oenb[118] la_oenb[119] la_oenb[11]
+*+ la_oenb[120] la_oenb[121] la_oenb[122] la_oenb[123] la_oenb[124] la_oenb[125] la_oenb[126]
+*+ la_oenb[127] la_oenb[12] la_oenb[13] la_oenb[14] la_oenb[15] la_oenb[16] la_oenb[17]
+*+ la_oenb[18] la_oenb[19] la_oenb[1] la_oenb[20] la_oenb[21] la_oenb[22] la_oenb[23]
+*+ la_oenb[24] la_oenb[25] la_oenb[26] la_oenb[27] la_oenb[28] la_oenb[29] la_oenb[2]
+*+ la_oenb[30] la_oenb[31] la_oenb[32] la_oenb[33] la_oenb[34] la_oenb[35] la_oenb[36]
+*+ la_oenb[37] la_oenb[38] la_oenb[39] la_oenb[3] la_oenb[40] la_oenb[41] la_oenb[42]
+*+ la_oenb[43] la_oenb[44] la_oenb[45] la_oenb[46] la_oenb[47] la_oenb[48] la_oenb[49]
+*+ la_oenb[4] la_oenb[50] la_oenb[51] la_oenb[52] la_oenb[53] la_oenb[54] la_oenb[55]
+*+ la_oenb[56] la_oenb[57] la_oenb[58] la_oenb[59] la_oenb[5] la_oenb[60] la_oenb[61]
+*+ la_oenb[62] la_oenb[63] la_oenb[64] la_oenb[65] la_oenb[66] la_oenb[67] la_oenb[68]
+*+ la_oenb[69] la_oenb[6] la_oenb[70] la_oenb[71] la_oenb[72] la_oenb[73] la_oenb[74]
+*+ la_oenb[75] la_oenb[76] la_oenb[77] la_oenb[78] la_oenb[79] la_oenb[7] la_oenb[80]
+*+ la_oenb[81] la_oenb[82] la_oenb[83] la_oenb[84] la_oenb[85] la_oenb[86] la_oenb[87]
+*+ la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90] la_oenb[91] la_oenb[92] la_oenb[93]
+*+ la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97] la_oenb[98] la_oenb[99] la_oenb[9]
+*+ user_clock2 user_irq[0] user_irq[1] user_irq[2] vccd1 vccd2 vdda1 vdda2 vssa1 vssa2
+*+ vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0] wbs_adr_i[10] wbs_adr_i[11]
+*+ wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15] wbs_adr_i[16] wbs_adr_i[17]
+*+ wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20] wbs_adr_i[21] wbs_adr_i[22]
+*+ wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26] wbs_adr_i[27] wbs_adr_i[28]
+*+ wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31] wbs_adr_i[3] wbs_adr_i[4]
+*+ wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] wbs_cyc_i wbs_dat_i[0]
+*+ wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14] wbs_dat_i[15]
+*+ wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1] wbs_dat_i[20]
+*+ wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25] wbs_dat_i[26]
+*+ wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30] wbs_dat_i[31]
+*+ wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8] wbs_dat_i[9]
+*+ wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13] wbs_dat_o[14]
+*+ wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19] wbs_dat_o[1]
+*+ wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24] wbs_dat_o[25]
+*+ wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2] wbs_dat_o[30]
+*+ wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6] wbs_dat_o[7] wbs_dat_o[8]
+*+ wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3] wbs_stb_i wbs_we_i
+
+* TOP Circuit modified to pass LVS
+
+Xtop_pll_v1_0 vdda1 io_analog[10] vssa1 vssa1 io_in[14] bias_0/iref_2 io_analog[9]
++ top_pll_v1
+Xtop_pll_v1_1 vdda1 io_analog[10] vssa1 vssa1 io_in[14] bias_0/iref_1 io_analog[8]
++ top_pll_v1
+Xbias_0 vssa1 vdda1 bias_0/iref_1 bias_0/iref_2 io_analog[5] bias
+.ends
+
diff --git a/mag/extractions/user_analog_project_wrapper_pex_c.spice b/mag/extractions/user_analog_project_wrapper_pex_c.spice
new file mode 100644
index 0000000..8167bd1
--- /dev/null
+++ b/mag/extractions/user_analog_project_wrapper_pex_c.spice
@@ -0,0 +1,4095 @@
+* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
+
+.subckt sky130_fd_pr__cap_mim_m3_1_MACBVW VSUBS m3_n2650_n13200# m3_n7969_n2600# m3_7988_8000#
++ m3_2669_n7900# m3_n13288_n2600# m3_n2650_2700# m3_2669_2700# m3_n13288_n13200# m3_n7969_n13200#
++ m3_n13288_8000# m3_7988_2700# m3_n2650_n7900# m3_7988_n7900# m3_2669_n13200# m3_n7969_8000#
++ m3_n13288_2700# m3_n7969_n7900# m3_n13288_n7900# m3_2669_n2600# m3_n7969_2700# m3_7988_n13200#
++ c1_n13188_n13100# m3_7988_n2600# m3_n2650_n2600# m3_n2650_8000# m3_2669_8000#
+X0 c1_n13188_n13100# m3_2669_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X1 c1_n13188_n13100# m3_n2650_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X2 c1_n13188_n13100# m3_2669_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X3 c1_n13188_n13100# m3_n13288_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X4 c1_n13188_n13100# m3_n7969_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X5 c1_n13188_n13100# m3_n13288_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X6 c1_n13188_n13100# m3_2669_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X7 c1_n13188_n13100# m3_7988_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X8 c1_n13188_n13100# m3_2669_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X9 c1_n13188_n13100# m3_7988_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X10 c1_n13188_n13100# m3_n7969_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X11 c1_n13188_n13100# m3_7988_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X12 c1_n13188_n13100# m3_n7969_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X13 c1_n13188_n13100# m3_7988_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X14 c1_n13188_n13100# m3_n13288_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X15 c1_n13188_n13100# m3_n7969_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X16 c1_n13188_n13100# m3_n2650_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X17 c1_n13188_n13100# m3_n2650_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X18 c1_n13188_n13100# m3_n2650_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X19 c1_n13188_n13100# m3_7988_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X20 c1_n13188_n13100# m3_n13288_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X21 c1_n13188_n13100# m3_n13288_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X22 c1_n13188_n13100# m3_n7969_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X23 c1_n13188_n13100# m3_n2650_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X24 c1_n13188_n13100# m3_2669_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+C0 m3_n7969_n2600# c1_n13188_n13100# 58.86fF
+C1 m3_7988_n2600# m3_2669_n2600# 2.73fF
+C2 m3_7988_n2600# c1_n13188_n13100# 61.01fF
+C3 m3_2669_2700# m3_n2650_2700# 2.73fF
+C4 m3_2669_2700# m3_2669_8000# 3.28fF
+C5 m3_2669_n7900# m3_2669_n2600# 3.28fF
+C6 m3_2669_n7900# c1_n13188_n13100# 58.86fF
+C7 m3_7988_n7900# m3_7988_n13200# 3.39fF
+C8 m3_n2650_n13200# m3_n7969_n13200# 2.73fF
+C9 m3_n2650_n2600# m3_n2650_n7900# 3.28fF
+C10 m3_n7969_n7900# c1_n13188_n13100# 58.86fF
+C11 m3_2669_n7900# m3_2669_n13200# 3.28fF
+C12 m3_n13288_n7900# m3_n7969_n7900# 2.73fF
+C13 m3_n2650_n13200# m3_n2650_n7900# 3.28fF
+C14 m3_n7969_8000# c1_n13188_n13100# 58.61fF
+C15 m3_n2650_n2600# m3_n2650_2700# 3.28fF
+C16 m3_n7969_2700# c1_n13188_n13100# 58.86fF
+C17 m3_7988_2700# c1_n13188_n13100# 61.01fF
+C18 m3_n13288_8000# m3_n7969_8000# 2.73fF
+C19 c1_n13188_n13100# m3_n13288_n13200# 58.36fF
+C20 m3_n13288_n7900# m3_n13288_n13200# 3.28fF
+C21 m3_n13288_2700# m3_n7969_2700# 2.73fF
+C22 m3_7988_n7900# m3_7988_n2600# 3.39fF
+C23 m3_n2650_8000# c1_n13188_n13100# 58.61fF
+C24 m3_n7969_n2600# m3_n2650_n2600# 2.73fF
+C25 m3_n13288_n2600# c1_n13188_n13100# 58.61fF
+C26 m3_7988_8000# m3_2669_8000# 2.73fF
+C27 m3_n13288_n7900# m3_n13288_n2600# 3.28fF
+C28 m3_2669_2700# m3_7988_2700# 2.73fF
+C29 m3_n13288_n2600# m3_n13288_2700# 3.28fF
+C30 m3_2669_n7900# m3_7988_n7900# 2.73fF
+C31 m3_n7969_n7900# m3_n7969_n13200# 3.28fF
+C32 m3_2669_n7900# m3_n2650_n7900# 2.73fF
+C33 m3_n7969_n7900# m3_n2650_n7900# 2.73fF
+C34 m3_2669_n2600# c1_n13188_n13100# 58.86fF
+C35 m3_n13288_n13200# m3_n7969_n13200# 2.73fF
+C36 m3_n13288_n7900# c1_n13188_n13100# 58.61fF
+C37 m3_2669_n13200# c1_n13188_n13100# 58.61fF
+C38 m3_n7969_2700# m3_n2650_2700# 2.73fF
+C39 m3_n13288_8000# c1_n13188_n13100# 58.36fF
+C40 m3_n13288_2700# c1_n13188_n13100# 58.61fF
+C41 m3_n2650_2700# m3_n2650_8000# 3.28fF
+C42 m3_n13288_2700# m3_n13288_8000# 3.28fF
+C43 m3_7988_2700# m3_7988_8000# 3.39fF
+C44 m3_n7969_n2600# m3_n7969_n7900# 3.28fF
+C45 m3_n7969_n2600# m3_n7969_2700# 3.28fF
+C46 m3_2669_n2600# m3_2669_2700# 3.28fF
+C47 m3_2669_2700# c1_n13188_n13100# 58.86fF
+C48 m3_n2650_8000# m3_2669_8000# 2.73fF
+C49 m3_7988_n2600# m3_7988_2700# 3.39fF
+C50 c1_n13188_n13100# m3_n7969_n13200# 58.61fF
+C51 m3_7988_n7900# c1_n13188_n13100# 61.01fF
+C52 m3_n13288_n2600# m3_n7969_n2600# 2.73fF
+C53 m3_n2650_n7900# c1_n13188_n13100# 58.86fF
+C54 m3_n2650_2700# c1_n13188_n13100# 58.86fF
+C55 m3_n2650_n2600# m3_2669_n2600# 2.73fF
+C56 m3_n2650_n2600# c1_n13188_n13100# 58.86fF
+C57 m3_7988_n13200# c1_n13188_n13100# 60.75fF
+C58 m3_n7969_2700# m3_n7969_8000# 3.28fF
+C59 m3_n2650_n13200# c1_n13188_n13100# 58.61fF
+C60 m3_2669_n13200# m3_7988_n13200# 2.73fF
+C61 m3_n2650_n13200# m3_2669_n13200# 2.73fF
+C62 m3_2669_8000# c1_n13188_n13100# 58.61fF
+C63 m3_7988_8000# c1_n13188_n13100# 60.75fF
+C64 m3_n2650_8000# m3_n7969_8000# 2.73fF
+C65 c1_n13188_n13100# VSUBS 2.51fF
+C66 m3_7988_n13200# VSUBS 12.57fF
+C67 m3_2669_n13200# VSUBS 12.37fF
+C68 m3_n2650_n13200# VSUBS 12.37fF
+C69 m3_n7969_n13200# VSUBS 12.37fF
+C70 m3_n13288_n13200# VSUBS 12.37fF
+C71 m3_7988_n7900# VSUBS 12.57fF
+C72 m3_2669_n7900# VSUBS 12.37fF
+C73 m3_n2650_n7900# VSUBS 12.37fF
+C74 m3_n7969_n7900# VSUBS 12.37fF
+C75 m3_n13288_n7900# VSUBS 12.37fF
+C76 m3_7988_n2600# VSUBS 12.57fF
+C77 m3_2669_n2600# VSUBS 12.37fF
+C78 m3_n2650_n2600# VSUBS 12.37fF
+C79 m3_n7969_n2600# VSUBS 12.37fF
+C80 m3_n13288_n2600# VSUBS 12.37fF
+C81 m3_7988_2700# VSUBS 12.57fF
+C82 m3_2669_2700# VSUBS 12.37fF
+C83 m3_n2650_2700# VSUBS 12.37fF
+C84 m3_n7969_2700# VSUBS 12.37fF
+C85 m3_n13288_2700# VSUBS 12.37fF
+C86 m3_7988_8000# VSUBS 12.57fF
+C87 m3_2669_8000# VSUBS 12.37fF
+C88 m3_n2650_8000# VSUBS 12.37fF
+C89 m3_n7969_8000# VSUBS 12.37fF
+C90 m3_n13288_8000# VSUBS 12.37fF
+.ends
+
+.subckt cap1_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_MACBVW_0 VSUBS out out out out out out out out out out
++ out out out out out out out out out out out in out out out out sky130_fd_pr__cap_mim_m3_1_MACBVW
+C0 out in 2.17fF
+C1 in VSUBS -10.03fF
+C2 out VSUBS 62.40fF
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_W3JTNJ VSUBS m3_n6469_n2100# c1_n6369_n6300# m3_2169_n6400#
++ m3_n2150_n6400# c1_2269_n6300# m3_n6469_2200# m3_n2150_n2100# c1_n2050_n6300# m3_n2150_2200#
++ m3_n6469_n6400#
+X0 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X1 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X2 c1_n2050_n6300# m3_n2150_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X3 c1_n6369_n6300# m3_n6469_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X4 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X5 c1_n6369_n6300# m3_n6469_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X6 c1_n2050_n6300# m3_n2150_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X7 c1_n2050_n6300# m3_n2150_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X8 c1_n6369_n6300# m3_n6469_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+C0 m3_n2150_n2100# m3_n2150_2200# 2.63fF
+C1 m3_n2150_n2100# m3_n2150_n6400# 2.63fF
+C2 m3_n6469_n6400# c1_n6369_n6300# 38.10fF
+C3 m3_n6469_n6400# m3_n2150_n6400# 1.75fF
+C4 m3_n6469_n2100# c1_n6369_n6300# 38.10fF
+C5 c1_n2050_n6300# c1_n6369_n6300# 1.99fF
+C6 m3_n2150_2200# c1_n2050_n6300# 38.10fF
+C7 c1_n2050_n6300# m3_n2150_n6400# 38.10fF
+C8 m3_n6469_2200# c1_n6369_n6300# 38.10fF
+C9 m3_n6469_2200# m3_n2150_2200# 1.75fF
+C10 m3_n2150_n2100# m3_2169_n6400# 1.75fF
+C11 m3_2169_n6400# c1_2269_n6300# 121.67fF
+C12 m3_n2150_n2100# m3_n6469_n2100# 1.75fF
+C13 m3_n6469_n2100# m3_n6469_n6400# 2.63fF
+C14 m3_n2150_n2100# c1_n2050_n6300# 38.10fF
+C15 m3_n2150_2200# m3_2169_n6400# 1.75fF
+C16 m3_n2150_n6400# m3_2169_n6400# 1.75fF
+C17 c1_n2050_n6300# c1_2269_n6300# 1.99fF
+C18 m3_n6469_n2100# m3_n6469_2200# 2.63fF
+C19 c1_2269_n6300# VSUBS 0.16fF
+C20 c1_n2050_n6300# VSUBS 0.16fF
+C21 c1_n6369_n6300# VSUBS 0.16fF
+C22 m3_n2150_n6400# VSUBS 8.68fF
+C23 m3_n6469_n6400# VSUBS 8.68fF
+C24 m3_n2150_n2100# VSUBS 8.68fF
+C25 m3_n6469_n2100# VSUBS 8.68fF
+C26 m3_2169_n6400# VSUBS 26.86fF
+C27 m3_n2150_2200# VSUBS 8.68fF
+C28 m3_n6469_2200# VSUBS 8.68fF
+.ends
+
+.subckt cap2_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_W3JTNJ_0 VSUBS out in out out in out out in out out sky130_fd_pr__cap_mim_m3_1_W3JTNJ
+C0 out in 8.08fF
+C1 in VSUBS -16.59fF
+C2 out VSUBS 13.00fF
+.ends
+
+.subckt sky130_fd_pr__res_high_po_5p73_X44RQA a_n573_2292# w_n739_n2890# a_n573_n2724#
+X0 a_n573_n2724# a_n573_2292# w_n739_n2890# sky130_fd_pr__res_high_po_5p73 l=2.292e+07u
+C0 a_n573_n2724# w_n739_n2890# 1.98fF
+C1 a_n573_2292# w_n739_n2890# 1.98fF
+.ends
+
+.subckt res_loop_filter vss out in
+Xsky130_fd_pr__res_high_po_5p73_X44RQA_0 in vss out sky130_fd_pr__res_high_po_5p73_X44RQA
+C0 out vss 3.87fF
+C1 in vss 3.02fF
+.ends
+
+.subckt loop_filter vc_pex in vss
+Xcap1_loop_filter_0 vss vc_pex vss cap1_loop_filter
+Xcap2_loop_filter_0 vss in vss cap2_loop_filter
+Xres_loop_filter_0 vss res_loop_filter_2/out in res_loop_filter
+Xres_loop_filter_1 vss res_loop_filter_2/out vc_pex res_loop_filter
+Xres_loop_filter_2 vss res_loop_filter_2/out vc_pex res_loop_filter
+C0 in vc_pex 0.18fF
+C1 vc_pex vss -38.13fF
+C2 res_loop_filter_2/out vss 8.49fF
+C3 in vss -18.79fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4ML9WA VSUBS a_429_n486# w_n2457_n634# a_887_n486#
++ a_n29_n486# a_1345_n486# a_n2261_n512# a_1803_n486# a_n487_n486# a_n945_n486# a_n2319_n486#
++ a_n1403_n486# a_2261_n486# a_n1861_n486#
+X0 a_2261_n486# a_n2261_n512# a_1803_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X1 a_n945_n486# a_n2261_n512# a_n1403_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X2 a_429_n486# a_n2261_n512# a_n29_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X3 a_1803_n486# a_n2261_n512# a_1345_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X4 a_887_n486# a_n2261_n512# a_429_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X5 a_n487_n486# a_n2261_n512# a_n945_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X6 a_n1403_n486# a_n2261_n512# a_n1861_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X7 a_n1861_n486# a_n2261_n512# a_n2319_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X8 a_n29_n486# a_n2261_n512# a_n487_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X9 a_1345_n486# a_n2261_n512# a_887_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+C0 w_n2457_n634# a_2261_n486# 0.02fF
+C1 w_n2457_n634# a_n29_n486# 0.02fF
+C2 w_n2457_n634# a_887_n486# 0.02fF
+C3 w_n2457_n634# a_n2319_n486# 0.02fF
+C4 w_n2457_n634# a_n487_n486# 0.02fF
+C5 w_n2457_n634# a_n1403_n486# 0.02fF
+C6 w_n2457_n634# a_1803_n486# 0.02fF
+C7 w_n2457_n634# a_n1861_n486# 0.02fF
+C8 a_n945_n486# w_n2457_n634# 0.02fF
+C9 w_n2457_n634# a_429_n486# 0.02fF
+C10 w_n2457_n634# a_1345_n486# 0.02fF
+C11 a_2261_n486# VSUBS 0.03fF
+C12 a_1803_n486# VSUBS 0.03fF
+C13 a_1345_n486# VSUBS 0.03fF
+C14 a_887_n486# VSUBS 0.03fF
+C15 a_429_n486# VSUBS 0.03fF
+C16 a_n29_n486# VSUBS 0.03fF
+C17 a_n487_n486# VSUBS 0.03fF
+C18 a_n945_n486# VSUBS 0.03fF
+C19 a_n1403_n486# VSUBS 0.03fF
+C20 a_n1861_n486# VSUBS 0.03fF
+C21 a_n2319_n486# VSUBS 0.03fF
+C22 a_n2261_n512# VSUBS 4.27fF
+C23 w_n2457_n634# VSUBS 21.34fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_YCGG98 a_n1041_n75# a_n561_n75# a_1167_n75# a_303_n75#
++ a_687_n75# a_n849_n75# a_n369_n75# a_975_n75# a_111_n75# a_495_n75# a_n1137_n75#
++ a_n657_n75# a_n177_n75# a_783_n75# a_n945_n75# a_n465_n75# a_207_n75# a_1071_n75#
++ a_591_n75# a_15_n75# a_n753_n75# w_n1367_n285# a_n273_n75# a_879_n75# a_399_n75#
++ a_n1229_n75# a_n81_n75# a_n1167_n101#
+X0 a_207_n75# a_n1167_n101# a_111_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_303_n75# a_n1167_n101# a_207_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_399_n75# a_n1167_n101# a_303_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_495_n75# a_n1167_n101# a_399_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_591_n75# a_n1167_n101# a_495_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_783_n75# a_n1167_n101# a_687_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_687_n75# a_n1167_n101# a_591_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_879_n75# a_n1167_n101# a_783_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_975_n75# a_n1167_n101# a_879_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_n1041_n75# a_n1167_n101# a_n1137_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_n1137_n75# a_n1167_n101# a_n1229_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n561_n75# a_n1167_n101# a_n657_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_1071_n75# a_n1167_n101# a_975_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n945_n75# a_n1167_n101# a_n1041_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n753_n75# a_n1167_n101# a_n849_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n657_n75# a_n1167_n101# a_n753_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n465_n75# a_n1167_n101# a_n561_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n369_n75# a_n1167_n101# a_n465_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_1167_n75# a_n1167_n101# a_1071_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n849_n75# a_n1167_n101# a_n945_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X20 a_15_n75# a_n1167_n101# a_n81_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X21 a_n81_n75# a_n1167_n101# a_n177_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X22 a_111_n75# a_n1167_n101# a_15_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X23 a_n273_n75# a_n1167_n101# a_n369_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X24 a_n177_n75# a_n1167_n101# a_n273_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+C0 a_n465_n75# a_n369_n75# 0.22fF
+C1 a_879_n75# a_495_n75# 0.03fF
+C2 a_n561_n75# a_n945_n75# 0.03fF
+C3 a_687_n75# a_1071_n75# 0.03fF
+C4 a_879_n75# a_591_n75# 0.05fF
+C5 a_n1137_n75# a_n849_n75# 0.05fF
+C6 a_111_n75# a_n81_n75# 0.08fF
+C7 a_975_n75# a_1167_n75# 0.08fF
+C8 a_n465_n75# a_n753_n75# 0.05fF
+C9 a_n657_n75# a_n945_n75# 0.05fF
+C10 a_n273_n75# a_n81_n75# 0.08fF
+C11 a_975_n75# a_783_n75# 0.08fF
+C12 a_n465_n75# a_n561_n75# 0.22fF
+C13 a_n273_n75# a_n465_n75# 0.08fF
+C14 a_399_n75# a_207_n75# 0.08fF
+C15 a_n849_n75# a_n945_n75# 0.22fF
+C16 a_495_n75# a_783_n75# 0.05fF
+C17 a_687_n75# a_399_n75# 0.05fF
+C18 a_303_n75# a_n81_n75# 0.03fF
+C19 a_n849_n75# a_n1229_n75# 0.03fF
+C20 a_1167_n75# a_879_n75# 0.05fF
+C21 a_n177_n75# a_n81_n75# 0.22fF
+C22 a_591_n75# a_783_n75# 0.08fF
+C23 a_n465_n75# a_n657_n75# 0.08fF
+C24 a_n1137_n75# a_n945_n75# 0.08fF
+C25 a_975_n75# a_1071_n75# 0.22fF
+C26 a_n81_n75# a_15_n75# 0.22fF
+C27 a_879_n75# a_783_n75# 0.22fF
+C28 a_n369_n75# a_n753_n75# 0.03fF
+C29 a_n1137_n75# a_n1229_n75# 0.22fF
+C30 a_111_n75# a_399_n75# 0.05fF
+C31 a_n177_n75# a_n465_n75# 0.05fF
+C32 a_111_n75# a_207_n75# 0.22fF
+C33 a_n465_n75# a_n849_n75# 0.03fF
+C34 a_n753_n75# a_n1041_n75# 0.05fF
+C35 a_n369_n75# a_n561_n75# 0.08fF
+C36 a_n273_n75# a_n369_n75# 0.22fF
+C37 a_879_n75# a_1071_n75# 0.08fF
+C38 a_n1229_n75# a_n945_n75# 0.05fF
+C39 a_1167_n75# a_783_n75# 0.03fF
+C40 a_303_n75# a_399_n75# 0.22fF
+C41 a_303_n75# a_207_n75# 0.22fF
+C42 a_n369_n75# a_n657_n75# 0.05fF
+C43 a_975_n75# a_687_n75# 0.05fF
+C44 a_n753_n75# a_n561_n75# 0.08fF
+C45 a_303_n75# a_687_n75# 0.03fF
+C46 a_495_n75# a_399_n75# 0.22fF
+C47 a_n273_n75# a_111_n75# 0.03fF
+C48 a_495_n75# a_207_n75# 0.05fF
+C49 a_n177_n75# a_n369_n75# 0.08fF
+C50 a_n177_n75# a_207_n75# 0.03fF
+C51 a_687_n75# a_495_n75# 0.08fF
+C52 a_n1041_n75# a_n657_n75# 0.03fF
+C53 a_399_n75# a_15_n75# 0.03fF
+C54 a_n369_n75# a_15_n75# 0.03fF
+C55 a_207_n75# a_15_n75# 0.08fF
+C56 a_591_n75# a_399_n75# 0.08fF
+C57 a_n273_n75# a_n561_n75# 0.05fF
+C58 a_1167_n75# a_1071_n75# 0.22fF
+C59 a_591_n75# a_207_n75# 0.03fF
+C60 a_n753_n75# a_n657_n75# 0.22fF
+C61 a_303_n75# a_111_n75# 0.08fF
+C62 a_687_n75# a_591_n75# 0.22fF
+C63 a_n1041_n75# a_n849_n75# 0.08fF
+C64 a_111_n75# a_495_n75# 0.03fF
+C65 a_n177_n75# a_111_n75# 0.05fF
+C66 a_687_n75# a_879_n75# 0.08fF
+C67 a_1071_n75# a_783_n75# 0.05fF
+C68 a_n561_n75# a_n657_n75# 0.22fF
+C69 a_n273_n75# a_n657_n75# 0.03fF
+C70 a_n465_n75# a_n81_n75# 0.03fF
+C71 a_n753_n75# a_n849_n75# 0.22fF
+C72 a_111_n75# a_15_n75# 0.22fF
+C73 a_n177_n75# a_n561_n75# 0.03fF
+C74 a_n1137_n75# a_n1041_n75# 0.22fF
+C75 a_n273_n75# a_n177_n75# 0.22fF
+C76 a_n849_n75# a_n561_n75# 0.05fF
+C77 a_n1137_n75# a_n753_n75# 0.03fF
+C78 a_n273_n75# a_15_n75# 0.05fF
+C79 a_303_n75# a_495_n75# 0.08fF
+C80 a_783_n75# a_399_n75# 0.03fF
+C81 a_303_n75# a_15_n75# 0.05fF
+C82 a_n849_n75# a_n657_n75# 0.08fF
+C83 a_n1041_n75# a_n945_n75# 0.22fF
+C84 a_975_n75# a_591_n75# 0.03fF
+C85 a_687_n75# a_783_n75# 0.22fF
+C86 a_303_n75# a_591_n75# 0.05fF
+C87 a_n369_n75# a_n81_n75# 0.05fF
+C88 a_n1041_n75# a_n1229_n75# 0.08fF
+C89 a_n81_n75# a_207_n75# 0.05fF
+C90 a_975_n75# a_879_n75# 0.22fF
+C91 a_n177_n75# a_15_n75# 0.08fF
+C92 a_n753_n75# a_n945_n75# 0.08fF
+C93 a_495_n75# a_591_n75# 0.22fF
+C94 a_1167_n75# w_n1367_n285# 0.10fF
+C95 a_1071_n75# w_n1367_n285# 0.07fF
+C96 a_975_n75# w_n1367_n285# 0.06fF
+C97 a_879_n75# w_n1367_n285# 0.05fF
+C98 a_783_n75# w_n1367_n285# 0.04fF
+C99 a_687_n75# w_n1367_n285# 0.04fF
+C100 a_591_n75# w_n1367_n285# 0.04fF
+C101 a_495_n75# w_n1367_n285# 0.04fF
+C102 a_399_n75# w_n1367_n285# 0.04fF
+C103 a_303_n75# w_n1367_n285# 0.04fF
+C104 a_207_n75# w_n1367_n285# 0.04fF
+C105 a_111_n75# w_n1367_n285# 0.04fF
+C106 a_15_n75# w_n1367_n285# 0.04fF
+C107 a_n81_n75# w_n1367_n285# 0.04fF
+C108 a_n177_n75# w_n1367_n285# 0.04fF
+C109 a_n273_n75# w_n1367_n285# 0.04fF
+C110 a_n369_n75# w_n1367_n285# 0.04fF
+C111 a_n465_n75# w_n1367_n285# 0.04fF
+C112 a_n561_n75# w_n1367_n285# 0.04fF
+C113 a_n657_n75# w_n1367_n285# 0.04fF
+C114 a_n753_n75# w_n1367_n285# 0.04fF
+C115 a_n849_n75# w_n1367_n285# 0.04fF
+C116 a_n945_n75# w_n1367_n285# 0.04fF
+C117 a_n1041_n75# w_n1367_n285# 0.04fF
+C118 a_n1137_n75# w_n1367_n285# 0.04fF
+C119 a_n1229_n75# w_n1367_n285# 0.04fF
+C120 a_n1167_n101# w_n1367_n285# 2.55fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_MUHGM9 a_33_n101# a_n129_n75# a_735_n75# a_255_n75#
++ a_n417_n75# a_n989_n75# a_63_n75# a_543_n75# a_n705_n75# a_n225_n75# a_n33_n75#
++ a_831_n75# a_351_n75# a_n927_n101# a_n513_n75# a_n897_n75# w_n1127_n285# a_639_n75#
++ a_159_n75# a_n801_n75# a_n321_n75# a_927_n75# a_447_n75# a_n609_n75#
+X0 a_63_n75# a_33_n101# a_n33_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_927_n75# a_33_n101# a_831_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_n33_n75# a_n927_n101# a_n129_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_159_n75# a_33_n101# a_63_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_255_n75# a_33_n101# a_159_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_351_n75# a_33_n101# a_255_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_447_n75# a_33_n101# a_351_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_543_n75# a_33_n101# a_447_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_735_n75# a_33_n101# a_639_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_831_n75# a_33_n101# a_735_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_639_n75# a_33_n101# a_543_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n321_n75# a_n927_n101# a_n417_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_n801_n75# a_n927_n101# a_n897_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n705_n75# a_n927_n101# a_n801_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n513_n75# a_n927_n101# a_n609_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n417_n75# a_n927_n101# a_n513_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n225_n75# a_n927_n101# a_n321_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n129_n75# a_n927_n101# a_n225_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_n897_n75# a_n927_n101# a_n989_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n609_n75# a_n927_n101# a_n705_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+C0 a_735_n75# a_831_n75# 0.22fF
+C1 a_n989_n75# a_n609_n75# 0.03fF
+C2 a_639_n75# a_255_n75# 0.03fF
+C3 a_159_n75# a_255_n75# 0.22fF
+C4 a_n225_n75# a_n417_n75# 0.08fF
+C5 a_735_n75# a_927_n75# 0.08fF
+C6 a_n897_n75# a_n705_n75# 0.08fF
+C7 a_735_n75# a_447_n75# 0.05fF
+C8 a_n33_n75# a_255_n75# 0.05fF
+C9 a_543_n75# a_255_n75# 0.05fF
+C10 a_n705_n75# a_n609_n75# 0.22fF
+C11 a_n705_n75# a_n513_n75# 0.08fF
+C12 a_735_n75# a_639_n75# 0.22fF
+C13 a_n705_n75# a_n321_n75# 0.03fF
+C14 a_63_n75# a_n129_n75# 0.08fF
+C15 a_351_n75# a_255_n75# 0.22fF
+C16 a_n33_n75# a_n417_n75# 0.03fF
+C17 a_n705_n75# a_n989_n75# 0.05fF
+C18 a_n609_n75# a_n417_n75# 0.08fF
+C19 a_n513_n75# a_n417_n75# 0.22fF
+C20 a_831_n75# a_927_n75# 0.22fF
+C21 a_735_n75# a_543_n75# 0.08fF
+C22 a_n897_n75# a_n801_n75# 0.22fF
+C23 a_n417_n75# a_n321_n75# 0.22fF
+C24 a_447_n75# a_63_n75# 0.03fF
+C25 a_831_n75# a_447_n75# 0.03fF
+C26 a_n225_n75# a_63_n75# 0.05fF
+C27 a_n225_n75# a_n129_n75# 0.22fF
+C28 a_n801_n75# a_n609_n75# 0.08fF
+C29 a_n513_n75# a_n801_n75# 0.05fF
+C30 a_735_n75# a_351_n75# 0.03fF
+C31 a_159_n75# a_63_n75# 0.22fF
+C32 a_831_n75# a_639_n75# 0.08fF
+C33 a_159_n75# a_n129_n75# 0.05fF
+C34 a_n989_n75# a_n801_n75# 0.08fF
+C35 a_927_n75# a_639_n75# 0.05fF
+C36 a_n33_n75# a_63_n75# 0.22fF
+C37 a_n33_n75# a_n129_n75# 0.22fF
+C38 a_831_n75# a_543_n75# 0.05fF
+C39 a_447_n75# a_639_n75# 0.08fF
+C40 a_n705_n75# a_n417_n75# 0.05fF
+C41 a_159_n75# a_447_n75# 0.05fF
+C42 a_159_n75# a_n225_n75# 0.03fF
+C43 a_n513_n75# a_n129_n75# 0.03fF
+C44 a_927_n75# a_543_n75# 0.03fF
+C45 a_63_n75# a_n321_n75# 0.03fF
+C46 a_351_n75# a_63_n75# 0.05fF
+C47 a_n129_n75# a_n321_n75# 0.08fF
+C48 a_n705_n75# a_n801_n75# 0.22fF
+C49 a_447_n75# a_543_n75# 0.22fF
+C50 a_n33_n75# a_n225_n75# 0.08fF
+C51 a_n225_n75# a_n609_n75# 0.03fF
+C52 a_n513_n75# a_n225_n75# 0.05fF
+C53 a_639_n75# a_543_n75# 0.22fF
+C54 a_159_n75# a_n33_n75# 0.08fF
+C55 a_159_n75# a_543_n75# 0.03fF
+C56 a_447_n75# a_351_n75# 0.22fF
+C57 a_n225_n75# a_n321_n75# 0.22fF
+C58 a_n801_n75# a_n417_n75# 0.03fF
+C59 a_351_n75# a_639_n75# 0.05fF
+C60 a_159_n75# a_351_n75# 0.08fF
+C61 a_63_n75# a_255_n75# 0.08fF
+C62 a_n897_n75# a_n609_n75# 0.05fF
+C63 a_255_n75# a_n129_n75# 0.03fF
+C64 a_n897_n75# a_n513_n75# 0.03fF
+C65 a_n513_n75# a_n609_n75# 0.22fF
+C66 a_n33_n75# a_n321_n75# 0.05fF
+C67 a_n33_n75# a_351_n75# 0.03fF
+C68 a_351_n75# a_543_n75# 0.08fF
+C69 a_n927_n101# a_33_n101# 0.08fF
+C70 a_n609_n75# a_n321_n75# 0.05fF
+C71 a_n417_n75# a_n129_n75# 0.05fF
+C72 a_n897_n75# a_n989_n75# 0.22fF
+C73 a_n513_n75# a_n321_n75# 0.08fF
+C74 a_447_n75# a_255_n75# 0.08fF
+C75 a_927_n75# w_n1127_n285# 0.04fF
+C76 a_831_n75# w_n1127_n285# 0.04fF
+C77 a_735_n75# w_n1127_n285# 0.04fF
+C78 a_639_n75# w_n1127_n285# 0.04fF
+C79 a_543_n75# w_n1127_n285# 0.04fF
+C80 a_447_n75# w_n1127_n285# 0.04fF
+C81 a_351_n75# w_n1127_n285# 0.04fF
+C82 a_255_n75# w_n1127_n285# 0.04fF
+C83 a_159_n75# w_n1127_n285# 0.04fF
+C84 a_63_n75# w_n1127_n285# 0.04fF
+C85 a_n33_n75# w_n1127_n285# 0.04fF
+C86 a_n129_n75# w_n1127_n285# 0.04fF
+C87 a_n225_n75# w_n1127_n285# 0.04fF
+C88 a_n321_n75# w_n1127_n285# 0.04fF
+C89 a_n417_n75# w_n1127_n285# 0.04fF
+C90 a_n513_n75# w_n1127_n285# 0.04fF
+C91 a_n609_n75# w_n1127_n285# 0.04fF
+C92 a_n705_n75# w_n1127_n285# 0.04fF
+C93 a_n801_n75# w_n1127_n285# 0.04fF
+C94 a_n897_n75# w_n1127_n285# 0.04fF
+C95 a_n989_n75# w_n1127_n285# 0.04fF
+C96 a_33_n101# w_n1127_n285# 0.99fF
+C97 a_n927_n101# w_n1127_n285# 0.99fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_NKZXKB VSUBS a_33_n247# a_n801_n150# a_n417_n150#
++ a_351_n150# a_255_n150# a_n705_n150# a_n609_n150# a_159_n150# a_543_n150# a_447_n150#
++ a_831_n150# a_n897_n150# a_n33_n150# a_735_n150# a_n927_n247# a_639_n150# a_n321_n150#
++ a_927_n150# a_n225_n150# a_63_n150# a_n989_n150# a_n513_n150# a_n129_n150# w_n1127_n369#
+X0 a_n513_n150# a_n927_n247# a_n609_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_63_n150# a_33_n247# a_n33_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_735_n150# a_33_n247# a_639_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n801_n150# a_n927_n247# a_n897_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n927_n247# a_n225_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n927_n247# a_n513_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_639_n150# a_33_n247# a_543_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n705_n150# a_n927_n247# a_n801_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n33_n150# a_n927_n247# a_n129_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_351_n150# a_33_n247# a_255_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_n609_n150# a_n927_n247# a_n705_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n897_n150# a_n927_n247# a_n989_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_927_n150# a_33_n247# a_831_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_255_n150# a_33_n247# a_159_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n321_n150# a_n927_n247# a_n417_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_543_n150# a_33_n247# a_447_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_831_n150# a_33_n247# a_735_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_159_n150# a_33_n247# a_63_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_n225_n150# a_n927_n247# a_n321_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_447_n150# a_33_n247# a_351_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n609_n150# a_n801_n150# 0.16fF
+C1 a_n801_n150# a_n989_n150# 0.16fF
+C2 a_927_n150# a_639_n150# 0.10fF
+C3 a_159_n150# a_63_n150# 0.43fF
+C4 a_n705_n150# a_n321_n150# 0.07fF
+C5 a_n417_n150# a_n321_n150# 0.43fF
+C6 a_n897_n150# a_n705_n150# 0.16fF
+C7 a_735_n150# a_543_n150# 0.16fF
+C8 a_255_n150# a_n33_n150# 0.10fF
+C9 a_n609_n150# a_n321_n150# 0.10fF
+C10 a_n33_n150# a_n321_n150# 0.10fF
+C11 a_159_n150# a_n129_n150# 0.10fF
+C12 a_255_n150# a_351_n150# 0.43fF
+C13 a_255_n150# a_447_n150# 0.16fF
+C14 a_n609_n150# a_n897_n150# 0.10fF
+C15 a_n989_n150# a_n897_n150# 0.43fF
+C16 a_255_n150# a_639_n150# 0.07fF
+C17 a_n225_n150# a_n321_n150# 0.43fF
+C18 a_831_n150# a_543_n150# 0.10fF
+C19 a_351_n150# a_543_n150# 0.16fF
+C20 a_447_n150# a_543_n150# 0.43fF
+C21 a_159_n150# a_n33_n150# 0.16fF
+C22 a_543_n150# a_639_n150# 0.43fF
+C23 a_n129_n150# a_n513_n150# 0.07fF
+C24 a_n801_n150# a_n897_n150# 0.43fF
+C25 a_n129_n150# a_63_n150# 0.16fF
+C26 a_927_n150# a_543_n150# 0.07fF
+C27 a_159_n150# a_351_n150# 0.16fF
+C28 a_447_n150# a_159_n150# 0.10fF
+C29 a_n513_n150# a_n705_n150# 0.16fF
+C30 a_159_n150# a_n225_n150# 0.07fF
+C31 a_n417_n150# a_n513_n150# 0.43fF
+C32 a_n609_n150# a_n513_n150# 0.43fF
+C33 a_n33_n150# a_63_n150# 0.43fF
+C34 a_255_n150# a_543_n150# 0.10fF
+C35 a_n417_n150# a_n129_n150# 0.10fF
+C36 a_351_n150# a_63_n150# 0.10fF
+C37 a_447_n150# a_63_n150# 0.07fF
+C38 a_n225_n150# a_n513_n150# 0.10fF
+C39 a_n225_n150# a_63_n150# 0.10fF
+C40 a_n417_n150# a_n705_n150# 0.10fF
+C41 a_255_n150# a_159_n150# 0.43fF
+C42 a_831_n150# a_735_n150# 0.43fF
+C43 a_n129_n150# a_n33_n150# 0.43fF
+C44 a_n801_n150# a_n513_n150# 0.10fF
+C45 a_735_n150# a_351_n150# 0.07fF
+C46 a_447_n150# a_735_n150# 0.10fF
+C47 a_n609_n150# a_n705_n150# 0.43fF
+C48 a_n417_n150# a_n609_n150# 0.16fF
+C49 a_n417_n150# a_n33_n150# 0.07fF
+C50 a_n129_n150# a_n225_n150# 0.43fF
+C51 a_n989_n150# a_n705_n150# 0.10fF
+C52 a_735_n150# a_639_n150# 0.43fF
+C53 a_159_n150# a_543_n150# 0.07fF
+C54 a_735_n150# a_927_n150# 0.16fF
+C55 a_n417_n150# a_n225_n150# 0.16fF
+C56 a_255_n150# a_63_n150# 0.16fF
+C57 a_n513_n150# a_n321_n150# 0.16fF
+C58 a_n609_n150# a_n989_n150# 0.07fF
+C59 a_n321_n150# a_63_n150# 0.07fF
+C60 a_831_n150# a_447_n150# 0.07fF
+C61 a_351_n150# a_n33_n150# 0.07fF
+C62 a_n897_n150# a_n513_n150# 0.07fF
+C63 a_n801_n150# a_n705_n150# 0.43fF
+C64 a_831_n150# a_639_n150# 0.16fF
+C65 a_n417_n150# a_n801_n150# 0.07fF
+C66 a_447_n150# a_351_n150# 0.43fF
+C67 a_n609_n150# a_n225_n150# 0.07fF
+C68 a_n225_n150# a_n33_n150# 0.16fF
+C69 a_831_n150# a_927_n150# 0.43fF
+C70 a_255_n150# a_n129_n150# 0.07fF
+C71 a_n927_n247# a_33_n247# 0.09fF
+C72 a_351_n150# a_639_n150# 0.10fF
+C73 a_447_n150# a_639_n150# 0.16fF
+C74 a_n129_n150# a_n321_n150# 0.16fF
+C75 a_927_n150# VSUBS 0.03fF
+C76 a_831_n150# VSUBS 0.03fF
+C77 a_735_n150# VSUBS 0.03fF
+C78 a_639_n150# VSUBS 0.03fF
+C79 a_543_n150# VSUBS 0.03fF
+C80 a_447_n150# VSUBS 0.03fF
+C81 a_351_n150# VSUBS 0.03fF
+C82 a_255_n150# VSUBS 0.03fF
+C83 a_159_n150# VSUBS 0.03fF
+C84 a_63_n150# VSUBS 0.03fF
+C85 a_n33_n150# VSUBS 0.03fF
+C86 a_n129_n150# VSUBS 0.03fF
+C87 a_n225_n150# VSUBS 0.03fF
+C88 a_n321_n150# VSUBS 0.03fF
+C89 a_n417_n150# VSUBS 0.03fF
+C90 a_n513_n150# VSUBS 0.03fF
+C91 a_n609_n150# VSUBS 0.03fF
+C92 a_n705_n150# VSUBS 0.03fF
+C93 a_n801_n150# VSUBS 0.03fF
+C94 a_n897_n150# VSUBS 0.03fF
+C95 a_n989_n150# VSUBS 0.03fF
+C96 a_33_n247# VSUBS 1.04fF
+C97 a_n927_n247# VSUBS 1.04fF
+C98 w_n1127_n369# VSUBS 6.17fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_8GRULZ a_n1761_n132# a_1045_n44# a_n1461_n44# a_n1103_n44#
++ a_n29_n44# a_n387_n44# a_1761_n44# a_n1819_n44# a_1403_n44# a_687_n44# w_n1957_n254#
++ a_329_n44# a_n745_n44#
+X0 a_329_n44# a_n1761_n132# a_n29_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X1 a_1761_n44# a_n1761_n132# a_1403_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X2 a_n745_n44# a_n1761_n132# a_n1103_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X3 a_1045_n44# a_n1761_n132# a_687_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X4 a_n29_n44# a_n1761_n132# a_n387_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X5 a_n1103_n44# a_n1761_n132# a_n1461_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X6 a_n387_n44# a_n1761_n132# a_n745_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X7 a_687_n44# a_n1761_n132# a_329_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X8 a_1403_n44# a_n1761_n132# a_1045_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X9 a_n1461_n44# a_n1761_n132# a_n1819_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+C0 a_1403_n44# a_1045_n44# 0.04fF
+C1 a_329_n44# a_n29_n44# 0.04fF
+C2 a_n387_n44# a_n745_n44# 0.04fF
+C3 a_n387_n44# a_n29_n44# 0.04fF
+C4 a_687_n44# a_1045_n44# 0.04fF
+C5 a_1761_n44# a_1403_n44# 0.04fF
+C6 a_329_n44# a_687_n44# 0.04fF
+C7 a_n1103_n44# a_n1461_n44# 0.04fF
+C8 a_n1819_n44# a_n1461_n44# 0.04fF
+C9 a_n1103_n44# a_n745_n44# 0.04fF
+C10 a_1761_n44# w_n1957_n254# 0.04fF
+C11 a_1403_n44# w_n1957_n254# 0.04fF
+C12 a_1045_n44# w_n1957_n254# 0.04fF
+C13 a_687_n44# w_n1957_n254# 0.04fF
+C14 a_329_n44# w_n1957_n254# 0.04fF
+C15 a_n29_n44# w_n1957_n254# 0.04fF
+C16 a_n387_n44# w_n1957_n254# 0.04fF
+C17 a_n745_n44# w_n1957_n254# 0.04fF
+C18 a_n1103_n44# w_n1957_n254# 0.04fF
+C19 a_n1461_n44# w_n1957_n254# 0.04fF
+C20 a_n1819_n44# w_n1957_n254# 0.04fF
+C21 a_n1761_n132# w_n1957_n254# 3.23fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ND88ZC VSUBS a_303_n150# a_n753_n150# a_n369_n150#
++ w_n1367_n369# a_207_n150# a_n657_n150# a_591_n150# a_n1229_n150# a_n945_n150# a_495_n150#
++ a_n1041_n150# a_n849_n150# a_n81_n150# a_399_n150# a_783_n150# a_1071_n150# a_687_n150#
++ a_975_n150# a_n1137_n150# a_n273_n150# a_111_n150# a_879_n150# a_n177_n150# a_n561_n150#
++ a_15_n150# a_1167_n150# a_n1167_n247# a_n465_n150#
+X0 a_n1137_n150# a_n1167_n247# a_n1229_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_495_n150# a_n1167_n247# a_399_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n561_n150# a_n1167_n247# a_n657_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_111_n150# a_n1167_n247# a_15_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_783_n150# a_n1167_n247# a_687_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_1071_n150# a_n1167_n247# a_975_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_399_n150# a_n1167_n247# a_303_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n465_n150# a_n1167_n247# a_n561_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_687_n150# a_n1167_n247# a_591_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n753_n150# a_n1167_n247# a_n849_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_975_n150# a_n1167_n247# a_879_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n81_n150# a_n1167_n247# a_n177_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_15_n150# a_n1167_n247# a_n81_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_n1041_n150# a_n1167_n247# a_n1137_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n369_n150# a_n1167_n247# a_n465_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_n657_n150# a_n1167_n247# a_n753_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_879_n150# a_n1167_n247# a_783_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_n945_n150# a_n1167_n247# a_n1041_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_1167_n150# a_n1167_n247# a_1071_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_303_n150# a_n1167_n247# a_207_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X20 a_n273_n150# a_n1167_n247# a_n369_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X21 a_591_n150# a_n1167_n247# a_495_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X22 a_n849_n150# a_n1167_n247# a_n945_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X23 a_207_n150# a_n1167_n247# a_111_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X24 a_n177_n150# a_n1167_n247# a_n273_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n465_n150# a_n657_n150# 0.16fF
+C1 a_111_n150# a_n81_n150# 0.16fF
+C2 a_879_n150# a_591_n150# 0.10fF
+C3 a_303_n150# a_399_n150# 0.43fF
+C4 a_1071_n150# a_783_n150# 0.10fF
+C5 a_495_n150# a_207_n150# 0.10fF
+C6 a_n657_n150# a_n1041_n150# 0.07fF
+C7 a_n945_n150# a_n657_n150# 0.10fF
+C8 a_687_n150# a_303_n150# 0.07fF
+C9 a_n561_n150# a_n177_n150# 0.07fF
+C10 a_n273_n150# a_n177_n150# 0.43fF
+C11 a_1167_n150# a_783_n150# 0.07fF
+C12 a_1167_n150# a_1071_n150# 0.43fF
+C13 a_n753_n150# a_n561_n150# 0.16fF
+C14 a_303_n150# a_495_n150# 0.16fF
+C15 a_n657_n150# a_n849_n150# 0.16fF
+C16 a_n273_n150# a_15_n150# 0.10fF
+C17 a_n465_n150# a_n177_n150# 0.10fF
+C18 a_975_n150# a_591_n150# 0.07fF
+C19 a_n81_n150# a_n177_n150# 0.43fF
+C20 a_n1137_n150# a_n1041_n150# 0.43fF
+C21 a_n1137_n150# a_n945_n150# 0.16fF
+C22 a_n465_n150# a_n753_n150# 0.10fF
+C23 a_n1137_n150# a_n849_n150# 0.10fF
+C24 a_n81_n150# a_15_n150# 0.43fF
+C25 a_n1041_n150# a_n753_n150# 0.10fF
+C26 a_n945_n150# a_n753_n150# 0.16fF
+C27 a_687_n150# a_399_n150# 0.10fF
+C28 a_591_n150# a_783_n150# 0.16fF
+C29 a_n81_n150# a_207_n150# 0.10fF
+C30 a_n849_n150# a_n753_n150# 0.43fF
+C31 a_111_n150# a_n177_n150# 0.10fF
+C32 a_399_n150# a_495_n150# 0.43fF
+C33 a_687_n150# a_495_n150# 0.16fF
+C34 a_303_n150# a_n81_n150# 0.07fF
+C35 a_n657_n150# a_n753_n150# 0.43fF
+C36 a_111_n150# a_15_n150# 0.43fF
+C37 a_n1229_n150# a_n1041_n150# 0.16fF
+C38 a_n945_n150# a_n1229_n150# 0.10fF
+C39 a_687_n150# a_879_n150# 0.16fF
+C40 a_111_n150# a_207_n150# 0.43fF
+C41 a_n369_n150# a_n561_n150# 0.16fF
+C42 a_n1229_n150# a_n849_n150# 0.07fF
+C43 a_n273_n150# a_n369_n150# 0.43fF
+C44 a_879_n150# a_495_n150# 0.07fF
+C45 a_111_n150# a_303_n150# 0.16fF
+C46 a_n1137_n150# a_n753_n150# 0.07fF
+C47 a_n465_n150# a_n369_n150# 0.43fF
+C48 a_15_n150# a_n177_n150# 0.16fF
+C49 a_975_n150# a_687_n150# 0.10fF
+C50 a_n81_n150# a_n369_n150# 0.10fF
+C51 a_207_n150# a_n177_n150# 0.07fF
+C52 a_207_n150# a_591_n150# 0.07fF
+C53 a_399_n150# a_783_n150# 0.07fF
+C54 a_207_n150# a_15_n150# 0.16fF
+C55 a_n273_n150# a_n561_n150# 0.10fF
+C56 a_n1137_n150# a_n1229_n150# 0.43fF
+C57 a_975_n150# a_879_n150# 0.43fF
+C58 a_687_n150# a_783_n150# 0.43fF
+C59 a_879_n150# w_n1367_n369# 0.04fF
+C60 a_687_n150# a_1071_n150# 0.07fF
+C61 a_303_n150# a_591_n150# 0.10fF
+C62 a_111_n150# a_399_n150# 0.10fF
+C63 a_n657_n150# a_n369_n150# 0.10fF
+C64 a_495_n150# a_783_n150# 0.10fF
+C65 a_303_n150# a_15_n150# 0.10fF
+C66 a_n465_n150# a_n561_n150# 0.43fF
+C67 a_n273_n150# a_n465_n150# 0.16fF
+C68 a_303_n150# a_207_n150# 0.43fF
+C69 a_111_n150# a_495_n150# 0.07fF
+C70 a_879_n150# a_783_n150# 0.43fF
+C71 a_n273_n150# a_n81_n150# 0.16fF
+C72 a_n945_n150# a_n561_n150# 0.07fF
+C73 a_879_n150# a_1071_n150# 0.16fF
+C74 a_1167_n150# a_879_n150# 0.10fF
+C75 a_975_n150# w_n1367_n369# 0.05fF
+C76 a_n849_n150# a_n561_n150# 0.10fF
+C77 a_n369_n150# a_n177_n150# 0.16fF
+C78 a_n465_n150# a_n81_n150# 0.07fF
+C79 a_n753_n150# a_n369_n150# 0.07fF
+C80 a_n273_n150# a_111_n150# 0.07fF
+C81 a_399_n150# a_591_n150# 0.16fF
+C82 a_n657_n150# a_n561_n150# 0.43fF
+C83 a_15_n150# a_n369_n150# 0.07fF
+C84 a_975_n150# a_783_n150# 0.16fF
+C85 a_n273_n150# a_n657_n150# 0.07fF
+C86 a_399_n150# a_15_n150# 0.07fF
+C87 a_687_n150# a_591_n150# 0.43fF
+C88 a_n945_n150# a_n1041_n150# 0.43fF
+C89 a_n465_n150# a_n849_n150# 0.07fF
+C90 a_975_n150# a_1071_n150# 0.43fF
+C91 a_1071_n150# w_n1367_n369# 0.07fF
+C92 a_1167_n150# a_975_n150# 0.16fF
+C93 a_495_n150# a_591_n150# 0.43fF
+C94 a_n849_n150# a_n1041_n150# 0.16fF
+C95 a_399_n150# a_207_n150# 0.16fF
+C96 a_n945_n150# a_n849_n150# 0.43fF
+C97 a_1167_n150# w_n1367_n369# 0.14fF
+C98 a_1167_n150# VSUBS 0.03fF
+C99 a_1071_n150# VSUBS 0.03fF
+C100 a_975_n150# VSUBS 0.03fF
+C101 a_879_n150# VSUBS 0.03fF
+C102 a_783_n150# VSUBS 0.03fF
+C103 a_687_n150# VSUBS 0.03fF
+C104 a_591_n150# VSUBS 0.03fF
+C105 a_495_n150# VSUBS 0.03fF
+C106 a_399_n150# VSUBS 0.03fF
+C107 a_303_n150# VSUBS 0.03fF
+C108 a_207_n150# VSUBS 0.03fF
+C109 a_111_n150# VSUBS 0.03fF
+C110 a_15_n150# VSUBS 0.03fF
+C111 a_n81_n150# VSUBS 0.03fF
+C112 a_n177_n150# VSUBS 0.03fF
+C113 a_n273_n150# VSUBS 0.03fF
+C114 a_n369_n150# VSUBS 0.03fF
+C115 a_n465_n150# VSUBS 0.03fF
+C116 a_n561_n150# VSUBS 0.03fF
+C117 a_n657_n150# VSUBS 0.03fF
+C118 a_n753_n150# VSUBS 0.03fF
+C119 a_n849_n150# VSUBS 0.03fF
+C120 a_n945_n150# VSUBS 0.03fF
+C121 a_n1041_n150# VSUBS 0.03fF
+C122 a_n1137_n150# VSUBS 0.03fF
+C123 a_n1229_n150# VSUBS 0.03fF
+C124 a_n1167_n247# VSUBS 2.63fF
+C125 w_n1367_n369# VSUBS 7.85fF
+.ends
+
+.subckt charge_pump vss pswitch nswitch out vdd biasp nUp Down w_2544_775# iref nDown
++ Up
+Xsky130_fd_pr__pfet_01v8_4ML9WA_0 vss pswitch vdd pswitch pswitch pswitch nUp pswitch
++ pswitch pswitch pswitch pswitch pswitch pswitch sky130_fd_pr__pfet_01v8_4ML9WA
+Xsky130_fd_pr__nfet_01v8_YCGG98_0 vss out out vss vss vss out out vss vss out vss
++ out out out vss out vss out out out vss vss vss out vss vss nswitch sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_1 iref vss vss iref iref iref vss vss iref iref vss
++ iref vss vss vss iref vss iref vss vss vss vss iref iref vss iref iref iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_2 biasp vss vss biasp biasp biasp vss vss biasp biasp
++ vss biasp vss vss vss biasp vss biasp vss vss vss vss biasp biasp vss biasp biasp
++ iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_MUHGM9_0 nDown iref nswitch vss nswitch nswitch vss nswitch
++ iref nswitch nswitch vss nswitch Down iref iref vss vss nswitch nswitch iref nswitch
++ vss nswitch sky130_fd_pr__nfet_01v8_MUHGM9
+Xsky130_fd_pr__pfet_01v8_NKZXKB_0 vss Up pswitch pswitch pswitch vdd biasp pswitch
++ pswitch pswitch vdd vdd biasp pswitch pswitch nUp vdd biasp pswitch pswitch vdd
++ pswitch biasp biasp vdd sky130_fd_pr__pfet_01v8_NKZXKB
+Xsky130_fd_pr__nfet_01v8_8GRULZ_0 Down nswitch nswitch nswitch nswitch nswitch nswitch
++ nswitch nswitch nswitch vss nswitch nswitch sky130_fd_pr__nfet_01v8_8GRULZ
+Xsky130_fd_pr__pfet_01v8_ND88ZC_0 vss vdd out out vdd out vdd out vdd out vdd vdd
++ vdd vdd out out vdd vdd out out vdd vdd vdd out out out out pswitch vdd sky130_fd_pr__pfet_01v8_ND88ZC
+Xsky130_fd_pr__pfet_01v8_ND88ZC_1 vss biasp vdd vdd vdd vdd biasp vdd biasp vdd biasp
++ biasp biasp biasp vdd vdd biasp biasp vdd vdd biasp biasp biasp vdd vdd vdd vdd
++ biasp biasp sky130_fd_pr__pfet_01v8_ND88ZC
+C0 vdd pswitch 3.98fF
+C1 out nUp 0.31fF
+C2 nswitch iref 1.91fF
+C3 nswitch nDown 0.31fF
+C4 nswitch vdd 0.07fF
+C5 vdd out 6.66fF
+C6 biasp pswitch 3.11fF
+C7 Up pswitch 0.70fF
+C8 nswitch biasp 0.03fF
+C9 Up nUp 0.15fF
+C10 nswitch pswitch 0.06fF
+C11 nswitch Down 2.27fF
+C12 biasp iref 0.80fF
+C13 pswitch out 4.91fF
+C14 pswitch nUp 5.66fF
+C15 Down nUp 0.25fF
+C16 vdd biasp 2.64fF
+C17 nswitch out 1.28fF
+C18 Down nDown 0.13fF
+C19 vdd vss 35.71fF
+C20 Down vss 4.77fF
+C21 Up vss 1.17fF
+C22 nswitch vss 6.39fF
+C23 nDown vss 1.11fF
+C24 biasp vss 8.73fF
+C25 iref vss 10.12fF
+C26 out vss -3.49fF
+C27 pswitch vss 3.45fF
+C28 nUp vss 5.85fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4798MH VSUBS a_81_n156# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n111_n156# a_n15_n156# a_n81_n125#
+X0 a_n81_n125# a_n111_n156# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n15_n156# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_81_n156# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n173_n125# a_15_n125# 0.13fF
+C1 a_15_n125# w_n311_n344# 0.09fF
+C2 a_15_n125# a_n81_n125# 0.36fF
+C3 a_n15_n156# a_81_n156# 0.02fF
+C4 a_n173_n125# w_n311_n344# 0.14fF
+C5 a_n15_n156# a_n111_n156# 0.02fF
+C6 a_n173_n125# a_n81_n125# 0.36fF
+C7 a_111_n125# a_15_n125# 0.36fF
+C8 w_n311_n344# a_n81_n125# 0.09fF
+C9 a_111_n125# a_n173_n125# 0.08fF
+C10 a_111_n125# w_n311_n344# 0.14fF
+C11 a_111_n125# a_n81_n125# 0.13fF
+C12 a_111_n125# VSUBS 0.03fF
+C13 a_15_n125# VSUBS 0.03fF
+C14 a_n81_n125# VSUBS 0.03fF
+C15 a_n173_n125# VSUBS 0.03fF
+C16 a_81_n156# VSUBS 0.05fF
+C17 a_n15_n156# VSUBS 0.05fF
+C18 a_n111_n156# VSUBS 0.05fF
+C19 w_n311_n344# VSUBS 2.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_BHR94T a_n15_n151# w_n311_n335# a_81_n151# a_111_n125#
++ a_15_n125# a_n173_n125# a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_81_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n15_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_81_n151# a_n15_n151# 0.02fF
+C1 a_n173_n125# a_n81_n125# 0.36fF
+C2 a_15_n125# a_n81_n125# 0.36fF
+C3 a_n111_n151# a_n15_n151# 0.02fF
+C4 a_111_n125# a_n81_n125# 0.13fF
+C5 a_15_n125# a_n173_n125# 0.13fF
+C6 a_111_n125# a_n173_n125# 0.08fF
+C7 a_15_n125# a_111_n125# 0.36fF
+C8 a_111_n125# w_n311_n335# 0.17fF
+C9 a_15_n125# w_n311_n335# 0.12fF
+C10 a_n81_n125# w_n311_n335# 0.12fF
+C11 a_n173_n125# w_n311_n335# 0.17fF
+C12 a_81_n151# w_n311_n335# 0.05fF
+C13 a_n15_n151# w_n311_n335# 0.05fF
+C14 a_n111_n151# w_n311_n335# 0.05fF
+.ends
+
+.subckt trans_gate m1_187_n605# m1_45_n513# vss vdd
+Xsky130_fd_pr__pfet_01v8_4798MH_0 vss vss m1_187_n605# m1_45_n513# m1_45_n513# vdd
++ vss vss m1_187_n605# sky130_fd_pr__pfet_01v8_4798MH
+Xsky130_fd_pr__nfet_01v8_BHR94T_0 vdd vss vdd m1_187_n605# m1_45_n513# m1_45_n513#
++ vdd m1_187_n605# sky130_fd_pr__nfet_01v8_BHR94T
+C0 m1_45_n513# m1_187_n605# 0.36fF
+C1 vdd m1_187_n605# 0.55fF
+C2 m1_45_n513# vdd 0.69fF
+C3 m1_187_n605# vss 0.93fF
+C4 m1_45_n513# vss 1.31fF
+C5 vdd vss 3.36fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7KT7MH VSUBS a_n111_n186# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n81_n125#
+X0 a_n81_n125# a_n111_n186# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n111_n186# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_n111_n186# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_111_n125# a_n173_n125# 0.08fF
+C1 w_n311_n344# a_15_n125# 0.09fF
+C2 a_n81_n125# a_15_n125# 0.36fF
+C3 w_n311_n344# a_n81_n125# 0.09fF
+C4 a_111_n125# a_15_n125# 0.36fF
+C5 w_n311_n344# a_111_n125# 0.14fF
+C6 a_n81_n125# a_111_n125# 0.13fF
+C7 a_15_n125# a_n173_n125# 0.13fF
+C8 w_n311_n344# a_n173_n125# 0.14fF
+C9 a_n81_n125# a_n173_n125# 0.36fF
+C10 a_111_n125# VSUBS 0.03fF
+C11 a_15_n125# VSUBS 0.03fF
+C12 a_n81_n125# VSUBS 0.03fF
+C13 a_n173_n125# VSUBS 0.03fF
+C14 a_n111_n186# VSUBS 0.26fF
+C15 w_n311_n344# VSUBS 2.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS6QM w_n311_n335# a_111_n125# a_15_n125# a_n173_n125#
++ a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_n111_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n111_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_15_n125# a_111_n125# 0.36fF
+C1 a_n173_n125# a_111_n125# 0.08fF
+C2 a_n173_n125# a_15_n125# 0.13fF
+C3 a_111_n125# a_n81_n125# 0.13fF
+C4 a_15_n125# a_n81_n125# 0.36fF
+C5 a_n173_n125# a_n81_n125# 0.36fF
+C6 a_111_n125# w_n311_n335# 0.17fF
+C7 a_15_n125# w_n311_n335# 0.12fF
+C8 a_n81_n125# w_n311_n335# 0.12fF
+C9 a_n173_n125# w_n311_n335# 0.17fF
+C10 a_n111_n151# w_n311_n335# 0.25fF
+.ends
+
+.subckt inverter_cp_x1 out in vss vdd
+Xsky130_fd_pr__pfet_01v8_7KT7MH_0 vss in out vdd vdd vdd out sky130_fd_pr__pfet_01v8_7KT7MH
+Xsky130_fd_pr__nfet_01v8_2BS6QM_0 vss out vss vss in out sky130_fd_pr__nfet_01v8_2BS6QM
+C0 out in 0.32fF
+C1 vdd out 0.10fF
+C2 out vss 0.77fF
+C3 in vss 0.95fF
+C4 vdd vss 3.13fF
+.ends
+
+.subckt clock_inverter vss inverter_cp_x1_2/in CLK vdd inverter_cp_x1_0/out CLK_d
++ nCLK_d
+Xtrans_gate_0 nCLK_d inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 inverter_cp_x1_0/out CLK vss vdd inverter_cp_x1
+Xinverter_cp_x1_1 inverter_cp_x1_2/in CLK vss vdd inverter_cp_x1
+Xinverter_cp_x1_2 CLK_d inverter_cp_x1_2/in vss vdd inverter_cp_x1
+C0 inverter_cp_x1_2/in CLK_d 0.12fF
+C1 inverter_cp_x1_2/in vdd 0.21fF
+C2 nCLK_d vdd 0.03fF
+C3 inverter_cp_x1_2/in CLK 0.31fF
+C4 inverter_cp_x1_0/out nCLK_d 0.11fF
+C5 CLK_d vdd 0.03fF
+C6 CLK vdd 0.36fF
+C7 inverter_cp_x1_0/out vdd 0.28fF
+C8 inverter_cp_x1_0/out CLK 0.31fF
+C9 CLK_d vss 0.96fF
+C10 inverter_cp_x1_2/in vss 2.01fF
+C11 inverter_cp_x1_0/out vss 1.97fF
+C12 CLK vss 3.03fF
+C13 nCLK_d vss 1.44fF
+C14 vdd vss 16.51fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MJG8BZ VSUBS a_n125_n95# a_63_n95# w_n263_n314# a_n33_n95#
++ a_n63_n192#
+X0 a_63_n95# a_n63_n192# a_n33_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n33_n95# a_n63_n192# a_n125_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+C0 a_n125_n95# a_n33_n95# 0.28fF
+C1 a_n33_n95# a_63_n95# 0.28fF
+C2 a_n125_n95# w_n263_n314# 0.11fF
+C3 w_n263_n314# a_63_n95# 0.11fF
+C4 a_n33_n95# w_n263_n314# 0.08fF
+C5 a_n125_n95# a_63_n95# 0.10fF
+C6 a_63_n95# VSUBS 0.03fF
+C7 a_n33_n95# VSUBS 0.03fF
+C8 a_n125_n95# VSUBS 0.03fF
+C9 a_n63_n192# VSUBS 0.20fF
+C10 w_n263_n314# VSUBS 1.80fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS854 w_n311_n335# a_n129_n213# a_111_n125# a_15_n125#
++ a_n173_n125# a_n81_n125#
+X0 a_111_n125# a_n129_n213# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n129_n213# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n129_n213# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n173_n125# a_n129_n213# 0.02fF
+C1 a_n81_n125# a_15_n125# 0.36fF
+C2 a_15_n125# a_111_n125# 0.36fF
+C3 a_n81_n125# a_n173_n125# 0.36fF
+C4 a_n173_n125# a_111_n125# 0.08fF
+C5 a_n81_n125# a_n129_n213# 0.10fF
+C6 a_n129_n213# a_111_n125# 0.01fF
+C7 a_15_n125# a_n173_n125# 0.13fF
+C8 a_15_n125# a_n129_n213# 0.10fF
+C9 a_n81_n125# a_111_n125# 0.13fF
+C10 a_111_n125# w_n311_n335# 0.05fF
+C11 a_15_n125# w_n311_n335# 0.05fF
+C12 a_n81_n125# w_n311_n335# 0.05fF
+C13 a_n173_n125# w_n311_n335# 0.05fF
+C14 a_n129_n213# w_n311_n335# 0.49fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_KU9PSX a_n125_n95# a_n33_n95# a_n81_n183# w_n263_n305#
+X0 a_n33_n95# a_n81_n183# a_n125_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n125_n95# a_n81_n183# a_n33_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+C0 a_n125_n95# a_n81_n183# 0.16fF
+C1 a_n33_n95# a_n125_n95# 0.88fF
+C2 a_n33_n95# a_n81_n183# 0.10fF
+C3 a_n33_n95# w_n263_n305# 0.07fF
+C4 a_n125_n95# w_n263_n305# 0.13fF
+C5 a_n81_n183# w_n263_n305# 0.31fF
+.ends
+
+.subckt latch_diff m1_657_280# nQ Q vss CLK vdd nD D
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_0 vss vdd vdd vdd nQ Q sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_1 vss vdd vdd vdd Q nQ sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__nfet_01v8_2BS854_0 vss CLK vss m1_657_280# m1_657_280# vss sky130_fd_pr__nfet_01v8_2BS854
+Xsky130_fd_pr__nfet_01v8_KU9PSX_0 m1_657_280# Q nD vss sky130_fd_pr__nfet_01v8_KU9PSX
+Xsky130_fd_pr__nfet_01v8_KU9PSX_1 m1_657_280# nQ D vss sky130_fd_pr__nfet_01v8_KU9PSX
+C0 Q D 0.05fF
+C1 Q nQ 0.93fF
+C2 m1_657_280# nQ 1.41fF
+C3 vdd nQ 0.16fF
+C4 D nQ 0.05fF
+C5 Q nD 0.05fF
+C6 nD nQ 0.05fF
+C7 m1_657_280# CLK 0.24fF
+C8 Q m1_657_280# 0.94fF
+C9 Q vdd 0.16fF
+C10 nQ vss 1.16fF
+C11 D vss 0.53fF
+C12 Q vss -0.55fF
+C13 m1_657_280# vss 1.88fF
+C14 nD vss 0.16fF
+C15 CLK vss 0.87fF
+C16 vdd vss 5.98fF
+.ends
+
+.subckt DFlipFlop latch_diff_0/m1_657_280# vss latch_diff_1/D clock_inverter_0/inverter_cp_x1_2/in
++ nQ Q latch_diff_1/nD D latch_diff_1/m1_657_280# latch_diff_0/D vdd CLK clock_inverter_0/inverter_cp_x1_0/out
++ nCLK latch_diff_0/nD
+Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in D vdd clock_inverter_0/inverter_cp_x1_0/out
++ latch_diff_0/D latch_diff_0/nD clock_inverter
+Xlatch_diff_0 latch_diff_0/m1_657_280# latch_diff_1/nD latch_diff_1/D vss CLK vdd
++ latch_diff_0/nD latch_diff_0/D latch_diff
+Xlatch_diff_1 latch_diff_1/m1_657_280# nQ Q vss nCLK vdd latch_diff_1/nD latch_diff_1/D
++ latch_diff
+C0 latch_diff_0/D vdd 0.09fF
+C1 latch_diff_0/m1_657_280# latch_diff_1/m1_657_280# 0.18fF
+C2 Q latch_diff_1/nD 0.01fF
+C3 latch_diff_1/D latch_diff_1/nD 0.33fF
+C4 latch_diff_0/m1_657_280# latch_diff_1/D 0.43fF
+C5 latch_diff_1/D latch_diff_0/nD 0.41fF
+C6 latch_diff_0/m1_657_280# latch_diff_1/nD 0.14fF
+C7 vdd latch_diff_1/D 0.03fF
+C8 latch_diff_0/D latch_diff_1/D 0.11fF
+C9 vdd latch_diff_1/nD 0.02fF
+C10 latch_diff_0/D latch_diff_1/nD 0.04fF
+C11 latch_diff_1/D nQ 0.11fF
+C12 nQ latch_diff_1/nD 0.08fF
+C13 latch_diff_0/m1_657_280# latch_diff_0/nD 0.38fF
+C14 latch_diff_1/D latch_diff_1/m1_657_280# 0.32fF
+C15 latch_diff_0/m1_657_280# latch_diff_0/D 0.37fF
+C16 vdd latch_diff_0/nD 0.14fF
+C17 vdd clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C18 latch_diff_1/m1_657_280# latch_diff_1/nD 0.42fF
+C19 nQ vss 0.57fF
+C20 Q vss -0.92fF
+C21 latch_diff_1/m1_657_280# vss 0.64fF
+C22 nCLK vss 0.83fF
+C23 latch_diff_1/nD vss 1.83fF
+C24 latch_diff_1/D vss -0.30fF
+C25 latch_diff_0/m1_657_280# vss 0.72fF
+C26 CLK vss 0.83fF
+C27 latch_diff_0/D vss 1.29fF
+C28 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C29 clock_inverter_0/inverter_cp_x1_0/out vss 1.84fF
+C30 D vss 3.27fF
+C31 latch_diff_0/nD vss 1.74fF
+C32 vdd vss 32.62fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZP3U9B VSUBS a_n221_n84# a_159_n84# w_n359_n303# a_n63_n110#
++ a_n129_n84# a_33_n110# a_n159_n110# a_63_n84# a_129_n110# a_n33_n84#
+X0 a_n129_n84# a_n159_n110# a_n221_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_63_n84# a_33_n110# a_n33_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 a_n33_n84# a_n63_n110# a_n129_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_159_n84# a_129_n110# a_63_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+C0 a_n129_n84# a_n33_n84# 0.24fF
+C1 a_n129_n84# w_n359_n303# 0.06fF
+C2 a_n129_n84# a_159_n84# 0.05fF
+C3 a_n129_n84# a_n221_n84# 0.24fF
+C4 a_n63_n110# a_n159_n110# 0.02fF
+C5 w_n359_n303# a_n33_n84# 0.05fF
+C6 a_n33_n84# a_159_n84# 0.09fF
+C7 w_n359_n303# a_159_n84# 0.08fF
+C8 a_n221_n84# a_n33_n84# 0.09fF
+C9 a_n63_n110# a_33_n110# 0.02fF
+C10 a_n129_n84# a_63_n84# 0.09fF
+C11 a_n221_n84# w_n359_n303# 0.08fF
+C12 a_n221_n84# a_159_n84# 0.04fF
+C13 a_n33_n84# a_63_n84# 0.24fF
+C14 w_n359_n303# a_63_n84# 0.06fF
+C15 a_33_n110# a_129_n110# 0.02fF
+C16 a_63_n84# a_159_n84# 0.24fF
+C17 a_n221_n84# a_63_n84# 0.05fF
+C18 a_159_n84# VSUBS 0.03fF
+C19 a_63_n84# VSUBS 0.03fF
+C20 a_n33_n84# VSUBS 0.03fF
+C21 a_n129_n84# VSUBS 0.03fF
+C22 a_n221_n84# VSUBS 0.03fF
+C23 a_129_n110# VSUBS 0.05fF
+C24 a_33_n110# VSUBS 0.05fF
+C25 a_n63_n110# VSUBS 0.05fF
+C26 a_n159_n110# VSUBS 0.05fF
+C27 w_n359_n303# VSUBS 2.19fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_DXA56D w_n359_n252# a_n33_n42# a_129_n68# a_n159_n68#
++ a_n221_n42# a_159_n42# a_n129_n42# a_33_n68# a_n63_n68# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n129_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2 a_159_n42# a_129_n68# a_63_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3 a_n129_n42# a_n159_n68# a_n221_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_n221_n42# a_n129_n42# 0.12fF
+C1 a_n63_n68# a_33_n68# 0.02fF
+C2 a_159_n42# a_n33_n42# 0.05fF
+C3 a_63_n42# a_159_n42# 0.12fF
+C4 a_63_n42# a_n33_n42# 0.12fF
+C5 a_n221_n42# a_159_n42# 0.02fF
+C6 a_n221_n42# a_n33_n42# 0.05fF
+C7 a_n221_n42# a_63_n42# 0.03fF
+C8 a_n129_n42# a_159_n42# 0.03fF
+C9 a_n129_n42# a_n33_n42# 0.12fF
+C10 a_129_n68# a_33_n68# 0.02fF
+C11 a_63_n42# a_n129_n42# 0.05fF
+C12 a_n159_n68# a_n63_n68# 0.02fF
+C13 a_159_n42# w_n359_n252# 0.07fF
+C14 a_63_n42# w_n359_n252# 0.06fF
+C15 a_n33_n42# w_n359_n252# 0.06fF
+C16 a_n129_n42# w_n359_n252# 0.06fF
+C17 a_n221_n42# w_n359_n252# 0.07fF
+C18 a_129_n68# w_n359_n252# 0.05fF
+C19 a_33_n68# w_n359_n252# 0.05fF
+C20 a_n63_n68# w_n359_n252# 0.05fF
+C21 a_n159_n68# w_n359_n252# 0.05fF
+.ends
+
+.subckt inverter_min_x4 in vss out vdd
+Xsky130_fd_pr__pfet_01v8_ZP3U9B_0 vss out out vdd in vdd in in vdd in out sky130_fd_pr__pfet_01v8_ZP3U9B
+Xsky130_fd_pr__nfet_01v8_DXA56D_0 vss out in in out out vss in in vss sky130_fd_pr__nfet_01v8_DXA56D
+C0 in vdd 0.33fF
+C1 vdd out 0.62fF
+C2 in out 0.67fF
+C3 out vss 0.66fF
+C4 in vss 1.89fF
+C5 vdd vss 3.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_5RJ8EK a_n33_n42# a_33_n68# w_n263_n252# a_n63_n68#
++ a_n125_n42# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n125_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_63_n42# a_n125_n42# 0.05fF
+C1 a_n125_n42# a_n33_n42# 0.12fF
+C2 a_33_n68# a_n63_n68# 0.02fF
+C3 a_63_n42# a_n33_n42# 0.12fF
+C4 a_63_n42# w_n263_n252# 0.09fF
+C5 a_n33_n42# w_n263_n252# 0.07fF
+C6 a_n125_n42# w_n263_n252# 0.09fF
+C7 a_33_n68# w_n263_n252# 0.05fF
+C8 a_n63_n68# w_n263_n252# 0.05fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZPB9BB VSUBS a_n63_n110# a_33_n110# a_n125_n84# a_63_n84#
++ w_n263_n303# a_n33_n84#
+X0 a_63_n84# a_33_n110# a_n33_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_n33_n84# a_n63_n110# a_n125_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+C0 a_63_n84# w_n263_n303# 0.10fF
+C1 a_63_n84# a_n33_n84# 0.24fF
+C2 a_63_n84# a_n125_n84# 0.09fF
+C3 a_n33_n84# w_n263_n303# 0.07fF
+C4 w_n263_n303# a_n125_n84# 0.10fF
+C5 a_n63_n110# a_33_n110# 0.02fF
+C6 a_n33_n84# a_n125_n84# 0.24fF
+C7 a_63_n84# VSUBS 0.03fF
+C8 a_n33_n84# VSUBS 0.03fF
+C9 a_n125_n84# VSUBS 0.03fF
+C10 a_33_n110# VSUBS 0.05fF
+C11 a_n63_n110# VSUBS 0.05fF
+C12 w_n263_n303# VSUBS 1.74fF
+.ends
+
+.subckt inverter_min_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_5RJ8EK_0 vss in vss in out out sky130_fd_pr__nfet_01v8_5RJ8EK
+Xsky130_fd_pr__pfet_01v8_ZPB9BB_0 vss in in out out vdd vdd sky130_fd_pr__pfet_01v8_ZPB9BB
+C0 in vdd 0.01fF
+C1 vdd out 0.15fF
+C2 in out 0.30fF
+C3 vdd vss 2.93fF
+C4 out vss 0.66fF
+C5 in vss 0.72fF
+.ends
+
+.subckt div_by_2 vss vdd clock_inverter_0/inverter_cp_x1_2/in CLK_2 nCLK_2 o1 CLK
++ out_div o2 clock_inverter_0/inverter_cp_x1_0/out nout_div
+XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ nout_div out_div DFlipFlop_0/latch_diff_1/nD nout_div DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd DFlipFlop_0/CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
+Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in CLK vdd clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_0/CLK DFlipFlop_0/nCLK clock_inverter
+Xinverter_min_x4_0 o1 vss CLK_2 vdd inverter_min_x4
+Xinverter_min_x4_1 o2 vss nCLK_2 vdd inverter_min_x4
+Xinverter_min_x2_0 nout_div o2 vss vdd inverter_min_x2
+Xinverter_min_x2_1 out_div o1 vss vdd inverter_min_x2
+C0 nout_div DFlipFlop_0/latch_diff_0/D 0.09fF
+C1 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_1/D -0.48fF
+C2 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_1/m1_657_280# 0.26fF
+C3 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_0/CLK 0.29fF
+C4 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_1/D 0.08fF
+C5 DFlipFlop_0/latch_diff_0/m1_657_280# nout_div 0.24fF
+C6 clock_inverter_0/inverter_cp_x1_0/out vdd 0.10fF
+C7 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vdd 0.03fF
+C8 CLK_2 vdd 0.08fF
+C9 o1 DFlipFlop_0/latch_diff_1/m1_657_280# 0.02fF
+C10 nout_div DFlipFlop_0/latch_diff_1/m1_657_280# 0.21fF
+C11 DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/CLK 0.11fF
+C12 nout_div DFlipFlop_0/latch_diff_1/D 0.64fF
+C13 DFlipFlop_0/latch_diff_0/nD DFlipFlop_0/CLK 0.12fF
+C14 CLK_2 o1 0.11fF
+C15 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_1/nD -0.09fF
+C16 o2 DFlipFlop_0/latch_diff_1/m1_657_280# 0.02fF
+C17 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_0/nCLK 0.46fF
+C18 vdd DFlipFlop_0/CLK 0.40fF
+C19 vdd DFlipFlop_0/nCLK 0.30fF
+C20 nout_div DFlipFlop_0/CLK 0.42fF
+C21 DFlipFlop_0/latch_diff_1/nD nout_div 1.18fF
+C22 DFlipFlop_0/latch_diff_0/nD nout_div 0.07fF
+C23 DFlipFlop_0/nCLK nout_div 0.43fF
+C24 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C25 vdd nCLK_2 0.08fF
+C26 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_0/D 0.13fF
+C27 vdd o1 0.14fF
+C28 vdd nout_div 0.16fF
+C29 out_div vdd 0.03fF
+C30 out_div o1 0.01fF
+C31 out_div nout_div 0.22fF
+C32 vdd o2 0.14fF
+C33 DFlipFlop_0/latch_diff_0/m1_657_280# DFlipFlop_0/CLK 0.26fF
+C34 nCLK_2 o2 0.11fF
+C35 nCLK_2 vss 1.08fF
+C36 o2 vss 2.21fF
+C37 CLK_2 vss 1.08fF
+C38 o1 vss 2.21fF
+C39 DFlipFlop_0/CLK vss 1.03fF
+C40 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C41 clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C42 CLK vss 3.27fF
+C43 DFlipFlop_0/nCLK vss 1.76fF
+C44 out_div vss -0.77fF
+C45 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.63fF
+C46 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C47 DFlipFlop_0/latch_diff_1/D vss -1.72fF
+C48 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C49 DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C50 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
+C51 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.80fF
+C52 nout_div vss 4.41fF
+C53 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C54 vdd vss 64.43fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_58ZKDE VSUBS a_n257_n777# a_n129_n600# a_n221_n600#
++ w_n257_n702#
+X0 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X1 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X2 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X3 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+C0 a_n221_n600# a_n129_n600# 7.87fF
+C1 a_n257_n777# a_n129_n600# 0.29fF
+C2 a_n221_n600# a_n257_n777# 0.25fF
+C3 a_n129_n600# VSUBS 0.10fF
+C4 a_n221_n600# VSUBS 0.25fF
+C5 a_n257_n777# VSUBS 1.05fF
+C6 w_n257_n702# VSUBS 2.16fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_T69Y3A a_n129_n300# a_n221_n300# w_n257_n327# a_n257_n404#
+X0 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X1 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X2 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X3 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+C0 a_n129_n300# a_n257_n404# 0.30fF
+C1 a_n221_n300# a_n257_n404# 0.21fF
+C2 a_n129_n300# a_n221_n300# 4.05fF
+C3 a_n129_n300# w_n257_n327# 0.11fF
+C4 a_n221_n300# w_n257_n327# 0.25fF
+C5 a_n257_n404# w_n257_n327# 1.11fF
+.ends
+
+.subckt buffer_salida a_678_n100# out in vss vdd
+Xsky130_fd_pr__pfet_01v8_58ZKDE_1 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_2 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_3 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_0 a_678_n100# vss vss in sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_1 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_4 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_5 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_2 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_3 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_6 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_4 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_7 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_70 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_8 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_5 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_71 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_60 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_6 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_9 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_72 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_61 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_50 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_7 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_62 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_51 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_40 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_8 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_63 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_52 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_41 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_30 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_9 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_20 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_64 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_53 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_42 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_31 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_10 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_21 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_65 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_54 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_43 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_32 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_11 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_22 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_66 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_55 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_44 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_33 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_12 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_23 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_67 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_56 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_45 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_34 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_13 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_24 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_68 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_57 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_46 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_35 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_14 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_69 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_58 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_47 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_36 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_25 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_15 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_59 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_48 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_37 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_26 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_16 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_49 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_38 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_27 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_70 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_17 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_39 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_28 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_71 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_60 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_18 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_29 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_72 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_61 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_50 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_19 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_62 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_51 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_40 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_63 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_52 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_41 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_30 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_20 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_64 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_53 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_42 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_31 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_10 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_21 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_65 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_54 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_43 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_32 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_11 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_22 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_66 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_55 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_44 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_33 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_12 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_23 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_67 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_56 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_45 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_34 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_13 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_24 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_68 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_57 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_46 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_35 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_14 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_69 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_58 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_47 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_36 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_25 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_15 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_59 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_48 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_37 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_26 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_16 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_49 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_38 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_27 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_17 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_39 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_28 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_18 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_29 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_19 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_0 vss in a_678_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+C0 a_3996_n100# a_678_n100# 6.52fF
+C1 a_3996_n100# out 55.19fF
+C2 a_3996_n100# vdd 3.68fF
+C3 in a_678_n100# 0.81fF
+C4 a_678_n100# vdd 0.08fF
+C5 in vdd 0.02fF
+C6 out vdd 47.17fF
+C7 vdd vss 20.93fF
+C8 out vss 35.17fF
+C9 a_3996_n100# vss 49.53fF
+C10 a_678_n100# vss 13.08fF
+C11 in vss 0.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_CBAU6Y a_n73_n150# a_n33_n238# w_n211_n360# a_15_n150#
+X0 a_15_n150# a_n33_n238# a_n73_n150# w_n211_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n73_n150# a_15_n150# 0.51fF
+C1 a_n73_n150# a_n33_n238# 0.02fF
+C2 a_15_n150# a_n33_n238# 0.02fF
+C3 a_15_n150# w_n211_n360# 0.23fF
+C4 a_n73_n150# w_n211_n360# 0.23fF
+C5 a_n33_n238# w_n211_n360# 0.17fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4757AC VSUBS a_n73_n150# a_n33_181# w_n211_n369# a_15_n150#
+X0 a_15_n150# a_n33_181# a_n73_n150# w_n211_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n33_181# a_n73_n150# 0.01fF
+C1 a_n33_181# w_n211_n369# 0.05fF
+C2 a_15_n150# a_n33_181# 0.01fF
+C3 a_n73_n150# w_n211_n369# 0.20fF
+C4 a_15_n150# a_n73_n150# 0.51fF
+C5 a_15_n150# w_n211_n369# 0.20fF
+C6 a_15_n150# VSUBS 0.03fF
+C7 a_n73_n150# VSUBS 0.03fF
+C8 a_n33_181# VSUBS 0.13fF
+C9 w_n211_n369# VSUBS 1.98fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_7H8F5S a_n465_172# a_n417_n150# a_351_n150# a_255_n150#
++ w_n647_n360# a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150#
+X0 a_159_n150# a_n465_172# a_63_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n225_n150# a_n465_172# a_n321_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_447_n150# a_n465_172# a_351_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_63_n150# a_n465_172# a_n33_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n465_172# a_n225_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n465_172# a_n509_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n33_n150# a_n465_172# a_n129_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_351_n150# a_n465_172# a_255_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_255_n150# a_n465_172# a_159_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n321_n150# a_n465_172# a_n417_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n33_n150# a_63_n150# 0.43fF
+C1 a_n33_n150# a_n225_n150# 0.16fF
+C2 a_255_n150# a_63_n150# 0.16fF
+C3 a_159_n150# a_n129_n150# 0.10fF
+C4 a_n509_n150# a_n417_n150# 0.43fF
+C5 a_n509_n150# a_n465_172# 0.01fF
+C6 a_n321_n150# a_n509_n150# 0.16fF
+C7 a_255_n150# a_n33_n150# 0.10fF
+C8 a_447_n150# a_63_n150# 0.07fF
+C9 a_n129_n150# a_n509_n150# 0.07fF
+C10 a_351_n150# a_63_n150# 0.10fF
+C11 a_255_n150# a_447_n150# 0.16fF
+C12 a_n33_n150# a_351_n150# 0.07fF
+C13 a_n225_n150# a_n417_n150# 0.16fF
+C14 a_63_n150# a_n465_172# 0.10fF
+C15 a_n225_n150# a_n465_172# 0.10fF
+C16 a_255_n150# a_351_n150# 0.43fF
+C17 a_63_n150# a_n321_n150# 0.07fF
+C18 a_n321_n150# a_n225_n150# 0.43fF
+C19 a_n33_n150# a_n417_n150# 0.07fF
+C20 a_n33_n150# a_n465_172# 0.10fF
+C21 a_n33_n150# a_n321_n150# 0.10fF
+C22 a_255_n150# a_n465_172# 0.10fF
+C23 a_159_n150# a_63_n150# 0.43fF
+C24 a_159_n150# a_n225_n150# 0.07fF
+C25 a_63_n150# a_n129_n150# 0.16fF
+C26 a_n225_n150# a_n129_n150# 0.43fF
+C27 a_447_n150# a_351_n150# 0.43fF
+C28 a_159_n150# a_n33_n150# 0.16fF
+C29 a_159_n150# a_255_n150# 0.43fF
+C30 a_n33_n150# a_n129_n150# 0.43fF
+C31 a_255_n150# a_n129_n150# 0.07fF
+C32 a_447_n150# a_n465_172# 0.01fF
+C33 a_n225_n150# a_n509_n150# 0.10fF
+C34 a_351_n150# a_n465_172# 0.10fF
+C35 a_159_n150# a_447_n150# 0.10fF
+C36 a_n417_n150# a_n465_172# 0.10fF
+C37 a_n321_n150# a_n417_n150# 0.43fF
+C38 a_n321_n150# a_n465_172# 0.10fF
+C39 a_159_n150# a_351_n150# 0.16fF
+C40 a_159_n150# a_n465_172# 0.10fF
+C41 a_n129_n150# a_n417_n150# 0.10fF
+C42 a_n129_n150# a_n465_172# 0.10fF
+C43 a_n321_n150# a_n129_n150# 0.16fF
+C44 a_63_n150# a_n225_n150# 0.10fF
+C45 a_447_n150# w_n647_n360# 0.17fF
+C46 a_351_n150# w_n647_n360# 0.10fF
+C47 a_255_n150# w_n647_n360# 0.08fF
+C48 a_159_n150# w_n647_n360# 0.07fF
+C49 a_63_n150# w_n647_n360# 0.04fF
+C50 a_n33_n150# w_n647_n360# 0.04fF
+C51 a_n129_n150# w_n647_n360# 0.04fF
+C52 a_n225_n150# w_n647_n360# 0.07fF
+C53 a_n321_n150# w_n647_n360# 0.08fF
+C54 a_n417_n150# w_n647_n360# 0.10fF
+C55 a_n509_n150# w_n647_n360# 0.17fF
+C56 a_n465_172# w_n647_n360# 1.49fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_8DL6ZL VSUBS a_n417_n150# a_351_n150# a_255_n150#
++ a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n465_n247# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150# w_n647_n369#
+X0 a_63_n150# a_n465_n247# a_n33_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n129_n150# a_n465_n247# a_n225_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n417_n150# a_n465_n247# a_n509_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n33_n150# a_n465_n247# a_n129_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_351_n150# a_n465_n247# a_255_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_255_n150# a_n465_n247# a_159_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n321_n150# a_n465_n247# a_n417_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_159_n150# a_n465_n247# a_63_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n225_n150# a_n465_n247# a_n321_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_447_n150# a_n465_n247# a_351_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_63_n150# a_n129_n150# 0.16fF
+C1 a_n465_n247# a_351_n150# 0.08fF
+C2 w_n647_n369# a_159_n150# 0.04fF
+C3 a_447_n150# a_351_n150# 0.43fF
+C4 a_n465_n247# a_63_n150# 0.08fF
+C5 a_63_n150# a_447_n150# 0.07fF
+C6 a_n33_n150# a_255_n150# 0.10fF
+C7 w_n647_n369# a_n321_n150# 0.05fF
+C8 a_n465_n247# a_n129_n150# 0.08fF
+C9 a_63_n150# a_n225_n150# 0.10fF
+C10 a_n33_n150# a_351_n150# 0.07fF
+C11 a_63_n150# a_n33_n150# 0.43fF
+C12 a_n417_n150# a_n129_n150# 0.10fF
+C13 a_n129_n150# a_n225_n150# 0.43fF
+C14 a_159_n150# a_255_n150# 0.43fF
+C15 w_n647_n369# a_255_n150# 0.05fF
+C16 a_n465_n247# a_n417_n150# 0.08fF
+C17 a_n465_n247# a_n225_n150# 0.08fF
+C18 a_n129_n150# a_n33_n150# 0.43fF
+C19 a_n509_n150# a_n129_n150# 0.07fF
+C20 a_n465_n247# a_n33_n150# 0.08fF
+C21 a_351_n150# a_159_n150# 0.16fF
+C22 w_n647_n369# a_351_n150# 0.07fF
+C23 a_n417_n150# a_n225_n150# 0.16fF
+C24 a_63_n150# a_159_n150# 0.43fF
+C25 w_n647_n369# a_63_n150# 0.02fF
+C26 a_n417_n150# a_n33_n150# 0.07fF
+C27 a_n33_n150# a_n225_n150# 0.16fF
+C28 a_n417_n150# a_n509_n150# 0.43fF
+C29 a_n509_n150# a_n225_n150# 0.10fF
+C30 a_n129_n150# a_159_n150# 0.10fF
+C31 a_63_n150# a_n321_n150# 0.07fF
+C32 w_n647_n369# a_n129_n150# 0.02fF
+C33 a_n465_n247# a_159_n150# 0.08fF
+C34 w_n647_n369# a_n465_n247# 0.47fF
+C35 a_447_n150# a_159_n150# 0.10fF
+C36 w_n647_n369# a_447_n150# 0.14fF
+C37 a_n129_n150# a_n321_n150# 0.16fF
+C38 a_n465_n247# a_n321_n150# 0.08fF
+C39 a_351_n150# a_255_n150# 0.43fF
+C40 a_n225_n150# a_159_n150# 0.07fF
+C41 w_n647_n369# a_n417_n150# 0.07fF
+C42 w_n647_n369# a_n225_n150# 0.04fF
+C43 a_63_n150# a_255_n150# 0.16fF
+C44 a_n33_n150# a_159_n150# 0.16fF
+C45 w_n647_n369# a_n33_n150# 0.02fF
+C46 a_n417_n150# a_n321_n150# 0.43fF
+C47 a_n321_n150# a_n225_n150# 0.43fF
+C48 w_n647_n369# a_n509_n150# 0.14fF
+C49 a_n129_n150# a_255_n150# 0.07fF
+C50 a_n465_n247# a_255_n150# 0.08fF
+C51 a_n321_n150# a_n33_n150# 0.10fF
+C52 a_447_n150# a_255_n150# 0.16fF
+C53 a_63_n150# a_351_n150# 0.10fF
+C54 a_n509_n150# a_n321_n150# 0.16fF
+C55 a_447_n150# VSUBS 0.03fF
+C56 a_351_n150# VSUBS 0.03fF
+C57 a_255_n150# VSUBS 0.03fF
+C58 a_159_n150# VSUBS 0.03fF
+C59 a_63_n150# VSUBS 0.03fF
+C60 a_n33_n150# VSUBS 0.03fF
+C61 a_n129_n150# VSUBS 0.03fF
+C62 a_n225_n150# VSUBS 0.03fF
+C63 a_n321_n150# VSUBS 0.03fF
+C64 a_n417_n150# VSUBS 0.03fF
+C65 a_n509_n150# VSUBS 0.03fF
+C66 a_n465_n247# VSUBS 1.07fF
+C67 w_n647_n369# VSUBS 4.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_EDT3AT a_15_n11# a_n33_n99# w_n211_n221# a_n73_n11#
+X0 a_15_n11# a_n33_n99# a_n73_n11# w_n211_n221# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_n73_n11# a_15_n11# 0.15fF
+C1 a_n33_n99# a_n73_n11# 0.02fF
+C2 a_n33_n99# a_15_n11# 0.02fF
+C3 a_15_n11# w_n211_n221# 0.09fF
+C4 a_n73_n11# w_n211_n221# 0.09fF
+C5 a_n33_n99# w_n211_n221# 0.17fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AQR2CW a_n33_66# a_n78_n106# w_n216_n254# a_20_n106#
+X0 a_20_n106# a_n33_66# a_n78_n106# w_n216_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=200000u
+C0 a_n78_n106# a_20_n106# 0.21fF
+C1 a_20_n106# w_n216_n254# 0.14fF
+C2 a_n78_n106# w_n216_n254# 0.14fF
+C3 a_n33_66# w_n216_n254# 0.12fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_HRYSXS VSUBS a_n33_n211# a_n78_n114# w_n216_n334#
++ a_20_n114#
+X0 a_20_n114# a_n33_n211# a_n78_n114# w_n216_n334# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=200000u
+C0 a_20_n114# a_n78_n114# 0.42fF
+C1 w_n216_n334# a_n78_n114# 0.20fF
+C2 w_n216_n334# a_20_n114# 0.20fF
+C3 a_20_n114# VSUBS 0.03fF
+C4 a_n78_n114# VSUBS 0.03fF
+C5 a_n33_n211# VSUBS 0.12fF
+C6 w_n216_n334# VSUBS 1.66fF
+.ends
+
+.subckt inverter_csvco in vbulkn out vbulkp vdd vss
+Xsky130_fd_pr__nfet_01v8_AQR2CW_0 in vss vbulkn out sky130_fd_pr__nfet_01v8_AQR2CW
+Xsky130_fd_pr__pfet_01v8_HRYSXS_0 vbulkn in vdd vbulkp out sky130_fd_pr__pfet_01v8_HRYSXS
+C0 out vbulkp 0.08fF
+C1 out in 0.11fF
+C2 in vss 0.01fF
+C3 vbulkp vdd 0.04fF
+C4 in vdd 0.01fF
+C5 vbulkp vbulkn 2.49fF
+C6 out vbulkn 0.60fF
+C7 vdd vbulkn 0.06fF
+C8 in vbulkn 0.54fF
+C9 vss vbulkn 0.17fF
+.ends
+
+.subckt csvco_branch vctrl inverter_csvco_0/vdd in vbp cap_vco_0/t D0 out inverter_csvco_0/vss
++ vss vdd
+Xsky130_fd_pr__nfet_01v8_7H8F5S_0 vctrl inverter_csvco_0/vss inverter_csvco_0/vss
++ vss vss inverter_csvco_0/vss vss vss inverter_csvco_0/vss vss inverter_csvco_0/vss
++ vss vss sky130_fd_pr__nfet_01v8_7H8F5S
+Xsky130_fd_pr__pfet_01v8_8DL6ZL_0 vss inverter_csvco_0/vdd inverter_csvco_0/vdd vdd
++ inverter_csvco_0/vdd vdd vdd inverter_csvco_0/vdd vbp vdd inverter_csvco_0/vdd vdd
++ vdd vdd sky130_fd_pr__pfet_01v8_8DL6ZL
+Xsky130_fd_pr__nfet_01v8_EDT3AT_0 cap_vco_0/t D0 vss out sky130_fd_pr__nfet_01v8_EDT3AT
+Xinverter_csvco_0 in vss out vdd inverter_csvco_0/vdd inverter_csvco_0/vss inverter_csvco
+C0 vbp vdd 1.21fF
+C1 inverter_csvco_0/vdd vdd 1.89fF
+C2 out inverter_csvco_0/vdd 0.02fF
+C3 out D0 0.09fF
+C4 cap_vco_0/t inverter_csvco_0/vdd 0.10fF
+C5 in inverter_csvco_0/vdd 0.01fF
+C6 inverter_csvco_0/vss D0 0.02fF
+C7 inverter_csvco_0/vss out 0.03fF
+C8 cap_vco_0/t vdd 0.04fF
+C9 inverter_csvco_0/vss vctrl 0.87fF
+C10 cap_vco_0/t out 0.70fF
+C11 out in 0.06fF
+C12 vbp inverter_csvco_0/vdd 0.75fF
+C13 inverter_csvco_0/vss in 0.01fF
+C14 out vss 0.93fF
+C15 inverter_csvco_0/vdd vss 0.26fF
+C16 in vss 0.69fF
+C17 D0 vss -0.67fF
+C18 vbp vss 0.13fF
+C19 vdd vss 9.58fF
+C20 cap_vco_0/t vss 7.22fF
+C21 inverter_csvco_0/vss vss 1.79fF
+C22 vctrl vss 3.06fF
+.ends
+
+.subckt ring_osc csvco_branch_0/inverter_csvco_0/vdd vctrl csvco_branch_1/inverter_csvco_0/vdd
++ csvco_branch_2/inverter_csvco_0/vdd vdd vss csvco_branch_2/vbp csvco_branch_0/inverter_csvco_0/vss
++ D0 csvco_branch_2/cap_vco_0/t out_vco
+Xsky130_fd_pr__nfet_01v8_CBAU6Y_0 vss vctrl vss csvco_branch_2/vbp sky130_fd_pr__nfet_01v8_CBAU6Y
+Xsky130_fd_pr__pfet_01v8_4757AC_0 vss vdd csvco_branch_2/vbp vdd csvco_branch_2/vbp
++ sky130_fd_pr__pfet_01v8_4757AC
+Xcsvco_branch_0 vctrl csvco_branch_0/inverter_csvco_0/vdd out_vco csvco_branch_2/vbp
++ csvco_branch_0/cap_vco_0/t D0 csvco_branch_1/in csvco_branch_0/inverter_csvco_0/vss
++ vss vdd csvco_branch
+Xcsvco_branch_2 vctrl csvco_branch_2/inverter_csvco_0/vdd csvco_branch_2/in csvco_branch_2/vbp
++ csvco_branch_2/cap_vco_0/t D0 out_vco csvco_branch_2/inverter_csvco_0/vss vss vdd
++ csvco_branch
+Xcsvco_branch_1 vctrl csvco_branch_1/inverter_csvco_0/vdd csvco_branch_1/in csvco_branch_2/vbp
++ csvco_branch_1/cap_vco_0/t D0 csvco_branch_2/in csvco_branch_1/inverter_csvco_0/vss
++ vss vdd csvco_branch
+C0 out_vco csvco_branch_1/cap_vco_0/t 0.03fF
+C1 csvco_branch_2/inverter_csvco_0/vss D0 0.68fF
+C2 csvco_branch_2/vbp vdd 1.49fF
+C3 csvco_branch_2/inverter_csvco_0/vdd vdd 0.10fF
+C4 vdd csvco_branch_0/inverter_csvco_0/vdd 0.13fF
+C5 vctrl csvco_branch_2/vbp 0.06fF
+C6 out_vco csvco_branch_2/in 0.58fF
+C7 csvco_branch_2/vbp csvco_branch_0/inverter_csvco_0/vdd 0.06fF
+C8 vctrl D0 4.41fF
+C9 out_vco csvco_branch_1/in 0.76fF
+C10 csvco_branch_0/inverter_csvco_0/vss csvco_branch_2/vbp 0.06fF
+C11 out_vco csvco_branch_0/cap_vco_0/t 0.03fF
+C12 csvco_branch_1/inverter_csvco_0/vss D0 0.68fF
+C13 csvco_branch_0/inverter_csvco_0/vss D0 0.49fF
+C14 vdd csvco_branch_1/inverter_csvco_0/vdd 0.19fF
+C15 csvco_branch_2/in vss 1.60fF
+C16 csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C17 csvco_branch_1/cap_vco_0/t vss 7.10fF
+C18 csvco_branch_1/inverter_csvco_0/vss vss 0.72fF
+C19 csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C20 csvco_branch_2/cap_vco_0/t vss 7.10fF
+C21 csvco_branch_2/inverter_csvco_0/vss vss 0.62fF
+C22 csvco_branch_1/in vss 1.58fF
+C23 csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C24 out_vco vss 0.67fF
+C25 D0 vss -1.55fF
+C26 vdd vss 31.40fF
+C27 csvco_branch_0/cap_vco_0/t vss 7.10fF
+C28 csvco_branch_0/inverter_csvco_0/vss vss 0.66fF
+C29 vctrl vss 11.02fF
+C30 csvco_branch_2/vbp vss 0.77fF
+.ends
+
+.subckt ring_osc_buffer vss in_vco vdd o1 out_div out_pad
+Xinverter_min_x4_0 o1 vss out_div vdd inverter_min_x4
+Xinverter_min_x4_1 out_div vss out_pad vdd inverter_min_x4
+Xinverter_min_x2_0 in_vco o1 vss vdd inverter_min_x2
+C0 out_div out_pad 0.15fF
+C1 out_div vdd 0.17fF
+C2 out_div o1 0.11fF
+C3 vdd out_pad 0.10fF
+C4 o1 vdd 0.09fF
+C5 in_vco vss 0.83fF
+C6 out_pad vss 0.70fF
+C7 out_div vss 3.00fF
+C8 vdd vss 14.54fF
+C9 o1 vss 2.72fF
+.ends
+
+.subckt sky130_fd_sc_hs__xor2_1 A B VGND VNB VPB VPWR X a_194_125# a_355_368# a_455_87#
++ a_158_392#
+X0 X B a_455_87# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X1 X a_194_125# a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X2 a_194_125# B a_158_392# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 a_158_392# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 VPWR A a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X5 a_355_368# B VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X6 a_194_125# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X7 a_455_87# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X8 VGND B a_194_125# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X9 VGND a_194_125# X VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+C0 VPWR a_355_368# 0.37fF
+C1 a_194_125# A 0.18fF
+C2 VGND A 0.31fF
+C3 B A 0.28fF
+C4 VPWR a_194_125# 0.33fF
+C5 VPWR VGND 0.01fF
+C6 VPWR B 0.09fF
+C7 VPWR X 0.07fF
+C8 a_355_368# a_194_125# 0.51fF
+C9 a_355_368# B 0.08fF
+C10 a_355_368# X 0.17fF
+C11 VPWR A 0.15fF
+C12 a_158_392# a_194_125# 0.06fF
+C13 a_355_368# A 0.02fF
+C14 VGND a_194_125# 0.25fF
+C15 a_194_125# B 0.57fF
+C16 a_194_125# X 0.29fF
+C17 VGND B 0.10fF
+C18 VGND X 0.28fF
+C19 B X 0.13fF
+C20 VPWR VPB 0.06fF
+C21 VGND VNB 0.78fF
+C22 X VNB 0.21fF
+C23 VPWR VNB 0.78fF
+C24 B VNB 0.56fF
+C25 A VNB 0.70fF
+C26 VPB VNB 0.77fF
+C27 a_355_368# VNB 0.08fF
+C28 a_194_125# VNB 0.40fF
+.ends
+
+.subckt sky130_fd_sc_hs__and2_1 A B VGND VNB VPB VPWR X a_143_136# a_56_136#
+X0 VGND B a_143_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1 X a_56_136# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X2 VPWR B a_56_136# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_143_136# A a_56_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X4 a_56_136# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X5 X a_56_136# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+C0 a_56_136# VPWR 0.57fF
+C1 a_56_136# X 0.26fF
+C2 VPWR A 0.07fF
+C3 VPWR VPB 0.04fF
+C4 VPWR X 0.20fF
+C5 B VGND 0.03fF
+C6 B a_56_136# 0.30fF
+C7 B A 0.08fF
+C8 VGND a_56_136# 0.06fF
+C9 VGND A 0.21fF
+C10 B VPWR 0.02fF
+C11 B X 0.02fF
+C12 a_56_136# A 0.17fF
+C13 VGND X 0.15fF
+C14 VGND VNB 0.50fF
+C15 X VNB 0.23fF
+C16 VPWR VNB 0.50fF
+C17 B VNB 0.24fF
+C18 A VNB 0.36fF
+C19 VPB VNB 0.48fF
+C20 a_56_136# VNB 0.38fF
+.ends
+
+.subckt sky130_fd_sc_hs__or2_1 A B VGND VNB VPB VPWR X a_152_368# a_63_368#
+X0 VPWR A a_152_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_152_368# B a_63_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 X a_63_368# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X3 X a_63_368# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X4 a_63_368# B VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X5 VGND A a_63_368# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+C0 A a_63_368# 0.28fF
+C1 A VPWR 0.05fF
+C2 a_63_368# B 0.14fF
+C3 A X 0.02fF
+C4 B VPWR 0.01fF
+C5 a_63_368# a_152_368# 0.03fF
+C6 VGND B 0.11fF
+C7 a_63_368# VPWR 0.29fF
+C8 a_63_368# X 0.33fF
+C9 VPB VPWR 0.04fF
+C10 VPWR X 0.18fF
+C11 A B 0.10fF
+C12 a_63_368# VGND 0.27fF
+C13 VGND X 0.16fF
+C14 VGND VNB 0.53fF
+C15 X VNB 0.24fF
+C16 A VNB 0.21fF
+C17 B VNB 0.31fF
+C18 VPWR VNB 0.46fF
+C19 VPB VNB 0.48fF
+C20 a_63_368# VNB 0.37fF
+.ends
+
+.subckt div_by_5 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_1/latch_diff_0/D
++ nCLK DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd DFlipFlop_2/latch_diff_0/nD Q0 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ CLK DFlipFlop_2/latch_diff_1/D vss DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ sky130_fd_sc_hs__and2_1_0/a_56_136# nQ0 DFlipFlop_1/latch_diff_1/nD CLK_5 DFlipFlop_3/latch_diff_0/nD
++ nQ2 DFlipFlop_0/latch_diff_0/D DFlipFlop_2/latch_diff_1/nD DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_1/latch_diff_1/D Q1 DFlipFlop_2/D DFlipFlop_3/latch_diff_0/D DFlipFlop_1/D
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_3/latch_diff_1/nD DFlipFlop_0/latch_diff_1/D Q1_shift DFlipFlop_0/latch_diff_0/nD
++ DFlipFlop_2/nQ DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_2/latch_diff_0/D
++ sky130_fd_sc_hs__xor2_1_0/a_158_392# DFlipFlop_3/latch_diff_1/D sky130_fd_sc_hs__or2_1_0/a_63_368#
++ DFlipFlop_1/latch_diff_0/nD sky130_fd_sc_hs__and2_1_1/a_143_136# DFlipFlop_0/Q sky130_fd_sc_hs__and2_1_1/a_56_136#
++ sky130_fd_sc_hs__xor2_1_0/a_194_125# DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ sky130_fd_sc_hs__and2_1_0/a_143_136#
+Xsky130_fd_sc_hs__xor2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# sky130_fd_sc_hs__xor2_1_0/a_455_87# sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ sky130_fd_sc_hs__xor2_1
+XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ nQ2 DFlipFlop_0/Q DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
+XDFlipFlop_1 DFlipFlop_1/latch_diff_0/m1_657_280# vss DFlipFlop_1/latch_diff_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ nQ0 Q0 DFlipFlop_1/latch_diff_1/nD DFlipFlop_1/D DFlipFlop_1/latch_diff_1/m1_657_280#
++ DFlipFlop_1/latch_diff_0/D vdd CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_1/latch_diff_0/nD DFlipFlop
+XDFlipFlop_2 DFlipFlop_2/latch_diff_0/m1_657_280# vss DFlipFlop_2/latch_diff_1/D DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in
++ DFlipFlop_2/nQ Q1 DFlipFlop_2/latch_diff_1/nD DFlipFlop_2/D DFlipFlop_2/latch_diff_1/m1_657_280#
++ DFlipFlop_2/latch_diff_0/D vdd CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_2/latch_diff_0/nD DFlipFlop
+XDFlipFlop_3 DFlipFlop_3/latch_diff_0/m1_657_280# vss DFlipFlop_3/latch_diff_1/D DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ DFlipFlop_3/nQ Q1_shift DFlipFlop_3/latch_diff_1/nD Q1 DFlipFlop_3/latch_diff_1/m1_657_280#
++ DFlipFlop_3/latch_diff_0/D vdd nCLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ CLK DFlipFlop_3/latch_diff_0/nD DFlipFlop
+Xsky130_fd_sc_hs__and2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_0/D sky130_fd_sc_hs__and2_1_0/a_143_136#
++ sky130_fd_sc_hs__and2_1_0/a_56_136# sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__and2_1_1 nQ2 nQ0 vss vss vdd vdd DFlipFlop_1/D sky130_fd_sc_hs__and2_1_1/a_143_136#
++ sky130_fd_sc_hs__and2_1_1/a_56_136# sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__or2_1_0 Q1 Q1_shift vss vss vdd vdd CLK_5 sky130_fd_sc_hs__or2_1_0/a_152_368#
++ sky130_fd_sc_hs__or2_1_0/a_63_368# sky130_fd_sc_hs__or2_1
+C0 vdd DFlipFlop_2/nQ 0.02fF
+C1 Q1 DFlipFlop_1/latch_diff_1/nD 0.10fF
+C2 sky130_fd_sc_hs__or2_1_0/a_152_368# Q1_shift -0.04fF
+C3 Q1 DFlipFlop_2/latch_diff_1/D 0.23fF
+C4 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in nCLK -0.33fF
+C5 DFlipFlop_0/Q nCLK 0.11fF
+C6 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out nCLK 0.05fF
+C7 DFlipFlop_1/latch_diff_0/D nQ0 0.09fF
+C8 sky130_fd_sc_hs__xor2_1_0/a_194_125# Q0 0.26fF
+C9 DFlipFlop_3/nQ CLK 0.01fF
+C10 sky130_fd_sc_hs__and2_1_1/a_143_136# CLK 0.03fF
+C11 vdd nQ2 0.04fF
+C12 DFlipFlop_1/D sky130_fd_sc_hs__and2_1_1/a_56_136# 0.04fF
+C13 DFlipFlop_1/latch_diff_0/D nCLK 0.11fF
+C14 Q1_shift DFlipFlop_3/nQ 0.04fF
+C15 DFlipFlop_2/D CLK 0.14fF
+C16 DFlipFlop_2/D Q0 0.25fF
+C17 DFlipFlop_1/latch_diff_0/m1_657_280# CLK 0.28fF
+C18 DFlipFlop_2/latch_diff_1/nD CLK 0.09fF
+C19 vdd sky130_fd_sc_hs__xor2_1_0/a_355_368# 0.03fF
+C20 DFlipFlop_3/latch_diff_0/m1_657_280# nCLK 0.27fF
+C21 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in nCLK 0.14fF
+C22 Q1 DFlipFlop_3/latch_diff_1/m1_657_280# 0.28fF
+C23 sky130_fd_sc_hs__and2_1_0/a_143_136# Q0 0.03fF
+C24 Q1 DFlipFlop_3/latch_diff_1/nD 1.24fF
+C25 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C26 DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_194_125# 0.08fF
+C27 Q1 DFlipFlop_3/latch_diff_0/D 0.09fF
+C28 DFlipFlop_0/D Q1 0.13fF
+C29 DFlipFlop_1/D CLK 0.21fF
+C30 DFlipFlop_1/D Q0 0.07fF
+C31 DFlipFlop_2/nQ nCLK 0.09fF
+C32 DFlipFlop_3/latch_diff_1/D CLK 0.08fF
+C33 vdd nQ0 0.11fF
+C34 vdd nCLK 0.34fF
+C35 vdd sky130_fd_sc_hs__and2_1_0/a_56_136# 0.02fF
+C36 DFlipFlop_0/latch_diff_1/m1_657_280# nQ2 0.05fF
+C37 Q1 CLK -0.10fF
+C38 Q1 Q0 9.65fF
+C39 nQ0 nQ2 0.03fF
+C40 DFlipFlop_1/latch_diff_0/nD CLK 0.08fF
+C41 Q1 Q1_shift 0.36fF
+C42 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.02fF
+C43 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out CLK 0.15fF
+C44 nQ2 nCLK 0.10fF
+C45 sky130_fd_sc_hs__xor2_1_0/a_455_87# nCLK 0.02fF
+C46 Q1_shift sky130_fd_sc_hs__or2_1_0/a_63_368# -0.27fF
+C47 DFlipFlop_2/latch_diff_1/m1_657_280# Q1 0.03fF
+C48 Q1 DFlipFlop_3/nQ 0.10fF
+C49 DFlipFlop_0/latch_diff_1/nD nCLK 0.05fF
+C50 DFlipFlop_0/Q CLK 0.08fF
+C51 DFlipFlop_2/D Q1 0.10fF
+C52 DFlipFlop_0/Q Q0 0.21fF
+C53 Q1 DFlipFlop_2/latch_diff_1/nD 0.21fF
+C54 nQ0 DFlipFlop_1/latch_diff_1/D 0.91fF
+C55 DFlipFlop_1/latch_diff_0/D Q0 0.42fF
+C56 Q0 DFlipFlop_0/latch_diff_0/D 0.42fF
+C57 DFlipFlop_2/latch_diff_0/D Q1 0.42fF
+C58 DFlipFlop_0/latch_diff_1/m1_657_280# nCLK 0.28fF
+C59 DFlipFlop_1/latch_diff_1/D nCLK 0.08fF
+C60 sky130_fd_sc_hs__and2_1_0/a_143_136# Q1 0.02fF
+C61 nQ0 nCLK 0.09fF
+C62 Q1 DFlipFlop_1/D 0.03fF
+C63 nQ0 DFlipFlop_1/latch_diff_1/m1_657_280# 0.21fF
+C64 vdd sky130_fd_sc_hs__and2_1_1/a_56_136# 0.04fF
+C65 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in Q0 0.42fF
+C66 DFlipFlop_3/latch_diff_1/D Q1 0.79fF
+C67 DFlipFlop_1/latch_diff_1/m1_657_280# nCLK 0.28fF
+C68 vdd DFlipFlop_0/D 0.19fF
+C69 DFlipFlop_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C70 nQ0 DFlipFlop_1/latch_diff_1/nD 0.88fF
+C71 nQ2 sky130_fd_sc_hs__and2_1_1/a_56_136# 0.01fF
+C72 DFlipFlop_2/nQ CLK 0.13fF
+C73 Q1 DFlipFlop_3/latch_diff_0/nD 0.08fF
+C74 DFlipFlop_1/latch_diff_1/nD nCLK 0.16fF
+C75 DFlipFlop_2/latch_diff_1/D nCLK 0.08fF
+C76 Q1 sky130_fd_sc_hs__or2_1_0/a_63_368# 0.10fF
+C77 vdd CLK 0.41fF
+C78 vdd Q0 5.33fF
+C79 vdd Q1_shift 0.10fF
+C80 DFlipFlop_0/latch_diff_0/m1_657_280# CLK 0.28fF
+C81 nQ2 CLK 0.17fF
+C82 nQ2 Q0 0.23fF
+C83 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in Q1 0.20fF
+C84 Q1 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.15fF
+C85 DFlipFlop_0/Q Q1 0.13fF
+C86 vdd sky130_fd_sc_hs__xor2_1_0/a_194_125# 0.03fF
+C87 sky130_fd_sc_hs__xor2_1_0/a_355_368# Q0 0.03fF
+C88 nQ0 sky130_fd_sc_hs__and2_1_1/a_56_136# 0.01fF
+C89 vdd DFlipFlop_3/nQ 0.02fF
+C90 CLK_5 sky130_fd_sc_hs__or2_1_0/a_63_368# 0.06fF
+C91 DFlipFlop_0/latch_diff_1/nD CLK 0.02fF
+C92 DFlipFlop_0/latch_diff_1/nD Q0 0.21fF
+C93 vdd DFlipFlop_2/D 0.07fF
+C94 Q1 DFlipFlop_1/latch_diff_0/D 0.18fF
+C95 Q1 DFlipFlop_0/latch_diff_0/D 0.15fF
+C96 DFlipFlop_3/latch_diff_1/nD nCLK 0.09fF
+C97 CLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C98 sky130_fd_sc_hs__and2_1_1/a_143_136# nQ2 0.01fF
+C99 DFlipFlop_0/D sky130_fd_sc_hs__and2_1_0/a_56_136# 0.04fF
+C100 Q1 DFlipFlop_3/latch_diff_0/m1_657_280# 0.28fF
+C101 DFlipFlop_0/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.02fF
+C102 CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out -0.31fF
+C103 CLK DFlipFlop_1/latch_diff_1/D 0.14fF
+C104 DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.08fF
+C105 Q0 DFlipFlop_1/latch_diff_1/D 0.06fF
+C106 Q1 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in 0.21fF
+C107 nQ0 CLK 0.19fF
+C108 nQ0 Q0 0.33fF
+C109 vdd DFlipFlop_1/D 0.25fF
+C110 Q0 nCLK 0.20fF
+C111 Q0 sky130_fd_sc_hs__and2_1_0/a_56_136# 0.17fF
+C112 Q1 DFlipFlop_2/nQ 0.31fF
+C113 Q0 DFlipFlop_1/latch_diff_1/m1_657_280# 0.01fF
+C114 Q0 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.33fF
+C115 DFlipFlop_0/latch_diff_1/D CLK 0.03fF
+C116 DFlipFlop_0/latch_diff_1/D Q0 0.23fF
+C117 vdd Q1 9.49fF
+C118 DFlipFlop_1/latch_diff_1/nD CLK 0.09fF
+C119 sky130_fd_sc_hs__and2_1_1/a_143_136# nQ0 0.04fF
+C120 DFlipFlop_1/latch_diff_1/nD Q0 0.21fF
+C121 sky130_fd_sc_hs__xor2_1_0/a_194_125# nCLK 0.11fF
+C122 DFlipFlop_2/latch_diff_1/m1_657_280# nCLK 0.28fF
+C123 DFlipFlop_3/nQ nCLK 0.02fF
+C124 vdd sky130_fd_sc_hs__or2_1_0/a_63_368# 0.02fF
+C125 DFlipFlop_2/latch_diff_1/D CLK 0.14fF
+C126 vdd DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.02fF
+C127 Q1 nQ2 0.07fF
+C128 DFlipFlop_1/latch_diff_0/m1_657_280# nQ0 0.25fF
+C129 DFlipFlop_2/D nCLK 0.41fF
+C130 DFlipFlop_2/latch_diff_1/nD nCLK 0.16fF
+C131 DFlipFlop_2/D DFlipFlop_1/latch_diff_1/m1_657_280# 0.04fF
+C132 vdd DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C133 Q1 DFlipFlop_0/latch_diff_1/nD 0.10fF
+C134 vdd CLK_5 0.15fF
+C135 DFlipFlop_2/latch_diff_0/D nCLK 0.11fF
+C136 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C137 DFlipFlop_1/D nQ0 0.12fF
+C138 DFlipFlop_2/latch_diff_0/nD CLK 0.08fF
+C139 DFlipFlop_1/D nCLK 0.14fF
+C140 DFlipFlop_0/Q nQ2 0.09fF
+C141 sky130_fd_sc_hs__and2_1_1/a_56_136# CLK 0.06fF
+C142 DFlipFlop_3/latch_diff_1/m1_657_280# CLK 0.27fF
+C143 DFlipFlop_3/latch_diff_1/nD CLK 0.16fF
+C144 Q1 DFlipFlop_1/latch_diff_1/D -0.10fF
+C145 DFlipFlop_3/latch_diff_1/D nCLK 0.14fF
+C146 CLK DFlipFlop_3/latch_diff_0/D 0.11fF
+C147 Q1 nQ0 0.06fF
+C148 DFlipFlop_0/D Q0 0.39fF
+C149 nQ0 DFlipFlop_1/latch_diff_0/nD 0.08fF
+C150 DFlipFlop_2/latch_diff_0/m1_657_280# CLK 0.28fF
+C151 Q1 nCLK -0.01fF
+C152 DFlipFlop_3/latch_diff_0/nD nCLK 0.08fF
+C153 Q1 sky130_fd_sc_hs__and2_1_0/a_56_136# 0.14fF
+C154 Q1 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.09fF
+C155 Q1 DFlipFlop_0/latch_diff_1/D 0.06fF
+C156 Q0 CLK 0.08fF
+C157 CLK_5 vss -0.18fF
+C158 sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.38fF
+C159 sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.41fF
+C160 sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.38fF
+C161 DFlipFlop_3/nQ vss 0.52fF
+C162 Q1_shift vss -0.29fF
+C163 DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.64fF
+C164 DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C165 DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C166 DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C167 DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C168 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.94fF
+C169 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C170 DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C171 DFlipFlop_2/nQ vss 0.50fF
+C172 Q1 vss 8.55fF
+C173 DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.72fF
+C174 DFlipFlop_2/latch_diff_1/nD vss 0.58fF
+C175 DFlipFlop_2/latch_diff_1/D vss -1.72fF
+C176 DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C177 DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C178 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
+C179 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C180 DFlipFlop_2/D vss 5.34fF
+C181 DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C182 nQ0 vss 3.42fF
+C183 Q0 vss 0.53fF
+C184 DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.62fF
+C185 DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C186 DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C187 DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C188 DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C189 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C190 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.78fF
+C191 DFlipFlop_1/D vss 3.72fF
+C192 DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C193 nQ2 vss 2.05fF
+C194 DFlipFlop_0/Q vss -0.94fF
+C195 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.61fF
+C196 nCLK vss 0.96fF
+C197 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C198 DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C199 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C200 CLK vss 0.20fF
+C201 DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C202 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.88fF
+C203 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C204 DFlipFlop_0/D vss 4.04fF
+C205 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C206 vdd vss 146.76fF
+C207 sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C208 sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.42fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AZESM8 a_n63_n151# a_n33_n125# a_n255_n151# a_33_n151#
++ a_n225_n125# a_63_n125# a_n129_n125# a_n159_n151# w_n455_n335# a_225_n151# a_255_n125#
++ a_129_n151# a_159_n125# a_n317_n125#
+X0 a_159_n125# a_129_n151# a_63_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n225_n125# a_n255_n151# a_n317_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_63_n125# a_33_n151# a_n33_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_n129_n125# a_n159_n151# a_n225_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n33_n125# a_n63_n151# a_n129_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_255_n125# a_225_n151# a_159_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n33_n125# a_159_n125# 0.13fF
+C1 a_n129_n125# a_n317_n125# 0.13fF
+C2 a_n33_n125# a_n317_n125# 0.08fF
+C3 a_255_n125# a_63_n125# 0.13fF
+C4 a_129_n151# a_225_n151# 0.02fF
+C5 a_n63_n151# a_n159_n151# 0.02fF
+C6 a_n63_n151# a_33_n151# 0.02fF
+C7 a_63_n125# a_n225_n125# 0.08fF
+C8 a_255_n125# a_n129_n125# 0.06fF
+C9 a_63_n125# a_n129_n125# 0.13fF
+C10 a_255_n125# a_159_n125# 0.36fF
+C11 a_63_n125# a_159_n125# 0.36fF
+C12 a_255_n125# a_n33_n125# 0.08fF
+C13 a_63_n125# a_n33_n125# 0.36fF
+C14 a_n159_n151# a_n255_n151# 0.02fF
+C15 a_63_n125# a_n317_n125# 0.06fF
+C16 a_129_n151# a_33_n151# 0.02fF
+C17 a_n225_n125# a_n129_n125# 0.36fF
+C18 a_n225_n125# a_159_n125# 0.06fF
+C19 a_n225_n125# a_n33_n125# 0.13fF
+C20 a_n129_n125# a_159_n125# 0.08fF
+C21 a_n225_n125# a_n317_n125# 0.36fF
+C22 a_n33_n125# a_n129_n125# 0.36fF
+C23 a_255_n125# w_n455_n335# 0.14fF
+C24 a_159_n125# w_n455_n335# 0.08fF
+C25 a_63_n125# w_n455_n335# 0.07fF
+C26 a_n33_n125# w_n455_n335# 0.08fF
+C27 a_n129_n125# w_n455_n335# 0.07fF
+C28 a_n225_n125# w_n455_n335# 0.08fF
+C29 a_n317_n125# w_n455_n335# 0.14fF
+C30 a_225_n151# w_n455_n335# 0.05fF
+C31 a_129_n151# w_n455_n335# 0.05fF
+C32 a_33_n151# w_n455_n335# 0.05fF
+C33 a_n63_n151# w_n455_n335# 0.05fF
+C34 a_n159_n151# w_n455_n335# 0.05fF
+C35 a_n255_n151# w_n455_n335# 0.05fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_XJXT7S VSUBS a_n33_n125# a_n255_n154# a_33_n154# a_n225_n125#
++ a_n159_n154# a_63_n125# a_n129_n125# a_225_n154# a_129_n154# a_255_n125# a_159_n125#
++ a_n317_n125# w_n455_n344# a_n63_n154#
+X0 a_n129_n125# a_n159_n154# a_n225_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n33_n125# a_n63_n154# a_n129_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_255_n125# a_225_n154# a_159_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_159_n125# a_129_n154# a_63_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n225_n125# a_n255_n154# a_n317_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_63_n125# a_33_n154# a_n33_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n129_n125# a_n33_n125# 0.36fF
+C1 a_n225_n125# a_n33_n125# 0.13fF
+C2 a_255_n125# a_n129_n125# 0.06fF
+C3 a_33_n154# a_129_n154# 0.02fF
+C4 w_n455_n344# a_n33_n125# 0.05fF
+C5 a_63_n125# a_n33_n125# 0.36fF
+C6 a_255_n125# w_n455_n344# 0.11fF
+C7 a_255_n125# a_63_n125# 0.13fF
+C8 a_129_n154# a_225_n154# 0.02fF
+C9 a_n33_n125# a_n317_n125# 0.08fF
+C10 a_n129_n125# a_159_n125# 0.08fF
+C11 a_n225_n125# a_159_n125# 0.06fF
+C12 a_255_n125# a_n33_n125# 0.08fF
+C13 w_n455_n344# a_159_n125# 0.06fF
+C14 a_33_n154# a_n63_n154# 0.02fF
+C15 a_63_n125# a_159_n125# 0.36fF
+C16 a_n159_n154# a_n63_n154# 0.02fF
+C17 a_n225_n125# a_n129_n125# 0.36fF
+C18 a_n159_n154# a_n255_n154# 0.02fF
+C19 w_n455_n344# a_n129_n125# 0.04fF
+C20 a_n129_n125# a_63_n125# 0.13fF
+C21 w_n455_n344# a_n225_n125# 0.06fF
+C22 a_n225_n125# a_63_n125# 0.08fF
+C23 a_n129_n125# a_n317_n125# 0.13fF
+C24 a_n225_n125# a_n317_n125# 0.36fF
+C25 a_n33_n125# a_159_n125# 0.13fF
+C26 a_255_n125# a_159_n125# 0.36fF
+C27 w_n455_n344# a_63_n125# 0.04fF
+C28 w_n455_n344# a_n317_n125# 0.11fF
+C29 a_63_n125# a_n317_n125# 0.06fF
+C30 a_255_n125# VSUBS 0.03fF
+C31 a_159_n125# VSUBS 0.03fF
+C32 a_63_n125# VSUBS 0.03fF
+C33 a_n33_n125# VSUBS 0.03fF
+C34 a_n129_n125# VSUBS 0.03fF
+C35 a_n225_n125# VSUBS 0.03fF
+C36 a_n317_n125# VSUBS 0.03fF
+C37 a_225_n154# VSUBS 0.05fF
+C38 a_129_n154# VSUBS 0.05fF
+C39 a_33_n154# VSUBS 0.05fF
+C40 a_n63_n154# VSUBS 0.05fF
+C41 a_n159_n154# VSUBS 0.05fF
+C42 a_n255_n154# VSUBS 0.05fF
+C43 w_n455_n344# VSUBS 2.96fF
+.ends
+
+.subckt inverter_cp_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_AZESM8_0 in vss in in vss out out in vss in out in vss out
++ sky130_fd_pr__nfet_01v8_AZESM8
+Xsky130_fd_pr__pfet_01v8_XJXT7S_0 vss vdd in in vdd in out out in in out vdd out vdd
++ in sky130_fd_pr__pfet_01v8_XJXT7S
+C0 in vdd 0.04fF
+C1 out vdd 0.29fF
+C2 in out 0.85fF
+C3 vdd vss 5.90fF
+C4 out vss 1.30fF
+C5 in vss 1.82fF
+.ends
+
+.subckt pfd_cp_interface vss inverter_cp_x1_2/in vdd inverter_cp_x1_0/out Down QA
++ QB nDown Up nUp
+Xinverter_cp_x2_0 nDown Down vss vdd inverter_cp_x2
+Xinverter_cp_x2_1 Up nUp vss vdd inverter_cp_x2
+Xtrans_gate_0 nDown inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 inverter_cp_x1_0/out QB vss vdd inverter_cp_x1
+Xinverter_cp_x1_2 Up inverter_cp_x1_2/in vss vdd inverter_cp_x1
+Xinverter_cp_x1_1 inverter_cp_x1_2/in QA vss vdd inverter_cp_x1
+C0 QB vdd 0.02fF
+C1 nDown vdd 0.80fF
+C2 Up vdd 0.60fF
+C3 nDown Down 0.23fF
+C4 vdd Down 0.09fF
+C5 nDown inverter_cp_x1_0/out 0.11fF
+C6 inverter_cp_x1_0/out vdd 0.25fF
+C7 QA vdd 0.02fF
+C8 inverter_cp_x1_0/out Down 0.12fF
+C9 nUp Up 0.20fF
+C10 nUp vdd 0.14fF
+C11 Up inverter_cp_x1_2/in 0.12fF
+C12 vdd inverter_cp_x1_2/in 0.42fF
+C13 inverter_cp_x1_2/in vss 2.01fF
+C14 QA vss 1.09fF
+C15 inverter_cp_x1_0/out vss 2.00fF
+C16 QB vss 1.09fF
+C17 vdd vss 28.96fF
+C18 nUp vss 1.32fF
+C19 Up vss 2.53fF
+C20 Down vss 1.26fF
+C21 nDown vss 2.98fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F35BC VSUBS a_n129_n90# w_n359_n309# a_n63_n116#
++ a_n159_n207# a_63_n90# a_n33_n90# a_n221_n90# a_159_n90#
+X0 a_159_n90# a_n63_n116# a_63_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n129_n90# a_n159_n207# a_n221_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X2 a_63_n90# a_n159_n207# a_n33_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X3 a_n33_n90# a_n63_n116# a_n129_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_159_n90# a_n33_n90# 0.09fF
+C1 a_159_n90# a_n221_n90# 0.04fF
+C2 a_n33_n90# a_n129_n90# 0.26fF
+C3 w_n359_n309# a_159_n90# 0.09fF
+C4 a_n129_n90# a_n221_n90# 0.26fF
+C5 a_n33_n90# a_63_n90# 0.26fF
+C6 a_63_n90# a_n221_n90# 0.06fF
+C7 w_n359_n309# a_n129_n90# 0.06fF
+C8 a_159_n90# a_n129_n90# 0.06fF
+C9 w_n359_n309# a_63_n90# 0.06fF
+C10 a_159_n90# a_63_n90# 0.26fF
+C11 a_n33_n90# a_n221_n90# 0.09fF
+C12 w_n359_n309# a_n33_n90# 0.05fF
+C13 a_n63_n116# a_n159_n207# 0.12fF
+C14 a_n129_n90# a_63_n90# 0.09fF
+C15 w_n359_n309# a_n221_n90# 0.09fF
+C16 a_159_n90# VSUBS 0.03fF
+C17 a_63_n90# VSUBS 0.03fF
+C18 a_n33_n90# VSUBS 0.03fF
+C19 a_n129_n90# VSUBS 0.03fF
+C20 a_n221_n90# VSUBS 0.03fF
+C21 a_n159_n207# VSUBS 0.30fF
+C22 a_n63_n116# VSUBS 0.37fF
+C23 w_n359_n309# VSUBS 2.23fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_C3YG4M a_n33_n45# a_33_n71# a_n129_71# w_n263_n255#
++ a_n125_n45# a_63_n45#
+X0 a_63_n45# a_33_n71# a_n33_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n129_71# a_n125_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_n125_n45# a_n33_n45# 0.13fF
+C1 a_n33_n45# a_63_n45# 0.13fF
+C2 a_n125_n45# a_63_n45# 0.05fF
+C3 a_n129_71# a_33_n71# 0.04fF
+C4 a_63_n45# w_n263_n255# 0.04fF
+C5 a_n33_n45# w_n263_n255# 0.04fF
+C6 a_n125_n45# w_n263_n255# 0.04fF
+C7 a_33_n71# w_n263_n255# 0.11fF
+C8 a_n129_71# w_n263_n255# 0.14fF
+.ends
+
+.subckt nor_pfd sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd A B
+Xsky130_fd_pr__pfet_01v8_4F35BC_0 vss sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vdd B A sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out vdd vdd sky130_fd_pr__pfet_01v8_4F35BC
+Xsky130_fd_pr__nfet_01v8_C3YG4M_0 out B A vss vss vss sky130_fd_pr__nfet_01v8_C3YG4M
+C0 A out 0.06fF
+C1 vdd out 0.11fF
+C2 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out 0.08fF
+C3 out B 0.40fF
+C4 vdd A 0.09fF
+C5 vdd sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.02fF
+C6 A B 0.24fF
+C7 vdd sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.02fF
+C8 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C9 out vss 0.45fF
+C10 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C11 A vss 0.83fF
+C12 B vss 1.09fF
+C13 vdd vss 3.79fF
+.ends
+
+.subckt dff_pfd vdd vss nor_pfd_2/A Q CLK nor_pfd_3/A nor_pfd_2/B Reset
+Xnor_pfd_0 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/A nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd CLK Q nor_pfd
+Xnor_pfd_1 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# Q nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_3/A nor_pfd
+Xnor_pfd_2 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_3/A nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_2/B nor_pfd
+Xnor_pfd_3 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/B nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_3/A Reset nor_pfd
+C0 nor_pfd_2/A nor_pfd_2/B 0.05fF
+C1 nor_pfd_2/A nor_pfd_3/A 0.38fF
+C2 nor_pfd_2/B Q 2.22fF
+C3 nor_pfd_2/A vdd -0.01fF
+C4 Q nor_pfd_3/A 0.98fF
+C5 vdd Q 0.08fF
+C6 Reset Q 0.14fF
+C7 nor_pfd_2/B nor_pfd_3/A 0.58fF
+C8 vdd nor_pfd_2/B 0.02fF
+C9 vdd nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
+C10 vdd nor_pfd_3/A 0.09fF
+C11 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.06fF
+C12 vdd nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
+C13 nor_pfd_2/B Reset 0.43fF
+C14 Reset nor_pfd_3/A 0.12fF
+C15 vdd nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C16 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.06fF
+C17 nor_pfd_2/A Q 1.38fF
+C18 vdd nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C19 CLK Q 0.04fF
+C20 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C21 nor_pfd_2/B vss 1.42fF
+C22 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C23 nor_pfd_3/A vss 3.16fF
+C24 Reset vss 1.48fF
+C25 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C26 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C27 nor_pfd_2/A vss 2.56fF
+C28 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C29 Q vss 2.77fF
+C30 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C31 vdd vss 16.42fF
+C32 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C33 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C34 CLK vss 0.95fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZCYAJJ w_n359_n255# a_n33_n45# a_n159_n173# a_n221_n45#
++ a_159_n45# a_n63_n71# a_n129_n45# a_63_n45#
+X0 a_63_n45# a_n159_n173# a_n33_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n63_n71# a_n129_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X2 a_159_n45# a_n63_n71# a_63_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X3 a_n129_n45# a_n159_n173# a_n221_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_n33_n45# a_63_n45# 0.13fF
+C1 a_159_n45# a_n129_n45# 0.03fF
+C2 a_n33_n45# a_n129_n45# 0.13fF
+C3 a_63_n45# a_n221_n45# 0.03fF
+C4 a_n129_n45# a_n221_n45# 0.13fF
+C5 a_159_n45# a_n33_n45# 0.05fF
+C6 a_n63_n71# a_n159_n173# 0.10fF
+C7 a_63_n45# a_n129_n45# 0.05fF
+C8 a_159_n45# a_n221_n45# 0.02fF
+C9 a_n33_n45# a_n221_n45# 0.05fF
+C10 a_159_n45# a_63_n45# 0.13fF
+C11 a_159_n45# w_n359_n255# 0.04fF
+C12 a_63_n45# w_n359_n255# 0.05fF
+C13 a_n33_n45# w_n359_n255# 0.05fF
+C14 a_n129_n45# w_n359_n255# 0.05fF
+C15 a_n221_n45# w_n359_n255# 0.08fF
+C16 a_n159_n173# w_n359_n255# 0.31fF
+C17 a_n63_n71# w_n359_n255# 0.31fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7T83YG VSUBS a_n125_n90# a_63_n90# a_33_n187# a_n99_n187#
++ a_n33_n90# w_n263_n309#
+X0 a_63_n90# a_33_n187# a_n33_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n33_n90# a_n99_n187# a_n125_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_n33_n90# a_n125_n90# 0.26fF
+C1 a_63_n90# a_n125_n90# 0.09fF
+C2 a_33_n187# a_n99_n187# 0.04fF
+C3 a_n33_n90# a_63_n90# 0.26fF
+C4 a_63_n90# VSUBS 0.03fF
+C5 a_n33_n90# VSUBS 0.03fF
+C6 a_n125_n90# VSUBS 0.03fF
+C7 a_33_n187# VSUBS 0.12fF
+C8 a_n99_n187# VSUBS 0.12fF
+C9 w_n263_n309# VSUBS 1.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZXAV3F a_n73_n45# a_n33_67# a_15_n45# w_n211_n255#
+X0 a_15_n45# a_n33_67# a_n73_n45# w_n211_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_15_n45# a_n73_n45# 0.16fF
+C1 a_15_n45# w_n211_n255# 0.08fF
+C2 a_n73_n45# w_n211_n255# 0.06fF
+C3 a_n33_67# w_n211_n255# 0.10fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F7GBC VSUBS a_n51_n187# a_n73_n90# a_15_n90# w_n211_n309#
+X0 a_15_n90# a_n51_n187# a_n73_n90# w_n211_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_n73_n90# w_n211_n309# 0.04fF
+C1 w_n211_n309# a_15_n90# 0.09fF
+C2 a_n73_n90# a_15_n90# 0.31fF
+C3 a_15_n90# VSUBS 0.03fF
+C4 a_n73_n90# VSUBS 0.03fF
+C5 a_n51_n187# VSUBS 0.12fF
+C6 w_n211_n309# VSUBS 1.24fF
+.ends
+
+.subckt and_pfd a_656_410# vss out vdd A B
+Xsky130_fd_pr__nfet_01v8_ZCYAJJ_0 vss a_656_410# A vss vss B sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45#
++ sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# sky130_fd_pr__nfet_01v8_ZCYAJJ
+Xsky130_fd_pr__pfet_01v8_7T83YG_0 vss vdd vdd B A a_656_410# vdd sky130_fd_pr__pfet_01v8_7T83YG
+Xsky130_fd_pr__nfet_01v8_ZXAV3F_0 vss a_656_410# out vss sky130_fd_pr__nfet_01v8_ZXAV3F
+Xsky130_fd_pr__pfet_01v8_4F7GBC_0 vss a_656_410# vdd out vdd sky130_fd_pr__pfet_01v8_4F7GBC
+C0 a_656_410# A 0.04fF
+C1 out sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# 0.03fF
+C2 vdd out 0.10fF
+C3 a_656_410# sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# 0.07fF
+C4 B a_656_410# 0.30fF
+C5 vdd a_656_410# 0.20fF
+C6 B A 0.33fF
+C7 vdd A 0.05fF
+C8 B sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# 0.02fF
+C9 a_656_410# out 0.20fF
+C10 vdd vss 4.85fF
+C11 out vss 0.47fF
+C12 a_656_410# vss 1.00fF
+C13 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.13fF
+C14 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.10fF
+C15 A vss 0.85fF
+C16 B vss 0.95fF
+.ends
+
+.subckt PFD vss vdd Down Up A B Reset
+Xdff_pfd_0 vdd vss dff_pfd_0/nor_pfd_2/A Up A dff_pfd_0/nor_pfd_3/A dff_pfd_0/nor_pfd_2/B
++ Reset dff_pfd
+Xdff_pfd_1 vdd vss dff_pfd_1/nor_pfd_2/A Down B dff_pfd_1/nor_pfd_3/A dff_pfd_1/nor_pfd_2/B
++ Reset dff_pfd
+Xand_pfd_0 and_pfd_0/a_656_410# vss Reset vdd Up Down and_pfd
+C0 Down vdd 0.08fF
+C1 vdd dff_pfd_1/nor_pfd_2/B 0.04fF
+C2 Up vdd 1.62fF
+C3 Down Up 0.06fF
+C4 vdd dff_pfd_0/nor_pfd_2/B 0.11fF
+C5 dff_pfd_1/nor_pfd_3/A vdd 0.08fF
+C6 vdd dff_pfd_1/nor_pfd_2/A 0.13fF
+C7 vdd Reset 0.02fF
+C8 vdd dff_pfd_0/nor_pfd_2/A 0.13fF
+C9 vdd dff_pfd_0/nor_pfd_3/A 0.08fF
+C10 and_pfd_0/a_656_410# vss 0.99fF
+C11 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C12 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.05fF
+C13 dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C14 dff_pfd_1/nor_pfd_2/B vss 1.51fF
+C15 dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C16 dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C17 dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C18 dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C19 dff_pfd_1/nor_pfd_2/A vss 2.56fF
+C20 dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C21 Down vss 3.74fF
+C22 dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C23 dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C24 dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C25 B vss 1.07fF
+C26 dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C27 dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C28 dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C29 dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C30 Reset vss 3.85fF
+C31 dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C32 dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C33 dff_pfd_0/nor_pfd_2/A vss 2.56fF
+C34 dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C35 Up vss 3.18fF
+C36 dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C37 vdd vss 44.73fF
+C38 dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C39 dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C40 A vss 1.07fF
+.ends
+
+.subckt top_pll_v1 vco_vctrl vdd pswitch ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd
++ ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd charge_pump_0/w_2544_775# ring_osc_0/csvco_branch_2/vbp
++ biasp in_ref Down w_13905_n238# vco_D0 vss QA iref_cp ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd
++ out_to_div nDown out_to_pad Up nUp
+Xloop_filter_0 lf_vc vco_vctrl vss loop_filter
+Xcharge_pump_0 vss pswitch nswitch vco_vctrl vdd biasp nUp Down charge_pump_0/w_2544_775#
++ iref_cp nDown Up charge_pump
+Xdiv_by_2_0 vss vdd div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in out_by_2 n_out_by_2
++ out_buffer_div_2 out_to_div out_div_2 n_out_buffer_div_2 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out
++ n_out_div_2 div_by_2
+Xbuffer_salida_0 buffer_salida_0/a_678_n100# out_to_pad out_to_buffer vss vdd buffer_salida
+Xring_osc_0 ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vco_vctrl ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd
++ ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vdd vss ring_osc_0/csvco_branch_2/vbp
++ ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vco_D0 ring_osc_0/csvco_branch_2/cap_vco_0/t
++ vco_out ring_osc
+Xring_osc_buffer_0 vss vco_out vdd out_first_buffer out_to_div out_to_buffer ring_osc_buffer
+Xdiv_by_5_0 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/DFlipFlop_1/latch_diff_0/D
++ n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD div_by_5_0/DFlipFlop_0/D div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd div_by_5_0/DFlipFlop_2/latch_diff_0/nD div_5_Q0 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# div_5_nQ0 div_by_5_0/DFlipFlop_1/latch_diff_1/nD
++ out_div_by_5 div_by_5_0/DFlipFlop_3/latch_diff_0/nD div_5_nQ2 div_by_5_0/DFlipFlop_0/latch_diff_0/D
++ div_by_5_0/DFlipFlop_2/latch_diff_1/nD div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_1/latch_diff_1/D div_5_Q1 div_by_5_0/DFlipFlop_2/D div_by_5_0/DFlipFlop_3/latch_diff_0/D
++ div_by_5_0/DFlipFlop_1/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_3/latch_diff_1/nD div_by_5_0/DFlipFlop_0/latch_diff_1/D div_5_Q1_shift
++ div_by_5_0/DFlipFlop_0/latch_diff_0/nD div_by_5_0/DFlipFlop_2/nQ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_2/latch_diff_0/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ div_by_5_0/DFlipFlop_3/latch_diff_1/D div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368#
++ div_by_5_0/DFlipFlop_1/latch_diff_0/nD div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136#
++ div_by_5_0/DFlipFlop_0/Q div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136#
++ div_by_5
+Xpfd_cp_interface_0 vss pfd_cp_interface_0/inverter_cp_x1_2/in vdd pfd_cp_interface_0/inverter_cp_x1_0/out
++ Down QA QB nDown Up nUp pfd_cp_interface
+XPFD_0 vss vdd QB QA in_ref out_div_by_5 pfd_reset PFD
+C0 vco_vctrl vdd -1.02fF
+C1 buffer_salida_0/a_678_n100# vdd 0.24fF
+C2 out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/nD 0.09fF
+C3 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# n_out_by_2 -0.05fF
+C4 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_0/nD 0.17fF
+C5 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# 0.12fF
+C6 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vdd 0.03fF
+C7 buffer_salida_0/a_678_n100# out_to_buffer 0.22fF
+C8 n_out_by_2 div_by_5_0/DFlipFlop_0/Q -0.23fF
+C9 ring_osc_0/csvco_branch_2/cap_vco_0/t out_first_buffer 0.03fF
+C10 out_div_by_5 div_5_Q1_shift 0.05fF
+C11 nswitch nDown 0.76fF
+C12 out_by_2 div_by_5_0/DFlipFlop_1/D 0.38fF
+C13 n_out_by_2 div_5_nQ0 0.10fF
+C14 out_to_div div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in -0.16fF
+C15 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD 0.17fF
+C16 n_out_by_2 div_5_Q0 -0.12fF
+C17 nUp nDown -0.09fF
+C18 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# vco_vctrl -0.11fF
+C19 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/D 0.24fF
+C20 biasp Down 1.24fF
+C21 vdd Up 0.28fF
+C22 n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_0/D 0.24fF
+C23 out_to_div div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out -0.12fF
+C24 n_out_by_2 div_5_nQ2 0.10fF
+C25 out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D 0.23fF
+C26 out_by_2 div_by_5_0/DFlipFlop_0/D 0.35fF
+C27 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in n_out_by_2 -0.20fF
+C28 out_by_2 vdd 0.97fF
+C29 n_out_by_2 div_by_5_0/DFlipFlop_2/nQ 0.10fF
+C30 n_out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/D 0.10fF
+C31 vco_vctrl div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# -0.36fF
+C32 div_5_Q1 n_out_by_2 1.04fF
+C33 out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_0/nD 0.10fF
+C34 div_by_5_0/DFlipFlop_3/latch_diff_1/nD n_out_by_2 0.10fF
+C35 n_out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_0/D 0.12fF
+C36 div_5_Q1 out_div_by_5 0.01fF
+C37 n_out_by_2 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out -0.11fF
+C38 pswitch Up 1.98fF
+C39 div_by_5_0/DFlipFlop_0/latch_diff_1/D out_by_2 0.33fF
+C40 vdd pfd_cp_interface_0/inverter_cp_x1_2/in 0.01fF
+C41 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/nD 0.24fF
+C42 nswitch Down 0.54fF
+C43 out_by_2 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out 0.28fF
+C44 out_to_div vdd 0.21fF
+C45 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vdd 0.04fF
+C46 vco_D0 vdd 0.03fF
+C47 vdd lf_vc 0.02fF
+C48 nUp vdd 0.05fF
+C49 Down nDown 2.55fF
+C50 vdd nDown 0.22fF
+C51 vco_vctrl div_5_Q0 0.48fF
+C52 out_by_2 div_by_5_0/DFlipFlop_2/D 0.22fF
+C53 out_to_div out_to_buffer 0.13fF
+C54 vco_vctrl ring_osc_0/csvco_branch_0/inverter_csvco_0/vss 0.04fF
+C55 out_by_2 vco_vctrl 0.53fF
+C56 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_0/D 0.12fF
+C57 n_out_by_2 div_by_5_0/DFlipFlop_1/D 0.22fF
+C58 out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/nD 0.09fF
+C59 ring_osc_0/csvco_branch_2/vbp vdd 0.03fF
+C60 div_by_5_0/DFlipFlop_0/latch_diff_1/nD n_out_by_2 0.33fF
+C61 div_5_Q1 vco_vctrl 0.14fF
+C62 nUp pswitch 0.85fF
+C63 pswitch nDown 0.53fF
+C64 n_out_by_2 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in -0.51fF
+C65 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D 0.10fF
+C66 n_out_by_2 div_by_5_0/DFlipFlop_0/D -1.48fF
+C67 vco_vctrl nswitch -0.06fF
+C68 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_0/nD 0.11fF
+C69 n_out_by_2 vdd 1.03fF
+C70 out_by_2 div_by_5_0/DFlipFlop_0/Q 0.09fF
+C71 nUp vco_vctrl 0.02fF
+C72 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# 0.03fF
+C73 Up biasp 0.26fF
+C74 iref_cp Down 0.09fF
+C75 iref_cp vdd 0.15fF
+C76 out_div_by_5 vdd 0.28fF
+C77 out_by_2 div_5_nQ0 0.32fF
+C78 out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# 0.10fF
+C79 out_div_by_5 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# 0.18fF
+C80 out_by_2 div_5_Q0 0.09fF
+C81 div_by_5_0/DFlipFlop_0/latch_diff_1/D n_out_by_2 0.17fF
+C82 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/D 0.09fF
+C83 vdd out_to_buffer 0.07fF
+C84 vco_vctrl ring_osc_0/csvco_branch_2/vbp 0.26fF
+C85 out_by_2 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out -0.04fF
+C86 out_by_2 div_5_nQ2 0.16fF
+C87 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392# n_out_by_2 0.01fF
+C88 out_by_2 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out 0.09fF
+C89 out_by_2 div_by_5_0/DFlipFlop_2/nQ 0.23fF
+C90 out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/D 0.23fF
+C91 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in n_out_by_2 0.27fF
+C92 n_out_by_2 div_by_5_0/DFlipFlop_2/D 0.19fF
+C93 out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136# -0.02fF
+C94 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# n_out_by_2 0.02fF
+C95 vdd QA -0.04fF
+C96 out_by_2 div_5_Q1 0.42fF
+C97 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_0/D 0.11fF
+C98 vco_vctrl n_out_by_2 0.52fF
+C99 vco_vctrl div_by_5_0/DFlipFlop_0/D -0.45fF
+C100 nUp biasp -0.17fF
+C101 nUp Up 2.72fF
+C102 out_by_2 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in -0.22fF
+C103 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/nD 0.23fF
+C104 biasp nDown 0.26fF
+C105 ring_osc_0/csvco_branch_2/cap_vco_0/t vdd 0.02fF
+C106 div_by_5_0/DFlipFlop_1/latch_diff_1/nD n_out_by_2 0.24fF
+C107 out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_0/nD 0.10fF
+C108 PFD_0/and_pfd_0/a_656_410# vss 0.96fF
+C109 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C110 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.07fF
+C111 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C112 PFD_0/dff_pfd_1/nor_pfd_2/B vss 1.40fF
+C113 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C114 PFD_0/dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C115 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C116 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C117 PFD_0/dff_pfd_1/nor_pfd_2/A vss 2.55fF
+C118 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C119 QB vss 4.46fF
+C120 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C121 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C122 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C123 out_div_by_5 vss -0.40fF
+C124 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C125 PFD_0/dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C126 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C127 PFD_0/dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C128 pfd_reset vss 2.17fF
+C129 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C130 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C131 PFD_0/dff_pfd_0/nor_pfd_2/A vss 2.55fF
+C132 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C133 QA vss 4.31fF
+C134 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C135 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C136 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C137 in_ref vss 1.19fF
+C138 pfd_cp_interface_0/inverter_cp_x1_2/in vss 1.85fF
+C139 pfd_cp_interface_0/inverter_cp_x1_0/out vss 1.87fF
+C140 nUp vss 5.50fF
+C141 Up vss 2.37fF
+C142 Down vss 7.92fF
+C143 nDown vss -2.20fF
+C144 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.37fF
+C145 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.38fF
+C146 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.41fF
+C147 div_by_5_0/DFlipFlop_3/nQ vss 0.48fF
+C148 div_5_Q1_shift vss -0.14fF
+C149 div_by_5_0/DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.57fF
+C150 div_by_5_0/DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C151 div_by_5_0/DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C152 div_by_5_0/DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C153 div_by_5_0/DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C154 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C155 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C156 div_by_5_0/DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C157 div_by_5_0/DFlipFlop_2/nQ vss 0.48fF
+C158 div_5_Q1 vss 4.28fF
+C159 div_by_5_0/DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.57fF
+C160 div_by_5_0/DFlipFlop_2/latch_diff_1/nD vss 0.57fF
+C161 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss -1.73fF
+C162 div_by_5_0/DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C163 div_by_5_0/DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C164 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C165 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C166 div_by_5_0/DFlipFlop_2/D vss 3.13fF
+C167 div_by_5_0/DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C168 div_5_nQ0 vss 0.59fF
+C169 div_5_Q0 vss 0.01fF
+C170 div_by_5_0/DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.57fF
+C171 div_by_5_0/DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C172 div_by_5_0/DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C173 div_by_5_0/DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C174 div_by_5_0/DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C175 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C176 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C177 div_by_5_0/DFlipFlop_1/D vss 3.64fF
+C178 div_by_5_0/DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C179 div_5_nQ2 vss 1.24fF
+C180 div_by_5_0/DFlipFlop_0/Q vss -0.94fF
+C181 div_by_5_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C182 n_out_by_2 vss -2.62fF
+C183 div_by_5_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C184 div_by_5_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C185 div_by_5_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C186 out_by_2 vss -4.51fF
+C187 div_by_5_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C188 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C189 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C190 div_by_5_0/DFlipFlop_0/D vss 3.96fF
+C191 div_by_5_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C192 vdd vss 366.82fF
+C193 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C194 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.40fF
+C195 out_to_buffer vss 1.57fF
+C196 out_to_div vss 4.46fF
+C197 out_first_buffer vss 2.88fF
+C198 ring_osc_0/csvco_branch_2/in vss 1.60fF
+C199 ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C200 ring_osc_0/csvco_branch_1/cap_vco_0/t vss 7.10fF
+C201 ring_osc_0/csvco_branch_1/inverter_csvco_0/vss vss 0.52fF
+C202 ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C203 ring_osc_0/csvco_branch_2/cap_vco_0/t vss 7.10fF
+C204 ring_osc_0/csvco_branch_2/inverter_csvco_0/vss vss 0.52fF
+C205 ring_osc_0/csvco_branch_1/in vss 1.58fF
+C206 ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C207 vco_out vss 1.01fF
+C208 vco_D0 vss -4.63fF
+C209 ring_osc_0/csvco_branch_0/cap_vco_0/t vss 7.10fF
+C210 ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vss 0.52fF
+C211 ring_osc_0/csvco_branch_2/vbp vss 0.38fF
+C212 out_to_pad vss 7.50fF
+C213 buffer_salida_0/a_3996_n100# vss 48.29fF
+C214 buffer_salida_0/a_678_n100# vss 13.38fF
+C215 n_out_buffer_div_2 vss 1.63fF
+C216 out_buffer_div_2 vss 1.60fF
+C217 div_by_2_0/DFlipFlop_0/CLK vss 0.31fF
+C218 div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C219 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.89fF
+C220 div_by_2_0/DFlipFlop_0/nCLK vss 1.03fF
+C221 out_div_2 vss -1.30fF
+C222 div_by_2_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C223 div_by_2_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C224 div_by_2_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C225 div_by_2_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C226 div_by_2_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C227 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C228 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C229 n_out_div_2 vss 1.95fF
+C230 div_by_2_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C231 nswitch vss 3.73fF
+C232 biasp vss 5.44fF
+C233 iref_cp vss 2.81fF
+C234 vco_vctrl vss -19.28fF
+C235 pswitch vss 3.57fF
+C236 lf_vc vss -59.89fF
+C237 loop_filter_0/res_loop_filter_2/out vss 7.90fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_lvt_8P223X VSUBS a_n2017_n1317# a_n1731_n1219# a_n1879_n1219#
++ a_n2017_n61# w_n2018_n202#
+X0 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X1 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X2 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X3 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X4 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X5 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X6 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X7 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X8 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X9 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X10 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X11 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X12 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X13 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X14 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X15 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X16 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X17 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X18 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X19 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X20 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X21 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X22 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X23 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X24 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X25 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X26 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X27 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X28 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X29 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X30 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X31 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X32 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X33 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X34 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X35 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X36 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X37 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X38 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X39 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X40 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X41 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X42 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X43 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X44 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X45 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X46 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X47 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X48 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X49 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+C0 a_n2017_n1317# a_n1879_n1219# 2.66fF
+C1 a_n2017_n1317# a_n1731_n1219# 4.73fF
+C2 a_n2017_n1317# a_n2017_n61# 2.88fF
+C3 w_n2018_n202# a_n1879_n1219# 0.25fF
+C4 w_n2018_n202# a_n1731_n1219# 19.90fF
+C5 w_n2018_n202# a_n2017_n61# 1.37fF
+C6 w_n2018_n202# a_n2017_n1317# 0.16fF
+C7 a_n1731_n1219# a_n1879_n1219# 19.29fF
+C8 a_n1879_n1219# a_n2017_n61# 0.16fF
+C9 a_n1731_n1219# a_n2017_n61# 5.23fF
+C10 a_n1879_n1219# VSUBS 1.53fF
+C11 a_n2017_n1317# VSUBS 5.03fF
+C12 a_n1731_n1219# VSUBS 2.60fF
+C13 a_n2017_n61# VSUBS 5.10fF
+C14 w_n2018_n202# VSUBS 37.43fF
+.ends
+
+.subckt bias VSUBS vdd iref_1 iref_2 iref
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_5 VSUBS iref m1_20168_984# iref m1_20168_984#
++ vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_6 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_6/a_n1731_n1219#
++ iref_5 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_7 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_7/a_n1731_n1219#
++ iref_6 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_9 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_9/a_n1731_n1219#
++ iref_8 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_8 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_8/a_n1731_n1219#
++ iref_7 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_10 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_10/a_n1731_n1219#
++ iref_9 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_0 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_0/a_n1731_n1219#
++ iref_0 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_1 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219#
++ iref_1 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_2 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_2/a_n1731_n1219#
++ iref_2 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_3 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219#
++ iref_3 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_4 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219#
++ iref_4 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+C0 sky130_fd_pr__pfet_01v8_lvt_8P223X_6/a_n1731_n1219# m1_20168_984# 0.54fF
+C1 sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219# m1_20168_984# 0.01fF
+C2 sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219# iref -0.15fF
+C3 iref m1_20168_984# 0.07fF
+C4 iref_2 iref_1 0.05fF
+C5 sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219# iref 0.02fF
+C6 iref_8 iref -0.03fF
+C7 sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219# iref_2 0.24fF
+C8 iref_2 iref -0.01fF
+C9 sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219# vdd 0.24fF
+C10 vdd iref -0.07fF
+C11 vdd sky130_fd_pr__pfet_01v8_lvt_8P223X_7/a_n1731_n1219# 0.24fF
+C12 iref iref_5 0.05fF
+C13 sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219# m1_20168_984# -0.39fF
+C14 sky130_fd_pr__pfet_01v8_lvt_8P223X_7/a_n1731_n1219# iref_5 0.24fF
+C15 iref_3 iref_4 0.05fF
+C16 sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219# m1_20168_984# 0.01fF
+C17 iref_8 iref_7 0.05fF
+C18 sky130_fd_pr__pfet_01v8_lvt_8P223X_8/a_n1731_n1219# iref_6 0.24fF
+C19 sky130_fd_pr__pfet_01v8_lvt_8P223X_10/a_n1731_n1219# iref_8 0.24fF
+C20 sky130_fd_pr__pfet_01v8_lvt_8P223X_9/a_n1731_n1219# iref_7 0.24fF
+C21 vdd sky130_fd_pr__pfet_01v8_lvt_8P223X_2/a_n1731_n1219# 0.24fF
+C22 iref_6 iref_7 0.05fF
+C23 iref_9 iref -0.01fF
+C24 vdd sky130_fd_pr__pfet_01v8_lvt_8P223X_8/a_n1731_n1219# 0.24fF
+C25 iref_4 iref 0.30fF
+C26 iref_1 iref -0.02fF
+C27 vdd m1_20168_984# 0.25fF
+C28 vdd sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219# 0.24fF
+C29 sky130_fd_pr__pfet_01v8_lvt_8P223X_10/a_n1731_n1219# vdd 0.24fF
+C30 iref_1 iref_0 0.05fF
+C31 vdd sky130_fd_pr__pfet_01v8_lvt_8P223X_9/a_n1731_n1219# 0.24fF
+C32 sky130_fd_pr__pfet_01v8_lvt_8P223X_0/a_n1731_n1219# sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219# 0.67fF
+C33 iref_1 sky130_fd_pr__pfet_01v8_lvt_8P223X_2/a_n1731_n1219# 0.24fF
+C34 iref_6 iref_5 0.05fF
+C35 iref_3 sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219# 0.24fF
+C36 iref_8 iref_9 0.05fF
+C37 iref_3 iref_2 0.05fF
+C38 iref_4 VSUBS 1.17fF
+C39 sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219# VSUBS 2.60fF
+C40 iref_3 VSUBS 0.64fF
+C41 sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219# VSUBS 2.60fF
+C42 iref_2 VSUBS -1.26fF
+C43 sky130_fd_pr__pfet_01v8_lvt_8P223X_2/a_n1731_n1219# VSUBS 2.60fF
+C44 iref_1 VSUBS -0.80fF
+C45 sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219# VSUBS 2.60fF
+C46 iref_0 VSUBS 1.88fF
+C47 iref VSUBS 32.42fF
+C48 sky130_fd_pr__pfet_01v8_lvt_8P223X_0/a_n1731_n1219# VSUBS 2.60fF
+C49 m1_20168_984# VSUBS 56.92fF
+C50 vdd VSUBS 416.01fF
+C51 iref_9 VSUBS -1.13fF
+C52 sky130_fd_pr__pfet_01v8_lvt_8P223X_10/a_n1731_n1219# VSUBS 2.60fF
+C53 iref_7 VSUBS -1.38fF
+C54 sky130_fd_pr__pfet_01v8_lvt_8P223X_8/a_n1731_n1219# VSUBS 2.60fF
+C55 iref_8 VSUBS -1.19fF
+C56 sky130_fd_pr__pfet_01v8_lvt_8P223X_9/a_n1731_n1219# VSUBS 2.60fF
+C57 iref_6 VSUBS -1.00fF
+C58 sky130_fd_pr__pfet_01v8_lvt_8P223X_7/a_n1731_n1219# VSUBS 2.60fF
+C59 iref_5 VSUBS 1.40fF
+C60 sky130_fd_pr__pfet_01v8_lvt_8P223X_6/a_n1731_n1219# VSUBS 2.60fF
+.ends
+
+.subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
++ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
++ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
++ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10]
++ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
++ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
++ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
++ io_analog[1] io_analog[2] io_analog[3] io_analog[5] io_analog[7] io_analog[8] io_analog[9]
++ io_analog[4] io_analog[6] io_clamp_high[0] io_clamp_high[2] io_clamp_low[0] io_clamp_low[2]
++ io_in[0] io_in[10] io_in[11] io_in[12] io_in[13] io_in[14] io_in[15] io_in[16] io_in[17]
++ io_in[18] io_in[19] io_in[1] io_in[20] io_in[21] io_in[22] io_in[23] io_in[24] io_in[25]
++ io_in[26] io_in[2] io_in[3] io_in[4] io_in[5] io_in[6] io_in[7] io_in[8] io_in[9]
++ io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12] io_in_3v3[13] io_in_3v3[14]
++ io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18] io_in_3v3[19] io_in_3v3[1]
++ io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23] io_in_3v3[24] io_in_3v3[25]
++ io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4] io_in_3v3[5] io_in_3v3[6] io_in_3v3[7]
++ io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10] io_oeb[11] io_oeb[12] io_oeb[13]
++ io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18] io_oeb[19] io_oeb[1] io_oeb[20]
++ io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25] io_oeb[26] io_oeb[2] io_oeb[3]
++ io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8] io_oeb[9] io_out[0] io_out[10]
++ io_out[11] io_out[12] io_out[13] io_out[14] io_out[15] io_out[16] io_out[17] io_out[18]
++ io_out[19] io_out[1] io_out[20] io_out[21] io_out[22] io_out[23] io_out[24] io_out[25]
++ io_out[26] io_out[2] io_out[3] io_out[4] io_out[5] io_out[6] io_out[7] io_out[8]
++ io_out[9] la_data_in[0] la_data_in[100] la_data_in[101] la_data_in[102] la_data_in[103]
++ la_data_in[104] la_data_in[105] la_data_in[106] la_data_in[107] la_data_in[108]
++ la_data_in[109] la_data_in[10] la_data_in[110] la_data_in[111] la_data_in[112] la_data_in[113]
++ la_data_in[114] la_data_in[115] la_data_in[116] la_data_in[117] la_data_in[118]
++ la_data_in[119] la_data_in[11] la_data_in[120] la_data_in[121] la_data_in[122] la_data_in[123]
++ la_data_in[124] la_data_in[125] la_data_in[126] la_data_in[127] la_data_in[12] la_data_in[13]
++ la_data_in[14] la_data_in[15] la_data_in[16] la_data_in[17] la_data_in[18] la_data_in[19]
++ la_data_in[1] la_data_in[20] la_data_in[21] la_data_in[22] la_data_in[23] la_data_in[24]
++ la_data_in[25] la_data_in[26] la_data_in[27] la_data_in[28] la_data_in[29] la_data_in[2]
++ la_data_in[30] la_data_in[31] la_data_in[32] la_data_in[33] la_data_in[34] la_data_in[35]
++ la_data_in[36] la_data_in[37] la_data_in[38] la_data_in[39] la_data_in[3] la_data_in[40]
++ la_data_in[41] la_data_in[42] la_data_in[43] la_data_in[44] la_data_in[45] la_data_in[46]
++ la_data_in[47] la_data_in[48] la_data_in[49] la_data_in[4] la_data_in[50] la_data_in[51]
++ la_data_in[52] la_data_in[53] la_data_in[54] la_data_in[55] la_data_in[56] la_data_in[57]
++ la_data_in[58] la_data_in[59] la_data_in[5] la_data_in[60] la_data_in[61] la_data_in[62]
++ la_data_in[63] la_data_in[64] la_data_in[65] la_data_in[66] la_data_in[67] la_data_in[68]
++ la_data_in[69] la_data_in[6] la_data_in[70] la_data_in[71] la_data_in[72] la_data_in[73]
++ la_data_in[74] la_data_in[75] la_data_in[76] la_data_in[77] la_data_in[78] la_data_in[79]
++ la_data_in[7] la_data_in[80] la_data_in[81] la_data_in[82] la_data_in[83] la_data_in[84]
++ la_data_in[85] la_data_in[86] la_data_in[87] la_data_in[88] la_data_in[89] la_data_in[8]
++ la_data_in[90] la_data_in[91] la_data_in[92] la_data_in[93] la_data_in[94] la_data_in[95]
++ la_data_in[96] la_data_in[97] la_data_in[98] la_data_in[99] la_data_in[9] la_data_out[0]
++ la_data_out[100] la_data_out[101] la_data_out[102] la_data_out[103] la_data_out[104]
++ la_data_out[105] la_data_out[106] la_data_out[107] la_data_out[108] la_data_out[109]
++ la_data_out[10] la_data_out[110] la_data_out[111] la_data_out[112] la_data_out[113]
++ la_data_out[114] la_data_out[115] la_data_out[116] la_data_out[117] la_data_out[118]
++ la_data_out[119] la_data_out[11] la_data_out[120] la_data_out[121] la_data_out[122]
++ la_data_out[123] la_data_out[124] la_data_out[125] la_data_out[126] la_data_out[127]
++ la_data_out[12] la_data_out[13] la_data_out[14] la_data_out[15] la_data_out[16]
++ la_data_out[17] la_data_out[18] la_data_out[19] la_data_out[1] la_data_out[20] la_data_out[21]
++ la_data_out[22] la_data_out[23] la_data_out[24] la_data_out[25] la_data_out[26]
++ la_data_out[27] la_data_out[28] la_data_out[29] la_data_out[2] la_data_out[30] la_data_out[31]
++ la_data_out[32] la_data_out[33] la_data_out[34] la_data_out[35] la_data_out[36]
++ la_data_out[37] la_data_out[38] la_data_out[39] la_data_out[3] la_data_out[40] la_data_out[41]
++ la_data_out[42] la_data_out[43] la_data_out[44] la_data_out[45] la_data_out[46]
++ la_data_out[47] la_data_out[48] la_data_out[49] la_data_out[4] la_data_out[50] la_data_out[51]
++ la_data_out[52] la_data_out[53] la_data_out[54] la_data_out[55] la_data_out[56]
++ la_data_out[57] la_data_out[58] la_data_out[59] la_data_out[5] la_data_out[60] la_data_out[61]
++ la_data_out[62] la_data_out[63] la_data_out[64] la_data_out[65] la_data_out[66]
++ la_data_out[67] la_data_out[68] la_data_out[69] la_data_out[6] la_data_out[70] la_data_out[71]
++ la_data_out[72] la_data_out[73] la_data_out[74] la_data_out[75] la_data_out[76]
++ la_data_out[77] la_data_out[78] la_data_out[79] la_data_out[7] la_data_out[80] la_data_out[81]
++ la_data_out[82] la_data_out[83] la_data_out[84] la_data_out[85] la_data_out[86]
++ la_data_out[87] la_data_out[88] la_data_out[89] la_data_out[8] la_data_out[90] la_data_out[91]
++ la_data_out[92] la_data_out[93] la_data_out[94] la_data_out[95] la_data_out[96]
++ la_data_out[97] la_data_out[98] la_data_out[99] la_data_out[9] la_oenb[0] la_oenb[100]
++ la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104] la_oenb[105] la_oenb[106] la_oenb[107]
++ la_oenb[108] la_oenb[109] la_oenb[10] la_oenb[110] la_oenb[111] la_oenb[112] la_oenb[113]
++ la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117] la_oenb[118] la_oenb[119] la_oenb[11]
++ la_oenb[120] la_oenb[121] la_oenb[122] la_oenb[123] la_oenb[124] la_oenb[125] la_oenb[126]
++ la_oenb[127] la_oenb[12] la_oenb[13] la_oenb[14] la_oenb[15] la_oenb[16] la_oenb[17]
++ la_oenb[18] la_oenb[19] la_oenb[1] la_oenb[20] la_oenb[21] la_oenb[22] la_oenb[23]
++ la_oenb[24] la_oenb[25] la_oenb[26] la_oenb[27] la_oenb[28] la_oenb[29] la_oenb[2]
++ la_oenb[30] la_oenb[31] la_oenb[32] la_oenb[33] la_oenb[34] la_oenb[35] la_oenb[36]
++ la_oenb[37] la_oenb[38] la_oenb[39] la_oenb[3] la_oenb[40] la_oenb[41] la_oenb[42]
++ la_oenb[43] la_oenb[44] la_oenb[45] la_oenb[46] la_oenb[47] la_oenb[48] la_oenb[49]
++ la_oenb[4] la_oenb[50] la_oenb[51] la_oenb[52] la_oenb[53] la_oenb[54] la_oenb[55]
++ la_oenb[56] la_oenb[57] la_oenb[58] la_oenb[59] la_oenb[5] la_oenb[60] la_oenb[61]
++ la_oenb[62] la_oenb[63] la_oenb[64] la_oenb[65] la_oenb[66] la_oenb[67] la_oenb[68]
++ la_oenb[69] la_oenb[6] la_oenb[70] la_oenb[71] la_oenb[72] la_oenb[73] la_oenb[74]
++ la_oenb[75] la_oenb[76] la_oenb[77] la_oenb[78] la_oenb[79] la_oenb[7] la_oenb[80]
++ la_oenb[81] la_oenb[82] la_oenb[83] la_oenb[84] la_oenb[85] la_oenb[86] la_oenb[87]
++ la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90] la_oenb[91] la_oenb[92] la_oenb[93]
++ la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97] la_oenb[98] la_oenb[99] la_oenb[9]
++ user_clock2 user_irq[0] user_irq[1] user_irq[2] vccd1 vccd2 vdda1 vdda2 vssa1 vssa2
++ vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0] wbs_adr_i[10] wbs_adr_i[11]
++ wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15] wbs_adr_i[16] wbs_adr_i[17]
++ wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20] wbs_adr_i[21] wbs_adr_i[22]
++ wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26] wbs_adr_i[27] wbs_adr_i[28]
++ wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31] wbs_adr_i[3] wbs_adr_i[4]
++ wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] wbs_cyc_i wbs_dat_i[0]
++ wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14] wbs_dat_i[15]
++ wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1] wbs_dat_i[20]
++ wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25] wbs_dat_i[26]
++ wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30] wbs_dat_i[31]
++ wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8] wbs_dat_i[9]
++ wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13] wbs_dat_o[14]
++ wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19] wbs_dat_o[1]
++ wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24] wbs_dat_o[25]
++ wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2] wbs_dat_o[30]
++ wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6] wbs_dat_o[7] wbs_dat_o[8]
++ wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3] wbs_stb_i wbs_we_i
+Xtop_pll_v1_0 top_pll_v1_0/vco_vctrl vdda1 top_pll_v1_0/pswitch top_pll_v1_0/ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd
++ top_pll_v1_0/ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd top_pll_v1_0/charge_pump_0/w_2544_775#
++ top_pll_v1_0/ring_osc_0/csvco_branch_2/vbp top_pll_v1_0/biasp io_analog[10] top_pll_v1_0/Down
++ vssa1 io_in[14] vssa1 top_pll_v1_0/QA bias_0/iref_2 top_pll_v1_0/ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd
++ top_pll_v1_0/out_to_div top_pll_v1_0/nDown io_analog[9] top_pll_v1_0/Up top_pll_v1_0/nUp
++ top_pll_v1
+Xtop_pll_v1_1 top_pll_v1_1/vco_vctrl vdda1 top_pll_v1_1/pswitch top_pll_v1_1/ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd
++ top_pll_v1_1/ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd top_pll_v1_1/charge_pump_0/w_2544_775#
++ top_pll_v1_1/ring_osc_0/csvco_branch_2/vbp top_pll_v1_1/biasp io_analog[10] top_pll_v1_1/Down
++ vssa1 io_in[14] vssa1 top_pll_v1_1/QA bias_0/iref_1 top_pll_v1_1/ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd
++ top_pll_v1_1/out_to_div top_pll_v1_1/nDown io_analog[8] top_pll_v1_1/Up top_pll_v1_1/nUp
++ top_pll_v1
+Xbias_0 vssa1 vdda1 bias_0/iref_1 bias_0/iref_2 io_analog[5] bias
+C0 io_clamp_low[2] io_analog[6] 0.53fF
+C1 vdda1 top_pll_v1_1/buffer_salida_0/a_3996_n100# 0.05fF
+C2 vdda1 top_pll_v1_1/pswitch 0.34fF
+C3 bias_0/iref_2 top_pll_v1_0/nUp 0.70fF
+C4 bias_0/iref_2 top_pll_v1_0/biasp 3.20fF
+C5 io_clamp_low[0] io_clamp_high[0] 0.53fF
+C6 top_pll_v1_0/vco_vctrl vdda1 0.43fF
+C7 vdda1 top_pll_v1_1/vco_vctrl 0.59fF
+C8 io_clamp_high[0] io_analog[4] 0.53fF
+C9 vdda1 top_pll_v1_0/ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd 0.04fF
+C10 top_pll_v1_1/ring_osc_0/csvco_branch_2/vbp vdda1 2.10fF
+C11 top_pll_v1_1/ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vdda1 0.17fF
+C12 top_pll_v1_1/Up bias_0/iref_1 0.54fF
+C13 io_analog[5] m3_226242_702300# 0.53fF
+C14 top_pll_v1_0/ring_osc_0/csvco_branch_2/vbp vdda1 1.01fF
+C15 vdda1 bias_0/iref_2 3.90fF
+C16 vdda1 bias_0/iref_1 15.26fF
+C17 vdda1 io_analog[9] 30.05fF
+C18 vdda1 io_analog[10] 0.01fF
+C19 top_pll_v1_0/Up bias_0/iref_2 0.70fF
+C20 vdda1 top_pll_v1_0/ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd 0.04fF
+C21 top_pll_v1_1/ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vdda1 0.17fF
+C22 io_analog[9] bias_0/iref_2 14.44fF
+C23 top_pll_v1_1/charge_pump_0/w_2544_775# bias_0/iref_1 0.09fF
+C24 vdda1 top_pll_v1_1/biasp 0.03fF
+C25 io_clamp_low[2] io_clamp_high[2] 0.53fF
+C26 top_pll_v1_1/nDown bias_0/iref_1 0.54fF
+C27 io_analog[10] top_pll_v1_0/QA 0.03fF
+C28 vdda1 io_in[14] 49.34fF
+C29 vdda1 top_pll_v1_0/pswitch 0.38fF
+C30 top_pll_v1_1/Down bias_0/iref_1 0.91fF
+C31 io_clamp_high[2] io_analog[6] 0.53fF
+C32 vdda1 top_pll_v1_1/nUp 0.01fF
+C33 top_pll_v1_0/vco_vctrl io_in[14] 0.05fF
+C34 io_analog[8] vdda1 29.93fF
+C35 io_clamp_low[0] io_analog[4] 0.53fF
+C36 io_in[14] top_pll_v1_1/vco_vctrl 0.05fF
+C37 top_pll_v1_0/charge_pump_0/w_2544_775# bias_0/iref_2 0.02fF
+C38 top_pll_v1_1/biasp bias_0/iref_1 2.20fF
+C39 top_pll_v1_1/nUp bias_0/iref_1 0.22fF
+C40 top_pll_v1_1/ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vdda1 0.17fF
+C41 bias_0/iref_2 top_pll_v1_0/nDown 0.70fF
+C42 io_in[14] io_analog[10] 14.37fF
+C43 top_pll_v1_0/Down bias_0/iref_2 1.11fF
+C44 io_analog[8] bias_0/iref_2 14.44fF
+C45 io_in[14] top_pll_v1_0/out_to_div 0.23fF
+C46 io_analog[5] m3_222594_702300# 0.53fF
+C47 vdda1 top_pll_v1_0/nUp 0.01fF
+C48 vdda1 top_pll_v1_0/ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd 0.04fF
+C49 vdda1 top_pll_v1_0/biasp 0.03fF
+C50 io_in[14] top_pll_v1_1/out_to_div 0.23fF
+C51 vdda1 top_pll_v1_0/buffer_salida_0/a_3996_n100# 0.06fF
+C52 io_in_3v3[0] vssa1 0.41fF
+C53 io_oeb[26] vssa1 0.61fF
+C54 io_in[0] vssa1 0.41fF
+C55 io_out[26] vssa1 0.61fF
+C56 io_out[0] vssa1 0.41fF
+C57 io_in[26] vssa1 0.61fF
+C58 io_oeb[0] vssa1 0.41fF
+C59 io_in_3v3[26] vssa1 0.61fF
+C60 io_in_3v3[1] vssa1 0.41fF
+C61 io_oeb[25] vssa1 0.61fF
+C62 io_in[1] vssa1 0.41fF
+C63 io_out[25] vssa1 0.61fF
+C64 io_out[1] vssa1 0.41fF
+C65 io_in[25] vssa1 0.61fF
+C66 io_oeb[1] vssa1 0.41fF
+C67 io_in_3v3[25] vssa1 0.61fF
+C68 io_in_3v3[2] vssa1 0.41fF
+C69 io_oeb[24] vssa1 0.61fF
+C70 io_in[2] vssa1 0.41fF
+C71 io_out[24] vssa1 0.61fF
+C72 io_out[2] vssa1 0.41fF
+C73 io_in[24] vssa1 0.61fF
+C74 io_oeb[2] vssa1 -0.20fF
+C75 io_in_3v3[3] vssa1 0.41fF
+C76 gpio_noesd[17] vssa1 0.61fF
+C77 io_in[3] vssa1 0.41fF
+C78 gpio_analog[17] vssa1 0.61fF
+C79 io_out[3] vssa1 0.41fF
+C80 io_oeb[3] vssa1 0.41fF
+C81 io_in_3v3[4] vssa1 0.41fF
+C82 io_in[4] vssa1 0.41fF
+C83 io_out[4] vssa1 0.41fF
+C84 io_oeb[4] vssa1 0.41fF
+C85 io_oeb[23] vssa1 0.61fF
+C86 io_out[23] vssa1 0.61fF
+C87 io_in[23] vssa1 0.61fF
+C88 io_in_3v3[23] vssa1 0.61fF
+C89 gpio_noesd[16] vssa1 0.61fF
+C90 io_in_3v3[5] vssa1 0.41fF
+C91 io_in[5] vssa1 -0.20fF
+C92 io_out[5] vssa1 0.41fF
+C93 io_oeb[5] vssa1 0.41fF
+C94 io_oeb[22] vssa1 0.61fF
+C95 io_out[22] vssa1 0.61fF
+C96 io_in[22] vssa1 0.61fF
+C97 io_in_3v3[22] vssa1 0.61fF
+C98 gpio_analog[15] vssa1 0.61fF
+C99 io_in_3v3[6] vssa1 -0.20fF
+C100 io_in[6] vssa1 0.41fF
+C101 io_out[6] vssa1 0.41fF
+C102 io_oeb[6] vssa1 0.41fF
+C103 io_oeb[21] vssa1 0.61fF
+C104 io_out[21] vssa1 0.61fF
+C105 io_in[21] vssa1 0.61fF
+C106 io_in_3v3[21] vssa1 0.61fF
+C107 gpio_noesd[14] vssa1 0.61fF
+C108 gpio_analog[14] vssa1 0.61fF
+C109 vssd2 vssa1 -5.19fF
+C110 vssd1 vssa1 1.13fF
+C111 vdda2 vssa1 -5.19fF
+C112 io_oeb[20] vssa1 0.61fF
+C113 io_out[20] vssa1 0.61fF
+C114 io_in[20] vssa1 0.61fF
+C115 io_in_3v3[20] vssa1 0.61fF
+C116 gpio_noesd[13] vssa1 0.61fF
+C117 gpio_analog[13] vssa1 0.61fF
+C118 gpio_analog[0] vssa1 0.41fF
+C119 gpio_noesd[0] vssa1 0.41fF
+C120 io_in_3v3[7] vssa1 0.41fF
+C121 io_in[7] vssa1 0.41fF
+C122 io_out[7] vssa1 0.41fF
+C123 io_oeb[7] vssa1 0.41fF
+C124 io_oeb[19] vssa1 0.61fF
+C125 io_out[19] vssa1 0.61fF
+C126 io_in[19] vssa1 0.61fF
+C127 io_in_3v3[19] vssa1 0.61fF
+C128 gpio_noesd[12] vssa1 0.61fF
+C129 gpio_analog[12] vssa1 0.61fF
+C130 gpio_analog[1] vssa1 0.41fF
+C131 gpio_noesd[1] vssa1 0.41fF
+C132 io_in_3v3[8] vssa1 0.41fF
+C133 io_in[8] vssa1 0.41fF
+C134 io_out[8] vssa1 -0.20fF
+C135 io_oeb[8] vssa1 0.41fF
+C136 io_oeb[18] vssa1 0.61fF
+C137 io_out[18] vssa1 0.61fF
+C138 io_in_3v3[18] vssa1 0.61fF
+C139 gpio_noesd[11] vssa1 0.61fF
+C140 gpio_analog[11] vssa1 0.61fF
+C141 gpio_analog[2] vssa1 0.41fF
+C142 gpio_noesd[2] vssa1 0.41fF
+C143 io_in_3v3[9] vssa1 0.41fF
+C144 io_in[9] vssa1 0.41fF
+C145 io_out[9] vssa1 0.41fF
+C146 io_oeb[9] vssa1 0.41fF
+C147 io_oeb[17] vssa1 0.61fF
+C148 io_in[17] vssa1 0.61fF
+C149 io_in_3v3[17] vssa1 0.61fF
+C150 gpio_noesd[10] vssa1 0.61fF
+C151 gpio_analog[10] vssa1 0.61fF
+C152 gpio_analog[3] vssa1 0.41fF
+C153 gpio_noesd[3] vssa1 0.41fF
+C154 io_in_3v3[10] vssa1 0.41fF
+C155 io_in[10] vssa1 0.41fF
+C156 io_out[10] vssa1 0.41fF
+C157 io_oeb[10] vssa1 0.41fF
+C158 io_out[16] vssa1 0.61fF
+C159 io_in[16] vssa1 0.61fF
+C160 io_in_3v3[16] vssa1 0.61fF
+C161 gpio_noesd[9] vssa1 0.61fF
+C162 gpio_analog[9] vssa1 0.61fF
+C163 gpio_analog[4] vssa1 0.41fF
+C164 gpio_noesd[4] vssa1 0.41fF
+C165 io_in_3v3[11] vssa1 0.41fF
+C166 io_in[11] vssa1 0.41fF
+C167 io_out[11] vssa1 0.41fF
+C168 io_oeb[11] vssa1 0.41fF
+C169 io_oeb[15] vssa1 0.61fF
+C170 io_out[15] vssa1 0.61fF
+C171 io_in[15] vssa1 0.61fF
+C172 io_in_3v3[15] vssa1 0.61fF
+C173 gpio_noesd[8] vssa1 0.61fF
+C174 gpio_analog[8] vssa1 0.61fF
+C175 gpio_analog[5] vssa1 0.41fF
+C176 gpio_noesd[5] vssa1 0.41fF
+C177 io_in_3v3[12] vssa1 0.41fF
+C178 io_in[12] vssa1 0.41fF
+C179 io_out[12] vssa1 0.41fF
+C180 io_oeb[12] vssa1 0.41fF
+C181 io_oeb[14] vssa1 0.61fF
+C182 io_out[14] vssa1 0.61fF
+C183 gpio_analog[6] vssa1 0.60fF
+C184 gpio_noesd[6] vssa1 0.60fF
+C185 io_in_3v3[13] vssa1 0.60fF
+C186 io_in[13] vssa1 0.60fF
+C187 io_out[13] vssa1 0.60fF
+C188 io_oeb[13] vssa1 0.60fF
+C189 vccd1 vssa1 0.85fF
+C190 io_in_3v3[14] vssa1 0.61fF
+C191 gpio_noesd[7] vssa1 0.61fF
+C192 vssa2 vssa1 1.66fF
+C193 io_analog[0] vssa1 -6.01fF
+C194 io_analog[1] vssa1 0.76fF
+C195 vccd2 vssa1 0.91fF
+C196 io_analog[2] vssa1 -5.85fF
+C197 io_analog[3] vssa1 -5.74fF
+C198 io_analog[4] vssa1 -5.03fF
+C199 io_clamp_high[0] vssa1 -2.60fF
+C200 io_clamp_low[0] vssa1 0.82fF
+C201 io_analog[6] vssa1 -4.92fF
+C202 io_clamp_high[2] vssa1 0.66fF
+C203 io_clamp_low[2] vssa1 0.50fF
+C204 io_analog[7] vssa1 0.66fF
+C205 user_irq[2] vssa1 0.63fF
+C206 user_irq[1] vssa1 0.63fF
+C207 user_irq[0] vssa1 0.63fF
+C208 user_clock2 vssa1 0.63fF
+C209 la_oenb[127] vssa1 0.63fF
+C210 la_data_in[127] vssa1 0.63fF
+C211 la_oenb[126] vssa1 0.63fF
+C212 la_data_out[126] vssa1 0.63fF
+C213 la_data_in[126] vssa1 0.63fF
+C214 la_oenb[125] vssa1 0.63fF
+C215 la_data_out[125] vssa1 0.63fF
+C216 la_data_in[125] vssa1 0.63fF
+C217 la_oenb[124] vssa1 0.63fF
+C218 la_data_out[124] vssa1 0.63fF
+C219 la_data_in[124] vssa1 0.63fF
+C220 la_oenb[123] vssa1 0.63fF
+C221 la_data_out[123] vssa1 0.63fF
+C222 la_oenb[122] vssa1 0.63fF
+C223 la_data_out[122] vssa1 0.63fF
+C224 la_data_in[122] vssa1 0.63fF
+C225 la_oenb[121] vssa1 0.63fF
+C226 la_data_out[121] vssa1 0.63fF
+C227 la_data_in[121] vssa1 0.63fF
+C228 la_oenb[120] vssa1 0.63fF
+C229 la_data_out[120] vssa1 0.63fF
+C230 la_data_in[120] vssa1 0.63fF
+C231 la_oenb[119] vssa1 0.63fF
+C232 la_data_out[119] vssa1 0.63fF
+C233 la_data_in[119] vssa1 0.63fF
+C234 la_oenb[118] vssa1 0.63fF
+C235 la_data_out[118] vssa1 0.63fF
+C236 la_data_in[118] vssa1 0.63fF
+C237 la_oenb[117] vssa1 0.63fF
+C238 la_data_out[117] vssa1 0.63fF
+C239 la_data_in[117] vssa1 0.63fF
+C240 la_data_out[116] vssa1 0.63fF
+C241 la_data_in[116] vssa1 0.63fF
+C242 la_oenb[115] vssa1 0.63fF
+C243 la_data_out[115] vssa1 0.63fF
+C244 la_data_in[115] vssa1 0.63fF
+C245 la_oenb[114] vssa1 0.63fF
+C246 la_data_out[114] vssa1 0.63fF
+C247 la_data_in[114] vssa1 0.63fF
+C248 la_oenb[113] vssa1 0.63fF
+C249 la_data_out[113] vssa1 0.63fF
+C250 la_data_in[113] vssa1 0.63fF
+C251 la_oenb[112] vssa1 0.63fF
+C252 la_data_in[112] vssa1 0.63fF
+C253 la_oenb[111] vssa1 0.63fF
+C254 la_data_out[111] vssa1 0.63fF
+C255 la_data_in[111] vssa1 0.63fF
+C256 la_oenb[110] vssa1 0.63fF
+C257 la_data_out[110] vssa1 0.63fF
+C258 la_data_in[110] vssa1 0.63fF
+C259 la_oenb[109] vssa1 0.63fF
+C260 la_data_out[109] vssa1 0.63fF
+C261 la_data_in[109] vssa1 0.63fF
+C262 la_oenb[108] vssa1 0.63fF
+C263 la_data_out[108] vssa1 0.63fF
+C264 la_oenb[107] vssa1 0.63fF
+C265 la_data_out[107] vssa1 0.63fF
+C266 la_data_in[107] vssa1 0.63fF
+C267 la_oenb[106] vssa1 0.63fF
+C268 la_data_out[106] vssa1 0.63fF
+C269 la_oenb[105] vssa1 0.63fF
+C270 la_data_out[105] vssa1 0.63fF
+C271 la_data_in[105] vssa1 0.63fF
+C272 la_oenb[104] vssa1 0.63fF
+C273 la_data_out[104] vssa1 0.63fF
+C274 la_data_in[104] vssa1 0.63fF
+C275 la_oenb[103] vssa1 0.63fF
+C276 la_data_out[103] vssa1 0.63fF
+C277 la_data_in[103] vssa1 0.63fF
+C278 la_oenb[102] vssa1 0.63fF
+C279 la_data_out[102] vssa1 0.63fF
+C280 la_data_in[102] vssa1 0.63fF
+C281 la_data_out[101] vssa1 0.63fF
+C282 la_data_in[101] vssa1 0.63fF
+C283 la_oenb[100] vssa1 0.63fF
+C284 la_data_out[100] vssa1 0.63fF
+C285 la_data_in[100] vssa1 0.63fF
+C286 la_oenb[99] vssa1 0.63fF
+C287 la_data_out[99] vssa1 0.63fF
+C288 la_data_in[99] vssa1 0.63fF
+C289 la_oenb[98] vssa1 0.63fF
+C290 la_data_out[98] vssa1 0.63fF
+C291 la_data_in[98] vssa1 0.63fF
+C292 la_oenb[97] vssa1 0.63fF
+C293 la_data_in[97] vssa1 0.63fF
+C294 la_oenb[96] vssa1 0.63fF
+C295 la_data_out[96] vssa1 0.63fF
+C296 la_data_in[96] vssa1 0.63fF
+C297 la_oenb[95] vssa1 0.63fF
+C298 la_data_out[95] vssa1 0.63fF
+C299 la_data_in[95] vssa1 0.63fF
+C300 la_oenb[94] vssa1 0.63fF
+C301 la_data_out[94] vssa1 0.63fF
+C302 la_data_in[94] vssa1 0.63fF
+C303 la_oenb[93] vssa1 0.63fF
+C304 la_data_out[93] vssa1 0.63fF
+C305 la_oenb[92] vssa1 0.63fF
+C306 la_data_out[92] vssa1 0.63fF
+C307 la_data_in[92] vssa1 0.63fF
+C308 la_oenb[91] vssa1 0.63fF
+C309 la_data_out[91] vssa1 0.63fF
+C310 la_oenb[90] vssa1 0.63fF
+C311 la_data_out[90] vssa1 0.63fF
+C312 la_data_in[90] vssa1 0.63fF
+C313 la_oenb[89] vssa1 0.63fF
+C314 la_data_out[89] vssa1 0.63fF
+C315 la_data_in[89] vssa1 0.63fF
+C316 la_oenb[88] vssa1 0.63fF
+C317 la_data_out[88] vssa1 0.63fF
+C318 la_data_in[88] vssa1 0.63fF
+C319 la_oenb[87] vssa1 0.63fF
+C320 la_data_out[87] vssa1 0.63fF
+C321 la_data_in[87] vssa1 0.63fF
+C322 la_data_out[86] vssa1 0.63fF
+C323 la_data_in[86] vssa1 0.63fF
+C324 la_oenb[85] vssa1 0.63fF
+C325 la_data_out[85] vssa1 0.63fF
+C326 la_data_in[85] vssa1 0.63fF
+C327 la_oenb[84] vssa1 0.63fF
+C328 la_data_out[84] vssa1 0.63fF
+C329 la_data_in[84] vssa1 0.63fF
+C330 la_oenb[83] vssa1 0.63fF
+C331 la_data_out[83] vssa1 0.63fF
+C332 la_data_in[83] vssa1 0.63fF
+C333 la_oenb[82] vssa1 0.63fF
+C334 la_data_in[82] vssa1 0.63fF
+C335 la_oenb[81] vssa1 0.63fF
+C336 la_data_out[81] vssa1 0.63fF
+C337 la_data_in[81] vssa1 0.63fF
+C338 la_oenb[80] vssa1 0.63fF
+C339 la_data_out[80] vssa1 0.63fF
+C340 la_data_in[80] vssa1 0.63fF
+C341 la_oenb[79] vssa1 0.63fF
+C342 la_data_out[79] vssa1 0.63fF
+C343 la_data_in[79] vssa1 0.63fF
+C344 la_oenb[78] vssa1 0.63fF
+C345 la_data_out[78] vssa1 0.63fF
+C346 la_data_in[78] vssa1 0.63fF
+C347 la_oenb[77] vssa1 0.63fF
+C348 la_data_out[77] vssa1 0.63fF
+C349 la_data_in[77] vssa1 0.63fF
+C350 la_oenb[76] vssa1 0.63fF
+C351 la_data_out[76] vssa1 0.63fF
+C352 la_oenb[75] vssa1 0.63fF
+C353 la_data_out[75] vssa1 0.63fF
+C354 la_data_in[75] vssa1 0.63fF
+C355 la_oenb[74] vssa1 0.63fF
+C356 la_data_out[74] vssa1 0.63fF
+C357 la_data_in[74] vssa1 0.63fF
+C358 la_oenb[73] vssa1 0.63fF
+C359 la_data_out[73] vssa1 0.63fF
+C360 la_data_in[73] vssa1 0.63fF
+C361 la_oenb[72] vssa1 0.63fF
+C362 la_data_out[72] vssa1 0.63fF
+C363 la_data_in[72] vssa1 0.63fF
+C364 la_data_out[71] vssa1 0.63fF
+C365 la_data_in[71] vssa1 0.63fF
+C366 la_oenb[70] vssa1 0.63fF
+C367 la_data_out[70] vssa1 0.63fF
+C368 la_data_in[70] vssa1 0.63fF
+C369 la_oenb[69] vssa1 0.63fF
+C370 la_data_out[69] vssa1 0.63fF
+C371 la_data_in[69] vssa1 0.63fF
+C372 la_oenb[68] vssa1 0.63fF
+C373 la_data_out[68] vssa1 0.63fF
+C374 la_data_in[68] vssa1 0.63fF
+C375 la_oenb[67] vssa1 0.63fF
+C376 la_data_in[67] vssa1 0.63fF
+C377 la_oenb[66] vssa1 0.63fF
+C378 la_data_out[66] vssa1 0.63fF
+C379 la_data_in[66] vssa1 0.63fF
+C380 la_oenb[65] vssa1 0.63fF
+C381 la_data_out[65] vssa1 0.26fF
+C382 la_data_in[65] vssa1 0.63fF
+C383 la_oenb[64] vssa1 0.63fF
+C384 la_data_out[64] vssa1 0.63fF
+C385 la_data_in[64] vssa1 0.63fF
+C386 la_oenb[63] vssa1 0.63fF
+C387 la_data_out[63] vssa1 0.63fF
+C388 la_data_in[63] vssa1 0.63fF
+C389 la_oenb[62] vssa1 0.63fF
+C390 la_data_out[62] vssa1 0.63fF
+C391 la_data_in[62] vssa1 0.63fF
+C392 la_oenb[61] vssa1 0.63fF
+C393 la_data_out[61] vssa1 0.63fF
+C394 la_oenb[60] vssa1 0.63fF
+C395 la_data_out[60] vssa1 0.63fF
+C396 la_data_in[60] vssa1 0.63fF
+C397 la_oenb[59] vssa1 0.63fF
+C398 la_data_out[59] vssa1 0.63fF
+C399 la_data_in[59] vssa1 0.63fF
+C400 la_oenb[58] vssa1 0.63fF
+C401 la_data_out[58] vssa1 0.63fF
+C402 la_data_in[58] vssa1 0.63fF
+C403 la_oenb[57] vssa1 0.63fF
+C404 la_data_out[57] vssa1 0.63fF
+C405 la_data_in[57] vssa1 0.63fF
+C406 la_data_out[56] vssa1 0.63fF
+C407 la_data_in[56] vssa1 0.63fF
+C408 la_oenb[55] vssa1 0.63fF
+C409 la_data_out[55] vssa1 0.63fF
+C410 la_data_in[55] vssa1 0.63fF
+C411 la_oenb[54] vssa1 0.63fF
+C412 la_data_out[54] vssa1 0.63fF
+C413 la_data_in[54] vssa1 0.63fF
+C414 la_oenb[53] vssa1 0.63fF
+C415 la_data_out[53] vssa1 0.63fF
+C416 la_data_in[53] vssa1 0.63fF
+C417 la_oenb[52] vssa1 0.63fF
+C418 la_data_in[52] vssa1 0.63fF
+C419 la_oenb[51] vssa1 0.63fF
+C420 la_data_out[51] vssa1 0.63fF
+C421 la_data_in[51] vssa1 0.63fF
+C422 la_oenb[50] vssa1 0.63fF
+C423 la_data_in[50] vssa1 0.63fF
+C424 la_oenb[49] vssa1 0.63fF
+C425 la_data_out[49] vssa1 0.63fF
+C426 la_data_in[49] vssa1 0.63fF
+C427 la_oenb[48] vssa1 0.63fF
+C428 la_data_out[48] vssa1 0.63fF
+C429 la_data_in[48] vssa1 0.63fF
+C430 la_oenb[47] vssa1 0.63fF
+C431 la_data_out[47] vssa1 0.63fF
+C432 la_data_in[47] vssa1 0.63fF
+C433 la_oenb[46] vssa1 0.63fF
+C434 la_data_out[46] vssa1 0.63fF
+C435 la_oenb[45] vssa1 0.63fF
+C436 la_data_out[45] vssa1 0.63fF
+C437 la_data_in[45] vssa1 0.63fF
+C438 la_oenb[44] vssa1 0.63fF
+C439 la_data_out[44] vssa1 0.63fF
+C440 la_data_in[44] vssa1 0.63fF
+C441 la_oenb[43] vssa1 0.63fF
+C442 la_data_out[43] vssa1 0.63fF
+C443 la_data_in[43] vssa1 0.63fF
+C444 la_oenb[42] vssa1 0.63fF
+C445 la_data_out[42] vssa1 0.63fF
+C446 la_data_in[42] vssa1 0.63fF
+C447 la_data_out[41] vssa1 0.63fF
+C448 la_data_in[41] vssa1 0.63fF
+C449 la_oenb[40] vssa1 0.63fF
+C450 la_data_out[40] vssa1 0.63fF
+C451 la_data_in[40] vssa1 0.63fF
+C452 la_oenb[39] vssa1 0.63fF
+C453 la_data_out[39] vssa1 0.63fF
+C454 la_data_in[39] vssa1 0.63fF
+C455 la_oenb[38] vssa1 0.63fF
+C456 la_data_out[38] vssa1 0.63fF
+C457 la_data_in[38] vssa1 0.63fF
+C458 la_oenb[37] vssa1 0.63fF
+C459 la_data_out[37] vssa1 0.26fF
+C460 la_data_in[37] vssa1 0.63fF
+C461 la_oenb[36] vssa1 0.63fF
+C462 la_data_out[36] vssa1 0.63fF
+C463 la_data_in[36] vssa1 0.63fF
+C464 la_oenb[35] vssa1 0.63fF
+C465 la_data_in[35] vssa1 0.63fF
+C466 la_oenb[34] vssa1 0.63fF
+C467 la_data_out[34] vssa1 0.63fF
+C468 la_data_in[34] vssa1 0.63fF
+C469 la_oenb[33] vssa1 0.63fF
+C470 la_data_out[33] vssa1 0.63fF
+C471 la_data_in[33] vssa1 0.63fF
+C472 la_oenb[32] vssa1 0.63fF
+C473 la_data_out[32] vssa1 0.63fF
+C474 la_data_in[32] vssa1 0.63fF
+C475 la_oenb[31] vssa1 0.63fF
+C476 la_data_out[31] vssa1 0.63fF
+C477 la_oenb[30] vssa1 0.63fF
+C478 la_data_out[30] vssa1 0.63fF
+C479 la_data_in[30] vssa1 0.63fF
+C480 la_oenb[29] vssa1 0.63fF
+C481 la_data_out[29] vssa1 0.63fF
+C482 la_data_in[29] vssa1 0.63fF
+C483 la_oenb[28] vssa1 0.63fF
+C484 la_data_out[28] vssa1 0.63fF
+C485 la_data_in[28] vssa1 0.63fF
+C486 la_oenb[27] vssa1 0.63fF
+C487 la_data_out[27] vssa1 0.63fF
+C488 la_data_in[27] vssa1 0.63fF
+C489 la_data_out[26] vssa1 0.63fF
+C490 la_data_in[26] vssa1 0.63fF
+C491 la_oenb[25] vssa1 0.63fF
+C492 la_data_out[25] vssa1 0.63fF
+C493 la_data_in[25] vssa1 0.63fF
+C494 la_oenb[24] vssa1 0.63fF
+C495 la_data_out[24] vssa1 0.63fF
+C496 la_data_in[24] vssa1 0.63fF
+C497 la_oenb[23] vssa1 0.63fF
+C498 la_data_out[23] vssa1 0.63fF
+C499 la_data_in[23] vssa1 0.63fF
+C500 la_oenb[22] vssa1 0.63fF
+C501 la_data_out[22] vssa1 0.63fF
+C502 la_data_in[22] vssa1 0.63fF
+C503 la_oenb[21] vssa1 0.63fF
+C504 la_data_out[21] vssa1 0.63fF
+C505 la_data_in[21] vssa1 0.63fF
+C506 la_oenb[20] vssa1 0.63fF
+C507 la_data_in[20] vssa1 0.63fF
+C508 la_oenb[19] vssa1 0.63fF
+C509 la_data_out[19] vssa1 0.63fF
+C510 la_data_in[19] vssa1 0.63fF
+C511 la_oenb[18] vssa1 0.63fF
+C512 la_data_out[18] vssa1 0.63fF
+C513 la_data_in[18] vssa1 0.63fF
+C514 la_oenb[17] vssa1 0.63fF
+C515 la_data_out[17] vssa1 0.63fF
+C516 la_data_in[17] vssa1 0.63fF
+C517 la_oenb[16] vssa1 0.63fF
+C518 la_data_out[16] vssa1 0.63fF
+C519 la_oenb[15] vssa1 0.63fF
+C520 la_data_out[15] vssa1 0.63fF
+C521 la_data_in[15] vssa1 0.63fF
+C522 la_oenb[14] vssa1 0.63fF
+C523 la_data_out[14] vssa1 0.63fF
+C524 la_data_in[14] vssa1 0.63fF
+C525 la_oenb[13] vssa1 0.63fF
+C526 la_data_out[13] vssa1 0.63fF
+C527 la_data_in[13] vssa1 0.63fF
+C528 la_oenb[12] vssa1 0.63fF
+C529 la_data_out[12] vssa1 0.63fF
+C530 la_data_in[12] vssa1 0.63fF
+C531 la_data_out[11] vssa1 0.63fF
+C532 la_data_in[11] vssa1 0.63fF
+C533 la_oenb[10] vssa1 0.63fF
+C534 la_data_out[10] vssa1 0.63fF
+C535 la_data_in[10] vssa1 0.63fF
+C536 la_data_out[9] vssa1 0.63fF
+C537 la_data_in[9] vssa1 0.63fF
+C538 la_oenb[8] vssa1 0.63fF
+C539 la_data_out[8] vssa1 0.63fF
+C540 la_data_in[8] vssa1 0.63fF
+C541 la_oenb[7] vssa1 0.63fF
+C542 la_data_out[7] vssa1 0.63fF
+C543 la_data_in[7] vssa1 0.63fF
+C544 la_oenb[6] vssa1 0.63fF
+C545 la_data_out[6] vssa1 0.63fF
+C546 la_data_in[6] vssa1 0.63fF
+C547 la_oenb[5] vssa1 0.63fF
+C548 la_data_in[5] vssa1 0.63fF
+C549 la_oenb[4] vssa1 0.63fF
+C550 la_data_out[4] vssa1 0.63fF
+C551 la_data_in[4] vssa1 0.63fF
+C552 la_oenb[3] vssa1 0.63fF
+C553 la_data_out[3] vssa1 0.63fF
+C554 la_data_in[3] vssa1 0.63fF
+C555 la_oenb[2] vssa1 0.63fF
+C556 la_data_out[2] vssa1 0.63fF
+C557 la_data_in[2] vssa1 0.63fF
+C558 la_oenb[1] vssa1 0.63fF
+C559 la_data_out[1] vssa1 0.63fF
+C560 la_oenb[0] vssa1 0.63fF
+C561 la_data_out[0] vssa1 0.63fF
+C562 la_data_in[0] vssa1 0.63fF
+C563 wbs_dat_o[31] vssa1 0.63fF
+C564 wbs_dat_i[31] vssa1 0.63fF
+C565 wbs_adr_i[31] vssa1 0.63fF
+C566 wbs_dat_o[30] vssa1 0.63fF
+C567 wbs_dat_i[30] vssa1 0.63fF
+C568 wbs_adr_i[30] vssa1 0.63fF
+C569 wbs_dat_o[29] vssa1 0.63fF
+C570 wbs_dat_i[29] vssa1 0.63fF
+C571 wbs_adr_i[29] vssa1 0.63fF
+C572 wbs_dat_i[28] vssa1 0.63fF
+C573 wbs_adr_i[28] vssa1 0.63fF
+C574 wbs_dat_o[27] vssa1 0.63fF
+C575 wbs_dat_i[27] vssa1 0.63fF
+C576 wbs_adr_i[27] vssa1 0.63fF
+C577 wbs_dat_i[26] vssa1 0.63fF
+C578 wbs_adr_i[26] vssa1 0.63fF
+C579 wbs_dat_o[25] vssa1 0.63fF
+C580 wbs_dat_i[25] vssa1 0.63fF
+C581 wbs_adr_i[25] vssa1 0.63fF
+C582 wbs_dat_o[24] vssa1 0.63fF
+C583 wbs_dat_i[24] vssa1 0.63fF
+C584 wbs_adr_i[24] vssa1 0.63fF
+C585 wbs_dat_o[23] vssa1 0.63fF
+C586 wbs_dat_i[23] vssa1 0.63fF
+C587 wbs_adr_i[23] vssa1 0.63fF
+C588 wbs_dat_o[22] vssa1 0.63fF
+C589 wbs_adr_i[22] vssa1 0.63fF
+C590 wbs_dat_o[21] vssa1 0.63fF
+C591 wbs_dat_i[21] vssa1 0.63fF
+C592 wbs_adr_i[21] vssa1 0.63fF
+C593 wbs_dat_o[20] vssa1 0.63fF
+C594 wbs_dat_i[20] vssa1 0.63fF
+C595 wbs_adr_i[20] vssa1 0.63fF
+C596 wbs_dat_o[19] vssa1 0.63fF
+C597 wbs_dat_i[19] vssa1 0.63fF
+C598 wbs_adr_i[19] vssa1 0.63fF
+C599 wbs_dat_o[18] vssa1 0.63fF
+C600 wbs_dat_i[18] vssa1 0.63fF
+C601 wbs_dat_o[17] vssa1 0.63fF
+C602 wbs_dat_i[17] vssa1 0.63fF
+C603 wbs_adr_i[17] vssa1 0.63fF
+C604 wbs_dat_o[16] vssa1 0.63fF
+C605 wbs_dat_i[16] vssa1 0.63fF
+C606 wbs_adr_i[16] vssa1 0.63fF
+C607 wbs_dat_o[15] vssa1 0.63fF
+C608 wbs_dat_i[15] vssa1 0.63fF
+C609 wbs_adr_i[15] vssa1 0.63fF
+C610 wbs_dat_o[14] vssa1 0.63fF
+C611 wbs_dat_i[14] vssa1 0.63fF
+C612 wbs_adr_i[14] vssa1 0.63fF
+C613 wbs_dat_o[13] vssa1 0.63fF
+C614 wbs_dat_i[13] vssa1 0.63fF
+C615 wbs_adr_i[13] vssa1 0.63fF
+C616 wbs_dat_o[12] vssa1 0.63fF
+C617 wbs_dat_i[12] vssa1 0.63fF
+C618 wbs_adr_i[12] vssa1 0.63fF
+C619 wbs_dat_i[11] vssa1 0.63fF
+C620 wbs_adr_i[11] vssa1 0.63fF
+C621 wbs_dat_o[10] vssa1 0.63fF
+C622 wbs_dat_i[10] vssa1 0.63fF
+C623 wbs_adr_i[10] vssa1 0.63fF
+C624 wbs_dat_o[9] vssa1 0.63fF
+C625 wbs_dat_i[9] vssa1 0.63fF
+C626 wbs_adr_i[9] vssa1 0.63fF
+C627 wbs_dat_o[8] vssa1 0.63fF
+C628 wbs_dat_i[8] vssa1 0.63fF
+C629 wbs_adr_i[8] vssa1 0.63fF
+C630 wbs_dat_o[7] vssa1 0.63fF
+C631 wbs_adr_i[7] vssa1 0.63fF
+C632 wbs_dat_o[6] vssa1 0.63fF
+C633 wbs_dat_i[6] vssa1 0.63fF
+C634 wbs_adr_i[6] vssa1 0.63fF
+C635 wbs_dat_o[5] vssa1 0.63fF
+C636 wbs_dat_i[5] vssa1 0.63fF
+C637 wbs_adr_i[5] vssa1 0.63fF
+C638 wbs_dat_o[4] vssa1 0.63fF
+C639 wbs_dat_i[4] vssa1 0.63fF
+C640 wbs_adr_i[4] vssa1 0.63fF
+C641 wbs_sel_i[3] vssa1 0.63fF
+C642 wbs_dat_o[3] vssa1 0.63fF
+C643 wbs_adr_i[3] vssa1 0.63fF
+C644 wbs_sel_i[2] vssa1 0.63fF
+C645 wbs_dat_o[2] vssa1 0.63fF
+C646 wbs_dat_i[2] vssa1 0.63fF
+C647 wbs_adr_i[2] vssa1 0.63fF
+C648 wbs_dat_o[1] vssa1 0.63fF
+C649 wbs_dat_i[1] vssa1 0.63fF
+C650 wbs_adr_i[1] vssa1 0.63fF
+C651 wbs_sel_i[0] vssa1 0.63fF
+C652 wbs_dat_o[0] vssa1 0.63fF
+C653 wbs_dat_i[0] vssa1 0.63fF
+C654 wbs_adr_i[0] vssa1 0.63fF
+C655 wbs_we_i vssa1 0.63fF
+C656 wbs_stb_i vssa1 0.63fF
+C657 wbs_cyc_i vssa1 0.63fF
+C658 wbs_ack_o vssa1 0.63fF
+C659 wb_rst_i vssa1 0.63fF
+C660 m3_226242_702300# vssa1 -1.31fF $ **FLOATING
+C661 m3_222594_702300# vssa1 0.55fF $ **FLOATING
+C662 bias_0/iref_4 vssa1 1.17fF
+C663 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219# vssa1 2.60fF
+C664 bias_0/iref_3 vssa1 0.64fF
+C665 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219# vssa1 2.60fF
+C666 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_2/a_n1731_n1219# vssa1 2.60fF
+C667 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219# vssa1 2.60fF
+C668 bias_0/iref_0 vssa1 1.88fF
+C669 io_analog[5] vssa1 33.29fF
+C670 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_0/a_n1731_n1219# vssa1 2.60fF
+C671 bias_0/m1_20168_984# vssa1 56.92fF
+C672 bias_0/iref_9 vssa1 -1.13fF
+C673 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_10/a_n1731_n1219# vssa1 2.60fF
+C674 bias_0/iref_7 vssa1 -1.38fF
+C675 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_8/a_n1731_n1219# vssa1 2.60fF
+C676 bias_0/iref_8 vssa1 -1.19fF
+C677 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_9/a_n1731_n1219# vssa1 2.60fF
+C678 bias_0/iref_6 vssa1 -1.00fF
+C679 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_7/a_n1731_n1219# vssa1 2.60fF
+C680 bias_0/iref_5 vssa1 1.40fF
+C681 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_6/a_n1731_n1219# vssa1 2.60fF
+C682 top_pll_v1_1/PFD_0/and_pfd_0/a_656_410# vssa1 0.96fF
+C683 top_pll_v1_1/PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vssa1 0.05fF
+C684 top_pll_v1_1/PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vssa1 0.05fF
+C685 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C686 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_2/B vssa1 1.40fF
+C687 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C688 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_3/A vssa1 3.14fF
+C689 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C690 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C691 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_2/A vssa1 2.55fF
+C692 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C693 top_pll_v1_1/QB vssa1 4.35fF
+C694 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C695 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C696 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C697 top_pll_v1_1/out_div_by_5 vssa1 -0.40fF
+C698 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C699 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_2/B vssa1 1.40fF
+C700 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C701 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_3/A vssa1 3.14fF
+C702 top_pll_v1_1/pfd_reset vssa1 2.17fF
+C703 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C704 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C705 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_2/A vssa1 2.55fF
+C706 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C707 top_pll_v1_1/QA vssa1 4.22fF
+C708 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C709 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C710 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C711 top_pll_v1_1/pfd_cp_interface_0/inverter_cp_x1_2/in vssa1 1.85fF
+C712 top_pll_v1_1/pfd_cp_interface_0/inverter_cp_x1_0/out vssa1 1.77fF
+C713 top_pll_v1_1/nUp vssa1 5.39fF
+C714 top_pll_v1_1/Up vssa1 1.85fF
+C715 top_pll_v1_1/Down vssa1 6.19fF
+C716 top_pll_v1_1/nDown vssa1 -3.53fF
+C717 top_pll_v1_1/div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# vssa1 0.37fF
+C718 top_pll_v1_1/div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# vssa1 0.38fF
+C719 top_pll_v1_1/div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vssa1 0.38fF
+C720 top_pll_v1_1/div_by_5_0/DFlipFlop_3/nQ vssa1 0.48fF
+C721 top_pll_v1_1/div_5_Q1_shift vssa1 -0.14fF
+C722 top_pll_v1_1/div_by_5_0/DFlipFlop_3/latch_diff_1/m1_657_280# vssa1 0.57fF
+C723 top_pll_v1_1/div_by_5_0/DFlipFlop_3/latch_diff_1/nD vssa1 0.57fF
+C724 top_pll_v1_1/div_by_5_0/DFlipFlop_3/latch_diff_1/D vssa1 -1.73fF
+C725 top_pll_v1_1/div_by_5_0/DFlipFlop_3/latch_diff_0/m1_657_280# vssa1 0.57fF
+C726 top_pll_v1_1/div_by_5_0/DFlipFlop_3/latch_diff_0/D vssa1 0.96fF
+C727 top_pll_v1_1/div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C728 top_pll_v1_1/div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C729 top_pll_v1_1/div_by_5_0/DFlipFlop_3/latch_diff_0/nD vssa1 1.14fF
+C730 top_pll_v1_1/div_by_5_0/DFlipFlop_2/nQ vssa1 0.48fF
+C731 top_pll_v1_1/div_5_Q1 vssa1 4.25fF
+C732 top_pll_v1_1/div_by_5_0/DFlipFlop_2/latch_diff_1/m1_657_280# vssa1 0.57fF
+C733 top_pll_v1_1/div_by_5_0/DFlipFlop_2/latch_diff_1/nD vssa1 0.57fF
+C734 top_pll_v1_1/div_by_5_0/DFlipFlop_2/latch_diff_1/D vssa1 -1.73fF
+C735 top_pll_v1_1/div_by_5_0/DFlipFlop_2/latch_diff_0/m1_657_280# vssa1 0.57fF
+C736 top_pll_v1_1/div_by_5_0/DFlipFlop_2/latch_diff_0/D vssa1 0.96fF
+C737 top_pll_v1_1/div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C738 top_pll_v1_1/div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C739 top_pll_v1_1/div_by_5_0/DFlipFlop_2/D vssa1 3.13fF
+C740 top_pll_v1_1/div_by_5_0/DFlipFlop_2/latch_diff_0/nD vssa1 1.14fF
+C741 top_pll_v1_1/div_5_nQ0 vssa1 0.59fF
+C742 top_pll_v1_1/div_5_Q0 vssa1 0.01fF
+C743 top_pll_v1_1/div_by_5_0/DFlipFlop_1/latch_diff_1/m1_657_280# vssa1 0.57fF
+C744 top_pll_v1_1/div_by_5_0/DFlipFlop_1/latch_diff_1/nD vssa1 0.57fF
+C745 top_pll_v1_1/div_by_5_0/DFlipFlop_1/latch_diff_1/D vssa1 -1.73fF
+C746 top_pll_v1_1/div_by_5_0/DFlipFlop_1/latch_diff_0/m1_657_280# vssa1 0.57fF
+C747 top_pll_v1_1/div_by_5_0/DFlipFlop_1/latch_diff_0/D vssa1 0.96fF
+C748 top_pll_v1_1/div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C749 top_pll_v1_1/div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C750 top_pll_v1_1/div_by_5_0/DFlipFlop_1/D vssa1 3.64fF
+C751 top_pll_v1_1/div_by_5_0/DFlipFlop_1/latch_diff_0/nD vssa1 1.14fF
+C752 top_pll_v1_1/div_5_nQ2 vssa1 1.24fF
+C753 top_pll_v1_1/div_by_5_0/DFlipFlop_0/Q vssa1 -0.94fF
+C754 top_pll_v1_1/div_by_5_0/DFlipFlop_0/latch_diff_1/m1_657_280# vssa1 0.57fF
+C755 top_pll_v1_1/n_out_by_2 vssa1 -2.75fF
+C756 top_pll_v1_1/div_by_5_0/DFlipFlop_0/latch_diff_1/nD vssa1 0.57fF
+C757 top_pll_v1_1/div_by_5_0/DFlipFlop_0/latch_diff_1/D vssa1 -1.73fF
+C758 top_pll_v1_1/div_by_5_0/DFlipFlop_0/latch_diff_0/m1_657_280# vssa1 0.57fF
+C759 top_pll_v1_1/out_by_2 vssa1 -5.01fF
+C760 top_pll_v1_1/div_by_5_0/DFlipFlop_0/latch_diff_0/D vssa1 0.96fF
+C761 top_pll_v1_1/div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C762 top_pll_v1_1/div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C763 top_pll_v1_1/div_by_5_0/DFlipFlop_0/D vssa1 3.96fF
+C764 top_pll_v1_1/div_by_5_0/DFlipFlop_0/latch_diff_0/nD vssa1 1.14fF
+C765 top_pll_v1_1/div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# vssa1 0.08fF
+C766 top_pll_v1_1/div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# vssa1 0.40fF
+C767 top_pll_v1_1/out_to_buffer vssa1 1.54fF
+C768 top_pll_v1_1/out_to_div vssa1 4.23fF
+C769 top_pll_v1_1/out_first_buffer vssa1 2.88fF
+C770 top_pll_v1_1/ring_osc_0/csvco_branch_2/in vssa1 1.60fF
+C771 top_pll_v1_1/ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vssa1 0.16fF
+C772 top_pll_v1_1/ring_osc_0/csvco_branch_1/cap_vco_0/t vssa1 7.10fF
+C773 top_pll_v1_1/ring_osc_0/csvco_branch_1/inverter_csvco_0/vss vssa1 0.52fF
+C774 top_pll_v1_1/ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vssa1 0.16fF
+C775 top_pll_v1_1/ring_osc_0/csvco_branch_2/cap_vco_0/t vssa1 7.10fF
+C776 top_pll_v1_1/ring_osc_0/csvco_branch_2/inverter_csvco_0/vss vssa1 0.52fF
+C777 top_pll_v1_1/ring_osc_0/csvco_branch_1/in vssa1 1.58fF
+C778 top_pll_v1_1/ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vssa1 0.16fF
+C779 top_pll_v1_1/vco_out vssa1 1.01fF
+C780 top_pll_v1_1/ring_osc_0/csvco_branch_0/cap_vco_0/t vssa1 7.10fF
+C781 top_pll_v1_1/ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vssa1 0.52fF
+C782 top_pll_v1_1/ring_osc_0/csvco_branch_2/vbp vssa1 0.36fF
+C783 io_analog[8] vssa1 7.79fF
+C784 top_pll_v1_1/buffer_salida_0/a_3996_n100# vssa1 48.23fF
+C785 top_pll_v1_1/buffer_salida_0/a_678_n100# vssa1 13.21fF
+C786 top_pll_v1_1/n_out_buffer_div_2 vssa1 1.63fF
+C787 top_pll_v1_1/out_buffer_div_2 vssa1 1.60fF
+C788 top_pll_v1_1/div_by_2_0/DFlipFlop_0/CLK vssa1 0.31fF
+C789 top_pll_v1_1/div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C790 top_pll_v1_1/div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C791 top_pll_v1_1/div_by_2_0/DFlipFlop_0/nCLK vssa1 1.03fF
+C792 top_pll_v1_1/out_div_2 vssa1 -1.30fF
+C793 top_pll_v1_1/div_by_2_0/DFlipFlop_0/latch_diff_1/m1_657_280# vssa1 0.57fF
+C794 top_pll_v1_1/div_by_2_0/DFlipFlop_0/latch_diff_1/nD vssa1 0.57fF
+C795 top_pll_v1_1/div_by_2_0/DFlipFlop_0/latch_diff_1/D vssa1 -1.73fF
+C796 top_pll_v1_1/div_by_2_0/DFlipFlop_0/latch_diff_0/m1_657_280# vssa1 0.57fF
+C797 top_pll_v1_1/div_by_2_0/DFlipFlop_0/latch_diff_0/D vssa1 0.96fF
+C798 top_pll_v1_1/div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C799 top_pll_v1_1/div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C800 top_pll_v1_1/n_out_div_2 vssa1 1.95fF
+C801 top_pll_v1_1/div_by_2_0/DFlipFlop_0/latch_diff_0/nD vssa1 1.14fF
+C802 top_pll_v1_1/nswitch vssa1 3.73fF
+C803 top_pll_v1_1/biasp vssa1 5.44fF
+C804 bias_0/iref_1 vssa1 -95.39fF
+C805 top_pll_v1_1/vco_vctrl vssa1 -18.17fF
+C806 top_pll_v1_1/pswitch vssa1 3.57fF
+C807 top_pll_v1_1/lf_vc vssa1 -59.89fF
+C808 top_pll_v1_1/loop_filter_0/res_loop_filter_2/out vssa1 7.90fF
+C809 top_pll_v1_0/PFD_0/and_pfd_0/a_656_410# vssa1 0.96fF
+C810 top_pll_v1_0/PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vssa1 0.05fF
+C811 top_pll_v1_0/PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vssa1 0.05fF
+C812 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C813 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_2/B vssa1 1.40fF
+C814 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C815 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_3/A vssa1 3.14fF
+C816 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C817 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C818 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_2/A vssa1 2.55fF
+C819 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C820 top_pll_v1_0/QB vssa1 4.35fF
+C821 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C822 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C823 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C824 top_pll_v1_0/out_div_by_5 vssa1 -0.40fF
+C825 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C826 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_2/B vssa1 1.40fF
+C827 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C828 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_3/A vssa1 3.14fF
+C829 top_pll_v1_0/pfd_reset vssa1 2.17fF
+C830 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C831 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C832 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_2/A vssa1 2.55fF
+C833 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C834 top_pll_v1_0/QA vssa1 4.22fF
+C835 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C836 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C837 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C838 io_analog[10] vssa1 293.41fF
+C839 top_pll_v1_0/pfd_cp_interface_0/inverter_cp_x1_2/in vssa1 1.85fF
+C840 top_pll_v1_0/pfd_cp_interface_0/inverter_cp_x1_0/out vssa1 1.77fF
+C841 top_pll_v1_0/nUp vssa1 5.39fF
+C842 top_pll_v1_0/Up vssa1 1.85fF
+C843 top_pll_v1_0/Down vssa1 6.19fF
+C844 top_pll_v1_0/nDown vssa1 -3.53fF
+C845 top_pll_v1_0/div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# vssa1 0.37fF
+C846 top_pll_v1_0/div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# vssa1 0.38fF
+C847 top_pll_v1_0/div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vssa1 0.38fF
+C848 top_pll_v1_0/div_by_5_0/DFlipFlop_3/nQ vssa1 0.48fF
+C849 top_pll_v1_0/div_5_Q1_shift vssa1 -0.14fF
+C850 top_pll_v1_0/div_by_5_0/DFlipFlop_3/latch_diff_1/m1_657_280# vssa1 0.57fF
+C851 top_pll_v1_0/div_by_5_0/DFlipFlop_3/latch_diff_1/nD vssa1 0.57fF
+C852 top_pll_v1_0/div_by_5_0/DFlipFlop_3/latch_diff_1/D vssa1 -1.73fF
+C853 top_pll_v1_0/div_by_5_0/DFlipFlop_3/latch_diff_0/m1_657_280# vssa1 0.57fF
+C854 top_pll_v1_0/div_by_5_0/DFlipFlop_3/latch_diff_0/D vssa1 0.96fF
+C855 top_pll_v1_0/div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C856 top_pll_v1_0/div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C857 top_pll_v1_0/div_by_5_0/DFlipFlop_3/latch_diff_0/nD vssa1 1.14fF
+C858 top_pll_v1_0/div_by_5_0/DFlipFlop_2/nQ vssa1 0.48fF
+C859 top_pll_v1_0/div_5_Q1 vssa1 4.25fF
+C860 top_pll_v1_0/div_by_5_0/DFlipFlop_2/latch_diff_1/m1_657_280# vssa1 0.57fF
+C861 top_pll_v1_0/div_by_5_0/DFlipFlop_2/latch_diff_1/nD vssa1 0.57fF
+C862 top_pll_v1_0/div_by_5_0/DFlipFlop_2/latch_diff_1/D vssa1 -1.73fF
+C863 top_pll_v1_0/div_by_5_0/DFlipFlop_2/latch_diff_0/m1_657_280# vssa1 0.57fF
+C864 top_pll_v1_0/div_by_5_0/DFlipFlop_2/latch_diff_0/D vssa1 0.96fF
+C865 top_pll_v1_0/div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C866 top_pll_v1_0/div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C867 top_pll_v1_0/div_by_5_0/DFlipFlop_2/D vssa1 3.13fF
+C868 top_pll_v1_0/div_by_5_0/DFlipFlop_2/latch_diff_0/nD vssa1 1.14fF
+C869 top_pll_v1_0/div_5_nQ0 vssa1 0.59fF
+C870 top_pll_v1_0/div_5_Q0 vssa1 0.01fF
+C871 top_pll_v1_0/div_by_5_0/DFlipFlop_1/latch_diff_1/m1_657_280# vssa1 0.57fF
+C872 top_pll_v1_0/div_by_5_0/DFlipFlop_1/latch_diff_1/nD vssa1 0.57fF
+C873 top_pll_v1_0/div_by_5_0/DFlipFlop_1/latch_diff_1/D vssa1 -1.73fF
+C874 top_pll_v1_0/div_by_5_0/DFlipFlop_1/latch_diff_0/m1_657_280# vssa1 0.57fF
+C875 top_pll_v1_0/div_by_5_0/DFlipFlop_1/latch_diff_0/D vssa1 0.96fF
+C876 top_pll_v1_0/div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C877 top_pll_v1_0/div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C878 top_pll_v1_0/div_by_5_0/DFlipFlop_1/D vssa1 3.64fF
+C879 top_pll_v1_0/div_by_5_0/DFlipFlop_1/latch_diff_0/nD vssa1 1.14fF
+C880 top_pll_v1_0/div_5_nQ2 vssa1 1.24fF
+C881 top_pll_v1_0/div_by_5_0/DFlipFlop_0/Q vssa1 -0.94fF
+C882 top_pll_v1_0/div_by_5_0/DFlipFlop_0/latch_diff_1/m1_657_280# vssa1 0.57fF
+C883 top_pll_v1_0/n_out_by_2 vssa1 -2.75fF
+C884 top_pll_v1_0/div_by_5_0/DFlipFlop_0/latch_diff_1/nD vssa1 0.57fF
+C885 top_pll_v1_0/div_by_5_0/DFlipFlop_0/latch_diff_1/D vssa1 -1.73fF
+C886 top_pll_v1_0/div_by_5_0/DFlipFlop_0/latch_diff_0/m1_657_280# vssa1 0.57fF
+C887 top_pll_v1_0/out_by_2 vssa1 -5.01fF
+C888 top_pll_v1_0/div_by_5_0/DFlipFlop_0/latch_diff_0/D vssa1 0.96fF
+C889 top_pll_v1_0/div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C890 top_pll_v1_0/div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C891 top_pll_v1_0/div_by_5_0/DFlipFlop_0/D vssa1 3.96fF
+C892 top_pll_v1_0/div_by_5_0/DFlipFlop_0/latch_diff_0/nD vssa1 1.14fF
+C893 vdda1 vssa1 6982.37fF
+C894 top_pll_v1_0/div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# vssa1 0.08fF
+C895 top_pll_v1_0/div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# vssa1 0.40fF
+C896 top_pll_v1_0/out_to_buffer vssa1 1.54fF
+C897 top_pll_v1_0/out_to_div vssa1 4.23fF
+C898 top_pll_v1_0/out_first_buffer vssa1 2.88fF
+C899 top_pll_v1_0/ring_osc_0/csvco_branch_2/in vssa1 1.60fF
+C900 top_pll_v1_0/ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vssa1 0.16fF
+C901 top_pll_v1_0/ring_osc_0/csvco_branch_1/cap_vco_0/t vssa1 7.10fF
+C902 top_pll_v1_0/ring_osc_0/csvco_branch_1/inverter_csvco_0/vss vssa1 0.52fF
+C903 top_pll_v1_0/ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vssa1 0.16fF
+C904 top_pll_v1_0/ring_osc_0/csvco_branch_2/cap_vco_0/t vssa1 7.10fF
+C905 top_pll_v1_0/ring_osc_0/csvco_branch_2/inverter_csvco_0/vss vssa1 0.52fF
+C906 top_pll_v1_0/ring_osc_0/csvco_branch_1/in vssa1 1.58fF
+C907 top_pll_v1_0/ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vssa1 0.16fF
+C908 top_pll_v1_0/vco_out vssa1 1.01fF
+C909 io_in[14] vssa1 44.01fF
+C910 top_pll_v1_0/ring_osc_0/csvco_branch_0/cap_vco_0/t vssa1 7.10fF
+C911 top_pll_v1_0/ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vssa1 0.52fF
+C912 top_pll_v1_0/ring_osc_0/csvco_branch_2/vbp vssa1 0.36fF
+C913 io_analog[9] vssa1 7.89fF
+C914 top_pll_v1_0/buffer_salida_0/a_3996_n100# vssa1 48.23fF
+C915 top_pll_v1_0/buffer_salida_0/a_678_n100# vssa1 13.21fF
+C916 top_pll_v1_0/n_out_buffer_div_2 vssa1 1.63fF
+C917 top_pll_v1_0/out_buffer_div_2 vssa1 1.60fF
+C918 top_pll_v1_0/div_by_2_0/DFlipFlop_0/CLK vssa1 0.31fF
+C919 top_pll_v1_0/div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C920 top_pll_v1_0/div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C921 top_pll_v1_0/div_by_2_0/DFlipFlop_0/nCLK vssa1 1.03fF
+C922 top_pll_v1_0/out_div_2 vssa1 -1.30fF
+C923 top_pll_v1_0/div_by_2_0/DFlipFlop_0/latch_diff_1/m1_657_280# vssa1 0.57fF
+C924 top_pll_v1_0/div_by_2_0/DFlipFlop_0/latch_diff_1/nD vssa1 0.57fF
+C925 top_pll_v1_0/div_by_2_0/DFlipFlop_0/latch_diff_1/D vssa1 -1.73fF
+C926 top_pll_v1_0/div_by_2_0/DFlipFlop_0/latch_diff_0/m1_657_280# vssa1 0.57fF
+C927 top_pll_v1_0/div_by_2_0/DFlipFlop_0/latch_diff_0/D vssa1 0.96fF
+C928 top_pll_v1_0/div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C929 top_pll_v1_0/div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C930 top_pll_v1_0/n_out_div_2 vssa1 1.95fF
+C931 top_pll_v1_0/div_by_2_0/DFlipFlop_0/latch_diff_0/nD vssa1 1.14fF
+C932 top_pll_v1_0/nswitch vssa1 3.73fF
+C933 top_pll_v1_0/biasp vssa1 5.44fF
+C934 bias_0/iref_2 vssa1 -188.47fF
+C935 top_pll_v1_0/vco_vctrl vssa1 -18.17fF
+C936 top_pll_v1_0/pswitch vssa1 3.57fF
+C937 top_pll_v1_0/lf_vc vssa1 -59.89fF
+C938 top_pll_v1_0/loop_filter_0/res_loop_filter_2/out vssa1 7.90fF
+.ends
+
diff --git a/mag/extractions/user_analog_project_wrapper_pex_rc.spice b/mag/extractions/user_analog_project_wrapper_pex_rc.spice
new file mode 100644
index 0000000..2669b5e
--- /dev/null
+++ b/mag/extractions/user_analog_project_wrapper_pex_rc.spice
@@ -0,0 +1,4095 @@
+* NGSPICE file created from user_analog_project_wrapper.ext - technology: sky130A
+
+.subckt sky130_fd_pr__cap_mim_m3_1_MACBVW VSUBS m3_n2650_n13200# m3_n7969_n2600# m3_7988_8000#
++ m3_2669_n7900# m3_n13288_n2600# m3_n2650_2700# m3_2669_2700# m3_n13288_n13200# m3_n7969_n13200#
++ m3_n13288_8000# m3_7988_2700# m3_n2650_n7900# m3_7988_n7900# m3_2669_n13200# m3_n7969_8000#
++ m3_n13288_2700# m3_n7969_n7900# m3_n13288_n7900# m3_2669_n2600# m3_n7969_2700# m3_7988_n13200#
++ c1_n13188_n13100# m3_7988_n2600# m3_n2650_n2600# m3_n2650_8000# m3_2669_8000#
+X0 c1_n13188_n13100# m3_2669_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X1 c1_n13188_n13100# m3_n2650_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X2 c1_n13188_n13100# m3_2669_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X3 c1_n13188_n13100# m3_n13288_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X4 c1_n13188_n13100# m3_n7969_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X5 c1_n13188_n13100# m3_n13288_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X6 c1_n13188_n13100# m3_2669_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X7 c1_n13188_n13100# m3_7988_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X8 c1_n13188_n13100# m3_2669_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X9 c1_n13188_n13100# m3_7988_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X10 c1_n13188_n13100# m3_n7969_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X11 c1_n13188_n13100# m3_7988_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X12 c1_n13188_n13100# m3_n7969_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X13 c1_n13188_n13100# m3_7988_8000# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X14 c1_n13188_n13100# m3_n13288_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X15 c1_n13188_n13100# m3_n7969_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X16 c1_n13188_n13100# m3_n2650_n7900# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X17 c1_n13188_n13100# m3_n2650_n13200# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X18 c1_n13188_n13100# m3_n2650_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X19 c1_n13188_n13100# m3_7988_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X20 c1_n13188_n13100# m3_n13288_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X21 c1_n13188_n13100# m3_n13288_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X22 c1_n13188_n13100# m3_n7969_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X23 c1_n13188_n13100# m3_n2650_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+X24 c1_n13188_n13100# m3_2669_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
+C0 m3_n2650_n7900# c1_n13188_n13100# 58.86fF
+C1 m3_n7969_8000# c1_n13188_n13100# 58.61fF
+C2 m3_2669_n13200# m3_n2650_n13200# 2.73fF
+C3 m3_n13288_n7900# c1_n13188_n13100# 58.61fF
+C4 m3_7988_2700# m3_7988_n2600# 3.39fF
+C5 m3_2669_2700# m3_2669_8000# 3.28fF
+C6 m3_7988_2700# m3_7988_8000# 3.39fF
+C7 m3_n13288_8000# m3_n13288_2700# 3.28fF
+C8 m3_n13288_2700# m3_n7969_2700# 2.73fF
+C9 m3_n2650_8000# m3_n2650_2700# 3.28fF
+C10 m3_2669_n2600# m3_7988_n2600# 2.73fF
+C11 m3_2669_n13200# m3_7988_n13200# 2.73fF
+C12 m3_n7969_n2600# c1_n13188_n13100# 58.86fF
+C13 m3_7988_n2600# c1_n13188_n13100# 61.01fF
+C14 m3_7988_8000# c1_n13188_n13100# 60.75fF
+C15 m3_n2650_8000# m3_2669_8000# 2.73fF
+C16 m3_n13288_n2600# m3_n13288_2700# 3.28fF
+C17 c1_n13188_n13100# m3_n2650_2700# 58.86fF
+C18 m3_2669_n7900# m3_7988_n7900# 2.73fF
+C19 m3_2669_8000# c1_n13188_n13100# 58.61fF
+C20 m3_n2650_n7900# m3_n7969_n7900# 2.73fF
+C21 m3_n7969_n7900# c1_n13188_n13100# 58.86fF
+C22 m3_7988_n7900# c1_n13188_n13100# 61.01fF
+C23 m3_n7969_n7900# m3_n13288_n7900# 2.73fF
+C24 m3_n2650_n7900# m3_n2650_n13200# 3.28fF
+C25 m3_n13288_n13200# c1_n13188_n13100# 58.36fF
+C26 m3_n2650_n13200# c1_n13188_n13100# 58.61fF
+C27 m3_n7969_n13200# c1_n13188_n13100# 58.61fF
+C28 m3_n13288_n13200# m3_n13288_n7900# 3.28fF
+C29 m3_n13288_8000# c1_n13188_n13100# 58.36fF
+C30 c1_n13188_n13100# m3_n7969_2700# 58.86fF
+C31 m3_n7969_n2600# m3_n7969_n7900# 3.28fF
+C32 m3_7988_n13200# c1_n13188_n13100# 60.75fF
+C33 m3_7988_8000# m3_2669_8000# 2.73fF
+C34 m3_n7969_8000# m3_n13288_8000# 2.73fF
+C35 m3_n7969_8000# m3_n7969_2700# 3.28fF
+C36 m3_7988_n2600# m3_7988_n7900# 3.39fF
+C37 m3_2669_n2600# m3_n2650_n2600# 2.73fF
+C38 m3_2669_n7900# m3_2669_n13200# 3.28fF
+C39 m3_n2650_n7900# m3_n2650_n2600# 3.28fF
+C40 m3_n2650_n2600# c1_n13188_n13100# 58.86fF
+C41 m3_n13288_n2600# c1_n13188_n13100# 58.61fF
+C42 m3_n13288_2700# c1_n13188_n13100# 58.61fF
+C43 m3_2669_n13200# c1_n13188_n13100# 58.61fF
+C44 m3_7988_2700# m3_2669_2700# 2.73fF
+C45 m3_n13288_n2600# m3_n13288_n7900# 3.28fF
+C46 m3_n7969_n2600# m3_n7969_2700# 3.28fF
+C47 m3_2669_n2600# m3_2669_2700# 3.28fF
+C48 m3_n7969_2700# m3_n2650_2700# 2.73fF
+C49 m3_2669_2700# c1_n13188_n13100# 58.86fF
+C50 m3_n7969_n2600# m3_n2650_n2600# 2.73fF
+C51 m3_n13288_n2600# m3_n7969_n2600# 2.73fF
+C52 m3_n7969_n13200# m3_n7969_n7900# 3.28fF
+C53 m3_n2650_n2600# m3_n2650_2700# 3.28fF
+C54 m3_7988_n7900# m3_7988_n13200# 3.39fF
+C55 m3_2669_n7900# m3_2669_n2600# 3.28fF
+C56 m3_n2650_8000# c1_n13188_n13100# 58.61fF
+C57 m3_n13288_n13200# m3_n7969_n13200# 2.73fF
+C58 m3_7988_2700# c1_n13188_n13100# 61.01fF
+C59 m3_n7969_n13200# m3_n2650_n13200# 2.73fF
+C60 m3_n2650_8000# m3_n7969_8000# 2.73fF
+C61 m3_2669_n7900# m3_n2650_n7900# 2.73fF
+C62 m3_2669_n7900# c1_n13188_n13100# 58.86fF
+C63 m3_2669_n2600# c1_n13188_n13100# 58.86fF
+C64 m3_2669_2700# m3_n2650_2700# 2.73fF
+C65 c1_n13188_n13100# VSUBS 2.51fF
+C66 m3_7988_n13200# VSUBS 12.57fF
+C67 m3_2669_n13200# VSUBS 12.37fF
+C68 m3_n2650_n13200# VSUBS 12.37fF
+C69 m3_n7969_n13200# VSUBS 12.37fF
+C70 m3_n13288_n13200# VSUBS 12.37fF
+C71 m3_7988_n7900# VSUBS 12.57fF
+C72 m3_2669_n7900# VSUBS 12.37fF
+C73 m3_n2650_n7900# VSUBS 12.37fF
+C74 m3_n7969_n7900# VSUBS 12.37fF
+C75 m3_n13288_n7900# VSUBS 12.37fF
+C76 m3_7988_n2600# VSUBS 12.57fF
+C77 m3_2669_n2600# VSUBS 12.37fF
+C78 m3_n2650_n2600# VSUBS 12.37fF
+C79 m3_n7969_n2600# VSUBS 12.37fF
+C80 m3_n13288_n2600# VSUBS 12.37fF
+C81 m3_7988_2700# VSUBS 12.57fF
+C82 m3_2669_2700# VSUBS 12.37fF
+C83 m3_n2650_2700# VSUBS 12.37fF
+C84 m3_n7969_2700# VSUBS 12.37fF
+C85 m3_n13288_2700# VSUBS 12.37fF
+C86 m3_7988_8000# VSUBS 12.57fF
+C87 m3_2669_8000# VSUBS 12.37fF
+C88 m3_n2650_8000# VSUBS 12.37fF
+C89 m3_n7969_8000# VSUBS 12.37fF
+C90 m3_n13288_8000# VSUBS 12.37fF
+.ends
+
+.subckt cap1_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_MACBVW_0 VSUBS out out out out out out out out out out
++ out out out out out out out out out out out in out out out out sky130_fd_pr__cap_mim_m3_1_MACBVW
+C0 in out 2.17fF
+C1 in VSUBS -10.03fF
+C2 out VSUBS 62.40fF
+.ends
+
+.subckt sky130_fd_pr__cap_mim_m3_1_W3JTNJ VSUBS m3_n6469_n2100# c1_n6369_n6300# m3_2169_n6400#
++ m3_n2150_n6400# c1_2269_n6300# m3_n6469_2200# m3_n2150_n2100# c1_n2050_n6300# m3_n2150_2200#
++ m3_n6469_n6400#
+X0 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X1 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X2 c1_n2050_n6300# m3_n2150_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X3 c1_n6369_n6300# m3_n6469_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X4 c1_2269_n6300# m3_2169_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X5 c1_n6369_n6300# m3_n6469_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X6 c1_n2050_n6300# m3_n2150_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X7 c1_n2050_n6300# m3_n2150_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+X8 c1_n6369_n6300# m3_n6469_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
+C0 m3_n2150_n2100# m3_n2150_2200# 2.63fF
+C1 m3_n6469_n2100# m3_n2150_n2100# 1.75fF
+C2 c1_n6369_n6300# m3_n6469_n2100# 38.10fF
+C3 m3_2169_n6400# m3_n2150_2200# 1.75fF
+C4 m3_n2150_n6400# m3_n2150_n2100# 2.63fF
+C5 m3_n2150_n6400# m3_2169_n6400# 1.75fF
+C6 m3_n6469_n2100# m3_n6469_n6400# 2.63fF
+C7 m3_2169_n6400# c1_2269_n6300# 121.67fF
+C8 c1_n2050_n6300# m3_n2150_2200# 38.10fF
+C9 m3_n2150_n2100# m3_2169_n6400# 1.75fF
+C10 m3_n6469_2200# m3_n2150_2200# 1.75fF
+C11 m3_n6469_n2100# m3_n6469_2200# 2.63fF
+C12 m3_n6469_n6400# m3_n2150_n6400# 1.75fF
+C13 c1_n2050_n6300# m3_n2150_n6400# 38.10fF
+C14 c1_n6369_n6300# m3_n6469_n6400# 38.10fF
+C15 c1_n2050_n6300# c1_2269_n6300# 1.99fF
+C16 c1_n2050_n6300# m3_n2150_n2100# 38.10fF
+C17 c1_n2050_n6300# c1_n6369_n6300# 1.99fF
+C18 c1_n6369_n6300# m3_n6469_2200# 38.10fF
+C19 c1_2269_n6300# VSUBS 0.16fF
+C20 c1_n2050_n6300# VSUBS 0.16fF
+C21 c1_n6369_n6300# VSUBS 0.16fF
+C22 m3_n2150_n6400# VSUBS 8.68fF
+C23 m3_n6469_n6400# VSUBS 8.68fF
+C24 m3_n2150_n2100# VSUBS 8.68fF
+C25 m3_n6469_n2100# VSUBS 8.68fF
+C26 m3_2169_n6400# VSUBS 26.86fF
+C27 m3_n2150_2200# VSUBS 8.68fF
+C28 m3_n6469_2200# VSUBS 8.68fF
+.ends
+
+.subckt cap2_loop_filter VSUBS in out
+Xsky130_fd_pr__cap_mim_m3_1_W3JTNJ_0 VSUBS out in out out in out out in out out sky130_fd_pr__cap_mim_m3_1_W3JTNJ
+C0 in out 8.08fF
+C1 in VSUBS -16.59fF
+C2 out VSUBS 13.00fF
+.ends
+
+.subckt sky130_fd_pr__res_high_po_5p73_X44RQA a_n573_2292# w_n739_n2890# a_n573_n2724#
+X0 a_n573_n2724# a_n573_2292# w_n739_n2890# sky130_fd_pr__res_high_po_5p73 l=2.292e+07u
+C0 a_n573_n2724# w_n739_n2890# 1.98fF
+C1 a_n573_2292# w_n739_n2890# 1.98fF
+.ends
+
+.subckt res_loop_filter vss out in
+Xsky130_fd_pr__res_high_po_5p73_X44RQA_0 in vss out sky130_fd_pr__res_high_po_5p73_X44RQA
+C0 out vss 3.87fF
+C1 in vss 3.02fF
+.ends
+
+.subckt loop_filter vc_pex in vss
+Xcap1_loop_filter_0 vss vc_pex vss cap1_loop_filter
+Xcap2_loop_filter_0 vss in vss cap2_loop_filter
+Xres_loop_filter_0 vss res_loop_filter_2/out in res_loop_filter
+Xres_loop_filter_1 vss res_loop_filter_2/out vc_pex res_loop_filter
+Xres_loop_filter_2 vss res_loop_filter_2/out vc_pex res_loop_filter
+C0 vc_pex in 0.18fF
+C1 vc_pex vss -38.13fF
+C2 res_loop_filter_2/out vss 8.49fF
+C3 in vss -18.79fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4ML9WA VSUBS a_429_n486# w_n2457_n634# a_887_n486#
++ a_n29_n486# a_1345_n486# a_n2261_n512# a_1803_n486# a_n487_n486# a_n945_n486# a_n2319_n486#
++ a_n1403_n486# a_2261_n486# a_n1861_n486#
+X0 a_2261_n486# a_n2261_n512# a_1803_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X1 a_n945_n486# a_n2261_n512# a_n1403_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X2 a_429_n486# a_n2261_n512# a_n29_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X3 a_1803_n486# a_n2261_n512# a_1345_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X4 a_887_n486# a_n2261_n512# a_429_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X5 a_n487_n486# a_n2261_n512# a_n945_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X6 a_n1403_n486# a_n2261_n512# a_n1861_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X7 a_n1861_n486# a_n2261_n512# a_n2319_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X8 a_n29_n486# a_n2261_n512# a_n487_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+X9 a_1345_n486# a_n2261_n512# a_887_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
+C0 w_n2457_n634# a_n29_n486# 0.02fF
+C1 w_n2457_n634# a_1803_n486# 0.02fF
+C2 w_n2457_n634# a_n487_n486# 0.02fF
+C3 w_n2457_n634# a_n2319_n486# 0.02fF
+C4 w_n2457_n634# a_n1861_n486# 0.02fF
+C5 w_n2457_n634# a_429_n486# 0.02fF
+C6 w_n2457_n634# a_2261_n486# 0.02fF
+C7 w_n2457_n634# a_n1403_n486# 0.02fF
+C8 w_n2457_n634# a_887_n486# 0.02fF
+C9 w_n2457_n634# a_n945_n486# 0.02fF
+C10 a_1345_n486# w_n2457_n634# 0.02fF
+C11 a_2261_n486# VSUBS 0.03fF
+C12 a_1803_n486# VSUBS 0.03fF
+C13 a_1345_n486# VSUBS 0.03fF
+C14 a_887_n486# VSUBS 0.03fF
+C15 a_429_n486# VSUBS 0.03fF
+C16 a_n29_n486# VSUBS 0.03fF
+C17 a_n487_n486# VSUBS 0.03fF
+C18 a_n945_n486# VSUBS 0.03fF
+C19 a_n1403_n486# VSUBS 0.03fF
+C20 a_n1861_n486# VSUBS 0.03fF
+C21 a_n2319_n486# VSUBS 0.03fF
+C22 a_n2261_n512# VSUBS 4.27fF
+C23 w_n2457_n634# VSUBS 21.34fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_YCGG98 a_n1041_n75# a_n561_n75# a_1167_n75# a_303_n75#
++ a_687_n75# a_n849_n75# a_n369_n75# a_975_n75# a_111_n75# a_495_n75# a_n1137_n75#
++ a_n657_n75# a_n177_n75# a_783_n75# a_n945_n75# a_n465_n75# a_207_n75# a_1071_n75#
++ a_591_n75# a_15_n75# a_n753_n75# w_n1367_n285# a_n273_n75# a_879_n75# a_399_n75#
++ a_n1229_n75# a_n81_n75# a_n1167_n101#
+X0 a_207_n75# a_n1167_n101# a_111_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_303_n75# a_n1167_n101# a_207_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_399_n75# a_n1167_n101# a_303_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_495_n75# a_n1167_n101# a_399_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_591_n75# a_n1167_n101# a_495_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_783_n75# a_n1167_n101# a_687_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_687_n75# a_n1167_n101# a_591_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_879_n75# a_n1167_n101# a_783_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_975_n75# a_n1167_n101# a_879_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_n1041_n75# a_n1167_n101# a_n1137_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_n1137_n75# a_n1167_n101# a_n1229_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n561_n75# a_n1167_n101# a_n657_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_1071_n75# a_n1167_n101# a_975_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n945_n75# a_n1167_n101# a_n1041_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n753_n75# a_n1167_n101# a_n849_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n657_n75# a_n1167_n101# a_n753_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n465_n75# a_n1167_n101# a_n561_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n369_n75# a_n1167_n101# a_n465_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_1167_n75# a_n1167_n101# a_1071_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n849_n75# a_n1167_n101# a_n945_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X20 a_15_n75# a_n1167_n101# a_n81_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X21 a_n81_n75# a_n1167_n101# a_n177_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X22 a_111_n75# a_n1167_n101# a_15_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X23 a_n273_n75# a_n1167_n101# a_n369_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X24 a_n177_n75# a_n1167_n101# a_n273_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+C0 a_975_n75# a_1167_n75# 0.08fF
+C1 a_n753_n75# a_n849_n75# 0.22fF
+C2 a_n81_n75# a_n369_n75# 0.05fF
+C3 a_207_n75# a_591_n75# 0.03fF
+C4 a_n657_n75# a_n945_n75# 0.05fF
+C5 a_n1041_n75# a_n945_n75# 0.22fF
+C6 a_n81_n75# a_n273_n75# 0.08fF
+C7 a_399_n75# a_111_n75# 0.05fF
+C8 a_687_n75# a_1071_n75# 0.03fF
+C9 a_399_n75# a_207_n75# 0.08fF
+C10 a_303_n75# a_15_n75# 0.05fF
+C11 a_n81_n75# a_15_n75# 0.22fF
+C12 a_n177_n75# a_111_n75# 0.05fF
+C13 a_783_n75# a_879_n75# 0.22fF
+C14 a_n177_n75# a_207_n75# 0.03fF
+C15 a_495_n75# a_687_n75# 0.08fF
+C16 a_783_n75# a_975_n75# 0.08fF
+C17 a_n657_n75# a_n561_n75# 0.22fF
+C18 a_n753_n75# a_n1137_n75# 0.03fF
+C19 a_495_n75# a_591_n75# 0.22fF
+C20 a_591_n75# a_687_n75# 0.22fF
+C21 a_n657_n75# a_n465_n75# 0.08fF
+C22 a_n369_n75# a_n273_n75# 0.22fF
+C23 a_303_n75# a_111_n75# 0.08fF
+C24 a_n81_n75# a_111_n75# 0.08fF
+C25 a_n753_n75# a_n657_n75# 0.22fF
+C26 a_n1041_n75# a_n753_n75# 0.05fF
+C27 a_n369_n75# a_15_n75# 0.03fF
+C28 a_207_n75# a_303_n75# 0.22fF
+C29 a_n945_n75# a_n561_n75# 0.03fF
+C30 a_207_n75# a_n81_n75# 0.05fF
+C31 a_495_n75# a_399_n75# 0.22fF
+C32 a_399_n75# a_687_n75# 0.05fF
+C33 a_975_n75# a_879_n75# 0.22fF
+C34 a_1167_n75# a_1071_n75# 0.22fF
+C35 a_399_n75# a_591_n75# 0.08fF
+C36 a_15_n75# a_n273_n75# 0.05fF
+C37 a_n753_n75# a_n945_n75# 0.08fF
+C38 a_n849_n75# a_n1229_n75# 0.03fF
+C39 a_495_n75# a_303_n75# 0.08fF
+C40 a_303_n75# a_687_n75# 0.03fF
+C41 a_n177_n75# a_n561_n75# 0.03fF
+C42 a_n465_n75# a_n561_n75# 0.22fF
+C43 a_111_n75# a_n273_n75# 0.03fF
+C44 a_783_n75# a_1071_n75# 0.05fF
+C45 a_n753_n75# a_n561_n75# 0.08fF
+C46 a_303_n75# a_591_n75# 0.05fF
+C47 a_n177_n75# a_n465_n75# 0.05fF
+C48 a_111_n75# a_15_n75# 0.22fF
+C49 a_n657_n75# a_n369_n75# 0.05fF
+C50 a_n753_n75# a_n465_n75# 0.05fF
+C51 a_207_n75# a_15_n75# 0.08fF
+C52 a_495_n75# a_783_n75# 0.05fF
+C53 a_783_n75# a_687_n75# 0.22fF
+C54 a_n1229_n75# a_n1137_n75# 0.22fF
+C55 a_n849_n75# a_n1137_n75# 0.05fF
+C56 a_591_n75# a_783_n75# 0.08fF
+C57 a_n657_n75# a_n273_n75# 0.03fF
+C58 a_399_n75# a_303_n75# 0.22fF
+C59 a_1071_n75# a_879_n75# 0.08fF
+C60 a_n1041_n75# a_n1229_n75# 0.08fF
+C61 a_n849_n75# a_n657_n75# 0.08fF
+C62 a_n177_n75# a_n81_n75# 0.22fF
+C63 a_n81_n75# a_n465_n75# 0.03fF
+C64 a_975_n75# a_1071_n75# 0.22fF
+C65 a_n1041_n75# a_n849_n75# 0.08fF
+C66 a_495_n75# a_879_n75# 0.03fF
+C67 a_687_n75# a_879_n75# 0.08fF
+C68 a_399_n75# a_783_n75# 0.03fF
+C69 a_207_n75# a_111_n75# 0.22fF
+C70 a_975_n75# a_687_n75# 0.05fF
+C71 a_n1229_n75# a_n945_n75# 0.05fF
+C72 a_n849_n75# a_n945_n75# 0.22fF
+C73 a_591_n75# a_879_n75# 0.05fF
+C74 a_591_n75# a_975_n75# 0.03fF
+C75 a_n81_n75# a_303_n75# 0.03fF
+C76 a_783_n75# a_1167_n75# 0.03fF
+C77 a_n369_n75# a_n561_n75# 0.08fF
+C78 a_n177_n75# a_n369_n75# 0.08fF
+C79 a_n369_n75# a_n465_n75# 0.22fF
+C80 a_n1041_n75# a_n1137_n75# 0.22fF
+C81 a_n561_n75# a_n273_n75# 0.05fF
+C82 a_n753_n75# a_n369_n75# 0.03fF
+C83 a_399_n75# a_15_n75# 0.03fF
+C84 a_n177_n75# a_n273_n75# 0.22fF
+C85 a_n465_n75# a_n273_n75# 0.08fF
+C86 a_n849_n75# a_n561_n75# 0.05fF
+C87 a_495_n75# a_111_n75# 0.03fF
+C88 a_n1041_n75# a_n657_n75# 0.03fF
+C89 a_1167_n75# a_879_n75# 0.05fF
+C90 a_n177_n75# a_15_n75# 0.08fF
+C91 a_n945_n75# a_n1137_n75# 0.08fF
+C92 a_495_n75# a_207_n75# 0.05fF
+C93 a_n849_n75# a_n465_n75# 0.03fF
+C94 a_1167_n75# w_n1367_n285# 0.10fF
+C95 a_1071_n75# w_n1367_n285# 0.07fF
+C96 a_975_n75# w_n1367_n285# 0.06fF
+C97 a_879_n75# w_n1367_n285# 0.05fF
+C98 a_783_n75# w_n1367_n285# 0.04fF
+C99 a_687_n75# w_n1367_n285# 0.04fF
+C100 a_591_n75# w_n1367_n285# 0.04fF
+C101 a_495_n75# w_n1367_n285# 0.04fF
+C102 a_399_n75# w_n1367_n285# 0.04fF
+C103 a_303_n75# w_n1367_n285# 0.04fF
+C104 a_207_n75# w_n1367_n285# 0.04fF
+C105 a_111_n75# w_n1367_n285# 0.04fF
+C106 a_15_n75# w_n1367_n285# 0.04fF
+C107 a_n81_n75# w_n1367_n285# 0.04fF
+C108 a_n177_n75# w_n1367_n285# 0.04fF
+C109 a_n273_n75# w_n1367_n285# 0.04fF
+C110 a_n369_n75# w_n1367_n285# 0.04fF
+C111 a_n465_n75# w_n1367_n285# 0.04fF
+C112 a_n561_n75# w_n1367_n285# 0.04fF
+C113 a_n657_n75# w_n1367_n285# 0.04fF
+C114 a_n753_n75# w_n1367_n285# 0.04fF
+C115 a_n849_n75# w_n1367_n285# 0.04fF
+C116 a_n945_n75# w_n1367_n285# 0.04fF
+C117 a_n1041_n75# w_n1367_n285# 0.04fF
+C118 a_n1137_n75# w_n1367_n285# 0.04fF
+C119 a_n1229_n75# w_n1367_n285# 0.04fF
+C120 a_n1167_n101# w_n1367_n285# 2.55fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_MUHGM9 a_33_n101# a_n129_n75# a_735_n75# a_255_n75#
++ a_n417_n75# a_n989_n75# a_63_n75# a_543_n75# a_n705_n75# a_n225_n75# a_n33_n75#
++ a_831_n75# a_351_n75# a_n927_n101# a_n513_n75# a_n897_n75# w_n1127_n285# a_639_n75#
++ a_159_n75# a_n801_n75# a_n321_n75# a_927_n75# a_447_n75# a_n609_n75#
+X0 a_63_n75# a_33_n101# a_n33_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X1 a_927_n75# a_33_n101# a_831_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X2 a_n33_n75# a_n927_n101# a_n129_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X3 a_159_n75# a_33_n101# a_63_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X4 a_255_n75# a_33_n101# a_159_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X5 a_351_n75# a_33_n101# a_255_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X6 a_447_n75# a_33_n101# a_351_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X7 a_543_n75# a_33_n101# a_447_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X8 a_735_n75# a_33_n101# a_639_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X9 a_831_n75# a_33_n101# a_735_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X10 a_639_n75# a_33_n101# a_543_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X11 a_n321_n75# a_n927_n101# a_n417_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X12 a_n801_n75# a_n927_n101# a_n897_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X13 a_n705_n75# a_n927_n101# a_n801_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X14 a_n513_n75# a_n927_n101# a_n609_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X15 a_n417_n75# a_n927_n101# a_n513_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X16 a_n225_n75# a_n927_n101# a_n321_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X17 a_n129_n75# a_n927_n101# a_n225_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X18 a_n897_n75# a_n927_n101# a_n989_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+X19 a_n609_n75# a_n927_n101# a_n705_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
+C0 a_n609_n75# a_n989_n75# 0.03fF
+C1 a_n513_n75# a_n321_n75# 0.08fF
+C2 a_n897_n75# a_n705_n75# 0.08fF
+C3 a_n321_n75# a_n33_n75# 0.05fF
+C4 a_n609_n75# a_n897_n75# 0.05fF
+C5 a_735_n75# a_831_n75# 0.22fF
+C6 a_63_n75# a_255_n75# 0.08fF
+C7 a_63_n75# a_159_n75# 0.22fF
+C8 a_255_n75# a_447_n75# 0.08fF
+C9 a_447_n75# a_159_n75# 0.05fF
+C10 a_351_n75# a_255_n75# 0.22fF
+C11 a_n513_n75# a_n225_n75# 0.05fF
+C12 a_n225_n75# a_n33_n75# 0.08fF
+C13 a_351_n75# a_159_n75# 0.08fF
+C14 a_543_n75# a_255_n75# 0.05fF
+C15 a_n513_n75# a_n705_n75# 0.08fF
+C16 a_543_n75# a_159_n75# 0.03fF
+C17 a_n513_n75# a_n609_n75# 0.22fF
+C18 a_255_n75# a_639_n75# 0.03fF
+C19 a_927_n75# a_831_n75# 0.22fF
+C20 a_n129_n75# a_n321_n75# 0.08fF
+C21 a_63_n75# a_447_n75# 0.03fF
+C22 a_63_n75# a_351_n75# 0.05fF
+C23 a_927_n75# a_735_n75# 0.08fF
+C24 a_255_n75# a_n33_n75# 0.05fF
+C25 a_351_n75# a_447_n75# 0.22fF
+C26 a_159_n75# a_n33_n75# 0.08fF
+C27 a_n129_n75# a_n225_n75# 0.22fF
+C28 a_543_n75# a_447_n75# 0.22fF
+C29 a_543_n75# a_351_n75# 0.08fF
+C30 a_n897_n75# a_n989_n75# 0.22fF
+C31 a_639_n75# a_447_n75# 0.08fF
+C32 a_n705_n75# a_n801_n75# 0.22fF
+C33 a_351_n75# a_639_n75# 0.05fF
+C34 a_n609_n75# a_n801_n75# 0.08fF
+C35 a_63_n75# a_n33_n75# 0.22fF
+C36 a_543_n75# a_639_n75# 0.22fF
+C37 a_n513_n75# a_n417_n75# 0.22fF
+C38 a_n417_n75# a_n33_n75# 0.03fF
+C39 a_351_n75# a_n33_n75# 0.03fF
+C40 a_n129_n75# a_255_n75# 0.03fF
+C41 a_n225_n75# a_n321_n75# 0.22fF
+C42 a_n513_n75# a_n897_n75# 0.03fF
+C43 a_n129_n75# a_159_n75# 0.05fF
+C44 a_n321_n75# a_n705_n75# 0.03fF
+C45 a_n609_n75# a_n321_n75# 0.05fF
+C46 a_33_n101# a_n927_n101# 0.08fF
+C47 a_63_n75# a_n129_n75# 0.08fF
+C48 a_447_n75# a_831_n75# 0.03fF
+C49 a_n609_n75# a_n225_n75# 0.03fF
+C50 a_n129_n75# a_n417_n75# 0.05fF
+C51 a_735_n75# a_447_n75# 0.05fF
+C52 a_n417_n75# a_n801_n75# 0.03fF
+C53 a_351_n75# a_735_n75# 0.03fF
+C54 a_n609_n75# a_n705_n75# 0.22fF
+C55 a_543_n75# a_831_n75# 0.05fF
+C56 a_n801_n75# a_n989_n75# 0.08fF
+C57 a_n897_n75# a_n801_n75# 0.22fF
+C58 a_543_n75# a_735_n75# 0.08fF
+C59 a_639_n75# a_831_n75# 0.08fF
+C60 a_n225_n75# a_159_n75# 0.03fF
+C61 a_735_n75# a_639_n75# 0.22fF
+C62 a_63_n75# a_n321_n75# 0.03fF
+C63 a_n417_n75# a_n321_n75# 0.22fF
+C64 a_n129_n75# a_n513_n75# 0.03fF
+C65 a_n129_n75# a_n33_n75# 0.22fF
+C66 a_n513_n75# a_n801_n75# 0.05fF
+C67 a_63_n75# a_n225_n75# 0.05fF
+C68 a_927_n75# a_543_n75# 0.03fF
+C69 a_n417_n75# a_n225_n75# 0.08fF
+C70 a_255_n75# a_159_n75# 0.22fF
+C71 a_927_n75# a_639_n75# 0.05fF
+C72 a_n417_n75# a_n705_n75# 0.05fF
+C73 a_n609_n75# a_n417_n75# 0.08fF
+C74 a_n705_n75# a_n989_n75# 0.05fF
+C75 a_927_n75# w_n1127_n285# 0.04fF
+C76 a_831_n75# w_n1127_n285# 0.04fF
+C77 a_735_n75# w_n1127_n285# 0.04fF
+C78 a_639_n75# w_n1127_n285# 0.04fF
+C79 a_543_n75# w_n1127_n285# 0.04fF
+C80 a_447_n75# w_n1127_n285# 0.04fF
+C81 a_351_n75# w_n1127_n285# 0.04fF
+C82 a_255_n75# w_n1127_n285# 0.04fF
+C83 a_159_n75# w_n1127_n285# 0.04fF
+C84 a_63_n75# w_n1127_n285# 0.04fF
+C85 a_n33_n75# w_n1127_n285# 0.04fF
+C86 a_n129_n75# w_n1127_n285# 0.04fF
+C87 a_n225_n75# w_n1127_n285# 0.04fF
+C88 a_n321_n75# w_n1127_n285# 0.04fF
+C89 a_n417_n75# w_n1127_n285# 0.04fF
+C90 a_n513_n75# w_n1127_n285# 0.04fF
+C91 a_n609_n75# w_n1127_n285# 0.04fF
+C92 a_n705_n75# w_n1127_n285# 0.04fF
+C93 a_n801_n75# w_n1127_n285# 0.04fF
+C94 a_n897_n75# w_n1127_n285# 0.04fF
+C95 a_n989_n75# w_n1127_n285# 0.04fF
+C96 a_33_n101# w_n1127_n285# 0.99fF
+C97 a_n927_n101# w_n1127_n285# 0.99fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_NKZXKB VSUBS a_33_n247# a_n801_n150# a_n417_n150#
++ a_351_n150# a_255_n150# a_n705_n150# a_n609_n150# a_159_n150# a_543_n150# a_447_n150#
++ a_831_n150# a_n897_n150# a_n33_n150# a_735_n150# a_n927_n247# a_639_n150# a_n321_n150#
++ a_927_n150# a_n225_n150# a_63_n150# a_n989_n150# a_n513_n150# a_n129_n150# w_n1127_n369#
+X0 a_n513_n150# a_n927_n247# a_n609_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_63_n150# a_33_n247# a_n33_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_735_n150# a_33_n247# a_639_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n801_n150# a_n927_n247# a_n897_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n927_n247# a_n225_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n927_n247# a_n513_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_639_n150# a_33_n247# a_543_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n705_n150# a_n927_n247# a_n801_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n33_n150# a_n927_n247# a_n129_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_351_n150# a_33_n247# a_255_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_n609_n150# a_n927_n247# a_n705_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n897_n150# a_n927_n247# a_n989_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_927_n150# a_33_n247# a_831_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_255_n150# a_33_n247# a_159_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n321_n150# a_n927_n247# a_n417_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_543_n150# a_33_n247# a_447_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_831_n150# a_33_n247# a_735_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_159_n150# a_33_n247# a_63_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_n225_n150# a_n927_n247# a_n321_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_447_n150# a_33_n247# a_351_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n417_n150# a_n225_n150# 0.16fF
+C1 a_n33_n150# a_351_n150# 0.07fF
+C2 a_159_n150# a_63_n150# 0.43fF
+C3 a_n989_n150# a_n897_n150# 0.43fF
+C4 a_63_n150# a_351_n150# 0.10fF
+C5 a_n417_n150# a_n129_n150# 0.10fF
+C6 a_n33_n150# a_63_n150# 0.43fF
+C7 a_n705_n150# a_n321_n150# 0.07fF
+C8 a_447_n150# a_639_n150# 0.16fF
+C9 a_639_n150# a_735_n150# 0.43fF
+C10 a_639_n150# a_351_n150# 0.10fF
+C11 a_927_n150# a_639_n150# 0.10fF
+C12 a_543_n150# a_831_n150# 0.10fF
+C13 a_n609_n150# a_n705_n150# 0.43fF
+C14 a_n801_n150# a_n897_n150# 0.43fF
+C15 a_n801_n150# a_n513_n150# 0.10fF
+C16 a_n513_n150# a_n417_n150# 0.43fF
+C17 a_n33_n150# a_n417_n150# 0.07fF
+C18 a_n609_n150# a_n321_n150# 0.10fF
+C19 a_n801_n150# a_n989_n150# 0.16fF
+C20 a_n225_n150# a_n321_n150# 0.43fF
+C21 a_n129_n150# a_n321_n150# 0.16fF
+C22 a_447_n150# a_831_n150# 0.07fF
+C23 a_n927_n247# a_33_n247# 0.09fF
+C24 a_735_n150# a_831_n150# 0.43fF
+C25 a_n801_n150# a_n417_n150# 0.07fF
+C26 a_n609_n150# a_n225_n150# 0.07fF
+C27 a_927_n150# a_831_n150# 0.43fF
+C28 a_n705_n150# a_n897_n150# 0.16fF
+C29 a_n513_n150# a_n705_n150# 0.16fF
+C30 a_543_n150# a_255_n150# 0.10fF
+C31 a_n705_n150# a_n989_n150# 0.10fF
+C32 a_n513_n150# a_n321_n150# 0.16fF
+C33 a_n33_n150# a_n321_n150# 0.10fF
+C34 a_n129_n150# a_n225_n150# 0.43fF
+C35 a_255_n150# a_n129_n150# 0.07fF
+C36 a_639_n150# a_831_n150# 0.16fF
+C37 a_63_n150# a_n321_n150# 0.07fF
+C38 a_543_n150# a_447_n150# 0.43fF
+C39 a_n609_n150# a_n897_n150# 0.10fF
+C40 a_n609_n150# a_n513_n150# 0.43fF
+C41 a_543_n150# a_159_n150# 0.07fF
+C42 a_543_n150# a_735_n150# 0.16fF
+C43 a_447_n150# a_255_n150# 0.16fF
+C44 a_543_n150# a_351_n150# 0.16fF
+C45 a_n609_n150# a_n989_n150# 0.07fF
+C46 a_n801_n150# a_n705_n150# 0.43fF
+C47 a_n417_n150# a_n705_n150# 0.10fF
+C48 a_543_n150# a_927_n150# 0.07fF
+C49 a_159_n150# a_n225_n150# 0.07fF
+C50 a_159_n150# a_255_n150# 0.43fF
+C51 a_255_n150# a_351_n150# 0.43fF
+C52 a_n513_n150# a_n225_n150# 0.10fF
+C53 a_n33_n150# a_n225_n150# 0.16fF
+C54 a_159_n150# a_n129_n150# 0.10fF
+C55 a_n33_n150# a_255_n150# 0.10fF
+C56 a_n417_n150# a_n321_n150# 0.43fF
+C57 a_n513_n150# a_n129_n150# 0.07fF
+C58 a_63_n150# a_n225_n150# 0.10fF
+C59 a_63_n150# a_255_n150# 0.16fF
+C60 a_n33_n150# a_n129_n150# 0.43fF
+C61 a_543_n150# a_639_n150# 0.43fF
+C62 a_63_n150# a_n129_n150# 0.16fF
+C63 a_159_n150# a_447_n150# 0.10fF
+C64 a_n609_n150# a_n801_n150# 0.16fF
+C65 a_447_n150# a_735_n150# 0.10fF
+C66 a_447_n150# a_351_n150# 0.43fF
+C67 a_n609_n150# a_n417_n150# 0.16fF
+C68 a_255_n150# a_639_n150# 0.07fF
+C69 a_159_n150# a_351_n150# 0.16fF
+C70 a_735_n150# a_351_n150# 0.07fF
+C71 a_447_n150# a_63_n150# 0.07fF
+C72 a_n33_n150# a_159_n150# 0.16fF
+C73 a_927_n150# a_735_n150# 0.16fF
+C74 a_n513_n150# a_n897_n150# 0.07fF
+C75 a_927_n150# VSUBS 0.03fF
+C76 a_831_n150# VSUBS 0.03fF
+C77 a_735_n150# VSUBS 0.03fF
+C78 a_639_n150# VSUBS 0.03fF
+C79 a_543_n150# VSUBS 0.03fF
+C80 a_447_n150# VSUBS 0.03fF
+C81 a_351_n150# VSUBS 0.03fF
+C82 a_255_n150# VSUBS 0.03fF
+C83 a_159_n150# VSUBS 0.03fF
+C84 a_63_n150# VSUBS 0.03fF
+C85 a_n33_n150# VSUBS 0.03fF
+C86 a_n129_n150# VSUBS 0.03fF
+C87 a_n225_n150# VSUBS 0.03fF
+C88 a_n321_n150# VSUBS 0.03fF
+C89 a_n417_n150# VSUBS 0.03fF
+C90 a_n513_n150# VSUBS 0.03fF
+C91 a_n609_n150# VSUBS 0.03fF
+C92 a_n705_n150# VSUBS 0.03fF
+C93 a_n801_n150# VSUBS 0.03fF
+C94 a_n897_n150# VSUBS 0.03fF
+C95 a_n989_n150# VSUBS 0.03fF
+C96 a_33_n247# VSUBS 1.04fF
+C97 a_n927_n247# VSUBS 1.04fF
+C98 w_n1127_n369# VSUBS 6.17fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_8GRULZ a_n1761_n132# a_1045_n44# a_n1461_n44# a_n1103_n44#
++ a_n29_n44# a_n387_n44# a_1761_n44# a_n1819_n44# a_1403_n44# a_687_n44# w_n1957_n254#
++ a_329_n44# a_n745_n44#
+X0 a_329_n44# a_n1761_n132# a_n29_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X1 a_1761_n44# a_n1761_n132# a_1403_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X2 a_n745_n44# a_n1761_n132# a_n1103_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X3 a_1045_n44# a_n1761_n132# a_687_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X4 a_n29_n44# a_n1761_n132# a_n387_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X5 a_n1103_n44# a_n1761_n132# a_n1461_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X6 a_n387_n44# a_n1761_n132# a_n745_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X7 a_687_n44# a_n1761_n132# a_329_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X8 a_1403_n44# a_n1761_n132# a_1045_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+X9 a_n1461_n44# a_n1761_n132# a_n1819_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
+C0 a_n1461_n44# a_n1103_n44# 0.04fF
+C1 a_1761_n44# a_1403_n44# 0.04fF
+C2 a_n1103_n44# a_n745_n44# 0.04fF
+C3 a_687_n44# a_329_n44# 0.04fF
+C4 a_n387_n44# a_n745_n44# 0.04fF
+C5 a_1403_n44# a_1045_n44# 0.04fF
+C6 a_n387_n44# a_n29_n44# 0.04fF
+C7 a_n1819_n44# a_n1461_n44# 0.04fF
+C8 a_n29_n44# a_329_n44# 0.04fF
+C9 a_687_n44# a_1045_n44# 0.04fF
+C10 a_1761_n44# w_n1957_n254# 0.04fF
+C11 a_1403_n44# w_n1957_n254# 0.04fF
+C12 a_1045_n44# w_n1957_n254# 0.04fF
+C13 a_687_n44# w_n1957_n254# 0.04fF
+C14 a_329_n44# w_n1957_n254# 0.04fF
+C15 a_n29_n44# w_n1957_n254# 0.04fF
+C16 a_n387_n44# w_n1957_n254# 0.04fF
+C17 a_n745_n44# w_n1957_n254# 0.04fF
+C18 a_n1103_n44# w_n1957_n254# 0.04fF
+C19 a_n1461_n44# w_n1957_n254# 0.04fF
+C20 a_n1819_n44# w_n1957_n254# 0.04fF
+C21 a_n1761_n132# w_n1957_n254# 3.23fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ND88ZC VSUBS a_303_n150# a_n753_n150# a_n369_n150#
++ w_n1367_n369# a_207_n150# a_n657_n150# a_591_n150# a_n1229_n150# a_n945_n150# a_495_n150#
++ a_n1041_n150# a_n849_n150# a_n81_n150# a_399_n150# a_783_n150# a_1071_n150# a_687_n150#
++ a_975_n150# a_n1137_n150# a_n273_n150# a_111_n150# a_879_n150# a_n177_n150# a_n561_n150#
++ a_15_n150# a_1167_n150# a_n1167_n247# a_n465_n150#
+X0 a_n1137_n150# a_n1167_n247# a_n1229_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_495_n150# a_n1167_n247# a_399_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n561_n150# a_n1167_n247# a_n657_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_111_n150# a_n1167_n247# a_15_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_783_n150# a_n1167_n247# a_687_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_1071_n150# a_n1167_n247# a_975_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_399_n150# a_n1167_n247# a_303_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_n465_n150# a_n1167_n247# a_n561_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_687_n150# a_n1167_n247# a_591_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n753_n150# a_n1167_n247# a_n849_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X10 a_975_n150# a_n1167_n247# a_879_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X11 a_n81_n150# a_n1167_n247# a_n177_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X12 a_15_n150# a_n1167_n247# a_n81_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X13 a_n1041_n150# a_n1167_n247# a_n1137_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X14 a_n369_n150# a_n1167_n247# a_n465_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X15 a_n657_n150# a_n1167_n247# a_n753_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X16 a_879_n150# a_n1167_n247# a_783_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X17 a_n945_n150# a_n1167_n247# a_n1041_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X18 a_1167_n150# a_n1167_n247# a_1071_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X19 a_303_n150# a_n1167_n247# a_207_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X20 a_n273_n150# a_n1167_n247# a_n369_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X21 a_591_n150# a_n1167_n247# a_495_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X22 a_n849_n150# a_n1167_n247# a_n945_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X23 a_207_n150# a_n1167_n247# a_111_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X24 a_n177_n150# a_n1167_n247# a_n273_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n369_n150# a_n561_n150# 0.16fF
+C1 w_n1367_n369# a_1071_n150# 0.07fF
+C2 a_687_n150# a_975_n150# 0.10fF
+C3 a_n657_n150# a_n465_n150# 0.16fF
+C4 a_n177_n150# a_n465_n150# 0.10fF
+C5 a_879_n150# a_1167_n150# 0.10fF
+C6 a_n753_n150# a_n369_n150# 0.07fF
+C7 a_n657_n150# a_n561_n150# 0.43fF
+C8 a_n177_n150# a_n561_n150# 0.07fF
+C9 a_n177_n150# a_207_n150# 0.07fF
+C10 a_687_n150# a_879_n150# 0.16fF
+C11 a_495_n150# a_303_n150# 0.16fF
+C12 a_495_n150# a_399_n150# 0.43fF
+C13 a_15_n150# a_n273_n150# 0.10fF
+C14 a_n753_n150# a_n657_n150# 0.43fF
+C15 a_n81_n150# a_n273_n150# 0.16fF
+C16 a_495_n150# a_591_n150# 0.43fF
+C17 a_495_n150# a_207_n150# 0.10fF
+C18 a_n945_n150# a_n657_n150# 0.10fF
+C19 a_879_n150# a_975_n150# 0.43fF
+C20 a_1071_n150# a_783_n150# 0.10fF
+C21 a_111_n150# a_n273_n150# 0.07fF
+C22 a_495_n150# a_783_n150# 0.10fF
+C23 a_n369_n150# a_n273_n150# 0.43fF
+C24 a_n657_n150# a_n849_n150# 0.16fF
+C25 a_1167_n150# a_1071_n150# 0.43fF
+C26 a_n561_n150# a_n465_n150# 0.43fF
+C27 a_n81_n150# a_15_n150# 0.43fF
+C28 a_n753_n150# a_n1041_n150# 0.10fF
+C29 a_111_n150# a_15_n150# 0.43fF
+C30 a_399_n150# a_303_n150# 0.43fF
+C31 a_n945_n150# a_n1041_n150# 0.43fF
+C32 a_111_n150# a_n81_n150# 0.16fF
+C33 a_303_n150# a_591_n150# 0.10fF
+C34 a_207_n150# a_303_n150# 0.43fF
+C35 w_n1367_n369# a_1167_n150# 0.14fF
+C36 a_n657_n150# a_n273_n150# 0.07fF
+C37 a_n753_n150# a_n465_n150# 0.10fF
+C38 a_n369_n150# a_15_n150# 0.07fF
+C39 a_687_n150# a_1071_n150# 0.07fF
+C40 a_n177_n150# a_n273_n150# 0.43fF
+C41 a_399_n150# a_591_n150# 0.16fF
+C42 a_207_n150# a_399_n150# 0.16fF
+C43 a_n369_n150# a_n81_n150# 0.10fF
+C44 a_495_n150# a_687_n150# 0.16fF
+C45 a_207_n150# a_591_n150# 0.07fF
+C46 a_n1041_n150# a_n849_n150# 0.16fF
+C47 a_399_n150# a_783_n150# 0.07fF
+C48 a_n1041_n150# a_n1137_n150# 0.43fF
+C49 a_783_n150# a_591_n150# 0.16fF
+C50 a_975_n150# a_1071_n150# 0.43fF
+C51 a_n849_n150# a_n465_n150# 0.07fF
+C52 a_n753_n150# a_n561_n150# 0.16fF
+C53 a_n945_n150# a_n561_n150# 0.07fF
+C54 w_n1367_n369# a_975_n150# 0.05fF
+C55 a_n177_n150# a_15_n150# 0.16fF
+C56 a_n177_n150# a_n81_n150# 0.43fF
+C57 a_n1229_n150# a_n1041_n150# 0.16fF
+C58 a_n849_n150# a_n561_n150# 0.10fF
+C59 a_n177_n150# a_111_n150# 0.10fF
+C60 a_1167_n150# a_783_n150# 0.07fF
+C61 a_n945_n150# a_n753_n150# 0.16fF
+C62 a_n465_n150# a_n273_n150# 0.16fF
+C63 a_687_n150# a_303_n150# 0.07fF
+C64 a_879_n150# a_1071_n150# 0.16fF
+C65 a_n369_n150# a_n657_n150# 0.10fF
+C66 a_495_n150# a_879_n150# 0.07fF
+C67 a_n177_n150# a_n369_n150# 0.16fF
+C68 a_687_n150# a_399_n150# 0.10fF
+C69 a_495_n150# a_111_n150# 0.07fF
+C70 a_687_n150# a_591_n150# 0.43fF
+C71 a_n753_n150# a_n849_n150# 0.43fF
+C72 a_n753_n150# a_n1137_n150# 0.07fF
+C73 w_n1367_n369# a_879_n150# 0.04fF
+C74 a_n945_n150# a_n849_n150# 0.43fF
+C75 a_687_n150# a_783_n150# 0.43fF
+C76 a_n561_n150# a_n273_n150# 0.10fF
+C77 a_n945_n150# a_n1137_n150# 0.16fF
+C78 a_975_n150# a_591_n150# 0.07fF
+C79 a_975_n150# a_783_n150# 0.16fF
+C80 a_n1137_n150# a_n849_n150# 0.10fF
+C81 a_n81_n150# a_n465_n150# 0.07fF
+C82 a_303_n150# a_15_n150# 0.10fF
+C83 a_303_n150# a_n81_n150# 0.07fF
+C84 a_n945_n150# a_n1229_n150# 0.10fF
+C85 a_n369_n150# a_n465_n150# 0.43fF
+C86 a_399_n150# a_15_n150# 0.07fF
+C87 a_111_n150# a_303_n150# 0.16fF
+C88 a_207_n150# a_15_n150# 0.16fF
+C89 a_1167_n150# a_975_n150# 0.16fF
+C90 a_879_n150# a_591_n150# 0.10fF
+C91 a_207_n150# a_n81_n150# 0.10fF
+C92 a_111_n150# a_399_n150# 0.10fF
+C93 a_n1229_n150# a_n849_n150# 0.07fF
+C94 a_111_n150# a_207_n150# 0.43fF
+C95 a_879_n150# a_783_n150# 0.43fF
+C96 a_n1229_n150# a_n1137_n150# 0.43fF
+C97 a_n657_n150# a_n1041_n150# 0.07fF
+C98 a_1167_n150# VSUBS 0.03fF
+C99 a_1071_n150# VSUBS 0.03fF
+C100 a_975_n150# VSUBS 0.03fF
+C101 a_879_n150# VSUBS 0.03fF
+C102 a_783_n150# VSUBS 0.03fF
+C103 a_687_n150# VSUBS 0.03fF
+C104 a_591_n150# VSUBS 0.03fF
+C105 a_495_n150# VSUBS 0.03fF
+C106 a_399_n150# VSUBS 0.03fF
+C107 a_303_n150# VSUBS 0.03fF
+C108 a_207_n150# VSUBS 0.03fF
+C109 a_111_n150# VSUBS 0.03fF
+C110 a_15_n150# VSUBS 0.03fF
+C111 a_n81_n150# VSUBS 0.03fF
+C112 a_n177_n150# VSUBS 0.03fF
+C113 a_n273_n150# VSUBS 0.03fF
+C114 a_n369_n150# VSUBS 0.03fF
+C115 a_n465_n150# VSUBS 0.03fF
+C116 a_n561_n150# VSUBS 0.03fF
+C117 a_n657_n150# VSUBS 0.03fF
+C118 a_n753_n150# VSUBS 0.03fF
+C119 a_n849_n150# VSUBS 0.03fF
+C120 a_n945_n150# VSUBS 0.03fF
+C121 a_n1041_n150# VSUBS 0.03fF
+C122 a_n1137_n150# VSUBS 0.03fF
+C123 a_n1229_n150# VSUBS 0.03fF
+C124 a_n1167_n247# VSUBS 2.63fF
+C125 w_n1367_n369# VSUBS 7.85fF
+.ends
+
+.subckt charge_pump vss pswitch nswitch out vdd biasp nUp Down w_2544_775# iref nDown
++ Up
+Xsky130_fd_pr__pfet_01v8_4ML9WA_0 vss pswitch vdd pswitch pswitch pswitch nUp pswitch
++ pswitch pswitch pswitch pswitch pswitch pswitch sky130_fd_pr__pfet_01v8_4ML9WA
+Xsky130_fd_pr__nfet_01v8_YCGG98_0 vss out out vss vss vss out out vss vss out vss
++ out out out vss out vss out out out vss vss vss out vss vss nswitch sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_1 iref vss vss iref iref iref vss vss iref iref vss
++ iref vss vss vss iref vss iref vss vss vss vss iref iref vss iref iref iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_YCGG98_2 biasp vss vss biasp biasp biasp vss vss biasp biasp
++ vss biasp vss vss vss biasp vss biasp vss vss vss vss biasp biasp vss biasp biasp
++ iref sky130_fd_pr__nfet_01v8_YCGG98
+Xsky130_fd_pr__nfet_01v8_MUHGM9_0 nDown iref nswitch vss nswitch nswitch vss nswitch
++ iref nswitch nswitch vss nswitch Down iref iref vss vss nswitch nswitch iref nswitch
++ vss nswitch sky130_fd_pr__nfet_01v8_MUHGM9
+Xsky130_fd_pr__pfet_01v8_NKZXKB_0 vss Up pswitch pswitch pswitch vdd biasp pswitch
++ pswitch pswitch vdd vdd biasp pswitch pswitch nUp vdd biasp pswitch pswitch vdd
++ pswitch biasp biasp vdd sky130_fd_pr__pfet_01v8_NKZXKB
+Xsky130_fd_pr__nfet_01v8_8GRULZ_0 Down nswitch nswitch nswitch nswitch nswitch nswitch
++ nswitch nswitch nswitch vss nswitch nswitch sky130_fd_pr__nfet_01v8_8GRULZ
+Xsky130_fd_pr__pfet_01v8_ND88ZC_0 vss vdd out out vdd out vdd out vdd out vdd vdd
++ vdd vdd out out vdd vdd out out vdd vdd vdd out out out out pswitch vdd sky130_fd_pr__pfet_01v8_ND88ZC
+Xsky130_fd_pr__pfet_01v8_ND88ZC_1 vss biasp vdd vdd vdd vdd biasp vdd biasp vdd biasp
++ biasp biasp biasp vdd vdd biasp biasp vdd vdd biasp biasp biasp vdd vdd vdd vdd
++ biasp biasp sky130_fd_pr__pfet_01v8_ND88ZC
+C0 Up nUp 0.15fF
+C1 nswitch Down 2.27fF
+C2 biasp iref 0.80fF
+C3 Down nUp 0.25fF
+C4 pswitch vdd 3.98fF
+C5 Down nDown 0.13fF
+C6 nswitch out 1.28fF
+C7 nswitch nDown 0.31fF
+C8 biasp vdd 2.64fF
+C9 biasp pswitch 3.11fF
+C10 out nUp 0.31fF
+C11 pswitch Up 0.70fF
+C12 nswitch iref 1.91fF
+C13 nswitch vdd 0.07fF
+C14 nswitch pswitch 0.06fF
+C15 nswitch biasp 0.03fF
+C16 pswitch nUp 5.66fF
+C17 out vdd 6.66fF
+C18 out pswitch 4.91fF
+C19 vdd vss 35.71fF
+C20 Down vss 4.77fF
+C21 Up vss 1.17fF
+C22 nswitch vss 6.39fF
+C23 nDown vss 1.11fF
+C24 biasp vss 8.73fF
+C25 iref vss 10.12fF
+C26 out vss -3.49fF
+C27 pswitch vss 3.45fF
+C28 nUp vss 5.85fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4798MH VSUBS a_81_n156# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n111_n156# a_n15_n156# a_n81_n125#
+X0 a_n81_n125# a_n111_n156# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n15_n156# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_81_n156# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n81_n125# w_n311_n344# 0.09fF
+C1 a_n173_n125# a_111_n125# 0.08fF
+C2 a_15_n125# w_n311_n344# 0.09fF
+C3 a_n15_n156# a_n111_n156# 0.02fF
+C4 a_n173_n125# a_n81_n125# 0.36fF
+C5 a_n81_n125# a_111_n125# 0.13fF
+C6 a_n173_n125# a_15_n125# 0.13fF
+C7 a_15_n125# a_111_n125# 0.36fF
+C8 a_15_n125# a_n81_n125# 0.36fF
+C9 a_n15_n156# a_81_n156# 0.02fF
+C10 a_n173_n125# w_n311_n344# 0.14fF
+C11 w_n311_n344# a_111_n125# 0.14fF
+C12 a_111_n125# VSUBS 0.03fF
+C13 a_15_n125# VSUBS 0.03fF
+C14 a_n81_n125# VSUBS 0.03fF
+C15 a_n173_n125# VSUBS 0.03fF
+C16 a_81_n156# VSUBS 0.05fF
+C17 a_n15_n156# VSUBS 0.05fF
+C18 a_n111_n156# VSUBS 0.05fF
+C19 w_n311_n344# VSUBS 2.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_BHR94T a_n15_n151# w_n311_n335# a_81_n151# a_111_n125#
++ a_15_n125# a_n173_n125# a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_81_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n15_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n173_n125# a_15_n125# 0.13fF
+C1 a_n81_n125# a_15_n125# 0.36fF
+C2 a_15_n125# a_111_n125# 0.36fF
+C3 a_n81_n125# a_n173_n125# 0.36fF
+C4 a_n111_n151# a_n15_n151# 0.02fF
+C5 a_n173_n125# a_111_n125# 0.08fF
+C6 a_81_n151# a_n15_n151# 0.02fF
+C7 a_n81_n125# a_111_n125# 0.13fF
+C8 a_111_n125# w_n311_n335# 0.17fF
+C9 a_15_n125# w_n311_n335# 0.12fF
+C10 a_n81_n125# w_n311_n335# 0.12fF
+C11 a_n173_n125# w_n311_n335# 0.17fF
+C12 a_81_n151# w_n311_n335# 0.05fF
+C13 a_n15_n151# w_n311_n335# 0.05fF
+C14 a_n111_n151# w_n311_n335# 0.05fF
+.ends
+
+.subckt trans_gate m1_187_n605# m1_45_n513# vss vdd
+Xsky130_fd_pr__pfet_01v8_4798MH_0 vss vss m1_187_n605# m1_45_n513# m1_45_n513# vdd
++ vss vss m1_187_n605# sky130_fd_pr__pfet_01v8_4798MH
+Xsky130_fd_pr__nfet_01v8_BHR94T_0 vdd vss vdd m1_187_n605# m1_45_n513# m1_45_n513#
++ vdd m1_187_n605# sky130_fd_pr__nfet_01v8_BHR94T
+C0 vdd m1_45_n513# 0.69fF
+C1 m1_45_n513# m1_187_n605# 0.36fF
+C2 vdd m1_187_n605# 0.55fF
+C3 m1_187_n605# vss 0.93fF
+C4 m1_45_n513# vss 1.31fF
+C5 vdd vss 3.36fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7KT7MH VSUBS a_n111_n186# a_111_n125# a_15_n125# a_n173_n125#
++ w_n311_n344# a_n81_n125#
+X0 a_n81_n125# a_n111_n186# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_15_n125# a_n111_n186# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_111_n125# a_n111_n186# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 w_n311_n344# a_111_n125# 0.14fF
+C1 a_n173_n125# w_n311_n344# 0.14fF
+C2 a_n173_n125# a_111_n125# 0.08fF
+C3 a_n81_n125# a_15_n125# 0.36fF
+C4 w_n311_n344# a_15_n125# 0.09fF
+C5 a_111_n125# a_15_n125# 0.36fF
+C6 w_n311_n344# a_n81_n125# 0.09fF
+C7 a_111_n125# a_n81_n125# 0.13fF
+C8 a_n173_n125# a_15_n125# 0.13fF
+C9 a_n173_n125# a_n81_n125# 0.36fF
+C10 a_111_n125# VSUBS 0.03fF
+C11 a_15_n125# VSUBS 0.03fF
+C12 a_n81_n125# VSUBS 0.03fF
+C13 a_n173_n125# VSUBS 0.03fF
+C14 a_n111_n186# VSUBS 0.26fF
+C15 w_n311_n344# VSUBS 2.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS6QM w_n311_n335# a_111_n125# a_15_n125# a_n173_n125#
++ a_n111_n151# a_n81_n125#
+X0 a_111_n125# a_n111_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n111_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n81_n125# a_n173_n125# 0.36fF
+C1 a_15_n125# a_111_n125# 0.36fF
+C2 a_n173_n125# a_111_n125# 0.08fF
+C3 a_n173_n125# a_15_n125# 0.13fF
+C4 a_n81_n125# a_111_n125# 0.13fF
+C5 a_n81_n125# a_15_n125# 0.36fF
+C6 a_111_n125# w_n311_n335# 0.17fF
+C7 a_15_n125# w_n311_n335# 0.12fF
+C8 a_n81_n125# w_n311_n335# 0.12fF
+C9 a_n173_n125# w_n311_n335# 0.17fF
+C10 a_n111_n151# w_n311_n335# 0.25fF
+.ends
+
+.subckt inverter_cp_x1 out in vss vdd
+Xsky130_fd_pr__pfet_01v8_7KT7MH_0 vss in out vdd vdd vdd out sky130_fd_pr__pfet_01v8_7KT7MH
+Xsky130_fd_pr__nfet_01v8_2BS6QM_0 vss out vss vss in out sky130_fd_pr__nfet_01v8_2BS6QM
+C0 in out 0.32fF
+C1 vdd out 0.10fF
+C2 out vss 0.77fF
+C3 in vss 0.95fF
+C4 vdd vss 3.13fF
+.ends
+
+.subckt clock_inverter vss inverter_cp_x1_2/in CLK vdd inverter_cp_x1_0/out CLK_d
++ nCLK_d
+Xtrans_gate_0 nCLK_d inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 inverter_cp_x1_0/out CLK vss vdd inverter_cp_x1
+Xinverter_cp_x1_1 inverter_cp_x1_2/in CLK vss vdd inverter_cp_x1
+Xinverter_cp_x1_2 CLK_d inverter_cp_x1_2/in vss vdd inverter_cp_x1
+C0 inverter_cp_x1_2/in CLK 0.31fF
+C1 CLK_d vdd 0.03fF
+C2 CLK_d inverter_cp_x1_2/in 0.12fF
+C3 vdd inverter_cp_x1_0/out 0.28fF
+C4 inverter_cp_x1_0/out nCLK_d 0.11fF
+C5 inverter_cp_x1_0/out CLK 0.31fF
+C6 vdd nCLK_d 0.03fF
+C7 vdd CLK 0.36fF
+C8 vdd inverter_cp_x1_2/in 0.21fF
+C9 CLK_d vss 0.96fF
+C10 inverter_cp_x1_2/in vss 2.01fF
+C11 inverter_cp_x1_0/out vss 1.97fF
+C12 CLK vss 3.03fF
+C13 nCLK_d vss 1.44fF
+C14 vdd vss 16.51fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_MJG8BZ VSUBS a_n125_n95# a_63_n95# w_n263_n314# a_n33_n95#
++ a_n63_n192#
+X0 a_63_n95# a_n63_n192# a_n33_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n33_n95# a_n63_n192# a_n125_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+C0 a_n125_n95# w_n263_n314# 0.11fF
+C1 a_n125_n95# a_n33_n95# 0.28fF
+C2 a_n33_n95# w_n263_n314# 0.08fF
+C3 a_n125_n95# a_63_n95# 0.10fF
+C4 w_n263_n314# a_63_n95# 0.11fF
+C5 a_n33_n95# a_63_n95# 0.28fF
+C6 a_63_n95# VSUBS 0.03fF
+C7 a_n33_n95# VSUBS 0.03fF
+C8 a_n125_n95# VSUBS 0.03fF
+C9 a_n63_n192# VSUBS 0.20fF
+C10 w_n263_n314# VSUBS 1.80fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_2BS854 w_n311_n335# a_n129_n213# a_111_n125# a_15_n125#
++ a_n173_n125# a_n81_n125#
+X0 a_111_n125# a_n129_n213# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n81_n125# a_n129_n213# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_15_n125# a_n129_n213# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n81_n125# a_n129_n213# 0.10fF
+C1 a_n81_n125# a_15_n125# 0.36fF
+C2 a_n81_n125# a_n173_n125# 0.36fF
+C3 a_15_n125# a_n129_n213# 0.10fF
+C4 a_n81_n125# a_111_n125# 0.13fF
+C5 a_n129_n213# a_n173_n125# 0.02fF
+C6 a_n129_n213# a_111_n125# 0.01fF
+C7 a_15_n125# a_n173_n125# 0.13fF
+C8 a_15_n125# a_111_n125# 0.36fF
+C9 a_n173_n125# a_111_n125# 0.08fF
+C10 a_111_n125# w_n311_n335# 0.05fF
+C11 a_15_n125# w_n311_n335# 0.05fF
+C12 a_n81_n125# w_n311_n335# 0.05fF
+C13 a_n173_n125# w_n311_n335# 0.05fF
+C14 a_n129_n213# w_n311_n335# 0.49fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_KU9PSX a_n125_n95# a_n33_n95# a_n81_n183# w_n263_n305#
+X0 a_n33_n95# a_n81_n183# a_n125_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+X1 a_n125_n95# a_n81_n183# a_n33_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
+C0 a_n33_n95# a_n81_n183# 0.10fF
+C1 a_n33_n95# a_n125_n95# 0.88fF
+C2 a_n81_n183# a_n125_n95# 0.16fF
+C3 a_n33_n95# w_n263_n305# 0.07fF
+C4 a_n125_n95# w_n263_n305# 0.13fF
+C5 a_n81_n183# w_n263_n305# 0.31fF
+.ends
+
+.subckt latch_diff m1_657_280# nQ Q vss CLK vdd nD D
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_0 vss vdd vdd vdd nQ Q sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__pfet_01v8_MJG8BZ_1 vss vdd vdd vdd Q nQ sky130_fd_pr__pfet_01v8_MJG8BZ
+Xsky130_fd_pr__nfet_01v8_2BS854_0 vss CLK vss m1_657_280# m1_657_280# vss sky130_fd_pr__nfet_01v8_2BS854
+Xsky130_fd_pr__nfet_01v8_KU9PSX_0 m1_657_280# Q nD vss sky130_fd_pr__nfet_01v8_KU9PSX
+Xsky130_fd_pr__nfet_01v8_KU9PSX_1 m1_657_280# nQ D vss sky130_fd_pr__nfet_01v8_KU9PSX
+C0 nQ Q 0.93fF
+C1 nQ vdd 0.16fF
+C2 nQ D 0.05fF
+C3 nQ m1_657_280# 1.41fF
+C4 Q vdd 0.16fF
+C5 Q D 0.05fF
+C6 Q m1_657_280# 0.94fF
+C7 nQ nD 0.05fF
+C8 CLK m1_657_280# 0.24fF
+C9 nD Q 0.05fF
+C10 nQ vss 1.16fF
+C11 D vss 0.53fF
+C12 Q vss -0.55fF
+C13 m1_657_280# vss 1.88fF
+C14 nD vss 0.16fF
+C15 CLK vss 0.87fF
+C16 vdd vss 5.98fF
+.ends
+
+.subckt DFlipFlop latch_diff_0/m1_657_280# vss latch_diff_1/D clock_inverter_0/inverter_cp_x1_2/in
++ nQ Q latch_diff_1/nD D latch_diff_1/m1_657_280# latch_diff_0/D vdd CLK clock_inverter_0/inverter_cp_x1_0/out
++ nCLK latch_diff_0/nD
+Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in D vdd clock_inverter_0/inverter_cp_x1_0/out
++ latch_diff_0/D latch_diff_0/nD clock_inverter
+Xlatch_diff_0 latch_diff_0/m1_657_280# latch_diff_1/nD latch_diff_1/D vss CLK vdd
++ latch_diff_0/nD latch_diff_0/D latch_diff
+Xlatch_diff_1 latch_diff_1/m1_657_280# nQ Q vss nCLK vdd latch_diff_1/nD latch_diff_1/D
++ latch_diff
+C0 vdd clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C1 latch_diff_0/m1_657_280# latch_diff_1/m1_657_280# 0.18fF
+C2 latch_diff_1/D vdd 0.03fF
+C3 latch_diff_1/nD vdd 0.02fF
+C4 latch_diff_0/D latch_diff_0/m1_657_280# 0.37fF
+C5 latch_diff_0/m1_657_280# latch_diff_0/nD 0.38fF
+C6 latch_diff_1/D latch_diff_1/nD 0.33fF
+C7 latch_diff_1/D nQ 0.11fF
+C8 latch_diff_1/nD nQ 0.08fF
+C9 latch_diff_0/D vdd 0.09fF
+C10 latch_diff_1/nD Q 0.01fF
+C11 latch_diff_1/D latch_diff_1/m1_657_280# 0.32fF
+C12 latch_diff_1/nD latch_diff_1/m1_657_280# 0.42fF
+C13 latch_diff_0/nD vdd 0.14fF
+C14 latch_diff_1/D latch_diff_0/D 0.11fF
+C15 latch_diff_0/D latch_diff_1/nD 0.04fF
+C16 latch_diff_1/D latch_diff_0/nD 0.41fF
+C17 latch_diff_1/D latch_diff_0/m1_657_280# 0.43fF
+C18 latch_diff_1/nD latch_diff_0/m1_657_280# 0.14fF
+C19 nQ vss 0.57fF
+C20 Q vss -0.92fF
+C21 latch_diff_1/m1_657_280# vss 0.64fF
+C22 nCLK vss 0.83fF
+C23 latch_diff_1/nD vss 1.83fF
+C24 latch_diff_1/D vss -0.30fF
+C25 latch_diff_0/m1_657_280# vss 0.72fF
+C26 CLK vss 0.83fF
+C27 latch_diff_0/D vss 1.29fF
+C28 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C29 clock_inverter_0/inverter_cp_x1_0/out vss 1.84fF
+C30 D vss 3.27fF
+C31 latch_diff_0/nD vss 1.74fF
+C32 vdd vss 32.62fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZP3U9B VSUBS a_n221_n84# a_159_n84# w_n359_n303# a_n63_n110#
++ a_n129_n84# a_33_n110# a_n159_n110# a_63_n84# a_129_n110# a_n33_n84#
+X0 a_n129_n84# a_n159_n110# a_n221_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_63_n84# a_33_n110# a_n33_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 a_n33_n84# a_n63_n110# a_n129_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_159_n84# a_129_n110# a_63_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+C0 a_n129_n84# w_n359_n303# 0.06fF
+C1 a_n129_n84# a_n33_n84# 0.24fF
+C2 a_n63_n110# a_n159_n110# 0.02fF
+C3 a_159_n84# a_n221_n84# 0.04fF
+C4 a_159_n84# a_63_n84# 0.24fF
+C5 a_n33_n84# w_n359_n303# 0.05fF
+C6 a_n63_n110# a_33_n110# 0.02fF
+C7 a_129_n110# a_33_n110# 0.02fF
+C8 a_n129_n84# a_159_n84# 0.05fF
+C9 a_n221_n84# a_63_n84# 0.05fF
+C10 a_159_n84# w_n359_n303# 0.08fF
+C11 a_n33_n84# a_159_n84# 0.09fF
+C12 a_n129_n84# a_n221_n84# 0.24fF
+C13 a_n129_n84# a_63_n84# 0.09fF
+C14 a_n221_n84# w_n359_n303# 0.08fF
+C15 a_n33_n84# a_n221_n84# 0.09fF
+C16 w_n359_n303# a_63_n84# 0.06fF
+C17 a_n33_n84# a_63_n84# 0.24fF
+C18 a_159_n84# VSUBS 0.03fF
+C19 a_63_n84# VSUBS 0.03fF
+C20 a_n33_n84# VSUBS 0.03fF
+C21 a_n129_n84# VSUBS 0.03fF
+C22 a_n221_n84# VSUBS 0.03fF
+C23 a_129_n110# VSUBS 0.05fF
+C24 a_33_n110# VSUBS 0.05fF
+C25 a_n63_n110# VSUBS 0.05fF
+C26 a_n159_n110# VSUBS 0.05fF
+C27 w_n359_n303# VSUBS 2.19fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_DXA56D w_n359_n252# a_n33_n42# a_129_n68# a_n159_n68#
++ a_n221_n42# a_159_n42# a_n129_n42# a_33_n68# a_n63_n68# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n129_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X2 a_159_n42# a_129_n68# a_63_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X3 a_n129_n42# a_n159_n68# a_n221_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_n221_n42# a_n129_n42# 0.12fF
+C1 a_159_n42# a_63_n42# 0.12fF
+C2 a_n33_n42# a_n129_n42# 0.12fF
+C3 a_n221_n42# a_n33_n42# 0.05fF
+C4 a_n63_n68# a_n159_n68# 0.02fF
+C5 a_159_n42# a_n129_n42# 0.03fF
+C6 a_159_n42# a_n221_n42# 0.02fF
+C7 a_159_n42# a_n33_n42# 0.05fF
+C8 a_n63_n68# a_33_n68# 0.02fF
+C9 a_n129_n42# a_63_n42# 0.05fF
+C10 a_n221_n42# a_63_n42# 0.03fF
+C11 a_33_n68# a_129_n68# 0.02fF
+C12 a_n33_n42# a_63_n42# 0.12fF
+C13 a_159_n42# w_n359_n252# 0.07fF
+C14 a_63_n42# w_n359_n252# 0.06fF
+C15 a_n33_n42# w_n359_n252# 0.06fF
+C16 a_n129_n42# w_n359_n252# 0.06fF
+C17 a_n221_n42# w_n359_n252# 0.07fF
+C18 a_129_n68# w_n359_n252# 0.05fF
+C19 a_33_n68# w_n359_n252# 0.05fF
+C20 a_n63_n68# w_n359_n252# 0.05fF
+C21 a_n159_n68# w_n359_n252# 0.05fF
+.ends
+
+.subckt inverter_min_x4 in vss out vdd
+Xsky130_fd_pr__pfet_01v8_ZP3U9B_0 vss out out vdd in vdd in in vdd in out sky130_fd_pr__pfet_01v8_ZP3U9B
+Xsky130_fd_pr__nfet_01v8_DXA56D_0 vss out in in out out vss in in vss sky130_fd_pr__nfet_01v8_DXA56D
+C0 vdd in 0.33fF
+C1 out in 0.67fF
+C2 vdd out 0.62fF
+C3 out vss 0.66fF
+C4 in vss 1.89fF
+C5 vdd vss 3.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_5RJ8EK a_n33_n42# a_33_n68# w_n263_n252# a_n63_n68#
++ a_n125_n42# a_63_n42#
+X0 a_63_n42# a_33_n68# a_n33_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+X1 a_n33_n42# a_n63_n68# a_n125_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_63_n42# a_n125_n42# 0.05fF
+C1 a_n125_n42# a_n33_n42# 0.12fF
+C2 a_33_n68# a_n63_n68# 0.02fF
+C3 a_63_n42# a_n33_n42# 0.12fF
+C4 a_63_n42# w_n263_n252# 0.09fF
+C5 a_n33_n42# w_n263_n252# 0.07fF
+C6 a_n125_n42# w_n263_n252# 0.09fF
+C7 a_33_n68# w_n263_n252# 0.05fF
+C8 a_n63_n68# w_n263_n252# 0.05fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_ZPB9BB VSUBS a_n63_n110# a_33_n110# a_n125_n84# a_63_n84#
++ w_n263_n303# a_n33_n84#
+X0 a_63_n84# a_33_n110# a_n33_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_n33_n84# a_n63_n110# a_n125_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+C0 a_33_n110# a_n63_n110# 0.02fF
+C1 a_n33_n84# a_63_n84# 0.24fF
+C2 w_n263_n303# a_63_n84# 0.10fF
+C3 a_n33_n84# w_n263_n303# 0.07fF
+C4 a_n125_n84# a_63_n84# 0.09fF
+C5 a_n33_n84# a_n125_n84# 0.24fF
+C6 a_n125_n84# w_n263_n303# 0.10fF
+C7 a_63_n84# VSUBS 0.03fF
+C8 a_n33_n84# VSUBS 0.03fF
+C9 a_n125_n84# VSUBS 0.03fF
+C10 a_33_n110# VSUBS 0.05fF
+C11 a_n63_n110# VSUBS 0.05fF
+C12 w_n263_n303# VSUBS 1.74fF
+.ends
+
+.subckt inverter_min_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_5RJ8EK_0 vss in vss in out out sky130_fd_pr__nfet_01v8_5RJ8EK
+Xsky130_fd_pr__pfet_01v8_ZPB9BB_0 vss in in out out vdd vdd sky130_fd_pr__pfet_01v8_ZPB9BB
+C0 out in 0.30fF
+C1 vdd in 0.01fF
+C2 out vdd 0.15fF
+C3 vdd vss 2.93fF
+C4 out vss 0.66fF
+C5 in vss 0.72fF
+.ends
+
+.subckt div_by_2 vss vdd clock_inverter_0/inverter_cp_x1_2/in CLK_2 nCLK_2 o1 CLK
++ out_div o2 clock_inverter_0/inverter_cp_x1_0/out nout_div
+XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ nout_div out_div DFlipFlop_0/latch_diff_1/nD nout_div DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd DFlipFlop_0/CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
+Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in CLK vdd clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_0/CLK DFlipFlop_0/nCLK clock_inverter
+Xinverter_min_x4_0 o1 vss CLK_2 vdd inverter_min_x4
+Xinverter_min_x4_1 o2 vss nCLK_2 vdd inverter_min_x4
+Xinverter_min_x2_0 nout_div o2 vss vdd inverter_min_x2
+Xinverter_min_x2_1 out_div o1 vss vdd inverter_min_x2
+C0 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_0/nD 0.12fF
+C1 o1 DFlipFlop_0/latch_diff_1/m1_657_280# 0.02fF
+C2 DFlipFlop_0/nCLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.46fF
+C3 CLK_2 vdd 0.08fF
+C4 DFlipFlop_0/nCLK nout_div 0.43fF
+C5 vdd o1 0.14fF
+C6 vdd clock_inverter_0/inverter_cp_x1_0/out 0.10fF
+C7 out_div vdd 0.03fF
+C8 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_1/D 0.08fF
+C9 nCLK_2 vdd 0.08fF
+C10 DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/nCLK -0.09fF
+C11 DFlipFlop_0/CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out 0.29fF
+C12 CLK_2 o1 0.11fF
+C13 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_1/m1_657_280# 0.26fF
+C14 DFlipFlop_0/latch_diff_1/D nout_div 0.64fF
+C15 o2 DFlipFlop_0/latch_diff_1/m1_657_280# 0.02fF
+C16 out_div o1 0.01fF
+C17 DFlipFlop_0/latch_diff_1/nD nout_div 1.18fF
+C18 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vdd 0.03fF
+C19 vdd DFlipFlop_0/nCLK 0.30fF
+C20 DFlipFlop_0/CLK nout_div 0.42fF
+C21 nout_div DFlipFlop_0/latch_diff_1/m1_657_280# 0.21fF
+C22 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C23 o2 vdd 0.14fF
+C24 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_0/D 0.13fF
+C25 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_1/D -0.48fF
+C26 vdd nout_div 0.16fF
+C27 DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/CLK 0.11fF
+C28 DFlipFlop_0/latch_diff_0/m1_657_280# nout_div 0.24fF
+C29 DFlipFlop_0/latch_diff_0/D nout_div 0.09fF
+C30 DFlipFlop_0/CLK vdd 0.40fF
+C31 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_0/m1_657_280# 0.26fF
+C32 o2 nCLK_2 0.11fF
+C33 DFlipFlop_0/latch_diff_0/nD nout_div 0.07fF
+C34 out_div nout_div 0.22fF
+C35 nCLK_2 vss 1.08fF
+C36 o2 vss 2.21fF
+C37 CLK_2 vss 1.08fF
+C38 o1 vss 2.21fF
+C39 DFlipFlop_0/CLK vss 1.03fF
+C40 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C41 clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C42 CLK vss 3.27fF
+C43 DFlipFlop_0/nCLK vss 1.76fF
+C44 out_div vss -0.77fF
+C45 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.63fF
+C46 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C47 DFlipFlop_0/latch_diff_1/D vss -1.72fF
+C48 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C49 DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C50 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
+C51 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.80fF
+C52 nout_div vss 4.41fF
+C53 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C54 vdd vss 64.43fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_58ZKDE VSUBS a_n257_n777# a_n129_n600# a_n221_n600#
++ w_n257_n702#
+X0 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X1 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X2 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X3 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+C0 a_n221_n600# a_n257_n777# 0.25fF
+C1 a_n221_n600# a_n129_n600# 7.87fF
+C2 a_n257_n777# a_n129_n600# 0.29fF
+C3 a_n129_n600# VSUBS 0.10fF
+C4 a_n221_n600# VSUBS 0.25fF
+C5 a_n257_n777# VSUBS 1.05fF
+C6 w_n257_n702# VSUBS 2.16fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_T69Y3A a_n129_n300# a_n221_n300# w_n257_n327# a_n257_n404#
+X0 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X1 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X2 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X3 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+C0 a_n129_n300# a_n257_n404# 0.30fF
+C1 a_n221_n300# a_n257_n404# 0.21fF
+C2 a_n129_n300# a_n221_n300# 4.05fF
+C3 a_n129_n300# w_n257_n327# 0.11fF
+C4 a_n221_n300# w_n257_n327# 0.25fF
+C5 a_n257_n404# w_n257_n327# 1.11fF
+.ends
+
+.subckt buffer_salida a_678_n100# out in vss vdd
+Xsky130_fd_pr__pfet_01v8_58ZKDE_1 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_2 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_3 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_0 a_678_n100# vss vss in sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_1 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_4 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_5 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_2 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_3 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_6 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_4 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_7 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_70 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_8 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_5 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_71 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_60 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_6 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_9 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_72 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_61 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_50 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_7 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_62 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_51 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_40 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_8 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_63 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_52 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_41 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_30 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_9 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_20 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_64 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_53 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_42 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_31 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_10 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_21 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_65 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_54 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_43 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_32 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_11 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_22 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_66 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_55 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_44 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_33 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_12 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_23 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_67 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_56 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_45 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_34 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_13 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_24 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_68 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_57 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_46 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_35 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_14 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_69 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_58 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_47 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_36 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_25 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_15 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_59 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_48 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_37 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_26 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_16 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_49 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_38 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_27 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_70 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_17 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_39 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_28 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_71 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_60 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_18 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_29 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_72 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_61 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_50 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_19 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_62 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_51 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_40 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_63 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_52 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_41 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_30 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_20 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_64 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_53 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_42 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_31 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_10 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_21 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_65 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_54 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_43 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_32 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_11 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_22 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_66 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_55 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_44 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_33 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_12 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_23 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_67 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_56 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_45 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_34 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_13 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_24 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_68 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_57 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_46 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_35 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_14 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_69 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_58 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_47 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_36 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_25 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_15 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_59 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_48 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_37 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_26 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_16 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_49 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_38 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_27 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_17 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_39 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_28 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_18 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_29 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_19 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_0 vss in a_678_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+C0 out a_3996_n100# 55.19fF
+C1 a_678_n100# a_3996_n100# 6.52fF
+C2 a_678_n100# in 0.81fF
+C3 a_3996_n100# vdd 3.68fF
+C4 out vdd 47.17fF
+C5 in vdd 0.02fF
+C6 a_678_n100# vdd 0.08fF
+C7 vdd vss 20.93fF
+C8 out vss 35.17fF
+C9 a_3996_n100# vss 49.53fF
+C10 a_678_n100# vss 13.08fF
+C11 in vss 0.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_CBAU6Y a_n73_n150# a_n33_n238# w_n211_n360# a_15_n150#
+X0 a_15_n150# a_n33_n238# a_n73_n150# w_n211_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n33_n238# a_n73_n150# 0.02fF
+C1 a_15_n150# a_n33_n238# 0.02fF
+C2 a_15_n150# a_n73_n150# 0.51fF
+C3 a_15_n150# w_n211_n360# 0.23fF
+C4 a_n73_n150# w_n211_n360# 0.23fF
+C5 a_n33_n238# w_n211_n360# 0.17fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4757AC VSUBS a_n73_n150# a_n33_181# w_n211_n369# a_15_n150#
+X0 a_15_n150# a_n33_181# a_n73_n150# w_n211_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 w_n211_n369# a_n33_181# 0.05fF
+C1 a_n73_n150# w_n211_n369# 0.20fF
+C2 a_n73_n150# a_n33_181# 0.01fF
+C3 w_n211_n369# a_15_n150# 0.20fF
+C4 a_15_n150# a_n33_181# 0.01fF
+C5 a_n73_n150# a_15_n150# 0.51fF
+C6 a_15_n150# VSUBS 0.03fF
+C7 a_n73_n150# VSUBS 0.03fF
+C8 a_n33_181# VSUBS 0.13fF
+C9 w_n211_n369# VSUBS 1.98fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_7H8F5S a_n465_172# a_n417_n150# a_351_n150# a_255_n150#
++ w_n647_n360# a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150#
+X0 a_159_n150# a_n465_172# a_63_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n225_n150# a_n465_172# a_n321_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_447_n150# a_n465_172# a_351_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_63_n150# a_n465_172# a_n33_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_n129_n150# a_n465_172# a_n225_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_n417_n150# a_n465_172# a_n509_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n33_n150# a_n465_172# a_n129_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_351_n150# a_n465_172# a_255_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_255_n150# a_n465_172# a_159_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_n321_n150# a_n465_172# a_n417_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n465_172# a_n509_n150# 0.01fF
+C1 a_255_n150# a_63_n150# 0.16fF
+C2 a_159_n150# a_n129_n150# 0.10fF
+C3 a_351_n150# a_n465_172# 0.10fF
+C4 a_n417_n150# a_n465_172# 0.10fF
+C5 a_447_n150# a_255_n150# 0.16fF
+C6 a_n417_n150# a_n509_n150# 0.43fF
+C7 a_n465_172# a_n225_n150# 0.10fF
+C8 a_255_n150# a_n465_172# 0.10fF
+C9 a_n225_n150# a_n509_n150# 0.10fF
+C10 a_n33_n150# a_159_n150# 0.16fF
+C11 a_n33_n150# a_n129_n150# 0.43fF
+C12 a_n417_n150# a_n225_n150# 0.16fF
+C13 a_n129_n150# a_n321_n150# 0.16fF
+C14 a_351_n150# a_255_n150# 0.43fF
+C15 a_159_n150# a_63_n150# 0.43fF
+C16 a_63_n150# a_n129_n150# 0.16fF
+C17 a_447_n150# a_159_n150# 0.10fF
+C18 a_n33_n150# a_n321_n150# 0.10fF
+C19 a_159_n150# a_n465_172# 0.10fF
+C20 a_n465_172# a_n129_n150# 0.10fF
+C21 a_n33_n150# a_63_n150# 0.43fF
+C22 a_n129_n150# a_n509_n150# 0.07fF
+C23 a_63_n150# a_n321_n150# 0.07fF
+C24 a_351_n150# a_159_n150# 0.16fF
+C25 a_n417_n150# a_n129_n150# 0.10fF
+C26 a_159_n150# a_n225_n150# 0.07fF
+C27 a_n33_n150# a_n465_172# 0.10fF
+C28 a_n225_n150# a_n129_n150# 0.43fF
+C29 a_255_n150# a_159_n150# 0.43fF
+C30 a_n465_172# a_n321_n150# 0.10fF
+C31 a_255_n150# a_n129_n150# 0.07fF
+C32 a_447_n150# a_63_n150# 0.07fF
+C33 a_n321_n150# a_n509_n150# 0.16fF
+C34 a_n465_172# a_63_n150# 0.10fF
+C35 a_n33_n150# a_351_n150# 0.07fF
+C36 a_n33_n150# a_n417_n150# 0.07fF
+C37 a_n417_n150# a_n321_n150# 0.43fF
+C38 a_447_n150# a_n465_172# 0.01fF
+C39 a_n33_n150# a_n225_n150# 0.16fF
+C40 a_351_n150# a_63_n150# 0.10fF
+C41 a_n33_n150# a_255_n150# 0.10fF
+C42 a_n225_n150# a_n321_n150# 0.43fF
+C43 a_63_n150# a_n225_n150# 0.10fF
+C44 a_447_n150# a_351_n150# 0.43fF
+C45 a_447_n150# w_n647_n360# 0.17fF
+C46 a_351_n150# w_n647_n360# 0.10fF
+C47 a_255_n150# w_n647_n360# 0.08fF
+C48 a_159_n150# w_n647_n360# 0.07fF
+C49 a_63_n150# w_n647_n360# 0.04fF
+C50 a_n33_n150# w_n647_n360# 0.04fF
+C51 a_n129_n150# w_n647_n360# 0.04fF
+C52 a_n225_n150# w_n647_n360# 0.07fF
+C53 a_n321_n150# w_n647_n360# 0.08fF
+C54 a_n417_n150# w_n647_n360# 0.10fF
+C55 a_n509_n150# w_n647_n360# 0.17fF
+C56 a_n465_172# w_n647_n360# 1.49fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_8DL6ZL VSUBS a_n417_n150# a_351_n150# a_255_n150#
++ a_159_n150# a_447_n150# a_n509_n150# a_n33_n150# a_n465_n247# a_n321_n150# a_n225_n150#
++ a_63_n150# a_n129_n150# w_n647_n369#
+X0 a_63_n150# a_n465_n247# a_n33_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X1 a_n129_n150# a_n465_n247# a_n225_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X2 a_n417_n150# a_n465_n247# a_n509_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X3 a_n33_n150# a_n465_n247# a_n129_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X4 a_351_n150# a_n465_n247# a_255_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X5 a_255_n150# a_n465_n247# a_159_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X6 a_n321_n150# a_n465_n247# a_n417_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X7 a_159_n150# a_n465_n247# a_63_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X8 a_n225_n150# a_n465_n247# a_n321_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+X9 a_447_n150# a_n465_n247# a_351_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
+C0 a_n225_n150# a_n129_n150# 0.43fF
+C1 a_n321_n150# a_n33_n150# 0.10fF
+C2 a_n465_n247# a_255_n150# 0.08fF
+C3 a_63_n150# a_447_n150# 0.07fF
+C4 a_n225_n150# a_n33_n150# 0.16fF
+C5 a_n417_n150# a_n465_n247# 0.08fF
+C6 w_n647_n369# a_n129_n150# 0.02fF
+C7 a_n33_n150# a_351_n150# 0.07fF
+C8 a_159_n150# a_255_n150# 0.43fF
+C9 a_n465_n247# a_63_n150# 0.08fF
+C10 a_n33_n150# w_n647_n369# 0.02fF
+C11 a_n417_n150# a_n321_n150# 0.43fF
+C12 a_n33_n150# a_n129_n150# 0.43fF
+C13 a_63_n150# a_159_n150# 0.43fF
+C14 a_n417_n150# a_n225_n150# 0.16fF
+C15 a_n321_n150# a_63_n150# 0.07fF
+C16 a_255_n150# a_351_n150# 0.43fF
+C17 a_159_n150# a_447_n150# 0.10fF
+C18 a_255_n150# w_n647_n369# 0.05fF
+C19 a_n225_n150# a_63_n150# 0.10fF
+C20 a_n417_n150# w_n647_n369# 0.07fF
+C21 a_n509_n150# a_n321_n150# 0.16fF
+C22 a_255_n150# a_n129_n150# 0.07fF
+C23 a_63_n150# a_351_n150# 0.10fF
+C24 a_n465_n247# a_159_n150# 0.08fF
+C25 a_n417_n150# a_n129_n150# 0.10fF
+C26 a_63_n150# w_n647_n369# 0.02fF
+C27 a_n509_n150# a_n225_n150# 0.10fF
+C28 a_n321_n150# a_n465_n247# 0.08fF
+C29 a_n33_n150# a_255_n150# 0.10fF
+C30 a_447_n150# a_351_n150# 0.43fF
+C31 a_n417_n150# a_n33_n150# 0.07fF
+C32 a_63_n150# a_n129_n150# 0.16fF
+C33 a_447_n150# w_n647_n369# 0.14fF
+C34 a_n509_n150# w_n647_n369# 0.14fF
+C35 a_n225_n150# a_n465_n247# 0.08fF
+C36 a_63_n150# a_n33_n150# 0.43fF
+C37 a_n465_n247# a_351_n150# 0.08fF
+C38 a_n509_n150# a_n129_n150# 0.07fF
+C39 a_n465_n247# w_n647_n369# 0.47fF
+C40 a_n225_n150# a_159_n150# 0.07fF
+C41 a_n321_n150# a_n225_n150# 0.43fF
+C42 a_159_n150# a_351_n150# 0.16fF
+C43 a_n465_n247# a_n129_n150# 0.08fF
+C44 a_159_n150# w_n647_n369# 0.04fF
+C45 a_63_n150# a_255_n150# 0.16fF
+C46 a_n321_n150# w_n647_n369# 0.05fF
+C47 a_n465_n247# a_n33_n150# 0.08fF
+C48 a_159_n150# a_n129_n150# 0.10fF
+C49 a_n225_n150# w_n647_n369# 0.04fF
+C50 a_n321_n150# a_n129_n150# 0.16fF
+C51 a_447_n150# a_255_n150# 0.16fF
+C52 a_159_n150# a_n33_n150# 0.16fF
+C53 a_n417_n150# a_n509_n150# 0.43fF
+C54 w_n647_n369# a_351_n150# 0.07fF
+C55 a_447_n150# VSUBS 0.03fF
+C56 a_351_n150# VSUBS 0.03fF
+C57 a_255_n150# VSUBS 0.03fF
+C58 a_159_n150# VSUBS 0.03fF
+C59 a_63_n150# VSUBS 0.03fF
+C60 a_n33_n150# VSUBS 0.03fF
+C61 a_n129_n150# VSUBS 0.03fF
+C62 a_n225_n150# VSUBS 0.03fF
+C63 a_n321_n150# VSUBS 0.03fF
+C64 a_n417_n150# VSUBS 0.03fF
+C65 a_n509_n150# VSUBS 0.03fF
+C66 a_n465_n247# VSUBS 1.07fF
+C67 w_n647_n369# VSUBS 4.87fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_EDT3AT a_15_n11# a_n33_n99# w_n211_n221# a_n73_n11#
+X0 a_15_n11# a_n33_n99# a_n73_n11# w_n211_n221# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
+C0 a_n73_n11# a_15_n11# 0.15fF
+C1 a_n33_n99# a_n73_n11# 0.02fF
+C2 a_n33_n99# a_15_n11# 0.02fF
+C3 a_15_n11# w_n211_n221# 0.09fF
+C4 a_n73_n11# w_n211_n221# 0.09fF
+C5 a_n33_n99# w_n211_n221# 0.17fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AQR2CW a_n33_66# a_n78_n106# w_n216_n254# a_20_n106#
+X0 a_20_n106# a_n33_66# a_n78_n106# w_n216_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=200000u
+C0 a_n78_n106# a_20_n106# 0.21fF
+C1 a_20_n106# w_n216_n254# 0.14fF
+C2 a_n78_n106# w_n216_n254# 0.14fF
+C3 a_n33_66# w_n216_n254# 0.12fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_HRYSXS VSUBS a_n33_n211# a_n78_n114# w_n216_n334#
++ a_20_n114#
+X0 a_20_n114# a_n33_n211# a_n78_n114# w_n216_n334# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=200000u
+C0 a_n78_n114# a_20_n114# 0.42fF
+C1 w_n216_n334# a_20_n114# 0.20fF
+C2 w_n216_n334# a_n78_n114# 0.20fF
+C3 a_20_n114# VSUBS 0.03fF
+C4 a_n78_n114# VSUBS 0.03fF
+C5 a_n33_n211# VSUBS 0.12fF
+C6 w_n216_n334# VSUBS 1.66fF
+.ends
+
+.subckt inverter_csvco in vbulkn out vbulkp vdd vss
+Xsky130_fd_pr__nfet_01v8_AQR2CW_0 in vss vbulkn out sky130_fd_pr__nfet_01v8_AQR2CW
+Xsky130_fd_pr__pfet_01v8_HRYSXS_0 vbulkn in vdd vbulkp out sky130_fd_pr__pfet_01v8_HRYSXS
+C0 out in 0.11fF
+C1 vdd in 0.01fF
+C2 vbulkp out 0.08fF
+C3 vss in 0.01fF
+C4 vdd vbulkp 0.04fF
+C5 vbulkp vbulkn 2.49fF
+C6 out vbulkn 0.60fF
+C7 vdd vbulkn 0.06fF
+C8 in vbulkn 0.54fF
+C9 vss vbulkn 0.17fF
+.ends
+
+.subckt csvco_branch vctrl inverter_csvco_0/vdd in vbp cap_vco_0/t D0 out inverter_csvco_0/vss
++ vss vdd
+Xsky130_fd_pr__nfet_01v8_7H8F5S_0 vctrl inverter_csvco_0/vss inverter_csvco_0/vss
++ vss vss inverter_csvco_0/vss vss vss inverter_csvco_0/vss vss inverter_csvco_0/vss
++ vss vss sky130_fd_pr__nfet_01v8_7H8F5S
+Xsky130_fd_pr__pfet_01v8_8DL6ZL_0 vss inverter_csvco_0/vdd inverter_csvco_0/vdd vdd
++ inverter_csvco_0/vdd vdd vdd inverter_csvco_0/vdd vbp vdd inverter_csvco_0/vdd vdd
++ vdd vdd sky130_fd_pr__pfet_01v8_8DL6ZL
+Xsky130_fd_pr__nfet_01v8_EDT3AT_0 cap_vco_0/t D0 vss out sky130_fd_pr__nfet_01v8_EDT3AT
+Xinverter_csvco_0 in vss out vdd inverter_csvco_0/vdd inverter_csvco_0/vss inverter_csvco
+C0 vdd inverter_csvco_0/vdd 1.89fF
+C1 vbp vdd 1.21fF
+C2 out cap_vco_0/t 0.70fF
+C3 in inverter_csvco_0/vdd 0.01fF
+C4 inverter_csvco_0/vss D0 0.02fF
+C5 vbp inverter_csvco_0/vdd 0.75fF
+C6 inverter_csvco_0/vss in 0.01fF
+C7 vdd cap_vco_0/t 0.04fF
+C8 out inverter_csvco_0/vdd 0.02fF
+C9 out D0 0.09fF
+C10 out inverter_csvco_0/vss 0.03fF
+C11 out in 0.06fF
+C12 vctrl inverter_csvco_0/vss 0.87fF
+C13 cap_vco_0/t inverter_csvco_0/vdd 0.10fF
+C14 out vss 0.93fF
+C15 inverter_csvco_0/vdd vss 0.26fF
+C16 in vss 0.69fF
+C17 D0 vss -0.67fF
+C18 vbp vss 0.13fF
+C19 vdd vss 9.58fF
+C20 cap_vco_0/t vss 7.22fF
+C21 inverter_csvco_0/vss vss 1.79fF
+C22 vctrl vss 3.06fF
+.ends
+
+.subckt ring_osc csvco_branch_0/inverter_csvco_0/vdd vctrl csvco_branch_1/inverter_csvco_0/vdd
++ csvco_branch_2/inverter_csvco_0/vdd vdd vss csvco_branch_2/vbp csvco_branch_0/inverter_csvco_0/vss
++ D0 csvco_branch_2/cap_vco_0/t out_vco
+Xsky130_fd_pr__nfet_01v8_CBAU6Y_0 vss vctrl vss csvco_branch_2/vbp sky130_fd_pr__nfet_01v8_CBAU6Y
+Xsky130_fd_pr__pfet_01v8_4757AC_0 vss vdd csvco_branch_2/vbp vdd csvco_branch_2/vbp
++ sky130_fd_pr__pfet_01v8_4757AC
+Xcsvco_branch_0 vctrl csvco_branch_0/inverter_csvco_0/vdd out_vco csvco_branch_2/vbp
++ csvco_branch_0/cap_vco_0/t D0 csvco_branch_1/in csvco_branch_0/inverter_csvco_0/vss
++ vss vdd csvco_branch
+Xcsvco_branch_2 vctrl csvco_branch_2/inverter_csvco_0/vdd csvco_branch_2/in csvco_branch_2/vbp
++ csvco_branch_2/cap_vco_0/t D0 out_vco csvco_branch_2/inverter_csvco_0/vss vss vdd
++ csvco_branch
+Xcsvco_branch_1 vctrl csvco_branch_1/inverter_csvco_0/vdd csvco_branch_1/in csvco_branch_2/vbp
++ csvco_branch_1/cap_vco_0/t D0 csvco_branch_2/in csvco_branch_1/inverter_csvco_0/vss
++ vss vdd csvco_branch
+C0 csvco_branch_2/in out_vco 0.58fF
+C1 csvco_branch_0/inverter_csvco_0/vdd csvco_branch_2/vbp 0.06fF
+C2 csvco_branch_0/inverter_csvco_0/vss csvco_branch_2/vbp 0.06fF
+C3 csvco_branch_1/inverter_csvco_0/vdd vdd 0.19fF
+C4 D0 csvco_branch_1/inverter_csvco_0/vss 0.68fF
+C5 csvco_branch_2/vbp vdd 1.49fF
+C6 out_vco csvco_branch_1/cap_vco_0/t 0.03fF
+C7 D0 vctrl 4.41fF
+C8 out_vco csvco_branch_0/cap_vco_0/t 0.03fF
+C9 csvco_branch_2/inverter_csvco_0/vdd vdd 0.10fF
+C10 csvco_branch_0/inverter_csvco_0/vdd vdd 0.13fF
+C11 out_vco csvco_branch_1/in 0.76fF
+C12 D0 csvco_branch_0/inverter_csvco_0/vss 0.49fF
+C13 vctrl csvco_branch_2/vbp 0.06fF
+C14 csvco_branch_2/inverter_csvco_0/vss D0 0.68fF
+C15 csvco_branch_2/in vss 1.60fF
+C16 csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C17 csvco_branch_1/cap_vco_0/t vss 7.10fF
+C18 csvco_branch_1/inverter_csvco_0/vss vss 0.72fF
+C19 csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C20 csvco_branch_2/cap_vco_0/t vss 7.10fF
+C21 csvco_branch_2/inverter_csvco_0/vss vss 0.62fF
+C22 csvco_branch_1/in vss 1.58fF
+C23 csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C24 out_vco vss 0.67fF
+C25 D0 vss -1.55fF
+C26 vdd vss 31.40fF
+C27 csvco_branch_0/cap_vco_0/t vss 7.10fF
+C28 csvco_branch_0/inverter_csvco_0/vss vss 0.66fF
+C29 vctrl vss 11.02fF
+C30 csvco_branch_2/vbp vss 0.77fF
+.ends
+
+.subckt ring_osc_buffer vss in_vco vdd o1 out_div out_pad
+Xinverter_min_x4_0 o1 vss out_div vdd inverter_min_x4
+Xinverter_min_x4_1 out_div vss out_pad vdd inverter_min_x4
+Xinverter_min_x2_0 in_vco o1 vss vdd inverter_min_x2
+C0 vdd out_div 0.17fF
+C1 o1 out_div 0.11fF
+C2 out_pad out_div 0.15fF
+C3 o1 vdd 0.09fF
+C4 out_pad vdd 0.10fF
+C5 in_vco vss 0.83fF
+C6 out_pad vss 0.70fF
+C7 out_div vss 3.00fF
+C8 vdd vss 14.54fF
+C9 o1 vss 2.72fF
+.ends
+
+.subckt sky130_fd_sc_hs__xor2_1 A B VGND VNB VPB VPWR X a_194_125# a_355_368# a_455_87#
++ a_158_392#
+X0 X B a_455_87# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X1 X a_194_125# a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X2 a_194_125# B a_158_392# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X3 a_158_392# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1e+06u l=150000u
+X4 VPWR A a_355_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X5 a_355_368# B VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X6 a_194_125# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X7 a_455_87# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X8 VGND B a_194_125# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X9 VGND a_194_125# X VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+C0 VPWR VPB 0.06fF
+C1 a_194_125# X 0.29fF
+C2 VPWR a_355_368# 0.37fF
+C3 VPWR B 0.09fF
+C4 A VPWR 0.15fF
+C5 VGND VPWR 0.01fF
+C6 a_355_368# X 0.17fF
+C7 a_355_368# a_194_125# 0.51fF
+C8 B X 0.13fF
+C9 B a_194_125# 0.57fF
+C10 A a_194_125# 0.18fF
+C11 VGND X 0.28fF
+C12 VGND a_194_125# 0.25fF
+C13 a_158_392# a_194_125# 0.06fF
+C14 VPWR X 0.07fF
+C15 VPWR a_194_125# 0.33fF
+C16 B a_355_368# 0.08fF
+C17 A a_355_368# 0.02fF
+C18 A B 0.28fF
+C19 VGND B 0.10fF
+C20 A VGND 0.31fF
+C21 VGND VNB 0.78fF
+C22 X VNB 0.21fF
+C23 VPWR VNB 0.78fF
+C24 B VNB 0.56fF
+C25 A VNB 0.70fF
+C26 VPB VNB 0.77fF
+C27 a_355_368# VNB 0.08fF
+C28 a_194_125# VNB 0.40fF
+.ends
+
+.subckt sky130_fd_sc_hs__and2_1 A B VGND VNB VPB VPWR X a_143_136# a_56_136#
+X0 VGND B a_143_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X1 X a_56_136# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X2 VPWR B a_56_136# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X3 a_143_136# A a_56_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
+X4 a_56_136# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X5 X a_56_136# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+C0 A B 0.08fF
+C1 a_56_136# X 0.26fF
+C2 VGND A 0.21fF
+C3 VGND B 0.03fF
+C4 VPWR X 0.20fF
+C5 A a_56_136# 0.17fF
+C6 a_56_136# B 0.30fF
+C7 VGND a_56_136# 0.06fF
+C8 A VPWR 0.07fF
+C9 VPWR B 0.02fF
+C10 VPB VPWR 0.04fF
+C11 a_56_136# VPWR 0.57fF
+C12 X B 0.02fF
+C13 VGND X 0.15fF
+C14 VGND VNB 0.50fF
+C15 X VNB 0.23fF
+C16 VPWR VNB 0.50fF
+C17 B VNB 0.24fF
+C18 A VNB 0.36fF
+C19 VPB VNB 0.48fF
+C20 a_56_136# VNB 0.38fF
+.ends
+
+.subckt sky130_fd_sc_hs__or2_1 A B VGND VNB VPB VPWR X a_152_368# a_63_368#
+X0 VPWR A a_152_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X1 a_152_368# B a_63_368# VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
+X2 X a_63_368# VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
+X3 X a_63_368# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
+X4 a_63_368# B VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+X5 VGND A a_63_368# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
+C0 a_63_368# a_152_368# 0.03fF
+C1 a_63_368# X 0.33fF
+C2 VPWR VPB 0.04fF
+C3 VPWR A 0.05fF
+C4 a_63_368# B 0.14fF
+C5 a_63_368# VGND 0.27fF
+C6 VGND X 0.16fF
+C7 B VGND 0.11fF
+C8 a_63_368# VPWR 0.29fF
+C9 VPWR X 0.18fF
+C10 B VPWR 0.01fF
+C11 a_63_368# A 0.28fF
+C12 X A 0.02fF
+C13 B A 0.10fF
+C14 VGND VNB 0.53fF
+C15 X VNB 0.24fF
+C16 A VNB 0.21fF
+C17 B VNB 0.31fF
+C18 VPWR VNB 0.46fF
+C19 VPB VNB 0.48fF
+C20 a_63_368# VNB 0.37fF
+.ends
+
+.subckt div_by_5 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_1/latch_diff_0/D
++ nCLK DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd DFlipFlop_2/latch_diff_0/nD Q0 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ CLK DFlipFlop_2/latch_diff_1/D vss DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ sky130_fd_sc_hs__and2_1_0/a_56_136# nQ0 DFlipFlop_1/latch_diff_1/nD CLK_5 DFlipFlop_3/latch_diff_0/nD
++ nQ2 DFlipFlop_0/latch_diff_0/D DFlipFlop_2/latch_diff_1/nD DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_1/latch_diff_1/D Q1 DFlipFlop_2/D DFlipFlop_3/latch_diff_0/D DFlipFlop_1/D
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_3/latch_diff_1/nD DFlipFlop_0/latch_diff_1/D Q1_shift DFlipFlop_0/latch_diff_0/nD
++ DFlipFlop_2/nQ DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_2/latch_diff_0/D
++ sky130_fd_sc_hs__xor2_1_0/a_158_392# DFlipFlop_3/latch_diff_1/D sky130_fd_sc_hs__or2_1_0/a_63_368#
++ DFlipFlop_1/latch_diff_0/nD sky130_fd_sc_hs__and2_1_1/a_143_136# DFlipFlop_0/Q sky130_fd_sc_hs__and2_1_1/a_56_136#
++ sky130_fd_sc_hs__xor2_1_0/a_194_125# DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ sky130_fd_sc_hs__and2_1_0/a_143_136#
+Xsky130_fd_sc_hs__xor2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# sky130_fd_sc_hs__xor2_1_0/a_455_87# sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ sky130_fd_sc_hs__xor2_1
+XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ nQ2 DFlipFlop_0/Q DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
+XDFlipFlop_1 DFlipFlop_1/latch_diff_0/m1_657_280# vss DFlipFlop_1/latch_diff_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ nQ0 Q0 DFlipFlop_1/latch_diff_1/nD DFlipFlop_1/D DFlipFlop_1/latch_diff_1/m1_657_280#
++ DFlipFlop_1/latch_diff_0/D vdd CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_1/latch_diff_0/nD DFlipFlop
+XDFlipFlop_2 DFlipFlop_2/latch_diff_0/m1_657_280# vss DFlipFlop_2/latch_diff_1/D DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in
++ DFlipFlop_2/nQ Q1 DFlipFlop_2/latch_diff_1/nD DFlipFlop_2/D DFlipFlop_2/latch_diff_1/m1_657_280#
++ DFlipFlop_2/latch_diff_0/D vdd CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ nCLK DFlipFlop_2/latch_diff_0/nD DFlipFlop
+XDFlipFlop_3 DFlipFlop_3/latch_diff_0/m1_657_280# vss DFlipFlop_3/latch_diff_1/D DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ DFlipFlop_3/nQ Q1_shift DFlipFlop_3/latch_diff_1/nD Q1 DFlipFlop_3/latch_diff_1/m1_657_280#
++ DFlipFlop_3/latch_diff_0/D vdd nCLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ CLK DFlipFlop_3/latch_diff_0/nD DFlipFlop
+Xsky130_fd_sc_hs__and2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_0/D sky130_fd_sc_hs__and2_1_0/a_143_136#
++ sky130_fd_sc_hs__and2_1_0/a_56_136# sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__and2_1_1 nQ2 nQ0 vss vss vdd vdd DFlipFlop_1/D sky130_fd_sc_hs__and2_1_1/a_143_136#
++ sky130_fd_sc_hs__and2_1_1/a_56_136# sky130_fd_sc_hs__and2_1
+Xsky130_fd_sc_hs__or2_1_0 Q1 Q1_shift vss vss vdd vdd CLK_5 sky130_fd_sc_hs__or2_1_0/a_152_368#
++ sky130_fd_sc_hs__or2_1_0/a_63_368# sky130_fd_sc_hs__or2_1
+C0 DFlipFlop_2/latch_diff_0/D nCLK 0.11fF
+C1 nCLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in 0.14fF
+C2 DFlipFlop_0/latch_diff_0/m1_657_280# CLK 0.28fF
+C3 DFlipFlop_0/latch_diff_0/D Q1 0.15fF
+C4 Q1 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.09fF
+C5 vdd sky130_fd_sc_hs__and2_1_1/a_56_136# 0.04fF
+C6 Q1 DFlipFlop_3/latch_diff_1/D 0.79fF
+C7 nCLK DFlipFlop_2/latch_diff_1/D 0.08fF
+C8 nQ0 vdd 0.11fF
+C9 vdd DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C10 vdd DFlipFlop_3/nQ 0.02fF
+C11 nCLK nQ0 0.09fF
+C12 nCLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in -0.33fF
+C13 DFlipFlop_1/latch_diff_1/m1_657_280# Q0 0.01fF
+C14 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vdd 0.03fF
+C15 nCLK DFlipFlop_3/nQ 0.02fF
+C16 DFlipFlop_0/latch_diff_1/D Q1 0.06fF
+C17 Q0 DFlipFlop_1/D 0.07fF
+C18 vdd CLK_5 0.15fF
+C19 CLK DFlipFlop_2/latch_diff_0/m1_657_280# 0.28fF
+C20 DFlipFlop_0/latch_diff_1/nD Q0 0.21fF
+C21 nCLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.05fF
+C22 nCLK DFlipFlop_0/Q 0.11fF
+C23 vdd Q1 9.49fF
+C24 CLK DFlipFlop_1/latch_diff_0/m1_657_280# 0.28fF
+C25 DFlipFlop_1/latch_diff_1/D Q0 0.06fF
+C26 DFlipFlop_3/latch_diff_1/nD nCLK 0.09fF
+C27 DFlipFlop_1/latch_diff_1/nD Q0 0.21fF
+C28 DFlipFlop_2/D Q1 0.10fF
+C29 nQ2 vdd 0.04fF
+C30 nCLK Q1 -0.01fF
+C31 nCLK nQ2 0.10fF
+C32 sky130_fd_sc_hs__and2_1_1/a_56_136# DFlipFlop_1/D 0.04fF
+C33 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in Q0 0.42fF
+C34 DFlipFlop_0/D Q0 0.39fF
+C35 nQ0 DFlipFlop_1/latch_diff_1/m1_657_280# 0.21fF
+C36 sky130_fd_sc_hs__xor2_1_0/a_355_368# Q0 0.03fF
+C37 nQ2 DFlipFlop_0/latch_diff_1/m1_657_280# 0.05fF
+C38 nQ0 DFlipFlop_1/D 0.12fF
+C39 vdd Q1_shift 0.10fF
+C40 nQ0 DFlipFlop_1/latch_diff_1/D 0.91fF
+C41 DFlipFlop_1/latch_diff_0/nD CLK 0.08fF
+C42 nCLK DFlipFlop_1/latch_diff_0/D 0.11fF
+C43 nQ0 DFlipFlop_1/latch_diff_1/nD 0.88fF
+C44 CLK DFlipFlop_2/latch_diff_1/nD 0.09fF
+C45 CLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C46 nQ0 Q0 0.33fF
+C47 Q1 DFlipFlop_2/nQ 0.31fF
+C48 Q1 DFlipFlop_1/D 0.03fF
+C49 DFlipFlop_0/latch_diff_1/nD Q1 0.10fF
+C50 vdd sky130_fd_sc_hs__or2_1_0/a_63_368# 0.02fF
+C51 nCLK DFlipFlop_3/latch_diff_0/m1_657_280# 0.27fF
+C52 Q0 sky130_fd_sc_hs__and2_1_0/a_143_136# 0.03fF
+C53 DFlipFlop_1/latch_diff_1/D Q1 -0.10fF
+C54 sky130_fd_sc_hs__and2_1_0/a_56_136# vdd 0.02fF
+C55 Q1 DFlipFlop_1/latch_diff_1/nD 0.10fF
+C56 Q0 DFlipFlop_0/Q 0.21fF
+C57 Q1 Q0 9.65fF
+C58 DFlipFlop_2/latch_diff_0/D Q1 0.42fF
+C59 nQ0 sky130_fd_sc_hs__and2_1_1/a_56_136# 0.01fF
+C60 CLK DFlipFlop_3/latch_diff_1/D 0.08fF
+C61 DFlipFlop_3/latch_diff_0/D Q1 0.09fF
+C62 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in Q1 0.21fF
+C63 DFlipFlop_0/D Q1 0.13fF
+C64 nQ2 Q0 0.23fF
+C65 nQ0 sky130_fd_sc_hs__and2_1_1/a_143_136# 0.04fF
+C66 DFlipFlop_2/latch_diff_1/D Q1 0.23fF
+C67 DFlipFlop_0/latch_diff_1/D CLK 0.03fF
+C68 CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.15fF
+C69 DFlipFlop_1/latch_diff_0/D Q0 0.42fF
+C70 vdd CLK 0.41fF
+C71 nQ2 sky130_fd_sc_hs__and2_1_1/a_56_136# 0.01fF
+C72 nCLK DFlipFlop_2/latch_diff_1/m1_657_280# 0.28fF
+C73 Q1 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in 0.20fF
+C74 nQ0 Q1 0.06fF
+C75 DFlipFlop_3/latch_diff_0/nD nCLK 0.08fF
+C76 DFlipFlop_2/D CLK 0.14fF
+C77 nQ2 sky130_fd_sc_hs__and2_1_1/a_143_136# 0.01fF
+C78 nQ2 nQ0 0.03fF
+C79 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out CLK -0.31fF
+C80 Q1 DFlipFlop_3/nQ 0.10fF
+C81 Q1 sky130_fd_sc_hs__and2_1_0/a_143_136# 0.02fF
+C82 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out Q1 0.15fF
+C83 Q1 DFlipFlop_0/Q 0.13fF
+C84 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C85 nCLK DFlipFlop_2/latch_diff_1/nD 0.16fF
+C86 nQ2 DFlipFlop_0/Q 0.09fF
+C87 DFlipFlop_3/latch_diff_1/nD Q1 1.24fF
+C88 Q1 DFlipFlop_3/latch_diff_1/m1_657_280# 0.28fF
+C89 sky130_fd_sc_hs__and2_1_0/a_56_136# Q0 0.17fF
+C90 nQ0 DFlipFlop_1/latch_diff_0/D 0.09fF
+C91 nQ2 Q1 0.07fF
+C92 sky130_fd_sc_hs__and2_1_0/a_56_136# DFlipFlop_0/D 0.04fF
+C93 Q1_shift DFlipFlop_3/nQ 0.04fF
+C94 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.02fF
+C95 CLK DFlipFlop_2/nQ 0.13fF
+C96 CLK DFlipFlop_1/D 0.21fF
+C97 nCLK DFlipFlop_3/latch_diff_1/D 0.14fF
+C98 DFlipFlop_0/latch_diff_1/nD CLK 0.02fF
+C99 DFlipFlop_1/latch_diff_0/D Q1 0.18fF
+C100 Q1 Q1_shift 0.36fF
+C101 DFlipFlop_1/latch_diff_1/D CLK 0.14fF
+C102 CLK DFlipFlop_1/latch_diff_1/nD 0.09fF
+C103 vdd DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.02fF
+C104 CLK Q0 0.08fF
+C105 sky130_fd_sc_hs__or2_1_0/a_63_368# CLK_5 0.06fF
+C106 DFlipFlop_3/latch_diff_0/D CLK 0.11fF
+C107 DFlipFlop_3/latch_diff_0/m1_657_280# Q1 0.28fF
+C108 nQ0 DFlipFlop_1/latch_diff_0/m1_657_280# 0.25fF
+C109 Q1 sky130_fd_sc_hs__or2_1_0/a_63_368# 0.10fF
+C110 vdd sky130_fd_sc_hs__xor2_1_0/a_194_125# 0.03fF
+C111 DFlipFlop_2/D vdd 0.07fF
+C112 nCLK vdd 0.34fF
+C113 sky130_fd_sc_hs__and2_1_0/a_56_136# Q1 0.14fF
+C114 DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_194_125# 0.08fF
+C115 DFlipFlop_2/latch_diff_0/nD CLK 0.08fF
+C116 nCLK sky130_fd_sc_hs__xor2_1_0/a_194_125# 0.11fF
+C117 DFlipFlop_2/D nCLK 0.41fF
+C118 DFlipFlop_2/latch_diff_1/D CLK 0.14fF
+C119 CLK sky130_fd_sc_hs__and2_1_1/a_56_136# 0.06fF
+C120 nCLK DFlipFlop_0/latch_diff_1/m1_657_280# 0.28fF
+C121 sky130_fd_sc_hs__or2_1_0/a_152_368# Q1_shift -0.04fF
+C122 CLK sky130_fd_sc_hs__and2_1_1/a_143_136# 0.03fF
+C123 nQ0 CLK 0.19fF
+C124 DFlipFlop_0/latch_diff_0/D Q0 0.42fF
+C125 sky130_fd_sc_hs__or2_1_0/a_63_368# Q1_shift -0.27fF
+C126 CLK DFlipFlop_3/nQ 0.01fF
+C127 DFlipFlop_1/latch_diff_0/nD nQ0 0.08fF
+C128 Q0 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.33fF
+C129 CLK DFlipFlop_0/Q 0.08fF
+C130 DFlipFlop_0/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.02fF
+C131 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_1/D 0.03fF
+C132 DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.08fF
+C133 nCLK sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.02fF
+C134 Q1 DFlipFlop_2/latch_diff_1/m1_657_280# 0.03fF
+C135 DFlipFlop_2/D DFlipFlop_1/latch_diff_1/m1_657_280# 0.04fF
+C136 DFlipFlop_3/latch_diff_1/nD CLK 0.16fF
+C137 vdd DFlipFlop_2/nQ 0.02fF
+C138 vdd DFlipFlop_1/D 0.25fF
+C139 nCLK DFlipFlop_1/latch_diff_1/m1_657_280# 0.28fF
+C140 DFlipFlop_3/latch_diff_0/nD Q1 0.08fF
+C141 CLK DFlipFlop_3/latch_diff_1/m1_657_280# 0.27fF
+C142 Q1 CLK -0.10fF
+C143 nCLK DFlipFlop_2/nQ 0.09fF
+C144 nCLK DFlipFlop_1/D 0.14fF
+C145 nCLK DFlipFlop_0/latch_diff_1/nD 0.05fF
+C146 nQ2 CLK 0.17fF
+C147 DFlipFlop_0/latch_diff_1/D Q0 0.23fF
+C148 Q1 DFlipFlop_2/latch_diff_1/nD 0.21fF
+C149 nCLK DFlipFlop_1/latch_diff_1/D 0.08fF
+C150 nCLK DFlipFlop_1/latch_diff_1/nD 0.16fF
+C151 vdd Q0 5.33fF
+C152 sky130_fd_sc_hs__xor2_1_0/a_194_125# Q0 0.26fF
+C153 DFlipFlop_0/D vdd 0.19fF
+C154 DFlipFlop_2/D Q0 0.25fF
+C155 vdd sky130_fd_sc_hs__xor2_1_0/a_355_368# 0.03fF
+C156 nCLK Q0 0.20fF
+C157 CLK_5 vss -0.18fF
+C158 sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.38fF
+C159 sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.41fF
+C160 sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.38fF
+C161 DFlipFlop_3/nQ vss 0.52fF
+C162 Q1_shift vss -0.29fF
+C163 DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.64fF
+C164 DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C165 DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C166 DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C167 DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C168 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.94fF
+C169 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C170 DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C171 DFlipFlop_2/nQ vss 0.50fF
+C172 Q1 vss 8.55fF
+C173 DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.72fF
+C174 DFlipFlop_2/latch_diff_1/nD vss 0.58fF
+C175 DFlipFlop_2/latch_diff_1/D vss -1.72fF
+C176 DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C177 DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C178 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
+C179 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C180 DFlipFlop_2/D vss 5.34fF
+C181 DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C182 nQ0 vss 3.42fF
+C183 Q0 vss 0.53fF
+C184 DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.62fF
+C185 DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C186 DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C187 DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C188 DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C189 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C190 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.78fF
+C191 DFlipFlop_1/D vss 3.72fF
+C192 DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C193 nQ2 vss 2.05fF
+C194 DFlipFlop_0/Q vss -0.94fF
+C195 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.61fF
+C196 nCLK vss 0.96fF
+C197 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C198 DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C199 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C200 CLK vss 0.20fF
+C201 DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C202 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.88fF
+C203 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C204 DFlipFlop_0/D vss 4.04fF
+C205 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C206 vdd vss 146.76fF
+C207 sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C208 sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.42fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_AZESM8 a_n63_n151# a_n33_n125# a_n255_n151# a_33_n151#
++ a_n225_n125# a_63_n125# a_n129_n125# a_n159_n151# w_n455_n335# a_225_n151# a_255_n125#
++ a_129_n151# a_159_n125# a_n317_n125#
+X0 a_159_n125# a_129_n151# a_63_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n225_n125# a_n255_n151# a_n317_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_63_n125# a_33_n151# a_n33_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_n129_n125# a_n159_n151# a_n225_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n33_n125# a_n63_n151# a_n129_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_255_n125# a_225_n151# a_159_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_n159_n151# a_n63_n151# 0.02fF
+C1 a_n159_n151# a_n255_n151# 0.02fF
+C2 a_n33_n125# a_n225_n125# 0.13fF
+C3 a_159_n125# a_n225_n125# 0.06fF
+C4 a_255_n125# a_63_n125# 0.13fF
+C5 a_n33_n125# a_63_n125# 0.36fF
+C6 a_159_n125# a_63_n125# 0.36fF
+C7 a_n129_n125# a_n317_n125# 0.13fF
+C8 a_n225_n125# a_63_n125# 0.08fF
+C9 a_n33_n125# a_n317_n125# 0.08fF
+C10 a_33_n151# a_n63_n151# 0.02fF
+C11 a_255_n125# a_n129_n125# 0.06fF
+C12 a_n33_n125# a_n129_n125# 0.36fF
+C13 a_159_n125# a_n129_n125# 0.08fF
+C14 a_129_n151# a_225_n151# 0.02fF
+C15 a_n317_n125# a_n225_n125# 0.36fF
+C16 a_n317_n125# a_63_n125# 0.06fF
+C17 a_n129_n125# a_n225_n125# 0.36fF
+C18 a_255_n125# a_n33_n125# 0.08fF
+C19 a_n129_n125# a_63_n125# 0.13fF
+C20 a_159_n125# a_255_n125# 0.36fF
+C21 a_33_n151# a_129_n151# 0.02fF
+C22 a_159_n125# a_n33_n125# 0.13fF
+C23 a_255_n125# w_n455_n335# 0.14fF
+C24 a_159_n125# w_n455_n335# 0.08fF
+C25 a_63_n125# w_n455_n335# 0.07fF
+C26 a_n33_n125# w_n455_n335# 0.08fF
+C27 a_n129_n125# w_n455_n335# 0.07fF
+C28 a_n225_n125# w_n455_n335# 0.08fF
+C29 a_n317_n125# w_n455_n335# 0.14fF
+C30 a_225_n151# w_n455_n335# 0.05fF
+C31 a_129_n151# w_n455_n335# 0.05fF
+C32 a_33_n151# w_n455_n335# 0.05fF
+C33 a_n63_n151# w_n455_n335# 0.05fF
+C34 a_n159_n151# w_n455_n335# 0.05fF
+C35 a_n255_n151# w_n455_n335# 0.05fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_XJXT7S VSUBS a_n33_n125# a_n255_n154# a_33_n154# a_n225_n125#
++ a_n159_n154# a_63_n125# a_n129_n125# a_225_n154# a_129_n154# a_255_n125# a_159_n125#
++ a_n317_n125# w_n455_n344# a_n63_n154#
+X0 a_n129_n125# a_n159_n154# a_n225_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X1 a_n33_n125# a_n63_n154# a_n129_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X2 a_255_n125# a_225_n154# a_159_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X3 a_159_n125# a_129_n154# a_63_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X4 a_n225_n125# a_n255_n154# a_n317_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+X5 a_63_n125# a_33_n154# a_n33_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
+C0 a_63_n125# a_n225_n125# 0.08fF
+C1 a_63_n125# a_159_n125# 0.36fF
+C2 a_n33_n125# a_n317_n125# 0.08fF
+C3 a_n255_n154# a_n159_n154# 0.02fF
+C4 w_n455_n344# a_n317_n125# 0.11fF
+C5 a_129_n154# a_33_n154# 0.02fF
+C6 a_n63_n154# a_n159_n154# 0.02fF
+C7 a_n225_n125# a_159_n125# 0.06fF
+C8 a_n129_n125# a_n317_n125# 0.13fF
+C9 w_n455_n344# a_n33_n125# 0.05fF
+C10 a_n129_n125# a_n33_n125# 0.36fF
+C11 a_n33_n125# a_255_n125# 0.08fF
+C12 a_n129_n125# w_n455_n344# 0.04fF
+C13 w_n455_n344# a_255_n125# 0.11fF
+C14 a_n129_n125# a_255_n125# 0.06fF
+C15 a_129_n154# a_225_n154# 0.02fF
+C16 a_63_n125# a_n317_n125# 0.06fF
+C17 a_n225_n125# a_n317_n125# 0.36fF
+C18 a_63_n125# a_n33_n125# 0.36fF
+C19 w_n455_n344# a_63_n125# 0.04fF
+C20 a_n129_n125# a_63_n125# 0.13fF
+C21 a_63_n125# a_255_n125# 0.13fF
+C22 a_n33_n125# a_n225_n125# 0.13fF
+C23 w_n455_n344# a_n225_n125# 0.06fF
+C24 a_n129_n125# a_n225_n125# 0.36fF
+C25 a_n33_n125# a_159_n125# 0.13fF
+C26 w_n455_n344# a_159_n125# 0.06fF
+C27 a_n129_n125# a_159_n125# 0.08fF
+C28 a_255_n125# a_159_n125# 0.36fF
+C29 a_n63_n154# a_33_n154# 0.02fF
+C30 a_255_n125# VSUBS 0.03fF
+C31 a_159_n125# VSUBS 0.03fF
+C32 a_63_n125# VSUBS 0.03fF
+C33 a_n33_n125# VSUBS 0.03fF
+C34 a_n129_n125# VSUBS 0.03fF
+C35 a_n225_n125# VSUBS 0.03fF
+C36 a_n317_n125# VSUBS 0.03fF
+C37 a_225_n154# VSUBS 0.05fF
+C38 a_129_n154# VSUBS 0.05fF
+C39 a_33_n154# VSUBS 0.05fF
+C40 a_n63_n154# VSUBS 0.05fF
+C41 a_n159_n154# VSUBS 0.05fF
+C42 a_n255_n154# VSUBS 0.05fF
+C43 w_n455_n344# VSUBS 2.96fF
+.ends
+
+.subckt inverter_cp_x2 in out vss vdd
+Xsky130_fd_pr__nfet_01v8_AZESM8_0 in vss in in vss out out in vss in out in vss out
++ sky130_fd_pr__nfet_01v8_AZESM8
+Xsky130_fd_pr__pfet_01v8_XJXT7S_0 vss vdd in in vdd in out out in in out vdd out vdd
++ in sky130_fd_pr__pfet_01v8_XJXT7S
+C0 in out 0.85fF
+C1 vdd in 0.04fF
+C2 vdd out 0.29fF
+C3 vdd vss 5.90fF
+C4 out vss 1.30fF
+C5 in vss 1.82fF
+.ends
+
+.subckt pfd_cp_interface vss inverter_cp_x1_2/in vdd inverter_cp_x1_0/out Down QA
++ QB nDown Up nUp
+Xinverter_cp_x2_0 nDown Down vss vdd inverter_cp_x2
+Xinverter_cp_x2_1 Up nUp vss vdd inverter_cp_x2
+Xtrans_gate_0 nDown inverter_cp_x1_0/out vss vdd trans_gate
+Xinverter_cp_x1_0 inverter_cp_x1_0/out QB vss vdd inverter_cp_x1
+Xinverter_cp_x1_2 Up inverter_cp_x1_2/in vss vdd inverter_cp_x1
+Xinverter_cp_x1_1 inverter_cp_x1_2/in QA vss vdd inverter_cp_x1
+C0 inverter_cp_x1_2/in vdd 0.42fF
+C1 vdd QA 0.02fF
+C2 nDown inverter_cp_x1_0/out 0.11fF
+C3 inverter_cp_x1_2/in Up 0.12fF
+C4 vdd Up 0.60fF
+C5 inverter_cp_x1_0/out Down 0.12fF
+C6 nDown vdd 0.80fF
+C7 QB vdd 0.02fF
+C8 vdd Down 0.09fF
+C9 inverter_cp_x1_0/out vdd 0.25fF
+C10 nDown Down 0.23fF
+C11 nUp vdd 0.14fF
+C12 nUp Up 0.20fF
+C13 inverter_cp_x1_2/in vss 2.01fF
+C14 QA vss 1.09fF
+C15 inverter_cp_x1_0/out vss 2.00fF
+C16 QB vss 1.09fF
+C17 vdd vss 28.96fF
+C18 nUp vss 1.32fF
+C19 Up vss 2.53fF
+C20 Down vss 1.26fF
+C21 nDown vss 2.98fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F35BC VSUBS a_n129_n90# w_n359_n309# a_n63_n116#
++ a_n159_n207# a_63_n90# a_n33_n90# a_n221_n90# a_159_n90#
+X0 a_159_n90# a_n63_n116# a_63_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n129_n90# a_n159_n207# a_n221_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X2 a_63_n90# a_n159_n207# a_n33_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X3 a_n33_n90# a_n63_n116# a_n129_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_159_n90# a_n221_n90# 0.04fF
+C1 a_n33_n90# a_n129_n90# 0.26fF
+C2 a_63_n90# a_n129_n90# 0.09fF
+C3 w_n359_n309# a_n129_n90# 0.06fF
+C4 a_n33_n90# a_63_n90# 0.26fF
+C5 a_n33_n90# w_n359_n309# 0.05fF
+C6 a_159_n90# a_n129_n90# 0.06fF
+C7 w_n359_n309# a_63_n90# 0.06fF
+C8 a_n33_n90# a_159_n90# 0.09fF
+C9 a_63_n90# a_159_n90# 0.26fF
+C10 w_n359_n309# a_159_n90# 0.09fF
+C11 a_n159_n207# a_n63_n116# 0.12fF
+C12 a_n221_n90# a_n129_n90# 0.26fF
+C13 a_n33_n90# a_n221_n90# 0.09fF
+C14 a_63_n90# a_n221_n90# 0.06fF
+C15 w_n359_n309# a_n221_n90# 0.09fF
+C16 a_159_n90# VSUBS 0.03fF
+C17 a_63_n90# VSUBS 0.03fF
+C18 a_n33_n90# VSUBS 0.03fF
+C19 a_n129_n90# VSUBS 0.03fF
+C20 a_n221_n90# VSUBS 0.03fF
+C21 a_n159_n207# VSUBS 0.30fF
+C22 a_n63_n116# VSUBS 0.37fF
+C23 w_n359_n309# VSUBS 2.23fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_C3YG4M a_n33_n45# a_33_n71# a_n129_71# w_n263_n255#
++ a_n125_n45# a_63_n45#
+X0 a_63_n45# a_33_n71# a_n33_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n129_71# a_n125_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_63_n45# a_n33_n45# 0.13fF
+C1 a_n125_n45# a_n33_n45# 0.13fF
+C2 a_n129_71# a_33_n71# 0.04fF
+C3 a_n125_n45# a_63_n45# 0.05fF
+C4 a_63_n45# w_n263_n255# 0.04fF
+C5 a_n33_n45# w_n263_n255# 0.04fF
+C6 a_n125_n45# w_n263_n255# 0.04fF
+C7 a_33_n71# w_n263_n255# 0.11fF
+C8 a_n129_71# w_n263_n255# 0.14fF
+.ends
+
+.subckt nor_pfd sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd A B
+Xsky130_fd_pr__pfet_01v8_4F35BC_0 vss sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vdd B A sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out vdd vdd sky130_fd_pr__pfet_01v8_4F35BC
+Xsky130_fd_pr__nfet_01v8_C3YG4M_0 out B A vss vss vss sky130_fd_pr__nfet_01v8_C3YG4M
+C0 A B 0.24fF
+C1 vdd out 0.11fF
+C2 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out 0.08fF
+C3 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.02fF
+C4 A vdd 0.09fF
+C5 out B 0.40fF
+C6 vdd sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.02fF
+C7 A out 0.06fF
+C8 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C9 out vss 0.45fF
+C10 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C11 A vss 0.83fF
+C12 B vss 1.09fF
+C13 vdd vss 3.79fF
+.ends
+
+.subckt dff_pfd vdd vss nor_pfd_2/A Q CLK nor_pfd_3/A nor_pfd_2/B Reset
+Xnor_pfd_0 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/A nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd CLK Q nor_pfd
+Xnor_pfd_1 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# Q nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_3/A nor_pfd
+Xnor_pfd_2 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_3/A nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_2/B nor_pfd
+Xnor_pfd_3 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/B nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_3/A Reset nor_pfd
+C0 nor_pfd_2/A vdd -0.01fF
+C1 nor_pfd_2/A nor_pfd_2/B 0.05fF
+C2 Q nor_pfd_2/A 1.38fF
+C3 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.06fF
+C4 Reset nor_pfd_2/B 0.43fF
+C5 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.06fF
+C6 Reset Q 0.14fF
+C7 vdd nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C8 Q CLK 0.04fF
+C9 nor_pfd_3/A vdd 0.09fF
+C10 nor_pfd_3/A nor_pfd_2/B 0.58fF
+C11 Q nor_pfd_3/A 0.98fF
+C12 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.06fF
+C13 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.06fF
+C14 nor_pfd_3/A nor_pfd_2/A 0.38fF
+C15 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.06fF
+C16 nor_pfd_2/B vdd 0.02fF
+C17 Q vdd 0.08fF
+C18 Reset nor_pfd_3/A 0.12fF
+C19 Q nor_pfd_2/B 2.22fF
+C20 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C21 nor_pfd_2/B vss 1.42fF
+C22 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C23 nor_pfd_3/A vss 3.16fF
+C24 Reset vss 1.48fF
+C25 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C26 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C27 nor_pfd_2/A vss 2.56fF
+C28 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C29 Q vss 2.77fF
+C30 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C31 vdd vss 16.42fF
+C32 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C33 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C34 CLK vss 0.95fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZCYAJJ w_n359_n255# a_n33_n45# a_n159_n173# a_n221_n45#
++ a_159_n45# a_n63_n71# a_n129_n45# a_63_n45#
+X0 a_63_n45# a_n159_n173# a_n33_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X1 a_n33_n45# a_n63_n71# a_n129_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X2 a_159_n45# a_n63_n71# a_63_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+X3 a_n129_n45# a_n159_n173# a_n221_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_159_n45# a_n129_n45# 0.03fF
+C1 a_n221_n45# a_n33_n45# 0.05fF
+C2 a_63_n45# a_n33_n45# 0.13fF
+C3 a_n221_n45# a_63_n45# 0.03fF
+C4 a_n33_n45# a_n129_n45# 0.13fF
+C5 a_n221_n45# a_n129_n45# 0.13fF
+C6 a_n159_n173# a_n63_n71# 0.10fF
+C7 a_63_n45# a_n129_n45# 0.05fF
+C8 a_159_n45# a_n33_n45# 0.05fF
+C9 a_n221_n45# a_159_n45# 0.02fF
+C10 a_63_n45# a_159_n45# 0.13fF
+C11 a_159_n45# w_n359_n255# 0.04fF
+C12 a_63_n45# w_n359_n255# 0.05fF
+C13 a_n33_n45# w_n359_n255# 0.05fF
+C14 a_n129_n45# w_n359_n255# 0.05fF
+C15 a_n221_n45# w_n359_n255# 0.08fF
+C16 a_n159_n173# w_n359_n255# 0.31fF
+C17 a_n63_n71# w_n359_n255# 0.31fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_7T83YG VSUBS a_n125_n90# a_63_n90# a_33_n187# a_n99_n187#
++ a_n33_n90# w_n263_n309#
+X0 a_63_n90# a_33_n187# a_n33_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+X1 a_n33_n90# a_n99_n187# a_n125_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_63_n90# a_n125_n90# 0.09fF
+C1 a_n99_n187# a_33_n187# 0.04fF
+C2 a_63_n90# a_n33_n90# 0.26fF
+C3 a_n33_n90# a_n125_n90# 0.26fF
+C4 a_63_n90# VSUBS 0.03fF
+C5 a_n33_n90# VSUBS 0.03fF
+C6 a_n125_n90# VSUBS 0.03fF
+C7 a_33_n187# VSUBS 0.12fF
+C8 a_n99_n187# VSUBS 0.12fF
+C9 w_n263_n309# VSUBS 1.21fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_ZXAV3F a_n73_n45# a_n33_67# a_15_n45# w_n211_n255#
+X0 a_15_n45# a_n33_67# a_n73_n45# w_n211_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
+C0 a_n73_n45# a_15_n45# 0.16fF
+C1 a_15_n45# w_n211_n255# 0.08fF
+C2 a_n73_n45# w_n211_n255# 0.06fF
+C3 a_n33_67# w_n211_n255# 0.10fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_4F7GBC VSUBS a_n51_n187# a_n73_n90# a_15_n90# w_n211_n309#
+X0 a_15_n90# a_n51_n187# a_n73_n90# w_n211_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
+C0 a_15_n90# w_n211_n309# 0.09fF
+C1 a_n73_n90# w_n211_n309# 0.04fF
+C2 a_n73_n90# a_15_n90# 0.31fF
+C3 a_15_n90# VSUBS 0.03fF
+C4 a_n73_n90# VSUBS 0.03fF
+C5 a_n51_n187# VSUBS 0.12fF
+C6 w_n211_n309# VSUBS 1.24fF
+.ends
+
+.subckt and_pfd a_656_410# vss out vdd A B
+Xsky130_fd_pr__nfet_01v8_ZCYAJJ_0 vss a_656_410# A vss vss B sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45#
++ sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# sky130_fd_pr__nfet_01v8_ZCYAJJ
+Xsky130_fd_pr__pfet_01v8_7T83YG_0 vss vdd vdd B A a_656_410# vdd sky130_fd_pr__pfet_01v8_7T83YG
+Xsky130_fd_pr__nfet_01v8_ZXAV3F_0 vss a_656_410# out vss sky130_fd_pr__nfet_01v8_ZXAV3F
+Xsky130_fd_pr__pfet_01v8_4F7GBC_0 vss a_656_410# vdd out vdd sky130_fd_pr__pfet_01v8_4F7GBC
+C0 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# B 0.02fF
+C1 a_656_410# out 0.20fF
+C2 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# out 0.03fF
+C3 A vdd 0.05fF
+C4 a_656_410# vdd 0.20fF
+C5 A B 0.33fF
+C6 A a_656_410# 0.04fF
+C7 a_656_410# B 0.30fF
+C8 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# a_656_410# 0.07fF
+C9 vdd out 0.10fF
+C10 vdd vss 4.85fF
+C11 out vss 0.47fF
+C12 a_656_410# vss 1.00fF
+C13 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.13fF
+C14 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.10fF
+C15 A vss 0.85fF
+C16 B vss 0.95fF
+.ends
+
+.subckt PFD vss vdd Down Up A B Reset
+Xdff_pfd_0 vdd vss dff_pfd_0/nor_pfd_2/A Up A dff_pfd_0/nor_pfd_3/A dff_pfd_0/nor_pfd_2/B
++ Reset dff_pfd
+Xdff_pfd_1 vdd vss dff_pfd_1/nor_pfd_2/A Down B dff_pfd_1/nor_pfd_3/A dff_pfd_1/nor_pfd_2/B
++ Reset dff_pfd
+Xand_pfd_0 and_pfd_0/a_656_410# vss Reset vdd Up Down and_pfd
+C0 dff_pfd_1/nor_pfd_3/A vdd 0.08fF
+C1 dff_pfd_0/nor_pfd_2/A vdd 0.13fF
+C2 Reset vdd 0.02fF
+C3 vdd Down 0.08fF
+C4 Up Down 0.06fF
+C5 vdd dff_pfd_1/nor_pfd_2/A 0.13fF
+C6 dff_pfd_0/nor_pfd_2/B vdd 0.11fF
+C7 Up vdd 1.62fF
+C8 vdd dff_pfd_0/nor_pfd_3/A 0.08fF
+C9 dff_pfd_1/nor_pfd_2/B vdd 0.04fF
+C10 and_pfd_0/a_656_410# vss 0.99fF
+C11 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C12 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.05fF
+C13 dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C14 dff_pfd_1/nor_pfd_2/B vss 1.51fF
+C15 dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C16 dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C17 dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C18 dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C19 dff_pfd_1/nor_pfd_2/A vss 2.56fF
+C20 dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C21 Down vss 3.74fF
+C22 dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C23 dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C24 dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C25 B vss 1.07fF
+C26 dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C27 dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C28 dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C29 dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C30 Reset vss 3.85fF
+C31 dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C32 dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C33 dff_pfd_0/nor_pfd_2/A vss 2.56fF
+C34 dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C35 Up vss 3.18fF
+C36 dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C37 vdd vss 44.73fF
+C38 dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C39 dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C40 A vss 1.07fF
+.ends
+
+.subckt top_pll_v1 vco_vctrl vdd pswitch ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd
++ ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd charge_pump_0/w_2544_775# ring_osc_0/csvco_branch_2/vbp
++ biasp in_ref Down w_13905_n238# vco_D0 vss QA iref_cp ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd
++ out_to_div nDown out_to_pad Up nUp
+Xloop_filter_0 lf_vc vco_vctrl vss loop_filter
+Xcharge_pump_0 vss pswitch nswitch vco_vctrl vdd biasp nUp Down charge_pump_0/w_2544_775#
++ iref_cp nDown Up charge_pump
+Xdiv_by_2_0 vss vdd div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in out_by_2 n_out_by_2
++ out_buffer_div_2 out_to_div out_div_2 n_out_buffer_div_2 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out
++ n_out_div_2 div_by_2
+Xbuffer_salida_0 buffer_salida_0/a_678_n100# out_to_pad out_to_buffer vss vdd buffer_salida
+Xring_osc_0 ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vco_vctrl ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd
++ ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vdd vss ring_osc_0/csvco_branch_2/vbp
++ ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vco_D0 ring_osc_0/csvco_branch_2/cap_vco_0/t
++ vco_out ring_osc
+Xring_osc_buffer_0 vss vco_out vdd out_first_buffer out_to_div out_to_buffer ring_osc_buffer
+Xdiv_by_5_0 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/DFlipFlop_1/latch_diff_0/D
++ n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD div_by_5_0/DFlipFlop_0/D div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd div_by_5_0/DFlipFlop_2/latch_diff_0/nD div_5_Q0 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# div_5_nQ0 div_by_5_0/DFlipFlop_1/latch_diff_1/nD
++ out_div_by_5 div_by_5_0/DFlipFlop_3/latch_diff_0/nD div_5_nQ2 div_by_5_0/DFlipFlop_0/latch_diff_0/D
++ div_by_5_0/DFlipFlop_2/latch_diff_1/nD div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_1/latch_diff_1/D div_5_Q1 div_by_5_0/DFlipFlop_2/D div_by_5_0/DFlipFlop_3/latch_diff_0/D
++ div_by_5_0/DFlipFlop_1/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_3/latch_diff_1/nD div_by_5_0/DFlipFlop_0/latch_diff_1/D div_5_Q1_shift
++ div_by_5_0/DFlipFlop_0/latch_diff_0/nD div_by_5_0/DFlipFlop_2/nQ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_2/latch_diff_0/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ div_by_5_0/DFlipFlop_3/latch_diff_1/D div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368#
++ div_by_5_0/DFlipFlop_1/latch_diff_0/nD div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136#
++ div_by_5_0/DFlipFlop_0/Q div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136#
++ div_by_5
+Xpfd_cp_interface_0 vss pfd_cp_interface_0/inverter_cp_x1_2/in vdd pfd_cp_interface_0/inverter_cp_x1_0/out
++ Down QA QB nDown Up nUp pfd_cp_interface
+XPFD_0 vss vdd QB QA in_ref out_div_by_5 pfd_reset PFD
+C0 out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_0/nD 0.10fF
+C1 vdd QA -0.04fF
+C2 n_out_by_2 div_by_5_0/DFlipFlop_0/D -1.48fF
+C3 vdd out_to_buffer 0.07fF
+C4 n_out_by_2 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in -0.20fF
+C5 out_by_2 div_by_5_0/DFlipFlop_2/D 0.22fF
+C6 out_by_2 div_5_nQ0 0.32fF
+C7 n_out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_0/D 0.12fF
+C8 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# 0.02fF
+C9 n_out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/nD 0.24fF
+C10 nUp nDown -0.09fF
+C11 nUp vdd 0.05fF
+C12 vdd nDown 0.22fF
+C13 nUp Up 2.72fF
+C14 nDown nswitch 0.76fF
+C15 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/nD 0.24fF
+C16 n_out_by_2 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.27fF
+C17 vdd Up 0.28fF
+C18 n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD 0.33fF
+C19 vdd ring_osc_0/csvco_branch_2/vbp 0.03fF
+C20 buffer_salida_0/a_678_n100# out_to_buffer 0.22fF
+C21 out_to_div div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out -0.12fF
+C22 out_by_2 div_5_Q0 0.09fF
+C23 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_0/D 0.11fF
+C24 buffer_salida_0/a_678_n100# vdd 0.24fF
+C25 out_by_2 vco_vctrl 0.53fF
+C26 out_by_2 div_by_5_0/DFlipFlop_1/D 0.38fF
+C27 out_by_2 div_by_5_0/DFlipFlop_2/nQ 0.23fF
+C28 vco_vctrl div_5_Q0 0.48fF
+C29 biasp Down 1.24fF
+C30 iref_cp vdd 0.15fF
+C31 out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D 0.23fF
+C32 vco_vctrl div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# -0.36fF
+C33 n_out_by_2 div_5_nQ0 0.10fF
+C34 n_out_by_2 div_by_5_0/DFlipFlop_2/D 0.19fF
+C35 n_out_by_2 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in -0.51fF
+C36 div_5_Q1 out_div_by_5 0.01fF
+C37 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# -0.05fF
+C38 div_5_Q1_shift out_div_by_5 0.05fF
+C39 n_out_by_2 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out -0.11fF
+C40 nUp pswitch 0.85fF
+C41 vdd lf_vc 0.02fF
+C42 pswitch nDown 0.53fF
+C43 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_0/nD 0.11fF
+C44 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/D 0.33fF
+C45 pswitch Up 1.98fF
+C46 out_by_2 div_by_5_0/DFlipFlop_0/Q 0.09fF
+C47 div_5_Q1 out_by_2 0.42fF
+C48 out_to_div out_to_buffer 0.13fF
+C49 out_by_2 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in -0.22fF
+C50 div_by_5_0/DFlipFlop_1/latch_diff_1/D out_by_2 0.23fF
+C51 n_out_by_2 div_5_Q0 -0.12fF
+C52 vdd out_div_by_5 0.28fF
+C53 vdd out_to_div 0.21fF
+C54 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/nD 0.23fF
+C55 n_out_by_2 vco_vctrl 0.52fF
+C56 vdd vco_D0 0.03fF
+C57 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_0/nD 0.17fF
+C58 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/D 0.09fF
+C59 div_5_Q1 vco_vctrl 0.14fF
+C60 vdd div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.04fF
+C61 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# 0.12fF
+C62 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_0/D 0.12fF
+C63 n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_0/D 0.24fF
+C64 vdd ring_osc_0/csvco_branch_2/cap_vco_0/t 0.02fF
+C65 n_out_by_2 div_by_5_0/DFlipFlop_1/D 0.22fF
+C66 out_by_2 div_5_nQ2 0.16fF
+C67 n_out_by_2 div_by_5_0/DFlipFlop_2/nQ 0.10fF
+C68 vdd out_by_2 0.97fF
+C69 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out out_by_2 0.28fF
+C70 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D 0.10fF
+C71 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392# 0.01fF
+C72 div_by_5_0/DFlipFlop_1/latch_diff_0/nD out_by_2 0.10fF
+C73 vco_vctrl ring_osc_0/csvco_branch_0/inverter_csvco_0/vss 0.04fF
+C74 nDown Down 2.55fF
+C75 nUp vco_vctrl 0.02fF
+C76 Down nswitch 0.54fF
+C77 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# out_by_2 0.10fF
+C78 vdd vco_vctrl -1.02fF
+C79 nUp biasp -0.17fF
+C80 biasp nDown 0.26fF
+C81 vco_vctrl nswitch -0.06fF
+C82 out_by_2 div_by_5_0/DFlipFlop_0/D 0.35fF
+C83 vdd div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# 0.03fF
+C84 n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/D 0.17fF
+C85 vco_vctrl ring_osc_0/csvco_branch_2/vbp 0.26fF
+C86 biasp Up 0.26fF
+C87 div_by_5_0/DFlipFlop_1/latch_diff_1/nD out_by_2 0.09fF
+C88 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out out_by_2 0.09fF
+C89 n_out_by_2 div_by_5_0/DFlipFlop_0/Q -0.23fF
+C90 div_5_Q1 n_out_by_2 1.04fF
+C91 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out out_by_2 -0.04fF
+C92 out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/nD 0.09fF
+C93 n_out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/D 0.10fF
+C94 vco_vctrl div_by_5_0/DFlipFlop_0/D -0.45fF
+C95 ring_osc_0/csvco_branch_2/cap_vco_0/t out_first_buffer 0.03fF
+C96 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD 0.17fF
+C97 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# 0.03fF
+C98 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/nD 0.10fF
+C99 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# vco_vctrl -0.11fF
+C100 out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136# -0.02fF
+C101 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/D 0.24fF
+C102 out_to_div div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in -0.16fF
+C103 out_div_by_5 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# 0.18fF
+C104 n_out_by_2 div_5_nQ2 0.10fF
+C105 iref_cp Down 0.09fF
+C106 vdd pfd_cp_interface_0/inverter_cp_x1_2/in 0.01fF
+C107 n_out_by_2 vdd 1.03fF
+C108 PFD_0/and_pfd_0/a_656_410# vss 0.96fF
+C109 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C110 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.07fF
+C111 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C112 PFD_0/dff_pfd_1/nor_pfd_2/B vss 1.40fF
+C113 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C114 PFD_0/dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C115 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C116 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C117 PFD_0/dff_pfd_1/nor_pfd_2/A vss 2.55fF
+C118 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C119 QB vss 4.46fF
+C120 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C121 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C122 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C123 out_div_by_5 vss -0.40fF
+C124 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C125 PFD_0/dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C126 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C127 PFD_0/dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C128 pfd_reset vss 2.17fF
+C129 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C130 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C131 PFD_0/dff_pfd_0/nor_pfd_2/A vss 2.55fF
+C132 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C133 QA vss 4.31fF
+C134 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C135 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C136 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C137 in_ref vss 1.19fF
+C138 pfd_cp_interface_0/inverter_cp_x1_2/in vss 1.85fF
+C139 pfd_cp_interface_0/inverter_cp_x1_0/out vss 1.87fF
+C140 nUp vss 5.50fF
+C141 Up vss 2.37fF
+C142 Down vss 7.92fF
+C143 nDown vss -2.20fF
+C144 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.37fF
+C145 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.38fF
+C146 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.41fF
+C147 div_by_5_0/DFlipFlop_3/nQ vss 0.48fF
+C148 div_5_Q1_shift vss -0.14fF
+C149 div_by_5_0/DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.57fF
+C150 div_by_5_0/DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C151 div_by_5_0/DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C152 div_by_5_0/DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C153 div_by_5_0/DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C154 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C155 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C156 div_by_5_0/DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C157 div_by_5_0/DFlipFlop_2/nQ vss 0.48fF
+C158 div_5_Q1 vss 4.28fF
+C159 div_by_5_0/DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.57fF
+C160 div_by_5_0/DFlipFlop_2/latch_diff_1/nD vss 0.57fF
+C161 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss -1.73fF
+C162 div_by_5_0/DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C163 div_by_5_0/DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C164 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C165 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C166 div_by_5_0/DFlipFlop_2/D vss 3.13fF
+C167 div_by_5_0/DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C168 div_5_nQ0 vss 0.59fF
+C169 div_5_Q0 vss 0.01fF
+C170 div_by_5_0/DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.57fF
+C171 div_by_5_0/DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C172 div_by_5_0/DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C173 div_by_5_0/DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C174 div_by_5_0/DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C175 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C176 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C177 div_by_5_0/DFlipFlop_1/D vss 3.64fF
+C178 div_by_5_0/DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C179 div_5_nQ2 vss 1.24fF
+C180 div_by_5_0/DFlipFlop_0/Q vss -0.94fF
+C181 div_by_5_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C182 n_out_by_2 vss -2.62fF
+C183 div_by_5_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C184 div_by_5_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C185 div_by_5_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C186 out_by_2 vss -4.51fF
+C187 div_by_5_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C188 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C189 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C190 div_by_5_0/DFlipFlop_0/D vss 3.96fF
+C191 div_by_5_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C192 vdd vss 366.82fF
+C193 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C194 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.40fF
+C195 out_to_buffer vss 1.57fF
+C196 out_to_div vss 4.46fF
+C197 out_first_buffer vss 2.88fF
+C198 ring_osc_0/csvco_branch_2/in vss 1.60fF
+C199 ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C200 ring_osc_0/csvco_branch_1/cap_vco_0/t vss 7.10fF
+C201 ring_osc_0/csvco_branch_1/inverter_csvco_0/vss vss 0.52fF
+C202 ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C203 ring_osc_0/csvco_branch_2/cap_vco_0/t vss 7.10fF
+C204 ring_osc_0/csvco_branch_2/inverter_csvco_0/vss vss 0.52fF
+C205 ring_osc_0/csvco_branch_1/in vss 1.58fF
+C206 ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C207 vco_out vss 1.01fF
+C208 vco_D0 vss -4.63fF
+C209 ring_osc_0/csvco_branch_0/cap_vco_0/t vss 7.10fF
+C210 ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vss 0.52fF
+C211 ring_osc_0/csvco_branch_2/vbp vss 0.38fF
+C212 out_to_pad vss 7.50fF
+C213 buffer_salida_0/a_3996_n100# vss 48.29fF
+C214 buffer_salida_0/a_678_n100# vss 13.38fF
+C215 n_out_buffer_div_2 vss 1.63fF
+C216 out_buffer_div_2 vss 1.60fF
+C217 div_by_2_0/DFlipFlop_0/CLK vss 0.31fF
+C218 div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C219 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.89fF
+C220 div_by_2_0/DFlipFlop_0/nCLK vss 1.03fF
+C221 out_div_2 vss -1.30fF
+C222 div_by_2_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C223 div_by_2_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C224 div_by_2_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C225 div_by_2_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C226 div_by_2_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C227 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C228 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C229 n_out_div_2 vss 1.95fF
+C230 div_by_2_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C231 nswitch vss 3.73fF
+C232 biasp vss 5.44fF
+C233 iref_cp vss 2.81fF
+C234 vco_vctrl vss -19.28fF
+C235 pswitch vss 3.57fF
+C236 lf_vc vss -59.89fF
+C237 loop_filter_0/res_loop_filter_2/out vss 7.90fF
+.ends
+
+.subckt sky130_fd_pr__pfet_01v8_lvt_8P223X VSUBS a_n2017_n1317# a_n1731_n1219# a_n1879_n1219#
++ a_n2017_n61# w_n2018_n202#
+X0 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X1 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X2 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X3 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X4 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X5 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X6 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X7 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X8 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X9 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X10 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X11 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X12 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X13 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X14 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X15 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X16 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X17 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X18 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X19 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X20 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X21 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X22 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X23 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X24 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X25 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X26 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X27 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X28 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X29 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X30 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X31 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X32 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X33 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X34 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X35 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X36 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X37 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X38 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X39 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X40 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X41 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X42 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X43 a_n1879_n1219# a_n2017_n1317# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X44 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X45 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X46 a_n1731_n1219# a_n2017_n61# w_n2018_n202# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X47 w_n2018_n202# a_n2017_n61# a_n1731_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X48 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+X49 a_n1731_n1219# a_n2017_n1317# a_n1879_n1219# w_n2018_n202# sky130_fd_pr__pfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=450000u
+C0 a_n1879_n1219# a_n2017_n61# 0.16fF
+C1 a_n1731_n1219# a_n2017_n61# 5.23fF
+C2 a_n1879_n1219# w_n2018_n202# 0.25fF
+C3 a_n2017_n1317# a_n1879_n1219# 2.66fF
+C4 a_n1731_n1219# w_n2018_n202# 19.90fF
+C5 a_n2017_n1317# a_n1731_n1219# 4.73fF
+C6 a_n2017_n61# w_n2018_n202# 1.37fF
+C7 a_n2017_n1317# a_n2017_n61# 2.88fF
+C8 a_n2017_n1317# w_n2018_n202# 0.16fF
+C9 a_n1879_n1219# a_n1731_n1219# 19.29fF
+C10 a_n1879_n1219# VSUBS 1.53fF
+C11 a_n2017_n1317# VSUBS 5.03fF
+C12 a_n1731_n1219# VSUBS 2.60fF
+C13 a_n2017_n61# VSUBS 5.10fF
+C14 w_n2018_n202# VSUBS 37.43fF
+.ends
+
+.subckt bias VSUBS vdd iref_1 iref_2 iref
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_5 VSUBS iref m1_20168_984# iref m1_20168_984#
++ vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_6 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_6/a_n1731_n1219#
++ iref_5 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_7 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_7/a_n1731_n1219#
++ iref_6 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_9 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_9/a_n1731_n1219#
++ iref_8 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_8 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_8/a_n1731_n1219#
++ iref_7 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_10 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_10/a_n1731_n1219#
++ iref_9 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_0 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_0/a_n1731_n1219#
++ iref_0 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_1 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219#
++ iref_1 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_2 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_2/a_n1731_n1219#
++ iref_2 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_3 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219#
++ iref_3 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+Xsky130_fd_pr__pfet_01v8_lvt_8P223X_4 VSUBS iref sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219#
++ iref_4 m1_20168_984# vdd sky130_fd_pr__pfet_01v8_lvt_8P223X
+C0 iref_7 iref_6 0.05fF
+C1 sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219# iref_2 0.24fF
+C2 iref m1_20168_984# 0.07fF
+C3 m1_20168_984# sky130_fd_pr__pfet_01v8_lvt_8P223X_6/a_n1731_n1219# 0.54fF
+C4 vdd iref -0.07fF
+C5 iref iref_4 0.30fF
+C6 iref_8 sky130_fd_pr__pfet_01v8_lvt_8P223X_10/a_n1731_n1219# 0.24fF
+C7 iref iref_2 -0.01fF
+C8 iref_4 iref_3 0.05fF
+C9 iref_3 iref_2 0.05fF
+C10 vdd sky130_fd_pr__pfet_01v8_lvt_8P223X_8/a_n1731_n1219# 0.24fF
+C11 sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219# m1_20168_984# 0.01fF
+C12 sky130_fd_pr__pfet_01v8_lvt_8P223X_7/a_n1731_n1219# iref_5 0.24fF
+C13 iref_9 iref -0.01fF
+C14 sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219# vdd 0.24fF
+C15 iref_7 iref_8 0.05fF
+C16 sky130_fd_pr__pfet_01v8_lvt_8P223X_8/a_n1731_n1219# iref_6 0.24fF
+C17 m1_20168_984# sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219# -0.39fF
+C18 iref_5 iref_6 0.05fF
+C19 sky130_fd_pr__pfet_01v8_lvt_8P223X_0/a_n1731_n1219# sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219# 0.67fF
+C20 iref iref_8 -0.03fF
+C21 vdd sky130_fd_pr__pfet_01v8_lvt_8P223X_10/a_n1731_n1219# 0.24fF
+C22 iref_9 iref_8 0.05fF
+C23 sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219# iref 0.02fF
+C24 vdd sky130_fd_pr__pfet_01v8_lvt_8P223X_9/a_n1731_n1219# 0.24fF
+C25 sky130_fd_pr__pfet_01v8_lvt_8P223X_2/a_n1731_n1219# iref_1 0.24fF
+C26 iref_1 iref_2 0.05fF
+C27 sky130_fd_pr__pfet_01v8_lvt_8P223X_9/a_n1731_n1219# iref_7 0.24fF
+C28 sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219# iref_3 0.24fF
+C29 iref iref_5 0.05fF
+C30 vdd sky130_fd_pr__pfet_01v8_lvt_8P223X_7/a_n1731_n1219# 0.24fF
+C31 vdd m1_20168_984# 0.25fF
+C32 iref sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219# -0.15fF
+C33 iref_0 iref_1 0.05fF
+C34 m1_20168_984# sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219# 0.01fF
+C35 iref iref_1 -0.02fF
+C36 vdd sky130_fd_pr__pfet_01v8_lvt_8P223X_2/a_n1731_n1219# 0.24fF
+C37 vdd sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219# 0.24fF
+C38 iref_4 VSUBS 1.17fF
+C39 sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219# VSUBS 2.60fF
+C40 iref_3 VSUBS 0.64fF
+C41 sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219# VSUBS 2.60fF
+C42 iref_2 VSUBS -1.26fF
+C43 sky130_fd_pr__pfet_01v8_lvt_8P223X_2/a_n1731_n1219# VSUBS 2.60fF
+C44 iref_1 VSUBS -0.80fF
+C45 sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219# VSUBS 2.60fF
+C46 iref_0 VSUBS 1.88fF
+C47 iref VSUBS 32.42fF
+C48 sky130_fd_pr__pfet_01v8_lvt_8P223X_0/a_n1731_n1219# VSUBS 2.60fF
+C49 m1_20168_984# VSUBS 56.92fF
+C50 vdd VSUBS 416.01fF
+C51 iref_9 VSUBS -1.13fF
+C52 sky130_fd_pr__pfet_01v8_lvt_8P223X_10/a_n1731_n1219# VSUBS 2.60fF
+C53 iref_7 VSUBS -1.38fF
+C54 sky130_fd_pr__pfet_01v8_lvt_8P223X_8/a_n1731_n1219# VSUBS 2.60fF
+C55 iref_8 VSUBS -1.19fF
+C56 sky130_fd_pr__pfet_01v8_lvt_8P223X_9/a_n1731_n1219# VSUBS 2.60fF
+C57 iref_6 VSUBS -1.00fF
+C58 sky130_fd_pr__pfet_01v8_lvt_8P223X_7/a_n1731_n1219# VSUBS 2.60fF
+C59 iref_5 VSUBS 1.40fF
+C60 sky130_fd_pr__pfet_01v8_lvt_8P223X_6/a_n1731_n1219# VSUBS 2.60fF
+.ends
+
+.subckt user_analog_project_wrapper gpio_analog[0] gpio_analog[10] gpio_analog[11]
++ gpio_analog[12] gpio_analog[13] gpio_analog[14] gpio_analog[15] gpio_analog[16]
++ gpio_analog[17] gpio_analog[1] gpio_analog[2] gpio_analog[3] gpio_analog[4] gpio_analog[5]
++ gpio_analog[6] gpio_analog[7] gpio_analog[8] gpio_analog[9] gpio_noesd[0] gpio_noesd[10]
++ gpio_noesd[11] gpio_noesd[12] gpio_noesd[13] gpio_noesd[14] gpio_noesd[15] gpio_noesd[16]
++ gpio_noesd[17] gpio_noesd[1] gpio_noesd[2] gpio_noesd[3] gpio_noesd[4] gpio_noesd[5]
++ gpio_noesd[6] gpio_noesd[7] gpio_noesd[8] gpio_noesd[9] io_analog[0] io_analog[10]
++ io_analog[1] io_analog[2] io_analog[3] io_analog[5] io_analog[7] io_analog[8] io_analog[9]
++ io_analog[4] io_analog[6] io_clamp_high[0] io_clamp_high[2] io_clamp_low[0] io_clamp_low[2]
++ io_in[0] io_in[10] io_in[11] io_in[12] io_in[13] io_in[14] io_in[15] io_in[16] io_in[17]
++ io_in[18] io_in[19] io_in[1] io_in[20] io_in[21] io_in[22] io_in[23] io_in[24] io_in[25]
++ io_in[26] io_in[2] io_in[3] io_in[4] io_in[5] io_in[6] io_in[7] io_in[8] io_in[9]
++ io_in_3v3[0] io_in_3v3[10] io_in_3v3[11] io_in_3v3[12] io_in_3v3[13] io_in_3v3[14]
++ io_in_3v3[15] io_in_3v3[16] io_in_3v3[17] io_in_3v3[18] io_in_3v3[19] io_in_3v3[1]
++ io_in_3v3[20] io_in_3v3[21] io_in_3v3[22] io_in_3v3[23] io_in_3v3[24] io_in_3v3[25]
++ io_in_3v3[26] io_in_3v3[2] io_in_3v3[3] io_in_3v3[4] io_in_3v3[5] io_in_3v3[6] io_in_3v3[7]
++ io_in_3v3[8] io_in_3v3[9] io_oeb[0] io_oeb[10] io_oeb[11] io_oeb[12] io_oeb[13]
++ io_oeb[14] io_oeb[15] io_oeb[16] io_oeb[17] io_oeb[18] io_oeb[19] io_oeb[1] io_oeb[20]
++ io_oeb[21] io_oeb[22] io_oeb[23] io_oeb[24] io_oeb[25] io_oeb[26] io_oeb[2] io_oeb[3]
++ io_oeb[4] io_oeb[5] io_oeb[6] io_oeb[7] io_oeb[8] io_oeb[9] io_out[0] io_out[10]
++ io_out[11] io_out[12] io_out[13] io_out[14] io_out[15] io_out[16] io_out[17] io_out[18]
++ io_out[19] io_out[1] io_out[20] io_out[21] io_out[22] io_out[23] io_out[24] io_out[25]
++ io_out[26] io_out[2] io_out[3] io_out[4] io_out[5] io_out[6] io_out[7] io_out[8]
++ io_out[9] la_data_in[0] la_data_in[100] la_data_in[101] la_data_in[102] la_data_in[103]
++ la_data_in[104] la_data_in[105] la_data_in[106] la_data_in[107] la_data_in[108]
++ la_data_in[109] la_data_in[10] la_data_in[110] la_data_in[111] la_data_in[112] la_data_in[113]
++ la_data_in[114] la_data_in[115] la_data_in[116] la_data_in[117] la_data_in[118]
++ la_data_in[119] la_data_in[11] la_data_in[120] la_data_in[121] la_data_in[122] la_data_in[123]
++ la_data_in[124] la_data_in[125] la_data_in[126] la_data_in[127] la_data_in[12] la_data_in[13]
++ la_data_in[14] la_data_in[15] la_data_in[16] la_data_in[17] la_data_in[18] la_data_in[19]
++ la_data_in[1] la_data_in[20] la_data_in[21] la_data_in[22] la_data_in[23] la_data_in[24]
++ la_data_in[25] la_data_in[26] la_data_in[27] la_data_in[28] la_data_in[29] la_data_in[2]
++ la_data_in[30] la_data_in[31] la_data_in[32] la_data_in[33] la_data_in[34] la_data_in[35]
++ la_data_in[36] la_data_in[37] la_data_in[38] la_data_in[39] la_data_in[3] la_data_in[40]
++ la_data_in[41] la_data_in[42] la_data_in[43] la_data_in[44] la_data_in[45] la_data_in[46]
++ la_data_in[47] la_data_in[48] la_data_in[49] la_data_in[4] la_data_in[50] la_data_in[51]
++ la_data_in[52] la_data_in[53] la_data_in[54] la_data_in[55] la_data_in[56] la_data_in[57]
++ la_data_in[58] la_data_in[59] la_data_in[5] la_data_in[60] la_data_in[61] la_data_in[62]
++ la_data_in[63] la_data_in[64] la_data_in[65] la_data_in[66] la_data_in[67] la_data_in[68]
++ la_data_in[69] la_data_in[6] la_data_in[70] la_data_in[71] la_data_in[72] la_data_in[73]
++ la_data_in[74] la_data_in[75] la_data_in[76] la_data_in[77] la_data_in[78] la_data_in[79]
++ la_data_in[7] la_data_in[80] la_data_in[81] la_data_in[82] la_data_in[83] la_data_in[84]
++ la_data_in[85] la_data_in[86] la_data_in[87] la_data_in[88] la_data_in[89] la_data_in[8]
++ la_data_in[90] la_data_in[91] la_data_in[92] la_data_in[93] la_data_in[94] la_data_in[95]
++ la_data_in[96] la_data_in[97] la_data_in[98] la_data_in[99] la_data_in[9] la_data_out[0]
++ la_data_out[100] la_data_out[101] la_data_out[102] la_data_out[103] la_data_out[104]
++ la_data_out[105] la_data_out[106] la_data_out[107] la_data_out[108] la_data_out[109]
++ la_data_out[10] la_data_out[110] la_data_out[111] la_data_out[112] la_data_out[113]
++ la_data_out[114] la_data_out[115] la_data_out[116] la_data_out[117] la_data_out[118]
++ la_data_out[119] la_data_out[11] la_data_out[120] la_data_out[121] la_data_out[122]
++ la_data_out[123] la_data_out[124] la_data_out[125] la_data_out[126] la_data_out[127]
++ la_data_out[12] la_data_out[13] la_data_out[14] la_data_out[15] la_data_out[16]
++ la_data_out[17] la_data_out[18] la_data_out[19] la_data_out[1] la_data_out[20] la_data_out[21]
++ la_data_out[22] la_data_out[23] la_data_out[24] la_data_out[25] la_data_out[26]
++ la_data_out[27] la_data_out[28] la_data_out[29] la_data_out[2] la_data_out[30] la_data_out[31]
++ la_data_out[32] la_data_out[33] la_data_out[34] la_data_out[35] la_data_out[36]
++ la_data_out[37] la_data_out[38] la_data_out[39] la_data_out[3] la_data_out[40] la_data_out[41]
++ la_data_out[42] la_data_out[43] la_data_out[44] la_data_out[45] la_data_out[46]
++ la_data_out[47] la_data_out[48] la_data_out[49] la_data_out[4] la_data_out[50] la_data_out[51]
++ la_data_out[52] la_data_out[53] la_data_out[54] la_data_out[55] la_data_out[56]
++ la_data_out[57] la_data_out[58] la_data_out[59] la_data_out[5] la_data_out[60] la_data_out[61]
++ la_data_out[62] la_data_out[63] la_data_out[64] la_data_out[65] la_data_out[66]
++ la_data_out[67] la_data_out[68] la_data_out[69] la_data_out[6] la_data_out[70] la_data_out[71]
++ la_data_out[72] la_data_out[73] la_data_out[74] la_data_out[75] la_data_out[76]
++ la_data_out[77] la_data_out[78] la_data_out[79] la_data_out[7] la_data_out[80] la_data_out[81]
++ la_data_out[82] la_data_out[83] la_data_out[84] la_data_out[85] la_data_out[86]
++ la_data_out[87] la_data_out[88] la_data_out[89] la_data_out[8] la_data_out[90] la_data_out[91]
++ la_data_out[92] la_data_out[93] la_data_out[94] la_data_out[95] la_data_out[96]
++ la_data_out[97] la_data_out[98] la_data_out[99] la_data_out[9] la_oenb[0] la_oenb[100]
++ la_oenb[101] la_oenb[102] la_oenb[103] la_oenb[104] la_oenb[105] la_oenb[106] la_oenb[107]
++ la_oenb[108] la_oenb[109] la_oenb[10] la_oenb[110] la_oenb[111] la_oenb[112] la_oenb[113]
++ la_oenb[114] la_oenb[115] la_oenb[116] la_oenb[117] la_oenb[118] la_oenb[119] la_oenb[11]
++ la_oenb[120] la_oenb[121] la_oenb[122] la_oenb[123] la_oenb[124] la_oenb[125] la_oenb[126]
++ la_oenb[127] la_oenb[12] la_oenb[13] la_oenb[14] la_oenb[15] la_oenb[16] la_oenb[17]
++ la_oenb[18] la_oenb[19] la_oenb[1] la_oenb[20] la_oenb[21] la_oenb[22] la_oenb[23]
++ la_oenb[24] la_oenb[25] la_oenb[26] la_oenb[27] la_oenb[28] la_oenb[29] la_oenb[2]
++ la_oenb[30] la_oenb[31] la_oenb[32] la_oenb[33] la_oenb[34] la_oenb[35] la_oenb[36]
++ la_oenb[37] la_oenb[38] la_oenb[39] la_oenb[3] la_oenb[40] la_oenb[41] la_oenb[42]
++ la_oenb[43] la_oenb[44] la_oenb[45] la_oenb[46] la_oenb[47] la_oenb[48] la_oenb[49]
++ la_oenb[4] la_oenb[50] la_oenb[51] la_oenb[52] la_oenb[53] la_oenb[54] la_oenb[55]
++ la_oenb[56] la_oenb[57] la_oenb[58] la_oenb[59] la_oenb[5] la_oenb[60] la_oenb[61]
++ la_oenb[62] la_oenb[63] la_oenb[64] la_oenb[65] la_oenb[66] la_oenb[67] la_oenb[68]
++ la_oenb[69] la_oenb[6] la_oenb[70] la_oenb[71] la_oenb[72] la_oenb[73] la_oenb[74]
++ la_oenb[75] la_oenb[76] la_oenb[77] la_oenb[78] la_oenb[79] la_oenb[7] la_oenb[80]
++ la_oenb[81] la_oenb[82] la_oenb[83] la_oenb[84] la_oenb[85] la_oenb[86] la_oenb[87]
++ la_oenb[88] la_oenb[89] la_oenb[8] la_oenb[90] la_oenb[91] la_oenb[92] la_oenb[93]
++ la_oenb[94] la_oenb[95] la_oenb[96] la_oenb[97] la_oenb[98] la_oenb[99] la_oenb[9]
++ user_clock2 user_irq[0] user_irq[1] user_irq[2] vccd1 vccd2 vdda1 vdda2 vssa1 vssa2
++ vssd1 vssd2 wb_clk_i wb_rst_i wbs_ack_o wbs_adr_i[0] wbs_adr_i[10] wbs_adr_i[11]
++ wbs_adr_i[12] wbs_adr_i[13] wbs_adr_i[14] wbs_adr_i[15] wbs_adr_i[16] wbs_adr_i[17]
++ wbs_adr_i[18] wbs_adr_i[19] wbs_adr_i[1] wbs_adr_i[20] wbs_adr_i[21] wbs_adr_i[22]
++ wbs_adr_i[23] wbs_adr_i[24] wbs_adr_i[25] wbs_adr_i[26] wbs_adr_i[27] wbs_adr_i[28]
++ wbs_adr_i[29] wbs_adr_i[2] wbs_adr_i[30] wbs_adr_i[31] wbs_adr_i[3] wbs_adr_i[4]
++ wbs_adr_i[5] wbs_adr_i[6] wbs_adr_i[7] wbs_adr_i[8] wbs_adr_i[9] wbs_cyc_i wbs_dat_i[0]
++ wbs_dat_i[10] wbs_dat_i[11] wbs_dat_i[12] wbs_dat_i[13] wbs_dat_i[14] wbs_dat_i[15]
++ wbs_dat_i[16] wbs_dat_i[17] wbs_dat_i[18] wbs_dat_i[19] wbs_dat_i[1] wbs_dat_i[20]
++ wbs_dat_i[21] wbs_dat_i[22] wbs_dat_i[23] wbs_dat_i[24] wbs_dat_i[25] wbs_dat_i[26]
++ wbs_dat_i[27] wbs_dat_i[28] wbs_dat_i[29] wbs_dat_i[2] wbs_dat_i[30] wbs_dat_i[31]
++ wbs_dat_i[3] wbs_dat_i[4] wbs_dat_i[5] wbs_dat_i[6] wbs_dat_i[7] wbs_dat_i[8] wbs_dat_i[9]
++ wbs_dat_o[0] wbs_dat_o[10] wbs_dat_o[11] wbs_dat_o[12] wbs_dat_o[13] wbs_dat_o[14]
++ wbs_dat_o[15] wbs_dat_o[16] wbs_dat_o[17] wbs_dat_o[18] wbs_dat_o[19] wbs_dat_o[1]
++ wbs_dat_o[20] wbs_dat_o[21] wbs_dat_o[22] wbs_dat_o[23] wbs_dat_o[24] wbs_dat_o[25]
++ wbs_dat_o[26] wbs_dat_o[27] wbs_dat_o[28] wbs_dat_o[29] wbs_dat_o[2] wbs_dat_o[30]
++ wbs_dat_o[31] wbs_dat_o[3] wbs_dat_o[4] wbs_dat_o[5] wbs_dat_o[6] wbs_dat_o[7] wbs_dat_o[8]
++ wbs_dat_o[9] wbs_sel_i[0] wbs_sel_i[1] wbs_sel_i[2] wbs_sel_i[3] wbs_stb_i wbs_we_i
+Xtop_pll_v1_0 top_pll_v1_0/vco_vctrl vdda1 top_pll_v1_0/pswitch top_pll_v1_0/ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd
++ top_pll_v1_0/ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd top_pll_v1_0/charge_pump_0/w_2544_775#
++ top_pll_v1_0/ring_osc_0/csvco_branch_2/vbp top_pll_v1_0/biasp io_analog[10] top_pll_v1_0/Down
++ vssa1 io_in[14] vssa1 top_pll_v1_0/QA bias_0/iref_2 top_pll_v1_0/ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd
++ top_pll_v1_0/out_to_div top_pll_v1_0/nDown io_analog[9] top_pll_v1_0/Up top_pll_v1_0/nUp
++ top_pll_v1
+Xtop_pll_v1_1 top_pll_v1_1/vco_vctrl vdda1 top_pll_v1_1/pswitch top_pll_v1_1/ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd
++ top_pll_v1_1/ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd top_pll_v1_1/charge_pump_0/w_2544_775#
++ top_pll_v1_1/ring_osc_0/csvco_branch_2/vbp top_pll_v1_1/biasp io_analog[10] top_pll_v1_1/Down
++ vssa1 io_in[14] vssa1 top_pll_v1_1/QA bias_0/iref_1 top_pll_v1_1/ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd
++ top_pll_v1_1/out_to_div top_pll_v1_1/nDown io_analog[8] top_pll_v1_1/Up top_pll_v1_1/nUp
++ top_pll_v1
+Xbias_0 vssa1 vdda1 bias_0/iref_1 bias_0/iref_2 io_analog[5] bias
+C0 top_pll_v1_1/nUp vdda1 0.01fF
+C1 io_clamp_low[0] io_analog[4] 0.53fF
+C2 bias_0/iref_2 top_pll_v1_0/charge_pump_0/w_2544_775# 0.02fF
+C3 top_pll_v1_1/nUp bias_0/iref_1 0.22fF
+C4 top_pll_v1_1/pswitch vdda1 0.34fF
+C5 top_pll_v1_1/vco_vctrl vdda1 0.59fF
+C6 m3_222594_702300# io_analog[5] 0.53fF
+C7 top_pll_v1_1/vco_vctrl io_in[14] 0.05fF
+C8 top_pll_v1_1/ring_osc_0/csvco_branch_2/vbp vdda1 2.10fF
+C9 io_analog[9] vdda1 30.05fF
+C10 bias_0/iref_1 vdda1 15.26fF
+C11 vdda1 top_pll_v1_0/vco_vctrl 0.43fF
+C12 top_pll_v1_0/ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vdda1 0.04fF
+C13 vdda1 io_in[14] 49.34fF
+C14 top_pll_v1_0/Down bias_0/iref_2 1.11fF
+C15 io_analog[10] vdda1 0.01fF
+C16 m3_226242_702300# io_analog[5] 0.53fF
+C17 io_clamp_low[2] io_clamp_high[2] 0.53fF
+C18 vdda1 top_pll_v1_0/ring_osc_0/csvco_branch_2/vbp 1.01fF
+C19 top_pll_v1_0/out_to_div io_in[14] 0.23fF
+C20 io_in[14] top_pll_v1_0/vco_vctrl 0.05fF
+C21 top_pll_v1_0/buffer_salida_0/a_3996_n100# vdda1 0.06fF
+C22 io_clamp_high[2] io_analog[6] 0.53fF
+C23 io_analog[10] io_in[14] 14.37fF
+C24 top_pll_v1_1/charge_pump_0/w_2544_775# bias_0/iref_1 0.09fF
+C25 vdda1 top_pll_v1_1/ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd 0.17fF
+C26 top_pll_v1_1/Up bias_0/iref_1 0.54fF
+C27 bias_0/iref_2 top_pll_v1_0/biasp 3.20fF
+C28 bias_0/iref_2 top_pll_v1_0/nUp 0.70fF
+C29 vdda1 top_pll_v1_1/buffer_salida_0/a_3996_n100# 0.05fF
+C30 top_pll_v1_1/nDown bias_0/iref_1 0.54fF
+C31 top_pll_v1_1/ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vdda1 0.17fF
+C32 top_pll_v1_1/Down bias_0/iref_1 0.91fF
+C33 io_clamp_low[0] io_clamp_high[0] 0.53fF
+C34 bias_0/iref_2 top_pll_v1_0/Up 0.70fF
+C35 io_analog[8] vdda1 29.93fF
+C36 io_clamp_high[0] io_analog[4] 0.53fF
+C37 bias_0/iref_2 vdda1 3.90fF
+C38 top_pll_v1_1/ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vdda1 0.17fF
+C39 io_analog[9] bias_0/iref_2 14.44fF
+C40 top_pll_v1_0/pswitch vdda1 0.38fF
+C41 io_analog[10] top_pll_v1_0/QA 0.03fF
+C42 io_clamp_low[2] io_analog[6] 0.53fF
+C43 top_pll_v1_1/biasp vdda1 0.03fF
+C44 top_pll_v1_0/nDown bias_0/iref_2 0.70fF
+C45 vdda1 top_pll_v1_0/ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd 0.04fF
+C46 bias_0/iref_1 top_pll_v1_1/biasp 2.20fF
+C47 io_analog[8] bias_0/iref_2 14.44fF
+C48 top_pll_v1_0/ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vdda1 0.04fF
+C49 top_pll_v1_1/out_to_div io_in[14] 0.23fF
+C50 vdda1 top_pll_v1_0/biasp 0.03fF
+C51 top_pll_v1_0/nUp vdda1 0.01fF
+C52 io_in_3v3[0] vssa1 0.41fF
+C53 io_oeb[26] vssa1 0.61fF
+C54 io_in[0] vssa1 0.41fF
+C55 io_out[26] vssa1 0.61fF
+C56 io_out[0] vssa1 0.41fF
+C57 io_in[26] vssa1 0.61fF
+C58 io_oeb[0] vssa1 0.41fF
+C59 io_in_3v3[26] vssa1 0.61fF
+C60 io_in_3v3[1] vssa1 0.41fF
+C61 io_oeb[25] vssa1 0.61fF
+C62 io_in[1] vssa1 0.41fF
+C63 io_out[25] vssa1 0.61fF
+C64 io_out[1] vssa1 0.41fF
+C65 io_in[25] vssa1 0.61fF
+C66 io_oeb[1] vssa1 0.41fF
+C67 io_in_3v3[25] vssa1 0.61fF
+C68 io_in_3v3[2] vssa1 0.41fF
+C69 io_oeb[24] vssa1 0.61fF
+C70 io_in[2] vssa1 0.41fF
+C71 io_out[24] vssa1 0.61fF
+C72 io_out[2] vssa1 0.41fF
+C73 io_in[24] vssa1 0.61fF
+C74 io_oeb[2] vssa1 -0.20fF
+C75 io_in_3v3[3] vssa1 0.41fF
+C76 gpio_noesd[17] vssa1 0.61fF
+C77 io_in[3] vssa1 0.41fF
+C78 gpio_analog[17] vssa1 0.61fF
+C79 io_out[3] vssa1 0.41fF
+C80 io_oeb[3] vssa1 0.41fF
+C81 io_in_3v3[4] vssa1 0.41fF
+C82 io_in[4] vssa1 0.41fF
+C83 io_out[4] vssa1 0.41fF
+C84 io_oeb[4] vssa1 0.41fF
+C85 io_oeb[23] vssa1 0.61fF
+C86 io_out[23] vssa1 0.61fF
+C87 io_in[23] vssa1 0.61fF
+C88 io_in_3v3[23] vssa1 0.61fF
+C89 gpio_noesd[16] vssa1 0.61fF
+C90 io_in_3v3[5] vssa1 0.41fF
+C91 io_in[5] vssa1 -0.20fF
+C92 io_out[5] vssa1 0.41fF
+C93 io_oeb[5] vssa1 0.41fF
+C94 io_oeb[22] vssa1 0.61fF
+C95 io_out[22] vssa1 0.61fF
+C96 io_in[22] vssa1 0.61fF
+C97 io_in_3v3[22] vssa1 0.61fF
+C98 gpio_analog[15] vssa1 0.61fF
+C99 io_in_3v3[6] vssa1 -0.20fF
+C100 io_in[6] vssa1 0.41fF
+C101 io_out[6] vssa1 0.41fF
+C102 io_oeb[6] vssa1 0.41fF
+C103 io_oeb[21] vssa1 0.61fF
+C104 io_out[21] vssa1 0.61fF
+C105 io_in[21] vssa1 0.61fF
+C106 io_in_3v3[21] vssa1 0.61fF
+C107 gpio_noesd[14] vssa1 0.61fF
+C108 gpio_analog[14] vssa1 0.61fF
+C109 vssd2 vssa1 -5.19fF
+C110 vssd1 vssa1 1.13fF
+C111 vdda2 vssa1 -5.19fF
+C112 io_oeb[20] vssa1 0.61fF
+C113 io_out[20] vssa1 0.61fF
+C114 io_in[20] vssa1 0.61fF
+C115 io_in_3v3[20] vssa1 0.61fF
+C116 gpio_noesd[13] vssa1 0.61fF
+C117 gpio_analog[13] vssa1 0.61fF
+C118 gpio_analog[0] vssa1 0.41fF
+C119 gpio_noesd[0] vssa1 0.41fF
+C120 io_in_3v3[7] vssa1 0.41fF
+C121 io_in[7] vssa1 0.41fF
+C122 io_out[7] vssa1 0.41fF
+C123 io_oeb[7] vssa1 0.41fF
+C124 io_oeb[19] vssa1 0.61fF
+C125 io_out[19] vssa1 0.61fF
+C126 io_in[19] vssa1 0.61fF
+C127 io_in_3v3[19] vssa1 0.61fF
+C128 gpio_noesd[12] vssa1 0.61fF
+C129 gpio_analog[12] vssa1 0.61fF
+C130 gpio_analog[1] vssa1 0.41fF
+C131 gpio_noesd[1] vssa1 0.41fF
+C132 io_in_3v3[8] vssa1 0.41fF
+C133 io_in[8] vssa1 0.41fF
+C134 io_out[8] vssa1 -0.20fF
+C135 io_oeb[8] vssa1 0.41fF
+C136 io_oeb[18] vssa1 0.61fF
+C137 io_out[18] vssa1 0.61fF
+C138 io_in_3v3[18] vssa1 0.61fF
+C139 gpio_noesd[11] vssa1 0.61fF
+C140 gpio_analog[11] vssa1 0.61fF
+C141 gpio_analog[2] vssa1 0.41fF
+C142 gpio_noesd[2] vssa1 0.41fF
+C143 io_in_3v3[9] vssa1 0.41fF
+C144 io_in[9] vssa1 0.41fF
+C145 io_out[9] vssa1 0.41fF
+C146 io_oeb[9] vssa1 0.41fF
+C147 io_oeb[17] vssa1 0.61fF
+C148 io_in[17] vssa1 0.61fF
+C149 io_in_3v3[17] vssa1 0.61fF
+C150 gpio_noesd[10] vssa1 0.61fF
+C151 gpio_analog[10] vssa1 0.61fF
+C152 gpio_analog[3] vssa1 0.41fF
+C153 gpio_noesd[3] vssa1 0.41fF
+C154 io_in_3v3[10] vssa1 0.41fF
+C155 io_in[10] vssa1 0.41fF
+C156 io_out[10] vssa1 0.41fF
+C157 io_oeb[10] vssa1 0.41fF
+C158 io_out[16] vssa1 0.61fF
+C159 io_in[16] vssa1 0.61fF
+C160 io_in_3v3[16] vssa1 0.61fF
+C161 gpio_noesd[9] vssa1 0.61fF
+C162 gpio_analog[9] vssa1 0.61fF
+C163 gpio_analog[4] vssa1 0.41fF
+C164 gpio_noesd[4] vssa1 0.41fF
+C165 io_in_3v3[11] vssa1 0.41fF
+C166 io_in[11] vssa1 0.41fF
+C167 io_out[11] vssa1 0.41fF
+C168 io_oeb[11] vssa1 0.41fF
+C169 io_oeb[15] vssa1 0.61fF
+C170 io_out[15] vssa1 0.61fF
+C171 io_in[15] vssa1 0.61fF
+C172 io_in_3v3[15] vssa1 0.61fF
+C173 gpio_noesd[8] vssa1 0.61fF
+C174 gpio_analog[8] vssa1 0.61fF
+C175 gpio_analog[5] vssa1 0.41fF
+C176 gpio_noesd[5] vssa1 0.41fF
+C177 io_in_3v3[12] vssa1 0.41fF
+C178 io_in[12] vssa1 0.41fF
+C179 io_out[12] vssa1 0.41fF
+C180 io_oeb[12] vssa1 0.41fF
+C181 io_oeb[14] vssa1 0.61fF
+C182 io_out[14] vssa1 0.61fF
+C183 gpio_analog[6] vssa1 0.60fF
+C184 gpio_noesd[6] vssa1 0.60fF
+C185 io_in_3v3[13] vssa1 0.60fF
+C186 io_in[13] vssa1 0.60fF
+C187 io_out[13] vssa1 0.60fF
+C188 io_oeb[13] vssa1 0.60fF
+C189 vccd1 vssa1 0.85fF
+C190 io_in_3v3[14] vssa1 0.61fF
+C191 gpio_noesd[7] vssa1 0.61fF
+C192 vssa2 vssa1 1.66fF
+C193 io_analog[0] vssa1 -6.01fF
+C194 io_analog[1] vssa1 0.76fF
+C195 vccd2 vssa1 0.91fF
+C196 io_analog[2] vssa1 -5.85fF
+C197 io_analog[3] vssa1 -5.74fF
+C198 io_analog[4] vssa1 -5.03fF
+C199 io_clamp_high[0] vssa1 -2.60fF
+C200 io_clamp_low[0] vssa1 0.82fF
+C201 io_analog[6] vssa1 -4.92fF
+C202 io_clamp_high[2] vssa1 0.66fF
+C203 io_clamp_low[2] vssa1 0.50fF
+C204 io_analog[7] vssa1 0.66fF
+C205 user_irq[2] vssa1 0.63fF
+C206 user_irq[1] vssa1 0.63fF
+C207 user_irq[0] vssa1 0.63fF
+C208 user_clock2 vssa1 0.63fF
+C209 la_oenb[127] vssa1 0.63fF
+C210 la_data_in[127] vssa1 0.63fF
+C211 la_oenb[126] vssa1 0.63fF
+C212 la_data_out[126] vssa1 0.63fF
+C213 la_data_in[126] vssa1 0.63fF
+C214 la_oenb[125] vssa1 0.63fF
+C215 la_data_out[125] vssa1 0.63fF
+C216 la_data_in[125] vssa1 0.63fF
+C217 la_oenb[124] vssa1 0.63fF
+C218 la_data_out[124] vssa1 0.63fF
+C219 la_data_in[124] vssa1 0.63fF
+C220 la_oenb[123] vssa1 0.63fF
+C221 la_data_out[123] vssa1 0.63fF
+C222 la_oenb[122] vssa1 0.63fF
+C223 la_data_out[122] vssa1 0.63fF
+C224 la_data_in[122] vssa1 0.63fF
+C225 la_oenb[121] vssa1 0.63fF
+C226 la_data_out[121] vssa1 0.63fF
+C227 la_data_in[121] vssa1 0.63fF
+C228 la_oenb[120] vssa1 0.63fF
+C229 la_data_out[120] vssa1 0.63fF
+C230 la_data_in[120] vssa1 0.63fF
+C231 la_oenb[119] vssa1 0.63fF
+C232 la_data_out[119] vssa1 0.63fF
+C233 la_data_in[119] vssa1 0.63fF
+C234 la_oenb[118] vssa1 0.63fF
+C235 la_data_out[118] vssa1 0.63fF
+C236 la_data_in[118] vssa1 0.63fF
+C237 la_oenb[117] vssa1 0.63fF
+C238 la_data_out[117] vssa1 0.63fF
+C239 la_data_in[117] vssa1 0.63fF
+C240 la_data_out[116] vssa1 0.63fF
+C241 la_data_in[116] vssa1 0.63fF
+C242 la_oenb[115] vssa1 0.63fF
+C243 la_data_out[115] vssa1 0.63fF
+C244 la_data_in[115] vssa1 0.63fF
+C245 la_oenb[114] vssa1 0.63fF
+C246 la_data_out[114] vssa1 0.63fF
+C247 la_data_in[114] vssa1 0.63fF
+C248 la_oenb[113] vssa1 0.63fF
+C249 la_data_out[113] vssa1 0.63fF
+C250 la_data_in[113] vssa1 0.63fF
+C251 la_oenb[112] vssa1 0.63fF
+C252 la_data_in[112] vssa1 0.63fF
+C253 la_oenb[111] vssa1 0.63fF
+C254 la_data_out[111] vssa1 0.63fF
+C255 la_data_in[111] vssa1 0.63fF
+C256 la_oenb[110] vssa1 0.63fF
+C257 la_data_out[110] vssa1 0.63fF
+C258 la_data_in[110] vssa1 0.63fF
+C259 la_oenb[109] vssa1 0.63fF
+C260 la_data_out[109] vssa1 0.63fF
+C261 la_data_in[109] vssa1 0.63fF
+C262 la_oenb[108] vssa1 0.63fF
+C263 la_data_out[108] vssa1 0.63fF
+C264 la_oenb[107] vssa1 0.63fF
+C265 la_data_out[107] vssa1 0.63fF
+C266 la_data_in[107] vssa1 0.63fF
+C267 la_oenb[106] vssa1 0.63fF
+C268 la_data_out[106] vssa1 0.63fF
+C269 la_oenb[105] vssa1 0.63fF
+C270 la_data_out[105] vssa1 0.63fF
+C271 la_data_in[105] vssa1 0.63fF
+C272 la_oenb[104] vssa1 0.63fF
+C273 la_data_out[104] vssa1 0.63fF
+C274 la_data_in[104] vssa1 0.63fF
+C275 la_oenb[103] vssa1 0.63fF
+C276 la_data_out[103] vssa1 0.63fF
+C277 la_data_in[103] vssa1 0.63fF
+C278 la_oenb[102] vssa1 0.63fF
+C279 la_data_out[102] vssa1 0.63fF
+C280 la_data_in[102] vssa1 0.63fF
+C281 la_data_out[101] vssa1 0.63fF
+C282 la_data_in[101] vssa1 0.63fF
+C283 la_oenb[100] vssa1 0.63fF
+C284 la_data_out[100] vssa1 0.63fF
+C285 la_data_in[100] vssa1 0.63fF
+C286 la_oenb[99] vssa1 0.63fF
+C287 la_data_out[99] vssa1 0.63fF
+C288 la_data_in[99] vssa1 0.63fF
+C289 la_oenb[98] vssa1 0.63fF
+C290 la_data_out[98] vssa1 0.63fF
+C291 la_data_in[98] vssa1 0.63fF
+C292 la_oenb[97] vssa1 0.63fF
+C293 la_data_in[97] vssa1 0.63fF
+C294 la_oenb[96] vssa1 0.63fF
+C295 la_data_out[96] vssa1 0.63fF
+C296 la_data_in[96] vssa1 0.63fF
+C297 la_oenb[95] vssa1 0.63fF
+C298 la_data_out[95] vssa1 0.63fF
+C299 la_data_in[95] vssa1 0.63fF
+C300 la_oenb[94] vssa1 0.63fF
+C301 la_data_out[94] vssa1 0.63fF
+C302 la_data_in[94] vssa1 0.63fF
+C303 la_oenb[93] vssa1 0.63fF
+C304 la_data_out[93] vssa1 0.63fF
+C305 la_oenb[92] vssa1 0.63fF
+C306 la_data_out[92] vssa1 0.63fF
+C307 la_data_in[92] vssa1 0.63fF
+C308 la_oenb[91] vssa1 0.63fF
+C309 la_data_out[91] vssa1 0.63fF
+C310 la_oenb[90] vssa1 0.63fF
+C311 la_data_out[90] vssa1 0.63fF
+C312 la_data_in[90] vssa1 0.63fF
+C313 la_oenb[89] vssa1 0.63fF
+C314 la_data_out[89] vssa1 0.63fF
+C315 la_data_in[89] vssa1 0.63fF
+C316 la_oenb[88] vssa1 0.63fF
+C317 la_data_out[88] vssa1 0.63fF
+C318 la_data_in[88] vssa1 0.63fF
+C319 la_oenb[87] vssa1 0.63fF
+C320 la_data_out[87] vssa1 0.63fF
+C321 la_data_in[87] vssa1 0.63fF
+C322 la_data_out[86] vssa1 0.63fF
+C323 la_data_in[86] vssa1 0.63fF
+C324 la_oenb[85] vssa1 0.63fF
+C325 la_data_out[85] vssa1 0.63fF
+C326 la_data_in[85] vssa1 0.63fF
+C327 la_oenb[84] vssa1 0.63fF
+C328 la_data_out[84] vssa1 0.63fF
+C329 la_data_in[84] vssa1 0.63fF
+C330 la_oenb[83] vssa1 0.63fF
+C331 la_data_out[83] vssa1 0.63fF
+C332 la_data_in[83] vssa1 0.63fF
+C333 la_oenb[82] vssa1 0.63fF
+C334 la_data_in[82] vssa1 0.63fF
+C335 la_oenb[81] vssa1 0.63fF
+C336 la_data_out[81] vssa1 0.63fF
+C337 la_data_in[81] vssa1 0.63fF
+C338 la_oenb[80] vssa1 0.63fF
+C339 la_data_out[80] vssa1 0.63fF
+C340 la_data_in[80] vssa1 0.63fF
+C341 la_oenb[79] vssa1 0.63fF
+C342 la_data_out[79] vssa1 0.63fF
+C343 la_data_in[79] vssa1 0.63fF
+C344 la_oenb[78] vssa1 0.63fF
+C345 la_data_out[78] vssa1 0.63fF
+C346 la_data_in[78] vssa1 0.63fF
+C347 la_oenb[77] vssa1 0.63fF
+C348 la_data_out[77] vssa1 0.63fF
+C349 la_data_in[77] vssa1 0.63fF
+C350 la_oenb[76] vssa1 0.63fF
+C351 la_data_out[76] vssa1 0.63fF
+C352 la_oenb[75] vssa1 0.63fF
+C353 la_data_out[75] vssa1 0.63fF
+C354 la_data_in[75] vssa1 0.63fF
+C355 la_oenb[74] vssa1 0.63fF
+C356 la_data_out[74] vssa1 0.63fF
+C357 la_data_in[74] vssa1 0.63fF
+C358 la_oenb[73] vssa1 0.63fF
+C359 la_data_out[73] vssa1 0.63fF
+C360 la_data_in[73] vssa1 0.63fF
+C361 la_oenb[72] vssa1 0.63fF
+C362 la_data_out[72] vssa1 0.63fF
+C363 la_data_in[72] vssa1 0.63fF
+C364 la_data_out[71] vssa1 0.63fF
+C365 la_data_in[71] vssa1 0.63fF
+C366 la_oenb[70] vssa1 0.63fF
+C367 la_data_out[70] vssa1 0.63fF
+C368 la_data_in[70] vssa1 0.63fF
+C369 la_oenb[69] vssa1 0.63fF
+C370 la_data_out[69] vssa1 0.63fF
+C371 la_data_in[69] vssa1 0.63fF
+C372 la_oenb[68] vssa1 0.63fF
+C373 la_data_out[68] vssa1 0.63fF
+C374 la_data_in[68] vssa1 0.63fF
+C375 la_oenb[67] vssa1 0.63fF
+C376 la_data_in[67] vssa1 0.63fF
+C377 la_oenb[66] vssa1 0.63fF
+C378 la_data_out[66] vssa1 0.63fF
+C379 la_data_in[66] vssa1 0.63fF
+C380 la_oenb[65] vssa1 0.63fF
+C381 la_data_out[65] vssa1 0.26fF
+C382 la_data_in[65] vssa1 0.63fF
+C383 la_oenb[64] vssa1 0.63fF
+C384 la_data_out[64] vssa1 0.63fF
+C385 la_data_in[64] vssa1 0.63fF
+C386 la_oenb[63] vssa1 0.63fF
+C387 la_data_out[63] vssa1 0.63fF
+C388 la_data_in[63] vssa1 0.63fF
+C389 la_oenb[62] vssa1 0.63fF
+C390 la_data_out[62] vssa1 0.63fF
+C391 la_data_in[62] vssa1 0.63fF
+C392 la_oenb[61] vssa1 0.63fF
+C393 la_data_out[61] vssa1 0.63fF
+C394 la_oenb[60] vssa1 0.63fF
+C395 la_data_out[60] vssa1 0.63fF
+C396 la_data_in[60] vssa1 0.63fF
+C397 la_oenb[59] vssa1 0.63fF
+C398 la_data_out[59] vssa1 0.63fF
+C399 la_data_in[59] vssa1 0.63fF
+C400 la_oenb[58] vssa1 0.63fF
+C401 la_data_out[58] vssa1 0.63fF
+C402 la_data_in[58] vssa1 0.63fF
+C403 la_oenb[57] vssa1 0.63fF
+C404 la_data_out[57] vssa1 0.63fF
+C405 la_data_in[57] vssa1 0.63fF
+C406 la_data_out[56] vssa1 0.63fF
+C407 la_data_in[56] vssa1 0.63fF
+C408 la_oenb[55] vssa1 0.63fF
+C409 la_data_out[55] vssa1 0.63fF
+C410 la_data_in[55] vssa1 0.63fF
+C411 la_oenb[54] vssa1 0.63fF
+C412 la_data_out[54] vssa1 0.63fF
+C413 la_data_in[54] vssa1 0.63fF
+C414 la_oenb[53] vssa1 0.63fF
+C415 la_data_out[53] vssa1 0.63fF
+C416 la_data_in[53] vssa1 0.63fF
+C417 la_oenb[52] vssa1 0.63fF
+C418 la_data_in[52] vssa1 0.63fF
+C419 la_oenb[51] vssa1 0.63fF
+C420 la_data_out[51] vssa1 0.63fF
+C421 la_data_in[51] vssa1 0.63fF
+C422 la_oenb[50] vssa1 0.63fF
+C423 la_data_in[50] vssa1 0.63fF
+C424 la_oenb[49] vssa1 0.63fF
+C425 la_data_out[49] vssa1 0.63fF
+C426 la_data_in[49] vssa1 0.63fF
+C427 la_oenb[48] vssa1 0.63fF
+C428 la_data_out[48] vssa1 0.63fF
+C429 la_data_in[48] vssa1 0.63fF
+C430 la_oenb[47] vssa1 0.63fF
+C431 la_data_out[47] vssa1 0.63fF
+C432 la_data_in[47] vssa1 0.63fF
+C433 la_oenb[46] vssa1 0.63fF
+C434 la_data_out[46] vssa1 0.63fF
+C435 la_oenb[45] vssa1 0.63fF
+C436 la_data_out[45] vssa1 0.63fF
+C437 la_data_in[45] vssa1 0.63fF
+C438 la_oenb[44] vssa1 0.63fF
+C439 la_data_out[44] vssa1 0.63fF
+C440 la_data_in[44] vssa1 0.63fF
+C441 la_oenb[43] vssa1 0.63fF
+C442 la_data_out[43] vssa1 0.63fF
+C443 la_data_in[43] vssa1 0.63fF
+C444 la_oenb[42] vssa1 0.63fF
+C445 la_data_out[42] vssa1 0.63fF
+C446 la_data_in[42] vssa1 0.63fF
+C447 la_data_out[41] vssa1 0.63fF
+C448 la_data_in[41] vssa1 0.63fF
+C449 la_oenb[40] vssa1 0.63fF
+C450 la_data_out[40] vssa1 0.63fF
+C451 la_data_in[40] vssa1 0.63fF
+C452 la_oenb[39] vssa1 0.63fF
+C453 la_data_out[39] vssa1 0.63fF
+C454 la_data_in[39] vssa1 0.63fF
+C455 la_oenb[38] vssa1 0.63fF
+C456 la_data_out[38] vssa1 0.63fF
+C457 la_data_in[38] vssa1 0.63fF
+C458 la_oenb[37] vssa1 0.63fF
+C459 la_data_out[37] vssa1 0.26fF
+C460 la_data_in[37] vssa1 0.63fF
+C461 la_oenb[36] vssa1 0.63fF
+C462 la_data_out[36] vssa1 0.63fF
+C463 la_data_in[36] vssa1 0.63fF
+C464 la_oenb[35] vssa1 0.63fF
+C465 la_data_in[35] vssa1 0.63fF
+C466 la_oenb[34] vssa1 0.63fF
+C467 la_data_out[34] vssa1 0.63fF
+C468 la_data_in[34] vssa1 0.63fF
+C469 la_oenb[33] vssa1 0.63fF
+C470 la_data_out[33] vssa1 0.63fF
+C471 la_data_in[33] vssa1 0.63fF
+C472 la_oenb[32] vssa1 0.63fF
+C473 la_data_out[32] vssa1 0.63fF
+C474 la_data_in[32] vssa1 0.63fF
+C475 la_oenb[31] vssa1 0.63fF
+C476 la_data_out[31] vssa1 0.63fF
+C477 la_oenb[30] vssa1 0.63fF
+C478 la_data_out[30] vssa1 0.63fF
+C479 la_data_in[30] vssa1 0.63fF
+C480 la_oenb[29] vssa1 0.63fF
+C481 la_data_out[29] vssa1 0.63fF
+C482 la_data_in[29] vssa1 0.63fF
+C483 la_oenb[28] vssa1 0.63fF
+C484 la_data_out[28] vssa1 0.63fF
+C485 la_data_in[28] vssa1 0.63fF
+C486 la_oenb[27] vssa1 0.63fF
+C487 la_data_out[27] vssa1 0.63fF
+C488 la_data_in[27] vssa1 0.63fF
+C489 la_data_out[26] vssa1 0.63fF
+C490 la_data_in[26] vssa1 0.63fF
+C491 la_oenb[25] vssa1 0.63fF
+C492 la_data_out[25] vssa1 0.63fF
+C493 la_data_in[25] vssa1 0.63fF
+C494 la_oenb[24] vssa1 0.63fF
+C495 la_data_out[24] vssa1 0.63fF
+C496 la_data_in[24] vssa1 0.63fF
+C497 la_oenb[23] vssa1 0.63fF
+C498 la_data_out[23] vssa1 0.63fF
+C499 la_data_in[23] vssa1 0.63fF
+C500 la_oenb[22] vssa1 0.63fF
+C501 la_data_out[22] vssa1 0.63fF
+C502 la_data_in[22] vssa1 0.63fF
+C503 la_oenb[21] vssa1 0.63fF
+C504 la_data_out[21] vssa1 0.63fF
+C505 la_data_in[21] vssa1 0.63fF
+C506 la_oenb[20] vssa1 0.63fF
+C507 la_data_in[20] vssa1 0.63fF
+C508 la_oenb[19] vssa1 0.63fF
+C509 la_data_out[19] vssa1 0.63fF
+C510 la_data_in[19] vssa1 0.63fF
+C511 la_oenb[18] vssa1 0.63fF
+C512 la_data_out[18] vssa1 0.63fF
+C513 la_data_in[18] vssa1 0.63fF
+C514 la_oenb[17] vssa1 0.63fF
+C515 la_data_out[17] vssa1 0.63fF
+C516 la_data_in[17] vssa1 0.63fF
+C517 la_oenb[16] vssa1 0.63fF
+C518 la_data_out[16] vssa1 0.63fF
+C519 la_oenb[15] vssa1 0.63fF
+C520 la_data_out[15] vssa1 0.63fF
+C521 la_data_in[15] vssa1 0.63fF
+C522 la_oenb[14] vssa1 0.63fF
+C523 la_data_out[14] vssa1 0.63fF
+C524 la_data_in[14] vssa1 0.63fF
+C525 la_oenb[13] vssa1 0.63fF
+C526 la_data_out[13] vssa1 0.63fF
+C527 la_data_in[13] vssa1 0.63fF
+C528 la_oenb[12] vssa1 0.63fF
+C529 la_data_out[12] vssa1 0.63fF
+C530 la_data_in[12] vssa1 0.63fF
+C531 la_data_out[11] vssa1 0.63fF
+C532 la_data_in[11] vssa1 0.63fF
+C533 la_oenb[10] vssa1 0.63fF
+C534 la_data_out[10] vssa1 0.63fF
+C535 la_data_in[10] vssa1 0.63fF
+C536 la_data_out[9] vssa1 0.63fF
+C537 la_data_in[9] vssa1 0.63fF
+C538 la_oenb[8] vssa1 0.63fF
+C539 la_data_out[8] vssa1 0.63fF
+C540 la_data_in[8] vssa1 0.63fF
+C541 la_oenb[7] vssa1 0.63fF
+C542 la_data_out[7] vssa1 0.63fF
+C543 la_data_in[7] vssa1 0.63fF
+C544 la_oenb[6] vssa1 0.63fF
+C545 la_data_out[6] vssa1 0.63fF
+C546 la_data_in[6] vssa1 0.63fF
+C547 la_oenb[5] vssa1 0.63fF
+C548 la_data_in[5] vssa1 0.63fF
+C549 la_oenb[4] vssa1 0.63fF
+C550 la_data_out[4] vssa1 0.63fF
+C551 la_data_in[4] vssa1 0.63fF
+C552 la_oenb[3] vssa1 0.63fF
+C553 la_data_out[3] vssa1 0.63fF
+C554 la_data_in[3] vssa1 0.63fF
+C555 la_oenb[2] vssa1 0.63fF
+C556 la_data_out[2] vssa1 0.63fF
+C557 la_data_in[2] vssa1 0.63fF
+C558 la_oenb[1] vssa1 0.63fF
+C559 la_data_out[1] vssa1 0.63fF
+C560 la_oenb[0] vssa1 0.63fF
+C561 la_data_out[0] vssa1 0.63fF
+C562 la_data_in[0] vssa1 0.63fF
+C563 wbs_dat_o[31] vssa1 0.63fF
+C564 wbs_dat_i[31] vssa1 0.63fF
+C565 wbs_adr_i[31] vssa1 0.63fF
+C566 wbs_dat_o[30] vssa1 0.63fF
+C567 wbs_dat_i[30] vssa1 0.63fF
+C568 wbs_adr_i[30] vssa1 0.63fF
+C569 wbs_dat_o[29] vssa1 0.63fF
+C570 wbs_dat_i[29] vssa1 0.63fF
+C571 wbs_adr_i[29] vssa1 0.63fF
+C572 wbs_dat_i[28] vssa1 0.63fF
+C573 wbs_adr_i[28] vssa1 0.63fF
+C574 wbs_dat_o[27] vssa1 0.63fF
+C575 wbs_dat_i[27] vssa1 0.63fF
+C576 wbs_adr_i[27] vssa1 0.63fF
+C577 wbs_dat_i[26] vssa1 0.63fF
+C578 wbs_adr_i[26] vssa1 0.63fF
+C579 wbs_dat_o[25] vssa1 0.63fF
+C580 wbs_dat_i[25] vssa1 0.63fF
+C581 wbs_adr_i[25] vssa1 0.63fF
+C582 wbs_dat_o[24] vssa1 0.63fF
+C583 wbs_dat_i[24] vssa1 0.63fF
+C584 wbs_adr_i[24] vssa1 0.63fF
+C585 wbs_dat_o[23] vssa1 0.63fF
+C586 wbs_dat_i[23] vssa1 0.63fF
+C587 wbs_adr_i[23] vssa1 0.63fF
+C588 wbs_dat_o[22] vssa1 0.63fF
+C589 wbs_adr_i[22] vssa1 0.63fF
+C590 wbs_dat_o[21] vssa1 0.63fF
+C591 wbs_dat_i[21] vssa1 0.63fF
+C592 wbs_adr_i[21] vssa1 0.63fF
+C593 wbs_dat_o[20] vssa1 0.63fF
+C594 wbs_dat_i[20] vssa1 0.63fF
+C595 wbs_adr_i[20] vssa1 0.63fF
+C596 wbs_dat_o[19] vssa1 0.63fF
+C597 wbs_dat_i[19] vssa1 0.63fF
+C598 wbs_adr_i[19] vssa1 0.63fF
+C599 wbs_dat_o[18] vssa1 0.63fF
+C600 wbs_dat_i[18] vssa1 0.63fF
+C601 wbs_dat_o[17] vssa1 0.63fF
+C602 wbs_dat_i[17] vssa1 0.63fF
+C603 wbs_adr_i[17] vssa1 0.63fF
+C604 wbs_dat_o[16] vssa1 0.63fF
+C605 wbs_dat_i[16] vssa1 0.63fF
+C606 wbs_adr_i[16] vssa1 0.63fF
+C607 wbs_dat_o[15] vssa1 0.63fF
+C608 wbs_dat_i[15] vssa1 0.63fF
+C609 wbs_adr_i[15] vssa1 0.63fF
+C610 wbs_dat_o[14] vssa1 0.63fF
+C611 wbs_dat_i[14] vssa1 0.63fF
+C612 wbs_adr_i[14] vssa1 0.63fF
+C613 wbs_dat_o[13] vssa1 0.63fF
+C614 wbs_dat_i[13] vssa1 0.63fF
+C615 wbs_adr_i[13] vssa1 0.63fF
+C616 wbs_dat_o[12] vssa1 0.63fF
+C617 wbs_dat_i[12] vssa1 0.63fF
+C618 wbs_adr_i[12] vssa1 0.63fF
+C619 wbs_dat_i[11] vssa1 0.63fF
+C620 wbs_adr_i[11] vssa1 0.63fF
+C621 wbs_dat_o[10] vssa1 0.63fF
+C622 wbs_dat_i[10] vssa1 0.63fF
+C623 wbs_adr_i[10] vssa1 0.63fF
+C624 wbs_dat_o[9] vssa1 0.63fF
+C625 wbs_dat_i[9] vssa1 0.63fF
+C626 wbs_adr_i[9] vssa1 0.63fF
+C627 wbs_dat_o[8] vssa1 0.63fF
+C628 wbs_dat_i[8] vssa1 0.63fF
+C629 wbs_adr_i[8] vssa1 0.63fF
+C630 wbs_dat_o[7] vssa1 0.63fF
+C631 wbs_adr_i[7] vssa1 0.63fF
+C632 wbs_dat_o[6] vssa1 0.63fF
+C633 wbs_dat_i[6] vssa1 0.63fF
+C634 wbs_adr_i[6] vssa1 0.63fF
+C635 wbs_dat_o[5] vssa1 0.63fF
+C636 wbs_dat_i[5] vssa1 0.63fF
+C637 wbs_adr_i[5] vssa1 0.63fF
+C638 wbs_dat_o[4] vssa1 0.63fF
+C639 wbs_dat_i[4] vssa1 0.63fF
+C640 wbs_adr_i[4] vssa1 0.63fF
+C641 wbs_sel_i[3] vssa1 0.63fF
+C642 wbs_dat_o[3] vssa1 0.63fF
+C643 wbs_adr_i[3] vssa1 0.63fF
+C644 wbs_sel_i[2] vssa1 0.63fF
+C645 wbs_dat_o[2] vssa1 0.63fF
+C646 wbs_dat_i[2] vssa1 0.63fF
+C647 wbs_adr_i[2] vssa1 0.63fF
+C648 wbs_dat_o[1] vssa1 0.63fF
+C649 wbs_dat_i[1] vssa1 0.63fF
+C650 wbs_adr_i[1] vssa1 0.63fF
+C651 wbs_sel_i[0] vssa1 0.63fF
+C652 wbs_dat_o[0] vssa1 0.63fF
+C653 wbs_dat_i[0] vssa1 0.63fF
+C654 wbs_adr_i[0] vssa1 0.63fF
+C655 wbs_we_i vssa1 0.63fF
+C656 wbs_stb_i vssa1 0.63fF
+C657 wbs_cyc_i vssa1 0.63fF
+C658 wbs_ack_o vssa1 0.63fF
+C659 wb_rst_i vssa1 0.63fF
+C660 m3_226242_702300# vssa1 -1.31fF $ **FLOATING
+C661 m3_222594_702300# vssa1 0.55fF $ **FLOATING
+C662 bias_0/iref_4 vssa1 1.17fF
+C663 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_4/a_n1731_n1219# vssa1 2.60fF
+C664 bias_0/iref_3 vssa1 0.64fF
+C665 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_3/a_n1731_n1219# vssa1 2.60fF
+C666 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_2/a_n1731_n1219# vssa1 2.60fF
+C667 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_1/a_n1731_n1219# vssa1 2.60fF
+C668 bias_0/iref_0 vssa1 1.88fF
+C669 io_analog[5] vssa1 33.29fF
+C670 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_0/a_n1731_n1219# vssa1 2.60fF
+C671 bias_0/m1_20168_984# vssa1 56.92fF
+C672 bias_0/iref_9 vssa1 -1.13fF
+C673 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_10/a_n1731_n1219# vssa1 2.60fF
+C674 bias_0/iref_7 vssa1 -1.38fF
+C675 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_8/a_n1731_n1219# vssa1 2.60fF
+C676 bias_0/iref_8 vssa1 -1.19fF
+C677 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_9/a_n1731_n1219# vssa1 2.60fF
+C678 bias_0/iref_6 vssa1 -1.00fF
+C679 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_7/a_n1731_n1219# vssa1 2.60fF
+C680 bias_0/iref_5 vssa1 1.40fF
+C681 bias_0/sky130_fd_pr__pfet_01v8_lvt_8P223X_6/a_n1731_n1219# vssa1 2.60fF
+C682 top_pll_v1_1/PFD_0/and_pfd_0/a_656_410# vssa1 0.96fF
+C683 top_pll_v1_1/PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vssa1 0.05fF
+C684 top_pll_v1_1/PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vssa1 0.05fF
+C685 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C686 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_2/B vssa1 1.40fF
+C687 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C688 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_3/A vssa1 3.14fF
+C689 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C690 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C691 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_2/A vssa1 2.55fF
+C692 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C693 top_pll_v1_1/QB vssa1 4.35fF
+C694 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C695 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C696 top_pll_v1_1/PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C697 top_pll_v1_1/out_div_by_5 vssa1 -0.40fF
+C698 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C699 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_2/B vssa1 1.40fF
+C700 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C701 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_3/A vssa1 3.14fF
+C702 top_pll_v1_1/pfd_reset vssa1 2.17fF
+C703 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C704 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C705 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_2/A vssa1 2.55fF
+C706 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C707 top_pll_v1_1/QA vssa1 4.22fF
+C708 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C709 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C710 top_pll_v1_1/PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C711 top_pll_v1_1/pfd_cp_interface_0/inverter_cp_x1_2/in vssa1 1.85fF
+C712 top_pll_v1_1/pfd_cp_interface_0/inverter_cp_x1_0/out vssa1 1.77fF
+C713 top_pll_v1_1/nUp vssa1 5.39fF
+C714 top_pll_v1_1/Up vssa1 1.85fF
+C715 top_pll_v1_1/Down vssa1 6.19fF
+C716 top_pll_v1_1/nDown vssa1 -3.53fF
+C717 top_pll_v1_1/div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# vssa1 0.37fF
+C718 top_pll_v1_1/div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# vssa1 0.38fF
+C719 top_pll_v1_1/div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vssa1 0.38fF
+C720 top_pll_v1_1/div_by_5_0/DFlipFlop_3/nQ vssa1 0.48fF
+C721 top_pll_v1_1/div_5_Q1_shift vssa1 -0.14fF
+C722 top_pll_v1_1/div_by_5_0/DFlipFlop_3/latch_diff_1/m1_657_280# vssa1 0.57fF
+C723 top_pll_v1_1/div_by_5_0/DFlipFlop_3/latch_diff_1/nD vssa1 0.57fF
+C724 top_pll_v1_1/div_by_5_0/DFlipFlop_3/latch_diff_1/D vssa1 -1.73fF
+C725 top_pll_v1_1/div_by_5_0/DFlipFlop_3/latch_diff_0/m1_657_280# vssa1 0.57fF
+C726 top_pll_v1_1/div_by_5_0/DFlipFlop_3/latch_diff_0/D vssa1 0.96fF
+C727 top_pll_v1_1/div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C728 top_pll_v1_1/div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C729 top_pll_v1_1/div_by_5_0/DFlipFlop_3/latch_diff_0/nD vssa1 1.14fF
+C730 top_pll_v1_1/div_by_5_0/DFlipFlop_2/nQ vssa1 0.48fF
+C731 top_pll_v1_1/div_5_Q1 vssa1 4.25fF
+C732 top_pll_v1_1/div_by_5_0/DFlipFlop_2/latch_diff_1/m1_657_280# vssa1 0.57fF
+C733 top_pll_v1_1/div_by_5_0/DFlipFlop_2/latch_diff_1/nD vssa1 0.57fF
+C734 top_pll_v1_1/div_by_5_0/DFlipFlop_2/latch_diff_1/D vssa1 -1.73fF
+C735 top_pll_v1_1/div_by_5_0/DFlipFlop_2/latch_diff_0/m1_657_280# vssa1 0.57fF
+C736 top_pll_v1_1/div_by_5_0/DFlipFlop_2/latch_diff_0/D vssa1 0.96fF
+C737 top_pll_v1_1/div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C738 top_pll_v1_1/div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C739 top_pll_v1_1/div_by_5_0/DFlipFlop_2/D vssa1 3.13fF
+C740 top_pll_v1_1/div_by_5_0/DFlipFlop_2/latch_diff_0/nD vssa1 1.14fF
+C741 top_pll_v1_1/div_5_nQ0 vssa1 0.59fF
+C742 top_pll_v1_1/div_5_Q0 vssa1 0.01fF
+C743 top_pll_v1_1/div_by_5_0/DFlipFlop_1/latch_diff_1/m1_657_280# vssa1 0.57fF
+C744 top_pll_v1_1/div_by_5_0/DFlipFlop_1/latch_diff_1/nD vssa1 0.57fF
+C745 top_pll_v1_1/div_by_5_0/DFlipFlop_1/latch_diff_1/D vssa1 -1.73fF
+C746 top_pll_v1_1/div_by_5_0/DFlipFlop_1/latch_diff_0/m1_657_280# vssa1 0.57fF
+C747 top_pll_v1_1/div_by_5_0/DFlipFlop_1/latch_diff_0/D vssa1 0.96fF
+C748 top_pll_v1_1/div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C749 top_pll_v1_1/div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C750 top_pll_v1_1/div_by_5_0/DFlipFlop_1/D vssa1 3.64fF
+C751 top_pll_v1_1/div_by_5_0/DFlipFlop_1/latch_diff_0/nD vssa1 1.14fF
+C752 top_pll_v1_1/div_5_nQ2 vssa1 1.24fF
+C753 top_pll_v1_1/div_by_5_0/DFlipFlop_0/Q vssa1 -0.94fF
+C754 top_pll_v1_1/div_by_5_0/DFlipFlop_0/latch_diff_1/m1_657_280# vssa1 0.57fF
+C755 top_pll_v1_1/n_out_by_2 vssa1 -2.75fF
+C756 top_pll_v1_1/div_by_5_0/DFlipFlop_0/latch_diff_1/nD vssa1 0.57fF
+C757 top_pll_v1_1/div_by_5_0/DFlipFlop_0/latch_diff_1/D vssa1 -1.73fF
+C758 top_pll_v1_1/div_by_5_0/DFlipFlop_0/latch_diff_0/m1_657_280# vssa1 0.57fF
+C759 top_pll_v1_1/out_by_2 vssa1 -5.01fF
+C760 top_pll_v1_1/div_by_5_0/DFlipFlop_0/latch_diff_0/D vssa1 0.96fF
+C761 top_pll_v1_1/div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C762 top_pll_v1_1/div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C763 top_pll_v1_1/div_by_5_0/DFlipFlop_0/D vssa1 3.96fF
+C764 top_pll_v1_1/div_by_5_0/DFlipFlop_0/latch_diff_0/nD vssa1 1.14fF
+C765 top_pll_v1_1/div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# vssa1 0.08fF
+C766 top_pll_v1_1/div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# vssa1 0.40fF
+C767 top_pll_v1_1/out_to_buffer vssa1 1.54fF
+C768 top_pll_v1_1/out_to_div vssa1 4.23fF
+C769 top_pll_v1_1/out_first_buffer vssa1 2.88fF
+C770 top_pll_v1_1/ring_osc_0/csvco_branch_2/in vssa1 1.60fF
+C771 top_pll_v1_1/ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vssa1 0.16fF
+C772 top_pll_v1_1/ring_osc_0/csvco_branch_1/cap_vco_0/t vssa1 7.10fF
+C773 top_pll_v1_1/ring_osc_0/csvco_branch_1/inverter_csvco_0/vss vssa1 0.52fF
+C774 top_pll_v1_1/ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vssa1 0.16fF
+C775 top_pll_v1_1/ring_osc_0/csvco_branch_2/cap_vco_0/t vssa1 7.10fF
+C776 top_pll_v1_1/ring_osc_0/csvco_branch_2/inverter_csvco_0/vss vssa1 0.52fF
+C777 top_pll_v1_1/ring_osc_0/csvco_branch_1/in vssa1 1.58fF
+C778 top_pll_v1_1/ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vssa1 0.16fF
+C779 top_pll_v1_1/vco_out vssa1 1.01fF
+C780 top_pll_v1_1/ring_osc_0/csvco_branch_0/cap_vco_0/t vssa1 7.10fF
+C781 top_pll_v1_1/ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vssa1 0.52fF
+C782 top_pll_v1_1/ring_osc_0/csvco_branch_2/vbp vssa1 0.36fF
+C783 io_analog[8] vssa1 7.79fF
+C784 top_pll_v1_1/buffer_salida_0/a_3996_n100# vssa1 48.23fF
+C785 top_pll_v1_1/buffer_salida_0/a_678_n100# vssa1 13.21fF
+C786 top_pll_v1_1/n_out_buffer_div_2 vssa1 1.63fF
+C787 top_pll_v1_1/out_buffer_div_2 vssa1 1.60fF
+C788 top_pll_v1_1/div_by_2_0/DFlipFlop_0/CLK vssa1 0.31fF
+C789 top_pll_v1_1/div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C790 top_pll_v1_1/div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C791 top_pll_v1_1/div_by_2_0/DFlipFlop_0/nCLK vssa1 1.03fF
+C792 top_pll_v1_1/out_div_2 vssa1 -1.30fF
+C793 top_pll_v1_1/div_by_2_0/DFlipFlop_0/latch_diff_1/m1_657_280# vssa1 0.57fF
+C794 top_pll_v1_1/div_by_2_0/DFlipFlop_0/latch_diff_1/nD vssa1 0.57fF
+C795 top_pll_v1_1/div_by_2_0/DFlipFlop_0/latch_diff_1/D vssa1 -1.73fF
+C796 top_pll_v1_1/div_by_2_0/DFlipFlop_0/latch_diff_0/m1_657_280# vssa1 0.57fF
+C797 top_pll_v1_1/div_by_2_0/DFlipFlop_0/latch_diff_0/D vssa1 0.96fF
+C798 top_pll_v1_1/div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C799 top_pll_v1_1/div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C800 top_pll_v1_1/n_out_div_2 vssa1 1.95fF
+C801 top_pll_v1_1/div_by_2_0/DFlipFlop_0/latch_diff_0/nD vssa1 1.14fF
+C802 top_pll_v1_1/nswitch vssa1 3.73fF
+C803 top_pll_v1_1/biasp vssa1 5.44fF
+C804 bias_0/iref_1 vssa1 -95.39fF
+C805 top_pll_v1_1/vco_vctrl vssa1 -18.17fF
+C806 top_pll_v1_1/pswitch vssa1 3.57fF
+C807 top_pll_v1_1/lf_vc vssa1 -59.89fF
+C808 top_pll_v1_1/loop_filter_0/res_loop_filter_2/out vssa1 7.90fF
+C809 top_pll_v1_0/PFD_0/and_pfd_0/a_656_410# vssa1 0.96fF
+C810 top_pll_v1_0/PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vssa1 0.05fF
+C811 top_pll_v1_0/PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vssa1 0.05fF
+C812 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C813 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_2/B vssa1 1.40fF
+C814 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C815 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_3/A vssa1 3.14fF
+C816 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C817 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C818 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_2/A vssa1 2.55fF
+C819 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C820 top_pll_v1_0/QB vssa1 4.35fF
+C821 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C822 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C823 top_pll_v1_0/PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C824 top_pll_v1_0/out_div_by_5 vssa1 -0.40fF
+C825 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C826 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_2/B vssa1 1.40fF
+C827 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C828 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_3/A vssa1 3.14fF
+C829 top_pll_v1_0/pfd_reset vssa1 2.17fF
+C830 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C831 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C832 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_2/A vssa1 2.55fF
+C833 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C834 top_pll_v1_0/QA vssa1 4.22fF
+C835 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C836 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vssa1 0.03fF
+C837 top_pll_v1_0/PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vssa1 0.03fF
+C838 io_analog[10] vssa1 293.41fF
+C839 top_pll_v1_0/pfd_cp_interface_0/inverter_cp_x1_2/in vssa1 1.85fF
+C840 top_pll_v1_0/pfd_cp_interface_0/inverter_cp_x1_0/out vssa1 1.77fF
+C841 top_pll_v1_0/nUp vssa1 5.39fF
+C842 top_pll_v1_0/Up vssa1 1.85fF
+C843 top_pll_v1_0/Down vssa1 6.19fF
+C844 top_pll_v1_0/nDown vssa1 -3.53fF
+C845 top_pll_v1_0/div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# vssa1 0.37fF
+C846 top_pll_v1_0/div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# vssa1 0.38fF
+C847 top_pll_v1_0/div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vssa1 0.38fF
+C848 top_pll_v1_0/div_by_5_0/DFlipFlop_3/nQ vssa1 0.48fF
+C849 top_pll_v1_0/div_5_Q1_shift vssa1 -0.14fF
+C850 top_pll_v1_0/div_by_5_0/DFlipFlop_3/latch_diff_1/m1_657_280# vssa1 0.57fF
+C851 top_pll_v1_0/div_by_5_0/DFlipFlop_3/latch_diff_1/nD vssa1 0.57fF
+C852 top_pll_v1_0/div_by_5_0/DFlipFlop_3/latch_diff_1/D vssa1 -1.73fF
+C853 top_pll_v1_0/div_by_5_0/DFlipFlop_3/latch_diff_0/m1_657_280# vssa1 0.57fF
+C854 top_pll_v1_0/div_by_5_0/DFlipFlop_3/latch_diff_0/D vssa1 0.96fF
+C855 top_pll_v1_0/div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C856 top_pll_v1_0/div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C857 top_pll_v1_0/div_by_5_0/DFlipFlop_3/latch_diff_0/nD vssa1 1.14fF
+C858 top_pll_v1_0/div_by_5_0/DFlipFlop_2/nQ vssa1 0.48fF
+C859 top_pll_v1_0/div_5_Q1 vssa1 4.25fF
+C860 top_pll_v1_0/div_by_5_0/DFlipFlop_2/latch_diff_1/m1_657_280# vssa1 0.57fF
+C861 top_pll_v1_0/div_by_5_0/DFlipFlop_2/latch_diff_1/nD vssa1 0.57fF
+C862 top_pll_v1_0/div_by_5_0/DFlipFlop_2/latch_diff_1/D vssa1 -1.73fF
+C863 top_pll_v1_0/div_by_5_0/DFlipFlop_2/latch_diff_0/m1_657_280# vssa1 0.57fF
+C864 top_pll_v1_0/div_by_5_0/DFlipFlop_2/latch_diff_0/D vssa1 0.96fF
+C865 top_pll_v1_0/div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C866 top_pll_v1_0/div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C867 top_pll_v1_0/div_by_5_0/DFlipFlop_2/D vssa1 3.13fF
+C868 top_pll_v1_0/div_by_5_0/DFlipFlop_2/latch_diff_0/nD vssa1 1.14fF
+C869 top_pll_v1_0/div_5_nQ0 vssa1 0.59fF
+C870 top_pll_v1_0/div_5_Q0 vssa1 0.01fF
+C871 top_pll_v1_0/div_by_5_0/DFlipFlop_1/latch_diff_1/m1_657_280# vssa1 0.57fF
+C872 top_pll_v1_0/div_by_5_0/DFlipFlop_1/latch_diff_1/nD vssa1 0.57fF
+C873 top_pll_v1_0/div_by_5_0/DFlipFlop_1/latch_diff_1/D vssa1 -1.73fF
+C874 top_pll_v1_0/div_by_5_0/DFlipFlop_1/latch_diff_0/m1_657_280# vssa1 0.57fF
+C875 top_pll_v1_0/div_by_5_0/DFlipFlop_1/latch_diff_0/D vssa1 0.96fF
+C876 top_pll_v1_0/div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C877 top_pll_v1_0/div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C878 top_pll_v1_0/div_by_5_0/DFlipFlop_1/D vssa1 3.64fF
+C879 top_pll_v1_0/div_by_5_0/DFlipFlop_1/latch_diff_0/nD vssa1 1.14fF
+C880 top_pll_v1_0/div_5_nQ2 vssa1 1.24fF
+C881 top_pll_v1_0/div_by_5_0/DFlipFlop_0/Q vssa1 -0.94fF
+C882 top_pll_v1_0/div_by_5_0/DFlipFlop_0/latch_diff_1/m1_657_280# vssa1 0.57fF
+C883 top_pll_v1_0/n_out_by_2 vssa1 -2.75fF
+C884 top_pll_v1_0/div_by_5_0/DFlipFlop_0/latch_diff_1/nD vssa1 0.57fF
+C885 top_pll_v1_0/div_by_5_0/DFlipFlop_0/latch_diff_1/D vssa1 -1.73fF
+C886 top_pll_v1_0/div_by_5_0/DFlipFlop_0/latch_diff_0/m1_657_280# vssa1 0.57fF
+C887 top_pll_v1_0/out_by_2 vssa1 -5.01fF
+C888 top_pll_v1_0/div_by_5_0/DFlipFlop_0/latch_diff_0/D vssa1 0.96fF
+C889 top_pll_v1_0/div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C890 top_pll_v1_0/div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C891 top_pll_v1_0/div_by_5_0/DFlipFlop_0/D vssa1 3.96fF
+C892 top_pll_v1_0/div_by_5_0/DFlipFlop_0/latch_diff_0/nD vssa1 1.14fF
+C893 vdda1 vssa1 6982.37fF
+C894 top_pll_v1_0/div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# vssa1 0.08fF
+C895 top_pll_v1_0/div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# vssa1 0.40fF
+C896 top_pll_v1_0/out_to_buffer vssa1 1.54fF
+C897 top_pll_v1_0/out_to_div vssa1 4.23fF
+C898 top_pll_v1_0/out_first_buffer vssa1 2.88fF
+C899 top_pll_v1_0/ring_osc_0/csvco_branch_2/in vssa1 1.60fF
+C900 top_pll_v1_0/ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vssa1 0.16fF
+C901 top_pll_v1_0/ring_osc_0/csvco_branch_1/cap_vco_0/t vssa1 7.10fF
+C902 top_pll_v1_0/ring_osc_0/csvco_branch_1/inverter_csvco_0/vss vssa1 0.52fF
+C903 top_pll_v1_0/ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vssa1 0.16fF
+C904 top_pll_v1_0/ring_osc_0/csvco_branch_2/cap_vco_0/t vssa1 7.10fF
+C905 top_pll_v1_0/ring_osc_0/csvco_branch_2/inverter_csvco_0/vss vssa1 0.52fF
+C906 top_pll_v1_0/ring_osc_0/csvco_branch_1/in vssa1 1.58fF
+C907 top_pll_v1_0/ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vssa1 0.16fF
+C908 top_pll_v1_0/vco_out vssa1 1.01fF
+C909 io_in[14] vssa1 44.01fF
+C910 top_pll_v1_0/ring_osc_0/csvco_branch_0/cap_vco_0/t vssa1 7.10fF
+C911 top_pll_v1_0/ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vssa1 0.52fF
+C912 top_pll_v1_0/ring_osc_0/csvco_branch_2/vbp vssa1 0.36fF
+C913 io_analog[9] vssa1 7.89fF
+C914 top_pll_v1_0/buffer_salida_0/a_3996_n100# vssa1 48.23fF
+C915 top_pll_v1_0/buffer_salida_0/a_678_n100# vssa1 13.21fF
+C916 top_pll_v1_0/n_out_buffer_div_2 vssa1 1.63fF
+C917 top_pll_v1_0/out_buffer_div_2 vssa1 1.60fF
+C918 top_pll_v1_0/div_by_2_0/DFlipFlop_0/CLK vssa1 0.31fF
+C919 top_pll_v1_0/div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C920 top_pll_v1_0/div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C921 top_pll_v1_0/div_by_2_0/DFlipFlop_0/nCLK vssa1 1.03fF
+C922 top_pll_v1_0/out_div_2 vssa1 -1.30fF
+C923 top_pll_v1_0/div_by_2_0/DFlipFlop_0/latch_diff_1/m1_657_280# vssa1 0.57fF
+C924 top_pll_v1_0/div_by_2_0/DFlipFlop_0/latch_diff_1/nD vssa1 0.57fF
+C925 top_pll_v1_0/div_by_2_0/DFlipFlop_0/latch_diff_1/D vssa1 -1.73fF
+C926 top_pll_v1_0/div_by_2_0/DFlipFlop_0/latch_diff_0/m1_657_280# vssa1 0.57fF
+C927 top_pll_v1_0/div_by_2_0/DFlipFlop_0/latch_diff_0/D vssa1 0.96fF
+C928 top_pll_v1_0/div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vssa1 1.86fF
+C929 top_pll_v1_0/div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vssa1 1.76fF
+C930 top_pll_v1_0/n_out_div_2 vssa1 1.95fF
+C931 top_pll_v1_0/div_by_2_0/DFlipFlop_0/latch_diff_0/nD vssa1 1.14fF
+C932 top_pll_v1_0/nswitch vssa1 3.73fF
+C933 top_pll_v1_0/biasp vssa1 5.44fF
+C934 bias_0/iref_2 vssa1 -188.47fF
+C935 top_pll_v1_0/vco_vctrl vssa1 -18.17fF
+C936 top_pll_v1_0/pswitch vssa1 3.57fF
+C937 top_pll_v1_0/lf_vc vssa1 -59.89fF
+C938 top_pll_v1_0/loop_filter_0/res_loop_filter_2/out vssa1 7.90fF
+.ends
+
diff --git a/mag/inverter_cp_x1.mag b/mag/inverter_cp_x1.mag
index 9863834..37f87cc 100644
--- a/mag/inverter_cp_x1.mag
+++ b/mag/inverter_cp_x1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623798692
+timestamp 1624049879
 << nwell >>
 rect 0 688 622 776
 << pwell >>
@@ -72,11 +72,11 @@
 rect 586 -722 622 -688
 rect 0 -728 622 -722
 use sky130_fd_pr__pfet_01v8_7KT7MH  sky130_fd_pr__pfet_01v8_7KT7MH_0
-timestamp 1623610677
+timestamp 1624049879
 transform 1 0 311 0 1 344
 box -311 -344 311 344
 use sky130_fd_pr__nfet_01v8_2BS6QM  sky130_fd_pr__nfet_01v8_2BS6QM_0
-timestamp 1623610677
+timestamp 1624049879
 transform 1 0 311 0 1 -335
 box -311 -335 311 335
 << labels >>
diff --git a/mag/inverter_cp_x2.mag b/mag/inverter_cp_x2.mag
index 917203f..5d508f5 100644
--- a/mag/inverter_cp_x2.mag
+++ b/mag/inverter_cp_x2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623355426
+timestamp 1624049879
 << nwell >>
 rect 0 0 910 776
 << pwell >>
@@ -81,11 +81,11 @@
 rect 874 -722 910 -688
 rect 0 -728 910 -722
 use sky130_fd_pr__pfet_01v8_XJXT7S  sky130_fd_pr__pfet_01v8_XJXT7S_0
-timestamp 1623353110
+timestamp 1624049879
 transform 1 0 455 0 1 344
 box -455 -344 455 344
 use sky130_fd_pr__nfet_01v8_AZESM8  sky130_fd_pr__nfet_01v8_AZESM8_0
-timestamp 1623353949
+timestamp 1624049879
 transform 1 0 455 0 1 -335
 box -455 -335 455 335
 << labels >>
diff --git a/mag/inverter_csvco.mag b/mag/inverter_csvco.mag
index ffe9576..635401a 100644
--- a/mag/inverter_csvco.mag
+++ b/mag/inverter_csvco.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623162837
+timestamp 1624049879
 << nwell >>
 rect 0 668 432 757
 rect 197 47 231 131
@@ -65,11 +65,11 @@
 rect 396 -561 432 -527
 rect 0 -567 432 -561
 use sky130_fd_pr__nfet_01v8_AQR2CW  sky130_fd_pr__nfet_01v8_AQR2CW_0
-timestamp 1623162482
+timestamp 1624049879
 transform 1 0 216 0 1 -254
 box -216 -254 216 254
 use sky130_fd_pr__pfet_01v8_HRYSXS  sky130_fd_pr__pfet_01v8_HRYSXS_0
-timestamp 1623162482
+timestamp 1624049879
 transform 1 0 216 0 1 334
 box -216 -334 216 334
 << labels >>
diff --git a/mag/inverter_min_x2.mag b/mag/inverter_min_x2.mag
index 73e8311..00186eb 100644
--- a/mag/inverter_min_x2.mag
+++ b/mag/inverter_min_x2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623898709
+timestamp 1624049879
 << nwell >>
 rect -53 551 473 655
 rect 24 543 385 551
@@ -73,11 +73,11 @@
 rect 437 -609 473 -575
 rect -53 -615 473 -609
 use sky130_fd_pr__pfet_01v8_ZPB9BB  sky130_fd_pr__pfet_01v8_ZPB9BB_0
-timestamp 1623427962
+timestamp 1624049879
 transform 1 0 210 0 1 250
 box -263 -303 263 303
 use sky130_fd_pr__nfet_01v8_5RJ8EK  sky130_fd_pr__nfet_01v8_5RJ8EK_0
-timestamp 1623427697
+timestamp 1624049879
 transform 1 0 210 0 1 -305
 box -263 -252 263 252
 << labels >>
diff --git a/mag/inverter_min_x4.mag b/mag/inverter_min_x4.mag
index d177d97..f936d5b 100644
--- a/mag/inverter_min_x4.mag
+++ b/mag/inverter_min_x4.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623895985
+timestamp 1624049879
 << nwell >>
 rect -53 531 665 643
 << psubdiff >>
@@ -77,11 +77,11 @@
 rect 629 -610 665 -576
 rect -53 -616 665 -610
 use sky130_fd_pr__nfet_01v8_DXA56D  sky130_fd_pr__nfet_01v8_DXA56D_0
-timestamp 1623431064
+timestamp 1624049879
 transform 1 0 306 0 1 -305
 box -359 -252 359 252
 use sky130_fd_pr__pfet_01v8_ZP3U9B  sky130_fd_pr__pfet_01v8_ZP3U9B_0
-timestamp 1623431064
+timestamp 1624049879
 transform 1 0 306 0 1 250
 box -359 -303 359 303
 << labels >>
diff --git a/mag/latch_diff.mag b/mag/latch_diff.mag
index c3608e0..535f0d6 100644
--- a/mag/latch_diff.mag
+++ b/mag/latch_diff.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623798783
+timestamp 1624049879
 << nwell >>
 rect -33 2264 526 2352
 rect -33 2261 525 2264
@@ -220,23 +220,23 @@
 rect 424 -178 434 -122
 rect 302 -183 434 -178
 use sky130_fd_pr__nfet_01v8_2BS854  sky130_fd_pr__nfet_01v8_2BS854_0
-timestamp 1623795754
+timestamp 1624049879
 transform 1 0 836 0 1 395
 box -311 -335 311 335
 use sky130_fd_pr__pfet_01v8_MJG8BZ  sky130_fd_pr__pfet_01v8_MJG8BZ_0
-timestamp 1623610677
+timestamp 1624049879
 transform 1 0 263 0 1 1950
 box -263 -314 263 314
 use sky130_fd_pr__pfet_01v8_MJG8BZ  sky130_fd_pr__pfet_01v8_MJG8BZ_1
-timestamp 1623610677
+timestamp 1624049879
 transform -1 0 263 0 -1 -314
 box -263 -314 263 314
 use sky130_fd_pr__nfet_01v8_KU9PSX  sky130_fd_pr__nfet_01v8_KU9PSX_1
-timestamp 1623610677
+timestamp 1624049879
 transform 1 0 263 0 1 1271
 box -263 -305 263 305
 use sky130_fd_pr__nfet_01v8_KU9PSX  sky130_fd_pr__nfet_01v8_KU9PSX_0
-timestamp 1623610677
+timestamp 1624049879
 transform 1 0 263 0 -1 365
 box -263 -305 263 305
 << labels >>
diff --git a/mag/loop_filter.mag b/mag/loop_filter.mag
index f9e635b..b2e7fdf 100644
--- a/mag/loop_filter.mag
+++ b/mag/loop_filter.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624022177
+timestamp 1624049879
 << pwell >>
 rect -16462 -24206 34360 5780
 << psubdiff >>
@@ -69,22 +69,18 @@
 << via1 >>
 rect -360 5080 640 5680
 rect 2466 5080 3866 5680
-rect -10029 -23953 -4329 -22753
-rect 9433 -24034 31413 -22834
+rect -16353 -24105 34174 -22697
 << metal2 >>
 rect -360 5680 640 5690
 rect -360 5070 640 5080
 rect 2466 5680 3866 5690
 rect 2466 5070 3866 5080
-rect -10029 -22753 -4329 -22743
-rect -10029 -23963 -4329 -23953
-rect 9433 -22834 31413 -22824
-rect 9433 -24044 31413 -24034
+rect -16353 -22697 34174 -22687
+rect -16353 -24115 34174 -24105
 << via2 >>
 rect -360 5080 640 5680
 rect 2466 5080 3866 5680
-rect -10029 -23953 -4329 -22753
-rect 9433 -24034 31413 -22834
+rect -16353 -24105 34174 -22697
 << metal3 >>
 rect -370 5680 650 5685
 rect -370 5080 -360 5680
@@ -94,28 +90,17 @@
 rect 2456 5080 2466 5680
 rect 3866 5080 3876 5680
 rect 2456 5075 3876 5080
-rect -10059 -22753 -4267 -9184
+rect -10059 -22692 -4267 -9184
 rect 4852 -21602 9433 4898
 rect 10833 -21602 14842 4898
 rect 16242 -21602 20055 4898
 rect 21455 -21602 25394 4898
 rect 26794 -21602 31427 4898
-rect -10059 -23008 -10029 -22753
-rect -10039 -23953 -10029 -23008
-rect -4329 -23008 -4267 -22753
-rect 30027 -22829 31427 -21602
-rect -4329 -23136 -4295 -23008
-rect -4329 -23953 -4319 -23136
-rect -10039 -23958 -4319 -23953
-rect 9423 -24034 9433 -22829
-rect 10833 -22834 14842 -22829
-rect 16242 -22834 20055 -22829
-rect 21455 -22834 25394 -22829
-rect 26794 -22834 31427 -22829
-rect 31413 -23602 31427 -22834
-rect 31413 -24034 31423 -23602
-rect 9423 -24039 31423 -24034
-rect 25394 -24061 26794 -24039
+rect 30027 -22692 31427 -21602
+rect -16363 -22697 34184 -22692
+rect -16363 -24105 -16353 -22697
+rect 34174 -24105 34184 -22697
+rect -16363 -24110 34184 -24105
 << via3 >>
 rect -360 5080 640 5680
 rect 2466 5080 3866 5680
@@ -129,23 +114,23 @@
 rect 3866 5080 28119 5680
 rect 2066 4980 28119 5080
 use cap2_loop_filter  cap2_loop_filter_0
-timestamp 1624021628
+timestamp 1624049879
 transform 1 0 -4885 0 1 288
 box -8638 -9892 4299 5492
 use cap1_loop_filter  cap1_loop_filter_0
-timestamp 1624018159
+timestamp 1624049879
 transform 1 0 47404 0 1 20622
 box -42552 -43690 -15977 -14842
 use res_loop_filter  res_loop_filter_0
-timestamp 1624015667
+timestamp 1624049879
 transform 1 0 0 0 1 0
 box 0 0 1478 5780
 use res_loop_filter  res_loop_filter_1
-timestamp 1624015667
+timestamp 1624049879
 transform 1 0 1478 0 1 0
 box 0 0 1478 5780
 use res_loop_filter  res_loop_filter_2
-timestamp 1624015667
+timestamp 1624049879
 transform 1 0 2956 0 1 0
 box 0 0 1478 5780
 << labels >>
diff --git a/mag/nor_pfd.mag b/mag/nor_pfd.mag
index 48c45df..b54607b 100644
--- a/mag/nor_pfd.mag
+++ b/mag/nor_pfd.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623456049
+timestamp 1624049879
 << nwell >>
 rect -235 1684 483 1772
 << pwell >>
@@ -103,11 +103,11 @@
 rect 351 504 483 538
 rect -235 498 483 504
 use sky130_fd_pr__nfet_01v8_C3YG4M  sky130_fd_pr__nfet_01v8_C3YG4M_0
-timestamp 1623451718
+timestamp 1624049879
 transform 1 0 124 0 1 811
 box -263 -255 263 255
 use sky130_fd_pr__pfet_01v8_4F35BC  sky130_fd_pr__pfet_01v8_4F35BC_0
-timestamp 1623451685
+timestamp 1624049879
 transform 1 0 124 0 1 1375
 box -359 -309 359 309
 << labels >>
diff --git a/mag/pfd_cp_interface.mag b/mag/pfd_cp_interface.mag
index d28e191..f157ae0 100644
--- a/mag/pfd_cp_interface.mag
+++ b/mag/pfd_cp_interface.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623954650
+timestamp 1624049879
 << metal1 >>
 rect 983 2998 993 3026
 rect 0 2944 993 2998
@@ -50,27 +50,27 @@
 rect 1161 40 1171 152
 rect 983 35 1171 40
 use trans_gate  trans_gate_0
-timestamp 1623610677
+timestamp 1624049879
 transform 1 0 675 0 -1 723
 box -53 -811 569 723
 use inverter_cp_x2  inverter_cp_x2_0
-timestamp 1623355426
+timestamp 1624049879
 transform 1 0 1244 0 -1 776
 box 0 -758 910 776
 use inverter_cp_x2  inverter_cp_x2_1
-timestamp 1623355426
+timestamp 1624049879
 transform 1 0 1244 0 1 2292
 box 0 -758 910 776
 use inverter_cp_x1  inverter_cp_x1_0
-timestamp 1623798692
+timestamp 1624049879
 transform 1 0 0 0 -1 776
 box 0 -758 622 776
 use inverter_cp_x1  inverter_cp_x1_2
-timestamp 1623798692
+timestamp 1624049879
 transform 1 0 622 0 1 2292
 box 0 -758 622 776
 use inverter_cp_x1  inverter_cp_x1_1
-timestamp 1623798692
+timestamp 1624049879
 transform 1 0 0 0 1 2292
 box 0 -758 622 776
 << labels >>
diff --git a/mag/res_loop_filter.mag b/mag/res_loop_filter.mag
index d3eab70..6fc3e7c 100644
--- a/mag/res_loop_filter.mag
+++ b/mag/res_loop_filter.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624015667
+timestamp 1624049879
 << viali >>
 rect 126 34 1358 84
 << metal1 >>
@@ -12,7 +12,7 @@
 rect 1358 34 1370 84
 rect 114 28 1370 34
 use sky130_fd_pr__res_high_po_5p73_X44RQA *sky130_fd_pr__res_high_po_5p73_X44RQA_0
-timestamp 1623968591
+timestamp 1624049879
 transform 1 0 739 0 1 2890
 box -739 -2890 739 2890
 << labels >>
diff --git a/mag/ring_osc.mag b/mag/ring_osc.mag
index 443d0ab..64e387b 100644
--- a/mag/ring_osc.mag
+++ b/mag/ring_osc.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623706675
+timestamp 1624049879
 << nwell >>
 rect -422 2867 0 2956
 << pwell >>
@@ -138,23 +138,23 @@
 rect 440 1356 608 1357
 rect 3316 1356 3441 1357
 use csvco_branch  csvco_branch_2
-timestamp 1623248172
+timestamp 1624049879
 transform 1 0 2951 0 1 1002
 box -363 -1002 931 1954
 use csvco_branch  csvco_branch_1
-timestamp 1623248172
+timestamp 1624049879
 transform 1 0 1657 0 1 1002
 box -363 -1002 931 1954
 use csvco_branch  csvco_branch_0
-timestamp 1623248172
+timestamp 1624049879
 transform 1 0 363 0 1 1002
 box -363 -1002 931 1954
 use sky130_fd_pr__pfet_01v8_4757AC  sky130_fd_pr__pfet_01v8_4757AC_0
-timestamp 1623181853
+timestamp 1624049879
 transform 1 0 -211 0 1 2498
 box -211 -369 211 369
 use sky130_fd_pr__nfet_01v8_CBAU6Y  sky130_fd_pr__nfet_01v8_CBAU6Y_0
-timestamp 1623181853
+timestamp 1624049879
 transform 1 0 -211 0 1 449
 box -211 -360 211 360
 << labels >>
diff --git a/mag/ring_osc_buffer.mag b/mag/ring_osc_buffer.mag
index 8c94c82..2dee5e6 100644
--- a/mag/ring_osc_buffer.mag
+++ b/mag/ring_osc_buffer.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623945368
+timestamp 1624049879
 << nwell >>
 rect 1 1259 1963 1270
 rect 1 744 1961 1259
@@ -15,15 +15,15 @@
 rect 1796 519 1963 597
 rect 0 40 1963 94
 use inverter_min_x2  inverter_min_x2_0
-timestamp 1623898709
+timestamp 1624049879
 transform 1 0 54 0 1 615
 box -53 -615 473 655
 use inverter_min_x4  inverter_min_x4_0
-timestamp 1623895985
+timestamp 1624049879
 transform 1 0 580 0 1 616
 box -53 -616 665 643
 use inverter_min_x4  inverter_min_x4_1
-timestamp 1623895985
+timestamp 1624049879
 transform 1 0 1298 0 1 616
 box -53 -616 665 643
 << labels >>
diff --git a/mag/sky130_fd_pr__cap_mim_m3_1_MACBVW.mag b/mag/sky130_fd_pr__cap_mim_m3_1_MACBVW.mag
index c69fa8c..6b1cd42 100644
--- a/mag/sky130_fd_pr__cap_mim_m3_1_MACBVW.mag
+++ b/mag/sky130_fd_pr__cap_mim_m3_1_MACBVW.mag
@@ -1,70 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624018159
-<< error_p >>
-rect -2890 8140 -2770 13200
-rect -4483 8000 -2770 8140
-rect -2650 8140 -2530 13200
-rect 2429 8140 2549 13200
-rect -2650 8000 2549 8140
-rect 2669 8140 2789 13200
-rect 7748 8140 7868 13200
-rect 2669 8000 7868 8140
-rect 7988 8140 8108 13200
-rect 7988 8000 13287 8140
-rect -4483 7760 -2770 7900
-rect -2890 2840 -2770 7760
-rect -4483 2700 -2770 2840
-rect -2650 7760 2549 7900
-rect -2650 2840 -2530 7760
-rect 2429 2840 2549 7760
-rect -2650 2700 2549 2840
-rect 2669 7760 7868 7900
-rect 2669 2840 2789 7760
-rect 7748 2840 7868 7760
-rect 2669 2700 7868 2840
-rect 7988 7760 13287 7900
-rect 7988 2840 8108 7760
-rect 7988 2700 13287 2840
-rect -4483 2460 -2770 2600
-rect -2890 -2460 -2770 2460
-rect -4483 -2600 -2770 -2460
-rect -2650 2460 2549 2600
-rect -2650 -2460 -2530 2460
-rect 2429 -2460 2549 2460
-rect -2650 -2600 2549 -2460
-rect 2669 2460 7868 2600
-rect 2669 -2460 2789 2460
-rect 7748 -2460 7868 2460
-rect 2669 -2600 7868 -2460
-rect 7988 2460 13287 2600
-rect 7988 -2460 8108 2460
-rect 7988 -2600 13287 -2460
-rect -4483 -2840 -2770 -2700
-rect -2890 -7760 -2770 -2840
-rect -4483 -7900 -2770 -7760
-rect -2650 -2840 2549 -2700
-rect -2650 -7760 -2530 -2840
-rect 2429 -7760 2549 -2840
-rect -2650 -7900 2549 -7760
-rect 2669 -2840 7868 -2700
-rect 2669 -7760 2789 -2840
-rect 7748 -7760 7868 -2840
-rect 2669 -7900 7868 -7760
-rect 7988 -2840 13287 -2700
-rect 7988 -7760 8108 -2840
-rect 7988 -7900 13287 -7760
-rect -4483 -8140 -2770 -8000
-rect -2890 -12071 -2770 -8140
-rect -2650 -8140 2549 -8000
-rect -2650 -12071 -2530 -8140
-rect 2429 -12071 2549 -8140
-rect 2669 -8140 7868 -8000
-rect 2669 -12071 2789 -8140
-rect 7748 -12071 7868 -8140
-rect 7988 -8140 13287 -8000
-rect 7988 -12071 8108 -8140
+timestamp 1624049879
 << metal3 >>
 rect -13288 8000 -8089 13200
 rect -7969 8000 -2770 13200
diff --git a/mag/sky130_fd_pr__cap_mim_m3_1_W3JTNJ.mag b/mag/sky130_fd_pr__cap_mim_m3_1_W3JTNJ.mag
index cca4ca3..fc6bee6 100644
--- a/mag/sky130_fd_pr__cap_mim_m3_1_W3JTNJ.mag
+++ b/mag/sky130_fd_pr__cap_mim_m3_1_W3JTNJ.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624019461
+timestamp 1624049879
 << metal3 >>
 rect -6469 2200 -2301 6400
 rect -2150 2200 2018 6400
diff --git a/mag/sky130_fd_pr__nfet_01v8_2BS6QM.mag b/mag/sky130_fd_pr__nfet_01v8_2BS6QM.mag
index a815ca1..8926531 100644
--- a/mag/sky130_fd_pr__nfet_01v8_2BS6QM.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_2BS6QM.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623610677
+timestamp 1624049879
 << pwell >>
 rect -311 -335 311 335
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_2BS854.mag b/mag/sky130_fd_pr__nfet_01v8_2BS854.mag
index e3752b2..f971b0a 100644
--- a/mag/sky130_fd_pr__nfet_01v8_2BS854.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_2BS854.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623795754
+timestamp 1624049879
 << pwell >>
 rect -311 -335 311 335
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_5RJ8EK.mag b/mag/sky130_fd_pr__nfet_01v8_5RJ8EK.mag
index 18d97a6..10786fb 100644
--- a/mag/sky130_fd_pr__nfet_01v8_5RJ8EK.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_5RJ8EK.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623427697
+timestamp 1624049879
 << pwell >>
 rect -263 -64 263 252
 rect -263 -66 -81 -64
diff --git a/mag/sky130_fd_pr__nfet_01v8_7H8F5S.mag b/mag/sky130_fd_pr__nfet_01v8_7H8F5S.mag
index f4376d7..096127d 100644
--- a/mag/sky130_fd_pr__nfet_01v8_7H8F5S.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_7H8F5S.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1622843784
+timestamp 1624049879
 << pwell >>
 rect -647 -360 647 360
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_8GRULZ.mag b/mag/sky130_fd_pr__nfet_01v8_8GRULZ.mag
index 7c8b45b..188586a 100644
--- a/mag/sky130_fd_pr__nfet_01v8_8GRULZ.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_8GRULZ.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623774805
+timestamp 1624049879
 << pwell >>
 rect -1957 -254 1957 254
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_AQR2CW.mag b/mag/sky130_fd_pr__nfet_01v8_AQR2CW.mag
index c3d1e46..997dd71 100644
--- a/mag/sky130_fd_pr__nfet_01v8_AQR2CW.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_AQR2CW.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623162482
+timestamp 1624049879
 << pwell >>
 rect -216 -254 216 254
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_AZESM8.mag b/mag/sky130_fd_pr__nfet_01v8_AZESM8.mag
index 77dfc18..d4649f1 100644
--- a/mag/sky130_fd_pr__nfet_01v8_AZESM8.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_AZESM8.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623353949
+timestamp 1624049879
 << pwell >>
 rect -455 -335 455 335
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_BHR94T.mag b/mag/sky130_fd_pr__nfet_01v8_BHR94T.mag
index 7a5d479..0f0e256 100644
--- a/mag/sky130_fd_pr__nfet_01v8_BHR94T.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_BHR94T.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623610677
+timestamp 1624049879
 << pwell >>
 rect -311 -335 311 335
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_C3YG4M.mag b/mag/sky130_fd_pr__nfet_01v8_C3YG4M.mag
index b91111d..788f763 100644
--- a/mag/sky130_fd_pr__nfet_01v8_C3YG4M.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_C3YG4M.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623451718
+timestamp 1624049879
 << pwell >>
 rect -263 -255 263 255
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_CBAU6Y.mag b/mag/sky130_fd_pr__nfet_01v8_CBAU6Y.mag
index ac94e9a..ed5da4a 100644
--- a/mag/sky130_fd_pr__nfet_01v8_CBAU6Y.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_CBAU6Y.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623181853
+timestamp 1624049879
 << error_p >>
 rect -29 -188 29 -182
 rect -29 -222 -17 -188
diff --git a/mag/sky130_fd_pr__nfet_01v8_DXA56D.mag b/mag/sky130_fd_pr__nfet_01v8_DXA56D.mag
index f257bf6..fc9b925 100644
--- a/mag/sky130_fd_pr__nfet_01v8_DXA56D.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_DXA56D.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623431064
+timestamp 1624049879
 << pwell >>
 rect -359 -252 359 252
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_EDT3AT.mag b/mag/sky130_fd_pr__nfet_01v8_EDT3AT.mag
index 4981460..133c69b 100644
--- a/mag/sky130_fd_pr__nfet_01v8_EDT3AT.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_EDT3AT.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623244079
+timestamp 1624049879
 << pwell >>
 rect -211 -221 211 221
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_KU9PSX.mag b/mag/sky130_fd_pr__nfet_01v8_KU9PSX.mag
index 45ca065..37dce9b 100644
--- a/mag/sky130_fd_pr__nfet_01v8_KU9PSX.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_KU9PSX.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623610677
+timestamp 1624049879
 << pwell >>
 rect -263 -305 263 305
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_MUHGM9.mag b/mag/sky130_fd_pr__nfet_01v8_MUHGM9.mag
index 4c1aa8b..fe33d1f 100644
--- a/mag/sky130_fd_pr__nfet_01v8_MUHGM9.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_MUHGM9.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623774805
+timestamp 1624049879
 << pwell >>
 rect -1127 -285 1127 285
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_T69Y3A.mag b/mag/sky130_fd_pr__nfet_01v8_T69Y3A.mag
index f145062..2ceaa77 100644
--- a/mag/sky130_fd_pr__nfet_01v8_T69Y3A.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_T69Y3A.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623972527
+timestamp 1624049879
 << pwell >>
 rect -257 -327 257 327
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_YCGG98.mag b/mag/sky130_fd_pr__nfet_01v8_YCGG98.mag
index a627d87..dd05d65 100644
--- a/mag/sky130_fd_pr__nfet_01v8_YCGG98.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_YCGG98.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623774805
+timestamp 1624049879
 << pwell >>
 rect -1367 -285 1367 285
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_ZCYAJJ.mag b/mag/sky130_fd_pr__nfet_01v8_ZCYAJJ.mag
index f43b4a4..0a75018 100644
--- a/mag/sky130_fd_pr__nfet_01v8_ZCYAJJ.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_ZCYAJJ.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623449341
+timestamp 1624049879
 << pwell >>
 rect -359 -255 359 255
 << nmos >>
diff --git a/mag/sky130_fd_pr__nfet_01v8_ZXAV3F.mag b/mag/sky130_fd_pr__nfet_01v8_ZXAV3F.mag
index 47c6c0d..5afa5ef 100644
--- a/mag/sky130_fd_pr__nfet_01v8_ZXAV3F.mag
+++ b/mag/sky130_fd_pr__nfet_01v8_ZXAV3F.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623449341
+timestamp 1624049879
 << pwell >>
 rect -211 -255 211 255
 << nmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_4757AC.mag b/mag/sky130_fd_pr__pfet_01v8_4757AC.mag
index 317fddb..cb97d14 100644
--- a/mag/sky130_fd_pr__pfet_01v8_4757AC.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_4757AC.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623181853
+timestamp 1624049879
 << error_p >>
 rect -29 231 29 237
 rect -29 197 -17 231
diff --git a/mag/sky130_fd_pr__pfet_01v8_4798MH.mag b/mag/sky130_fd_pr__pfet_01v8_4798MH.mag
index 5285d6f..a113890 100644
--- a/mag/sky130_fd_pr__pfet_01v8_4798MH.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_4798MH.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623610677
+timestamp 1624049879
 << nwell >>
 rect -311 -344 311 344
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_4F35BC.mag b/mag/sky130_fd_pr__pfet_01v8_4F35BC.mag
index af53822..8cbc8a7 100644
--- a/mag/sky130_fd_pr__pfet_01v8_4F35BC.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_4F35BC.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623451685
+timestamp 1624049879
 << nwell >>
 rect -359 -309 359 309
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_4F7GBC.mag b/mag/sky130_fd_pr__pfet_01v8_4F7GBC.mag
index bc2dddd..6950fec 100644
--- a/mag/sky130_fd_pr__pfet_01v8_4F7GBC.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_4F7GBC.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623450719
+timestamp 1624049879
 << nwell >>
 rect -211 -309 211 309
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_4ML9WA.mag b/mag/sky130_fd_pr__pfet_01v8_4ML9WA.mag
index 98942cb..9b837bc 100644
--- a/mag/sky130_fd_pr__pfet_01v8_4ML9WA.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_4ML9WA.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623774805
+timestamp 1624049879
 << nwell >>
 rect -2457 -634 2457 634
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_58ZKDE.mag b/mag/sky130_fd_pr__pfet_01v8_58ZKDE.mag
index 9a08dde..02744ae 100644
--- a/mag/sky130_fd_pr__pfet_01v8_58ZKDE.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_58ZKDE.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623972527
+timestamp 1624049879
 << nwell >>
 rect -257 -702 257 701
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_7KT7MH.mag b/mag/sky130_fd_pr__pfet_01v8_7KT7MH.mag
index bb965f5..ac1b6ef 100644
--- a/mag/sky130_fd_pr__pfet_01v8_7KT7MH.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_7KT7MH.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623610677
+timestamp 1624049879
 << nwell >>
 rect -311 -344 311 344
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_7T83YG.mag b/mag/sky130_fd_pr__pfet_01v8_7T83YG.mag
index c605806..363e9b4 100644
--- a/mag/sky130_fd_pr__pfet_01v8_7T83YG.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_7T83YG.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623450397
+timestamp 1624049879
 << nwell >>
 rect -263 -309 263 309
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_8DL6ZL.mag b/mag/sky130_fd_pr__pfet_01v8_8DL6ZL.mag
index 3b6ae8b..a802291 100644
--- a/mag/sky130_fd_pr__pfet_01v8_8DL6ZL.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_8DL6ZL.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1622843784
+timestamp 1624049879
 << nwell >>
 rect -647 -369 647 369
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_HRYSXS.mag b/mag/sky130_fd_pr__pfet_01v8_HRYSXS.mag
index d32cad6..75f22d7 100644
--- a/mag/sky130_fd_pr__pfet_01v8_HRYSXS.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_HRYSXS.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623162482
+timestamp 1624049879
 << nwell >>
 rect -216 -334 216 334
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_MJG8BZ.mag b/mag/sky130_fd_pr__pfet_01v8_MJG8BZ.mag
index f89ab31..594bbc4 100644
--- a/mag/sky130_fd_pr__pfet_01v8_MJG8BZ.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_MJG8BZ.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623610677
+timestamp 1624049879
 << nwell >>
 rect -263 -314 263 314
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_ND88ZC.mag b/mag/sky130_fd_pr__pfet_01v8_ND88ZC.mag
index 9bd363a..9fc4394 100644
--- a/mag/sky130_fd_pr__pfet_01v8_ND88ZC.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_ND88ZC.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623774805
+timestamp 1624049879
 << nwell >>
 rect -1367 -369 1367 369
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_NKZXKB.mag b/mag/sky130_fd_pr__pfet_01v8_NKZXKB.mag
index bf825c4..61695b9 100644
--- a/mag/sky130_fd_pr__pfet_01v8_NKZXKB.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_NKZXKB.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623774805
+timestamp 1624049879
 << nwell >>
 rect -1127 -369 1127 369
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_XJXT7S.mag b/mag/sky130_fd_pr__pfet_01v8_XJXT7S.mag
index f8474c2..d513894 100644
--- a/mag/sky130_fd_pr__pfet_01v8_XJXT7S.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_XJXT7S.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623353110
+timestamp 1624049879
 << nwell >>
 rect -455 -344 455 344
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_ZP3U9B.mag b/mag/sky130_fd_pr__pfet_01v8_ZP3U9B.mag
index 6535f8a..d58647c 100644
--- a/mag/sky130_fd_pr__pfet_01v8_ZP3U9B.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_ZP3U9B.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623431064
+timestamp 1624049879
 << nwell >>
 rect -359 -303 359 303
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_ZPB9BB.mag b/mag/sky130_fd_pr__pfet_01v8_ZPB9BB.mag
index 0905923..0b3d65d 100644
--- a/mag/sky130_fd_pr__pfet_01v8_ZPB9BB.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_ZPB9BB.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623427962
+timestamp 1624049879
 << nwell >>
 rect -263 -303 263 303
 << pmos >>
diff --git a/mag/sky130_fd_pr__pfet_01v8_lvt_8P223X.mag b/mag/sky130_fd_pr__pfet_01v8_lvt_8P223X.mag
index c74b7c5..3e28e0a 100644
--- a/mag/sky130_fd_pr__pfet_01v8_lvt_8P223X.mag
+++ b/mag/sky130_fd_pr__pfet_01v8_lvt_8P223X.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623863898
+timestamp 1624049879
 << nwell >>
 rect -2017 76 2017 1196
 rect -2018 -202 2017 76
diff --git a/mag/sky130_fd_pr__res_high_po_5p73_X44RQA.mag b/mag/sky130_fd_pr__res_high_po_5p73_X44RQA.mag
index 7caffbb..0f13fc3 100644
--- a/mag/sky130_fd_pr__res_high_po_5p73_X44RQA.mag
+++ b/mag/sky130_fd_pr__res_high_po_5p73_X44RQA.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623968591
+timestamp 1624049879
 << pwell >>
 rect -739 -2890 739 2890
 << psubdiff >>
diff --git a/mag/sky130_fd_sc_hs__and2_1.mag b/mag/sky130_fd_sc_hs__and2_1.mag
index 06d1f84..1b0d438 100644
--- a/mag/sky130_fd_sc_hs__and2_1.mag
+++ b/mag/sky130_fd_sc_hs__and2_1.mag
@@ -1,9 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1622592543
-<< checkpaint >>
-rect -1298 -1309 1778 1975
+timestamp 1624049879
 << nwell >>
 rect -38 332 518 704
 << pwell >>
diff --git a/mag/sky130_fd_sc_hs__or2_1.mag b/mag/sky130_fd_sc_hs__or2_1.mag
index 6d3eaf1..7f78ef0 100644
--- a/mag/sky130_fd_sc_hs__or2_1.mag
+++ b/mag/sky130_fd_sc_hs__or2_1.mag
@@ -1,9 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1622592543
-<< checkpaint >>
-rect -1298 -1309 1778 1975
+timestamp 1624049879
 << nwell >>
 rect -38 332 518 704
 << pwell >>
diff --git a/mag/sky130_fd_sc_hs__xor2_1.mag b/mag/sky130_fd_sc_hs__xor2_1.mag
index 0155905..aff2350 100644
--- a/mag/sky130_fd_sc_hs__xor2_1.mag
+++ b/mag/sky130_fd_sc_hs__xor2_1.mag
@@ -1,9 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1622592543
-<< checkpaint >>
-rect -1298 -1309 2066 1975
+timestamp 1624049879
 << nwell >>
 rect -38 332 806 704
 << pwell >>
diff --git a/mag/top_pll_v1.mag b/mag/top_pll_v1.mag
index a7543f7..e187d4b 100644
--- a/mag/top_pll_v1.mag
+++ b/mag/top_pll_v1.mag
@@ -1,16 +1,19 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624024616
+timestamp 1624049879
 << nwell >>
+rect 0 2846 20536 2860
 rect 0 2838 6183 2846
 rect 0 2608 3909 2838
 rect 3790 2062 3909 2608
 rect 6063 2012 6183 2838
-rect 13905 2752 20472 2846
+rect 13905 2752 20536 2846
 rect 13905 1955 14025 2752
-rect 18329 1955 20472 2752
-rect 18510 1923 20472 1955
+rect 18329 1955 20536 2752
+rect 18510 1923 20536 1955
+rect 20472 1290 20536 1923
+rect 49317 1290 50180 2860
 rect -3 -230 3909 546
 rect -3 -350 6063 -230
 rect 955 -370 2001 -350
@@ -19,12 +22,27 @@
 rect 2872 546 3909 706
 rect 13905 784 14901 854
 rect 18329 784 18510 1215
+rect 20472 1190 20593 1290
+rect 20456 1176 20593 1190
 rect 13905 653 18510 784
 rect 19367 653 20225 754
-rect 13905 -238 20472 653
+rect 20472 653 20593 1176
+rect 13905 223 20593 653
+rect 13905 181 20796 223
+rect 27347 181 46785 1226
+rect 49317 769 50180 1290
+rect 49319 181 50180 769
+rect 13905 -238 50180 181
+rect 19278 -3693 50180 -238
+rect 19278 -3827 49370 -3693
+rect 20572 -4375 49370 -3827
 << psubdiff >>
+rect 20575 24 20599 114
+rect 49259 24 49283 114
+rect 48569 -453 50169 -429
 rect 13461 -1919 13485 -1831
 rect 14493 -1919 14517 -1831
+rect 48569 -4056 50169 -4032
 << nsubdiff >>
 rect 43 -212 67 -124
 rect 416 -212 440 -124
@@ -33,7 +51,9 @@
 rect 2420 -214 2446 -126
 rect 2795 -214 2819 -126
 << psubdiffcont >>
+rect 20599 24 49259 114
 rect 13485 -1919 14493 -1831
+rect 48569 -4032 50169 -453
 << nsubdiffcont >>
 rect 67 -212 416 -124
 rect 1276 -220 1625 -132
@@ -52,6 +72,11 @@
 rect 10395 2078 10657 2156
 rect 9434 325 9696 449
 rect 10372 280 10671 385
+<< locali >>
+rect 20583 24 20599 114
+rect 49259 24 49275 114
+rect 48569 -453 50169 -437
+rect 48569 -4048 50169 -4032
 << viali >>
 rect 10374 2156 10680 2179
 rect 9399 2114 9723 2134
@@ -73,6 +98,7 @@
 rect 10372 280 10671 385
 rect 10671 280 10697 385
 rect 10354 269 10697 280
+rect 20599 24 49259 114
 rect 22 -124 2852 -112
 rect 22 -212 67 -124
 rect 67 -212 416 -124
@@ -91,11 +117,14 @@
 rect 13485 -1919 14493 -1831
 rect 14493 -1919 14548 -1831
 rect 13416 -1931 14548 -1919
+rect 48569 -4032 50169 -453
 << metal1 >>
-rect -642 1468 -314 6426
+rect 0 2824 20536 2830
+rect 0 2816 20548 2824
 rect 0 2808 6183 2816
 rect 0 2674 6294 2808
-rect 13869 2674 20472 2816
+rect 13869 2687 20548 2816
+rect 13869 2674 20472 2687
 rect 0 2578 3504 2674
 rect 3150 2150 3504 2578
 rect 5909 2095 6099 2096
@@ -129,7 +158,6 @@
 rect 18510 1879 19087 1880
 rect 18863 1841 19087 1879
 rect 18863 1823 19020 1841
-rect -642 1140 0 1468
 rect 3857 1234 3909 1468
 rect 6052 1268 6259 1468
 rect 3857 870 3976 1234
@@ -142,7 +170,13 @@
 rect 18878 1176 19279 1256
 rect 19577 1172 19587 1256
 rect 19999 1172 20009 1256
-rect 20305 1172 20472 1250
+rect 20308 1250 20318 1253
+rect 20305 1172 20318 1250
+rect 20308 1169 20318 1172
+rect 20560 1241 20570 1253
+rect 20560 1182 20839 1241
+rect 20560 1169 20570 1182
+rect 48286 1072 49317 1356
 rect -619 518 -609 715
 rect -513 652 -503 715
 rect 6183 695 6219 830
@@ -178,6 +212,14 @@
 rect 1194 -106 1694 19
 rect 2372 -106 2872 55
 rect 18326 -66 19612 712
+rect 20472 677 20536 788
+rect 20443 244 20536 677
+rect 24126 244 24570 245
+rect 48566 244 49046 267
+rect 20443 114 49287 244
+rect 20443 24 20599 114
+rect 49259 24 49287 114
+rect 20443 18 49287 24
 rect -1 -112 2872 -106
 rect -1 -232 22 -112
 rect 2852 -232 2872 -112
@@ -200,6 +242,7 @@
 rect 13580 -1706 13842 -1507
 rect 13580 -1725 14356 -1706
 rect 19367 -1720 19612 -208
+rect 48566 -441 49046 18
 rect 13288 -1896 13289 -1731
 rect 13768 -1804 14356 -1725
 rect 13404 -1810 14560 -1804
@@ -208,6 +251,7 @@
 rect 13404 -1937 14560 -1931
 rect 13768 -2069 14356 -1937
 rect 19278 -1964 19612 -1720
+rect 48563 -453 50175 -441
 rect 13768 -2215 13842 -2069
 rect 10227 -2717 10598 -2658
 rect 13760 -2695 13770 -2498
@@ -216,6 +260,9 @@
 rect 13951 -2695 13961 -2677
 rect 14281 -2683 14682 -2603
 rect 14816 -2673 15040 -2617
+rect 48563 -4032 48569 -453
+rect 50169 -4032 50175 -453
+rect 48563 -5101 50175 -4032
 << via1 >>
 rect 3919 2034 4143 2090
 rect 5180 2034 5404 2090
@@ -224,6 +271,7 @@
 rect 10395 2078 10657 2156
 rect 18520 1190 18728 1242
 rect 19587 1172 19999 1256
+rect 20318 1169 20560 1253
 rect -609 518 -513 715
 rect 3919 518 4143 574
 rect 5180 518 5404 574
@@ -234,7 +282,6 @@
 rect 13797 -1223 13962 -1056
 rect 13770 -2695 13951 -2498
 << metal2 >>
-rect 13173 2204 13734 2214
 rect 10395 2156 10657 2166
 rect 9420 2114 9699 2124
 rect 3919 2090 4143 2100
@@ -254,7 +301,6 @@
 rect 6089 1756 9647 1775
 rect 2159 858 2211 1750
 rect 5955 1746 9647 1756
-rect 13173 1690 13734 1700
 rect 5232 1611 10645 1637
 rect 5232 1555 5245 1611
 rect 5469 1609 10645 1611
@@ -268,6 +314,8 @@
 rect 18091 1189 18728 1190
 rect 18520 1180 18728 1189
 rect 19587 1162 19999 1172
+rect 20318 1253 20560 1263
+rect 20318 1159 20560 1169
 rect 5232 1055 10645 1079
 rect 5232 1053 10410 1055
 rect 5232 997 5245 1053
@@ -275,6 +323,7 @@
 rect 10634 999 10645 1055
 rect 5469 997 10645 999
 rect 5232 971 10645 997
+rect 13187 980 13690 990
 rect 5919 853 9631 863
 rect 6053 797 9497 853
 rect 5919 755 9631 797
@@ -292,6 +341,7 @@
 rect 9434 449 9696 459
 rect 9434 315 9696 325
 rect 10372 385 10671 395
+rect 13187 381 13690 391
 rect 10372 270 10671 280
 rect 13764 206 14377 369
 rect 14214 25 14377 206
@@ -311,7 +361,6 @@
 rect 10412 2078 10636 2134
 rect 5955 1756 6089 1812
 rect 9499 1775 9633 1831
-rect 13173 1700 13734 2204
 rect 5245 1555 5469 1611
 rect 10410 1553 10634 1609
 rect 19587 1172 19999 1256
@@ -323,15 +372,12 @@
 rect 5180 518 5404 574
 rect 5919 518 6053 574
 rect 9497 359 9631 415
+rect 13187 391 13690 980
 rect 10412 306 10636 362
 rect -617 -1095 -505 -882
 rect 13797 -1223 13962 -1056
 rect 13770 -2695 13951 -2498
 << metal3 >>
-rect 13136 4810 13764 4845
-rect 13136 4118 13170 4810
-rect 13714 4118 13764 4810
-rect 13136 2204 13764 4118
 rect 10402 2134 10646 2139
 rect 9470 2099 9672 2114
 rect 5170 2090 5414 2095
@@ -363,9 +409,6 @@
 rect 5992 1742 6052 1751
 rect 5235 1611 5479 1616
 rect 10494 1614 10554 2073
-rect 13136 1700 13173 2204
-rect 13734 1700 13764 2204
-rect 13136 1657 13764 1700
 rect 5235 1555 5245 1611
 rect 5469 1555 5479 1611
 rect 5235 1550 5479 1555
@@ -413,16 +456,21 @@
 rect 9487 359 9497 415
 rect 9631 359 9641 415
 rect 10494 367 10554 994
+rect 13177 980 13700 985
+rect 13177 391 13187 980
+rect 13690 391 13700 980
+rect 13177 386 13700 391
 rect 9487 354 9641 359
 rect 10402 362 10646 367
 rect 10402 306 10412 362
 rect 10636 306 10646 362
 rect 10402 301 10646 306
-rect 16756 -71 16812 -15
 rect -627 -1095 -617 -882
 rect -505 -1095 -495 -882
-rect 13787 -1053 13972 -1051
 rect -627 -1100 -495 -1095
+rect 13224 -3781 13580 386
+rect 16756 -71 16812 -15
+rect 13787 -1053 13972 -1051
 rect 13785 -1259 13795 -1053
 rect 13965 -1259 13975 -1053
 rect 18944 -1835 19058 -1290
@@ -437,8 +485,9 @@
 rect 13760 -2700 13961 -2695
 rect 18944 -2750 19058 -1939
 rect 19758 -1964 19952 -1930
+rect 13067 -4358 13077 -3781
+rect 13764 -4358 13774 -3781
 << via3 >>
-rect 13170 4118 13714 4810
 rect 13795 -1056 13965 -1053
 rect 13795 -1223 13797 -1056
 rect 13797 -1223 13962 -1056
@@ -447,12 +496,8 @@
 rect 18947 -1939 19055 -1835
 rect 19773 -1930 19940 -1841
 rect 13770 -2695 13951 -2498
+rect 13077 -4358 13764 -3781
 << metal4 >>
-rect 13169 4810 13715 4811
-rect 13169 4118 13170 4810
-rect 13714 4118 13715 4810
-rect 13169 4117 13715 4118
-rect 19686 4067 45340 4867
 rect 638 -1053 13971 -1048
 rect 638 -1259 13795 -1053
 rect 13965 -1259 13971 -1053
@@ -468,38 +513,47 @@
 rect 638 -2695 13770 -2498
 rect 13951 -2695 13971 -2498
 rect 638 -2704 13971 -2695
+rect 13076 -3781 13765 -3780
+rect 13076 -4358 13077 -3781
+rect 13764 -4358 13765 -3781
+rect 13076 -4359 13765 -4358
+rect 19686 -4493 43939 -3693
 use loop_filter  loop_filter_0
-timestamp 1624022177
-transform 1 0 15820 0 -1 9847
+timestamp 1624049879
+transform 1 0 15820 0 1 -9473
 box -16462 -24206 34360 5780
-use div_by_2  div_by_2_0
-timestamp 1623948030
-transform -1 0 18034 0 -1 -350
-box -1244 0 4228 3068
-use div_by_5  div_by_5_0
-timestamp 1623948030
-transform -1 0 13250 0 1 -3418
-box -556 0 13892 3068
-use ring_osc_buffer  ring_osc_buffer_0
-timestamp 1623945368
-transform 1 0 18509 0 1 653
-box 0 0 1963 1270
-use ring_osc  ring_osc_0
-timestamp 1623706675
-transform 1 0 14447 0 1 -174
-box -422 0 3882 2956
 use charge_pump  charge_pump_0
-timestamp 1623940058
+timestamp 1624049879
 transform 1 0 6183 0 1 -142
 box 0 -96 7722 2988
-use PFD  PFD_0
-timestamp 1623767380
-transform 1 0 0 0 1 1304
-box 0 -1304 3790 1304
+use buffer_salida  buffer_salida_0
+timestamp 1624049879
+transform 1 0 20599 0 1 1292
+box -63 -1119 28718 1568
 use pfd_cp_interface  pfd_cp_interface_0
-timestamp 1623954650
+timestamp 1624049879
 transform 1 0 3909 0 1 -230
 box 0 0 2154 3068
+use PFD  PFD_0
+timestamp 1624049879
+transform 1 0 0 0 1 1304
+box 0 -1304 3790 1304
+use ring_osc  ring_osc_0
+timestamp 1624049879
+transform 1 0 14447 0 1 -174
+box -422 0 3882 2956
+use ring_osc_buffer  ring_osc_buffer_0
+timestamp 1624049879
+transform 1 0 18509 0 1 653
+box 0 0 1963 1270
+use div_by_5  div_by_5_0
+timestamp 1624049879
+transform -1 0 13250 0 1 -3418
+box -556 0 13892 3068
+use div_by_2  div_by_2_0
+timestamp 1624049879
+transform -1 0 18034 0 -1 -350
+box -1244 0 4228 3068
 << labels >>
 rlabel metal2 2159 858 2211 1750 1 pfd_reset
 rlabel metal1 0 1956 210 2022 1 in_ref
@@ -517,7 +571,6 @@
 rlabel metal2 18091 1189 18520 1241 1 vco_out
 rlabel metal1 18878 1176 19279 1256 1 out_first_buffer
 rlabel via1 19587 1172 19999 1256 1 out_to_div
-rlabel metal1 20305 1172 20472 1250 1 out_to_pad
 rlabel metal1 14816 -2673 15040 -2617 1 out_div_2
 rlabel metal1 14819 -1154 15043 -1098 1 n_out_div_2
 rlabel metal1 14281 -1166 14682 -1086 1 n_out_buffer_div_2
@@ -533,5 +586,7 @@
 rlabel metal1 6405 304 8963 408 1 iref_cp
 rlabel metal1 0 2578 3504 2816 1 vdd
 rlabel metal1 18326 -208 19370 723 1 vss
-rlabel metal4 19686 4067 45340 4867 1 lf_vc
+rlabel metal4 19686 -4493 43939 -3693 1 lf_vc
+rlabel metal1 20560 1182 20839 1241 1 out_to_buffer
+rlabel metal1 48286 1072 49317 1356 1 out_to_pad
 << end >>
diff --git a/mag/trans_gate.mag b/mag/trans_gate.mag
index 0a9f391..96c6ca1 100644
--- a/mag/trans_gate.mag
+++ b/mag/trans_gate.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623610677
+timestamp 1624049879
 << nwell >>
 rect -53 635 569 723
 << pwell >>
@@ -117,11 +117,11 @@
 rect 291 -766 359 -757
 rect 299 -767 351 -766
 use sky130_fd_pr__pfet_01v8_4798MH  sky130_fd_pr__pfet_01v8_4798MH_0
-timestamp 1623610677
+timestamp 1624049879
 transform 1 0 258 0 1 291
 box -311 -344 311 344
 use sky130_fd_pr__nfet_01v8_BHR94T  sky130_fd_pr__nfet_01v8_BHR94T_0
-timestamp 1623610677
+timestamp 1624049879
 transform 1 0 258 0 1 -388
 box -311 -335 311 335
 << labels >>
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index ad60cec..95b64b1 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,15 +1,292 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1623979296
+timestamp 1624050094
+<< nwell >>
+rect 14730 660108 64962 661110
+rect 14730 660034 64841 660108
+rect 82888 660083 133067 660649
+rect 83408 660052 119178 660083
+rect 14730 659150 14782 660034
+rect 28401 659941 28758 659982
+rect 83408 659846 112858 660052
+rect 124441 660015 133067 660083
+<< nsubdiff >>
+rect 14777 660157 14801 660354
+rect 21672 660157 21696 660354
+rect 23064 660169 23088 660375
+rect 28678 660169 28702 660375
+rect 28954 660172 28978 660367
+rect 34940 660172 34964 660367
+rect 35514 660153 35538 660369
+rect 63779 660153 63803 660369
+rect 84063 660216 84087 660457
+rect 112239 660216 112263 660457
+rect 112659 660076 112683 660241
+rect 119084 660076 119108 660241
+rect 119365 660188 119389 660416
+rect 124370 660188 124394 660416
+rect 124754 660180 124778 660424
+rect 132540 660180 132564 660424
+<< nsubdiffcont >>
+rect 14801 660157 21672 660354
+rect 23088 660169 28678 660375
+rect 28978 660172 34940 660367
+rect 35538 660153 63779 660369
+rect 84087 660216 112239 660457
+rect 112683 660076 119084 660241
+rect 119389 660188 124370 660416
+rect 124778 660180 132540 660424
+<< locali >>
+rect 14785 660157 14801 660354
+rect 21672 660157 21688 660354
+rect 23072 660169 23088 660375
+rect 28678 660169 28694 660375
+rect 28962 660172 28978 660367
+rect 34940 660172 34956 660367
+rect 35522 660153 35538 660369
+rect 63779 660153 63795 660369
+rect 84071 660216 84087 660457
+rect 112239 660216 112255 660457
+rect 112667 660076 112683 660241
+rect 119084 660076 119100 660241
+rect 119373 660188 119389 660416
+rect 124370 660188 124386 660416
+<< viali >>
+rect 14801 660157 21672 660354
+rect 23088 660169 28678 660375
+rect 28978 660172 34940 660367
+rect 35538 660153 63779 660369
+rect 84087 660216 112239 660457
+rect 112683 660076 119084 660241
+rect 119389 660188 124370 660416
+rect 124762 660180 132556 660424
 << metal1 >>
-rect 14755 659192 14765 659279
-rect 14990 659192 15000 659279
+rect 207113 687795 207123 688222
+rect 210595 687795 210605 688222
+rect 211166 687819 211176 688246
+rect 214648 687819 214658 688246
+rect 223050 688060 223060 688186
+rect 223016 687894 223060 688060
+rect 223050 687834 223060 687894
+rect 226864 688060 226874 688186
+rect 226864 687894 226915 688060
+rect 226864 687834 226874 687894
+rect 202763 685044 202773 685354
+rect 247145 685044 247155 685354
+rect 83775 660472 112339 660489
+rect 23004 660431 64063 660453
+rect 23004 660397 23042 660431
+rect 21700 660392 23042 660397
+rect 14751 660388 23042 660392
+rect 14751 660354 14834 660388
+rect 14751 660157 14801 660354
+rect 14751 660121 14834 660157
+rect 14751 660101 23042 660121
+rect 64025 660101 64063 660431
+rect 14751 660070 64063 660101
+rect 14751 660012 21718 660070
+rect 23004 660030 64063 660070
+rect 23037 660018 28912 660030
+rect 14751 659692 14783 660012
+rect 83746 659999 83756 660472
+rect 112324 660409 112339 660472
+rect 119184 660445 133061 660493
+rect 119184 660416 120252 660445
+rect 112324 660408 112754 660409
+rect 119106 660408 119389 660416
+rect 112324 660332 119389 660408
+rect 112324 660010 112657 660332
+rect 119074 660241 119389 660332
+rect 119084 660188 119389 660241
+rect 119084 660106 120252 660188
+rect 133038 660416 133061 660445
+rect 133038 660106 133067 660416
+rect 119084 660076 133067 660106
+rect 112324 659999 112334 660010
+rect 112601 659953 112657 660010
+rect 119074 660062 133067 660076
+rect 119074 659953 119177 660062
+rect 125643 660015 133067 660062
+rect 112601 659944 119177 659953
+rect 12990 659376 14703 659415
+rect 12990 659103 13065 659376
+rect 14624 659270 14703 659376
+rect 133071 659270 133081 659303
+rect 14624 659204 14991 659270
+rect 132917 659204 133081 659270
+rect 14624 659103 14703 659204
+rect 133071 659175 133081 659204
+rect 133354 659175 133364 659303
+rect 12990 659070 14703 659103
+rect 66160 658984 66170 659137
+rect 64423 658604 66170 658984
+rect 63068 658320 66170 658604
+rect 64423 657999 66170 658320
+rect 66160 657870 66170 657999
+rect 68582 658984 68592 659137
+rect 68582 657999 68603 658984
+rect 79846 658972 83253 658973
+rect 68582 657870 68592 657999
+rect 79838 657985 79848 658972
+rect 82308 658604 83253 658972
+rect 82308 658320 84035 658604
+rect 82308 657988 83253 658320
+rect 82308 657985 82318 657988
+rect 21150 657532 21160 657665
+rect 23640 657532 23650 657665
+rect 64013 657266 64962 657593
+rect 34969 657163 34979 657242
+rect 35060 657163 35070 657242
+rect 34989 656786 35045 657163
+rect 34964 656680 34974 656786
+rect 35066 656680 35076 656786
+rect 64135 656771 64962 657266
+rect 64163 656761 64962 656771
+rect 64457 656747 64962 656761
+rect 82894 657275 83827 657583
+rect 124326 657529 124336 657669
+rect 126700 657529 126710 657669
+rect 82894 656573 83702 657275
+rect 112660 657160 112670 657243
+rect 112802 657160 112812 657243
+rect 112685 656533 112741 657160
+rect 112626 656348 112636 656533
+rect 112797 656348 112807 656533
+rect 12125 655528 13406 655533
+rect 12125 655200 14468 655528
+rect 133382 655200 135242 655528
+rect 12125 652870 13406 655200
+rect 134093 653281 135239 655200
+rect 12125 651670 15784 652870
+rect 132088 652146 135239 653281
+rect 132558 652135 135239 652146
+rect 12125 651669 15583 651670
+rect 12125 651650 13406 651669
 << via1 >>
-rect 14765 659192 14990 659279
+rect 207123 687795 210595 688222
+rect 211176 687819 214648 688246
+rect 223060 687834 226864 688186
+rect 202773 685044 247145 685354
+rect 23042 660388 64025 660431
+rect 14834 660375 64025 660388
+rect 14834 660354 23088 660375
+rect 14834 660157 21672 660354
+rect 21672 660169 23088 660354
+rect 23088 660169 28678 660375
+rect 28678 660369 64025 660375
+rect 28678 660367 35538 660369
+rect 28678 660172 28978 660367
+rect 28978 660172 34940 660367
+rect 34940 660172 35538 660367
+rect 28678 660169 35538 660172
+rect 21672 660157 35538 660169
+rect 14834 660153 35538 660157
+rect 35538 660153 63779 660369
+rect 63779 660153 64025 660369
+rect 14834 660121 64025 660153
+rect 23042 660101 64025 660121
+rect 83756 660457 112324 660472
+rect 83756 660216 84087 660457
+rect 84087 660216 112239 660457
+rect 112239 660216 112324 660457
+rect 120252 660424 133038 660445
+rect 120252 660416 124762 660424
+rect 83756 659999 112324 660216
+rect 112657 660241 119074 660332
+rect 112657 660076 112683 660241
+rect 112683 660076 119074 660241
+rect 120252 660188 124370 660416
+rect 124370 660188 124762 660416
+rect 120252 660180 124762 660188
+rect 124762 660180 132556 660424
+rect 132556 660180 133038 660424
+rect 120252 660106 133038 660180
+rect 112657 659953 119074 660076
+rect 13065 659103 14624 659376
+rect 133081 659175 133354 659303
+rect 66170 657870 68582 659137
+rect 79848 657985 82308 658972
+rect 21160 657532 23640 657665
+rect 34979 657163 35060 657242
+rect 34974 656680 35066 656786
+rect 124336 657529 126700 657669
+rect 112670 657160 112802 657243
+rect 112636 656348 112797 656533
 << metal2 >>
-rect 14765 659279 14990 659289
-rect 14765 659182 14990 659192
+rect 211169 688703 214642 688713
+rect 207123 688623 210596 688633
+rect 214642 688246 214648 688256
+rect 211169 687865 211176 687875
+rect 223060 688186 226864 688196
+rect 223060 687824 226864 687834
+rect 211176 687809 214648 687819
+rect 207123 687785 210596 687795
+rect 202773 685354 247145 685364
+rect 202773 685034 202780 685044
+rect 247142 685034 247145 685044
+rect 202780 684701 247142 684711
+rect 83765 660846 124085 660856
+rect 23042 660657 64025 660667
+rect 14834 660388 23042 660398
+rect 14834 660111 23042 660121
+rect 23042 660091 64025 660101
+rect 83756 660472 83765 660482
+rect 124085 660445 133038 660455
+rect 112324 659999 112657 660179
+rect 83756 659989 112324 659999
+rect 119074 660106 120252 660179
+rect 119074 660096 133038 660106
+rect 119074 659999 120418 660096
+rect 112657 659943 119074 659953
+rect 2509 659760 14155 659826
+rect 2509 658727 2671 659760
+rect 5073 659386 14155 659760
+rect 133210 659558 140004 659630
+rect 5073 659376 14624 659386
+rect 5073 659103 13065 659376
+rect 133210 659313 137580 659558
+rect 133081 659303 137580 659313
+rect 133354 659175 137580 659303
+rect 133081 659165 137580 659175
+rect 5073 659093 14624 659103
+rect 66170 659137 68582 659147
+rect 5073 658727 14155 659093
+rect 2509 658656 14155 658727
+rect 79848 658972 82308 658982
+rect 133210 658887 137580 659165
+rect 139946 658887 140004 659558
+rect 133210 658850 140004 658887
+rect 79848 657975 82308 657985
+rect 66170 657860 68582 657870
+rect 21160 657690 23631 657700
+rect 23631 657665 23640 657675
+rect 21160 657522 23640 657532
+rect 124336 657669 126700 657679
+rect 124336 657519 126700 657529
+rect 34979 657242 35060 657252
+rect 32682 657177 34979 657233
+rect 34979 657153 35060 657163
+rect 112670 657243 112802 657253
+rect 112802 657177 115172 657233
+rect 112670 657150 112802 657160
+rect 73065 657028 74069 657038
+rect 34974 656786 35066 656796
+rect 35452 656766 73065 656798
+rect 35066 656710 73065 656766
+rect 34974 656670 35066 656680
+rect 35452 656678 73065 656710
+rect 112636 656533 112797 656543
+rect 74069 656363 112636 656488
+rect 112636 656338 112797 656348
+rect 73065 656212 74069 656222
+rect 73027 508207 74077 508217
+rect 1473 508182 73027 508205
+rect 1473 507880 1508 508182
+rect 2321 507880 73027 508182
+rect 1473 507878 73027 507880
+rect 74077 507878 74108 508205
+rect 1473 507856 74108 507878
 rect 524 -800 636 480
 rect 1706 -800 1818 480
 rect 2888 -800 3000 480
@@ -505,7 +782,35 @@
 rect 582068 -800 582180 480
 rect 583250 -800 583362 480
 << via2 >>
-rect 14765 659192 14990 659279
+rect 207123 688222 210596 688623
+rect 207123 687795 210595 688222
+rect 210595 687795 210596 688222
+rect 211169 688246 214642 688703
+rect 211169 687875 211176 688246
+rect 211176 687875 214642 688246
+rect 223060 687834 226864 688186
+rect 202780 685044 247142 685291
+rect 202780 684711 247142 685044
+rect 23042 660431 64025 660657
+rect 23042 660101 64025 660431
+rect 83765 660472 124085 660846
+rect 83765 660179 112324 660472
+rect 112324 660445 124085 660472
+rect 112324 660332 120252 660445
+rect 112324 660179 112657 660332
+rect 112657 660179 119074 660332
+rect 119074 660179 120252 660332
+rect 120252 660179 124085 660445
+rect 2671 658727 5073 659760
+rect 66170 657870 68582 659137
+rect 79848 657985 82308 658972
+rect 137580 658887 139946 659558
+rect 21160 657665 23631 657690
+rect 21160 657532 23631 657665
+rect 124336 657529 126700 657669
+rect 73065 656222 74069 657028
+rect 1508 507880 2321 508182
+rect 73027 507878 74077 508207
 << metal3 >>
 rect 16194 702300 21194 704800
 rect 68194 702300 73194 704800
@@ -515,8 +820,8 @@
 rect 173394 702300 175594 704800
 rect 175894 702300 180894 704800
 rect 217294 702300 222294 704800
-rect 222594 702300 224794 704800
-rect 225094 702300 227294 704800
+rect 222594 702300 223658 704800
+rect 226242 702300 227294 704800
 rect 227594 702300 232594 704800
 rect 318994 702300 323994 704800
 rect 324294 702300 326494 704800
@@ -524,41 +829,165 @@
 rect 329294 702300 334294 704800
 rect 413394 702300 418394 704800
 rect 465394 702300 470394 704800
-rect 510594 702340 515394 704800
-rect 520594 702340 525394 704800
-rect 566594 702300 571594 704800
+rect 510594 704716 515394 704800
+rect 520594 704716 525394 704800
+rect 17496 693341 19996 702300
+rect 69842 699968 72342 702300
+rect 69842 697468 82326 699968
+rect 17498 693242 19996 693341
+rect 17498 690824 17558 693242
+rect 19943 690824 19996 693242
+rect 17498 690746 19996 690824
+rect 66130 693229 68630 693269
+rect 66130 690828 66188 693229
+rect 68553 690828 68630 693229
 rect -800 683796 1700 685242
-rect -800 681296 3213 683796
-rect 5713 681296 5723 683796
+rect -800 681296 5105 683796
+rect 21882 681701 21892 683906
+rect 22854 681701 22864 683906
 rect -800 680242 1700 681296
-rect 582300 677984 584800 682984
-rect 3247 659464 14002 659484
-rect 3247 658981 3277 659464
-rect 5631 659284 14002 659464
-rect 5631 659279 15000 659284
-rect 5631 659192 14765 659279
-rect 14990 659192 15000 659279
-rect 5631 659187 15000 659192
-rect 5631 658981 14002 659187
-rect 3247 658951 14002 658981
+rect 2605 659760 5105 681296
+rect 2605 658727 2671 659760
+rect 5073 658727 5105 659760
 rect -800 643842 1660 648642
-rect 582340 639784 584800 644584
 rect -800 633842 1660 638642
-rect 582340 629784 584800 634584
-rect 583520 589472 584800 589584
-rect 583520 588290 584800 588402
-rect 583520 587108 584800 587220
-rect 583520 585926 584800 586038
-rect 583520 584744 584800 584856
-rect 583520 583562 584800 583674
+rect 2605 611726 5105 658727
+rect 21889 657695 22824 681701
+rect 23032 660101 23042 661269
+rect 64017 660662 64027 661269
+rect 64017 660657 64035 660662
+rect 64025 660101 64035 660657
+rect 23032 660096 64035 660101
+rect 66130 659137 68630 690828
+rect 66130 657870 66170 659137
+rect 68582 657870 68630 659137
+rect 79826 658972 82326 697468
+rect 218640 697309 221140 702300
+rect 93805 695867 95820 695904
+rect 93805 693909 93859 695867
+rect 95764 693909 95820 695867
+rect 211648 693981 211658 695778
+rect 214091 695500 214101 695778
+rect 214091 693981 214151 695500
+rect 218640 694902 218717 697309
+rect 221066 694902 221140 697309
+rect 218640 694879 221140 694902
+rect 223860 697338 226360 697368
+rect 223860 694931 223921 697338
+rect 226270 694931 226360 697338
+rect 93805 683893 95820 693909
+rect 207536 692355 210036 692388
+rect 124995 692109 125005 692310
+rect 93805 681853 93843 683893
+rect 93833 681797 93843 681853
+rect 95721 681853 95820 683893
+rect 124989 690355 125005 692109
+rect 125955 690355 125965 692310
+rect 95721 681797 95731 681853
+rect 83755 660179 83765 661487
+rect 124071 660851 124081 661487
+rect 124071 660846 124095 660851
+rect 124085 660179 124095 660846
+rect 83755 660174 124095 660179
+rect 79826 657985 79848 658972
+rect 82308 657985 82326 658972
+rect 79826 657959 82326 657985
+rect 21150 657690 23641 657695
+rect 21150 657532 21160 657690
+rect 23631 657532 23641 657690
+rect 66130 657635 68630 657870
+rect 124989 657674 125924 690355
+rect 207536 690328 207591 692355
+rect 209950 690328 210036 692355
+rect 207536 688628 210036 690328
+rect 211651 688708 214151 693981
+rect 211159 688703 214652 688708
+rect 207113 688623 210606 688628
+rect 207113 687795 207123 688623
+rect 210596 687795 210606 688623
+rect 211159 687875 211169 688703
+rect 214642 687875 214652 688703
+rect 223860 688191 226360 694931
+rect 228892 697352 231392 702300
+rect 510296 701790 510306 704716
+rect 510456 697694 510466 701790
+rect 525573 697694 525583 704716
+rect 566594 702300 571594 704800
+rect 228892 694945 228965 697352
+rect 231314 694945 231392 697352
+rect 228892 694833 231392 694945
+rect 211159 687870 214652 687875
+rect 223050 688186 226874 688191
+rect 223050 687834 223060 688186
+rect 226864 687834 226874 688186
+rect 223050 687829 226874 687834
+rect 207113 687790 210606 687795
+rect 202770 685291 247152 685296
+rect 202770 684711 202780 685291
+rect 247142 684711 247152 685291
+rect 202770 684706 202805 684711
+rect 202795 684082 202805 684706
+rect 247109 684706 247152 684711
+rect 247109 684082 247119 684706
+rect 582300 677984 584800 682984
+rect 137480 659558 139980 659593
+rect 137480 658887 137580 659558
+rect 139946 658887 139980 659558
+rect 124326 657669 126710 657674
+rect 21150 657527 23641 657532
+rect 124326 657529 124336 657669
+rect 126700 657529 126710 657669
+rect 124326 657524 126710 657529
+rect 72999 657028 74122 657160
+rect 72999 656222 73065 657028
+rect 74069 656222 74122 657028
+rect 14417 621627 14427 624619
+rect 64644 621627 64654 624619
+rect 2605 607134 2646 611726
+rect 2636 607093 2646 607134
+rect 5007 607134 5105 611726
+rect 5007 607093 5017 607134
 rect -800 559442 1660 564242
 rect -800 549442 1660 554242
-rect 582340 550562 584800 555362
-rect 582340 540562 584800 545362
 rect -800 511530 480 511642
 rect -800 510348 480 510460
 rect -800 509166 480 509278
-rect -800 507984 480 508096
+rect 72999 508207 74122 656222
+rect 83142 622007 83152 624630
+rect 133410 622007 133420 624630
+rect 137480 611839 139980 658887
+rect 582340 639784 584800 644584
+rect 582340 629784 584800 634584
+rect 137480 607168 137755 611839
+rect 139770 607168 139980 611839
+rect 137480 607076 139980 607168
+rect 583554 589472 584800 589584
+rect 583554 588290 584800 588402
+rect 583554 587108 584800 587220
+rect 583554 585926 584800 586038
+rect 583554 584744 584800 584856
+rect 583554 583562 584800 583674
+rect 582340 555256 584800 555362
+rect 582340 554118 582403 555256
+rect 584710 554118 584800 555256
+rect 582330 551658 582340 554118
+rect 584800 551658 584810 554118
+rect 582340 550629 582403 551658
+rect 584710 550629 584800 551658
+rect 582340 550562 584800 550629
+rect 582340 545159 584800 545362
+rect 582340 540677 582466 545159
+rect 584684 540677 584800 545159
+rect 582340 540562 584800 540677
+rect 1498 508182 2331 508187
+rect 1498 508096 1508 508182
+rect -800 507984 1508 508096
+rect 1498 507880 1508 507984
+rect 2321 507880 2331 508182
+rect 1498 507875 2331 507880
+rect 72999 507878 73027 508207
+rect 74077 507878 74122 508207
+rect 72999 507825 74122 507878
 rect -800 506802 480 506914
 rect -800 505620 480 505732
 rect 583520 500050 584800 500162
@@ -570,7 +999,7 @@
 rect -800 468308 480 468420
 rect -800 467126 480 467238
 rect -800 465944 480 466056
-rect -800 464762 480 464874
+rect -800 464762 1188 464874
 rect -800 463580 480 463692
 rect -800 462398 480 462510
 rect 583520 455628 584800 455740
@@ -633,16 +1062,24 @@
 rect -800 248852 480 248964
 rect -800 247670 480 247782
 rect -800 246488 480 246600
-rect 582340 235230 584800 240030
-rect 582340 225230 584800 230030
+rect 578927 224950 578937 240589
+rect 584001 240030 584011 240589
+rect 584001 235230 584800 240030
+rect 584001 230030 584011 235230
+rect 584001 225230 584800 230030
+rect 584001 224950 584011 225230
 rect -800 214888 1660 219688
 rect -800 204888 1660 209688
 rect 582340 191430 584800 196230
 rect 582340 181430 584800 186230
 rect -800 172888 1660 177688
 rect -800 162888 1660 167688
-rect 582340 146830 584800 151630
-rect 582340 136830 584800 141630
+rect 582340 151577 584800 151630
+rect 578897 136610 578907 151577
+rect 583774 146830 584800 151577
+rect 583774 141630 583784 146830
+rect 583774 136830 584800 141630
+rect 583774 136610 583784 136830
 rect -800 124776 480 124888
 rect -800 123594 480 123706
 rect -800 122412 480 122524
@@ -704,32 +1141,399 @@
 rect -800 1544 480 1656
 rect 583520 1544 584800 1656
 << via3 >>
-rect 3213 681296 5713 683796
-rect 3277 658981 5631 659464
+rect 17558 690824 19943 693242
+rect 66188 690828 68553 693229
+rect 21892 681701 22854 683906
+rect 23042 660657 64017 661269
+rect 23042 660101 64017 660657
+rect 93859 693909 95764 695867
+rect 211658 693981 214091 695778
+rect 218717 694902 221066 697309
+rect 223921 694931 226270 697338
+rect 93843 681797 95721 683893
+rect 125005 690355 125955 692310
+rect 83765 660846 124071 661487
+rect 83765 660179 124071 660846
+rect 207591 690328 209950 692355
+rect 510306 701790 525573 704716
+rect 510466 697694 525573 701790
+rect 228965 694945 231314 697352
+rect 202780 684711 247142 685291
+rect 202805 684082 247109 684711
+rect 14427 621627 64644 624619
+rect 2646 607093 5007 611726
+rect 83152 622007 133410 624630
+rect 137755 607168 139770 611839
+rect 582403 554118 584710 555256
+rect 582340 551658 584800 554118
+rect 582403 550629 584710 551658
+rect 582466 540677 584684 545159
+rect 578937 224950 584001 240589
+rect 578907 136610 583774 151577
 << metal4 >>
-rect 3212 683796 5714 683797
-rect 3212 681331 3213 683796
-rect 3210 681296 3213 681331
-rect 5713 681296 5714 683796
-rect 3210 681295 5714 681296
-rect 3210 678831 5710 681295
-rect 3245 659464 5710 678831
-rect 3245 658981 3277 659464
-rect 5631 658981 5710 659464
-rect 3245 658931 5710 658981
+rect 510305 704716 525574 704717
+rect 510305 701790 510306 704716
+rect 510305 701789 510466 701790
+rect 510465 697694 510466 701789
+rect 525573 697694 525574 704716
+rect 510465 697693 525574 697694
+rect 218687 697352 231368 697368
+rect 218687 697338 228965 697352
+rect 218687 697309 223921 697338
+rect 93805 695867 214125 695904
+rect 93805 693909 93859 695867
+rect 95764 695778 214125 695867
+rect 95764 693981 211658 695778
+rect 214091 693981 214125 695778
+rect 218687 694902 218717 697309
+rect 221066 694931 223921 697309
+rect 226270 694945 228965 697338
+rect 231314 694945 231368 697352
+rect 226270 694931 231368 694945
+rect 221066 694902 231368 694931
+rect 218687 694868 231368 694902
+rect 95764 693909 214125 693981
+rect 93805 693889 214125 693909
+rect 17498 693242 68630 693269
+rect 17498 690824 17558 693242
+rect 19943 693229 68630 693242
+rect 19943 690828 66188 693229
+rect 68553 690828 68630 693229
+rect 207590 692355 209951 692356
+rect 207590 692349 207591 692355
+rect 19943 690824 68630 690828
+rect 17498 690769 68630 690824
+rect 124977 692310 207591 692349
+rect 124977 690355 125005 692310
+rect 125955 690355 207591 692310
+rect 124977 690334 207591 690355
+rect 207590 690328 207591 690334
+rect 209950 690328 209951 692355
+rect 207590 690327 209951 690328
+rect 202779 685291 247143 685292
+rect 202779 685235 202780 685291
+rect 247142 684711 247143 685291
+rect 247109 684710 247143 684711
+rect 21910 683907 95867 683939
+rect 21891 683906 95867 683907
+rect 21891 681701 21892 683906
+rect 22854 683893 95867 683906
+rect 22854 681797 93843 683893
+rect 95721 681797 95867 683893
+rect 247109 684081 247110 684710
+rect 22854 681710 95867 681797
+rect 22854 681701 22855 681710
+rect 21891 681700 22855 681701
+rect 31160 677786 260437 677902
+rect 31160 677668 101968 677786
+rect 46493 673232 101968 677668
+rect 117567 677761 260437 677786
+rect 117567 673232 216750 677761
+rect 46493 673154 216750 673232
+rect 31160 673124 216750 673154
+rect 232071 673124 260437 677761
+rect 31160 673035 260437 673124
+rect 274962 677887 467817 677902
+rect 274962 673047 452327 677887
+rect 467023 673047 467817 677887
+rect 274962 673035 467817 673047
+rect 23041 660101 23042 661270
+rect 64017 660101 64018 661270
+rect 83764 660179 83765 661488
+rect 83764 660178 124072 660179
+rect 23041 660100 64018 660101
+rect 14426 624619 64645 624620
+rect 14426 624437 14427 624619
+rect 64644 621627 64645 624619
+rect 64282 621626 64645 621627
+rect 2575 611840 139145 611907
+rect 2575 611839 139771 611840
+rect 2575 611726 137755 611839
+rect 2575 607093 2646 611726
+rect 5007 607168 137755 611726
+rect 139770 607168 139771 611839
+rect 5007 607167 139771 607168
+rect 5007 607093 139145 607167
+rect 2575 607040 139145 607093
+rect 30038 599282 561785 599316
+rect 30038 583701 30180 599282
+rect 46130 599011 561785 599282
+rect 46130 598859 510429 599011
+rect 46130 583701 100668 598859
+rect 30038 583445 100668 583701
+rect 116512 598747 510429 598859
+rect 116512 583865 338602 598747
+rect 353942 583865 510429 598747
+rect 116512 583750 510429 583865
+rect 525629 598800 561785 599011
+rect 525629 584066 546176 598800
+rect 561217 584066 561785 598800
+rect 525629 583750 561785 584066
+rect 116512 583445 561785 583750
+rect 20619 555772 584769 555900
+rect 32921 555641 584769 555772
+rect 32921 540306 216832 555641
+rect 232047 555640 584769 555641
+rect 232047 540435 259862 555640
+rect 275226 555601 584769 555640
+rect 275226 540435 452164 555601
+rect 232047 540306 452164 540435
+rect 32921 540202 452164 540306
+rect 20619 540149 452164 540202
+rect 467493 555256 584769 555601
+rect 467493 554118 582403 555256
+rect 584710 554119 584769 555256
+rect 584710 554118 584801 554119
+rect 467493 551658 582340 554118
+rect 584800 551658 584801 554118
+rect 467493 550629 582403 551658
+rect 584710 551657 584801 551658
+rect 584710 550629 584769 551657
+rect 467493 549950 584769 550629
+rect 467493 546246 582340 549950
+rect 467493 545159 585071 546246
+rect 467493 540677 582466 545159
+rect 584684 540677 585071 545159
+rect 467493 540149 585071 540677
+rect 20619 540029 585071 540149
+rect 102586 432559 557291 432965
+rect 102586 432558 338894 432559
+rect 116402 417742 338894 432558
+rect 354227 432093 557291 432559
+rect 354227 417742 545705 432093
+rect 116402 417422 545705 417742
+rect 102586 417276 545705 417422
+rect 102586 417094 557291 417276
+rect 21612 378918 466454 379014
+rect 21612 378759 452093 378918
+rect 21612 378616 259965 378759
+rect 33021 363349 259965 378616
+rect 21612 363295 259965 363349
+rect 275183 363464 452093 378759
+rect 275183 363295 466454 363464
+rect 21612 363143 466454 363295
+rect 22911 240605 583937 240685
+rect 32695 240590 583937 240605
+rect 32695 240589 584002 240590
+rect 32695 240427 578937 240589
+rect 32695 225260 259755 240427
+rect 275011 240355 578937 240427
+rect 275011 225376 452031 240355
+rect 467535 225376 578937 240355
+rect 275011 225260 578937 225376
+rect 32695 225102 578937 225260
+rect 22911 225078 578937 225102
+rect 563330 224950 578937 225078
+rect 584001 224950 584002 240589
+rect 563330 224949 584002 224950
+rect 563330 224854 583937 224949
+rect 100326 151653 584154 151892
+rect 100326 151638 338641 151653
+rect 100326 136586 100582 151638
+rect 116556 136586 338641 151638
+rect 100326 136461 338641 136586
+rect 354383 151577 584154 151653
+rect 354383 136610 578907 151577
+rect 583774 136610 584154 151577
+rect 354383 136461 584154 136610
+rect 100326 136443 584154 136461
+<< via4 >>
+rect 510306 701790 525573 704716
+rect 510466 697694 525573 701790
+rect 202733 684711 202780 685235
+rect 202780 684711 247109 685235
+rect 202733 684082 202805 684711
+rect 202805 684082 247109 684711
+rect 202733 683217 247109 684082
+rect 31049 673154 46493 677668
+rect 101968 673232 117567 677786
+rect 216750 673124 232071 677761
+rect 260437 671893 274962 678175
+rect 452327 673047 467023 677887
+rect 23042 661269 64017 661882
+rect 23042 660101 64017 661269
+rect 83765 661487 124085 662834
+rect 83765 660179 124071 661487
+rect 124071 660179 124085 661487
+rect 83049 624683 133410 624733
+rect 82973 624630 133488 624683
+rect 14337 621627 14427 624437
+rect 14427 621627 64282 624437
+rect 14337 618001 64282 621627
+rect 82973 622007 83152 624630
+rect 83152 622007 133410 624630
+rect 133410 622007 133488 624630
+rect 82973 617893 133488 622007
+rect 30180 583701 46130 599282
+rect 100668 583221 116512 598859
+rect 338602 583865 353942 598747
+rect 510429 583750 525629 599011
+rect 546176 584066 561217 598800
+rect 17921 540202 32921 555772
+rect 216832 540306 232047 555641
+rect 259862 540435 275226 555640
+rect 452164 540149 467493 555601
+rect 101051 417422 116402 432558
+rect 338894 417742 354227 432559
+rect 545705 417276 561038 432093
+rect 17721 363349 33021 378616
+rect 259965 363295 275183 378759
+rect 452093 363464 467213 378918
+rect 17911 225102 32695 240605
+rect 259755 225260 275011 240427
+rect 452031 225376 467535 240355
+rect 100582 136586 116556 151638
+rect 338641 136461 354383 151653
+<< metal5 >>
+rect 510282 704716 525597 704740
+rect 510282 703705 510306 704716
+rect 510173 701790 510306 703705
+rect 525573 703705 525597 704716
+rect 510173 697694 510466 701790
+rect 525573 697694 525839 703705
+rect 202709 685235 247133 685259
+rect 202709 683217 202733 685235
+rect 247109 683217 247133 685235
+rect 202709 683193 247133 683217
+rect 30960 677668 46833 678350
+rect 30960 673154 31049 677668
+rect 46493 673154 46833 677668
+rect 101944 677786 117591 677810
+rect 101944 677524 101968 677786
+rect 30960 661906 46833 673154
+rect 101864 673232 101968 677524
+rect 117567 677524 117591 677786
+rect 216581 677761 232247 683193
+rect 117567 673232 117672 677524
+rect 101864 662858 117672 673232
+rect 216581 673124 216750 677761
+rect 232071 673124 232247 677761
+rect 83741 662834 124109 662858
+rect 23018 661882 64041 661906
+rect 23018 660101 23042 661882
+rect 64017 660101 64041 661882
+rect 83741 660179 83765 662834
+rect 124085 660179 124109 662834
+rect 83741 660155 124109 660179
+rect 23018 660077 64041 660101
+rect 83025 624733 133434 624757
+rect 83025 624707 83049 624733
+rect 82949 624683 83049 624707
+rect 133410 624707 133434 624733
+rect 133410 624683 133512 624707
+rect 14313 624437 64306 624461
+rect 14313 618001 14337 624437
+rect 64282 618001 64306 624437
+rect 14313 617977 64306 618001
+rect 30017 599282 46173 617977
+rect 82949 617893 82973 624683
+rect 133488 617893 133512 624683
+rect 82949 617869 133512 617893
+rect 30017 586019 30180 599282
+rect 30156 583701 30180 586019
+rect 46130 586019 46173 599282
+rect 100463 598859 116619 617869
+rect 46130 583701 46154 586019
+rect 30156 583677 46154 583701
+rect 100463 583221 100668 598859
+rect 116512 583221 116619 598859
+rect 17569 555772 33235 556317
+rect 17569 540202 17921 555772
+rect 32921 540202 33235 555772
+rect 17569 378616 33235 540202
+rect 17569 363349 17721 378616
+rect 33021 363349 33235 378616
+rect 17569 240605 33235 363349
+rect 17569 225102 17911 240605
+rect 32695 225102 33235 240605
+rect 17569 224794 33235 225102
+rect 100463 432558 116619 583221
+rect 216581 555641 232247 673124
+rect 216581 540306 216832 555641
+rect 232047 540306 232247 555641
+rect 216581 540080 232247 540306
+rect 259666 678175 275332 678276
+rect 259666 671893 260437 678175
+rect 274962 671893 275332 678175
+rect 259666 555640 275332 671893
+rect 451976 677887 467642 678029
+rect 451976 673047 452327 677887
+rect 467023 673047 467642 677887
+rect 338578 598747 353966 598771
+rect 338578 597776 338602 598747
+rect 259666 540435 259862 555640
+rect 275226 540435 275332 555640
+rect 100463 417422 101051 432558
+rect 116402 417422 116619 432558
+rect 100463 151638 116619 417422
+rect 259666 378759 275332 540435
+rect 259666 363295 259965 378759
+rect 275183 363295 275332 378759
+rect 259666 240427 275332 363295
+rect 259666 225941 259755 240427
+rect 259731 225260 259755 225941
+rect 275011 225941 275332 240427
+rect 338385 583865 338602 597776
+rect 353942 597776 353966 598747
+rect 353942 583865 354541 597776
+rect 338385 432559 354541 583865
+rect 338385 417742 338894 432559
+rect 354227 417742 354541 432559
+rect 275011 225260 275035 225941
+rect 259731 225236 275035 225260
+rect 100463 136586 100582 151638
+rect 116556 136586 116619 151638
+rect 338385 151653 354541 417742
+rect 451976 555601 467642 673047
+rect 510173 599011 525839 697694
+rect 510173 584602 510429 599011
+rect 510405 583750 510429 584602
+rect 525629 584602 525839 599011
+rect 546152 598800 561241 598824
+rect 546152 598734 546176 598800
+rect 525629 583750 525653 584602
+rect 510405 583726 525653 583750
+rect 545384 584066 546176 598734
+rect 561217 598734 561241 598800
+rect 561217 584066 561540 598734
+rect 451976 540149 452164 555601
+rect 467493 540149 467642 555601
+rect 451976 378918 467642 540149
+rect 451976 363464 452093 378918
+rect 467213 363464 467642 378918
+rect 451976 240355 467642 363464
+rect 451976 227015 452031 240355
+rect 452007 225376 452031 227015
+rect 467535 227015 467642 240355
+rect 545384 432093 561540 584066
+rect 545384 417276 545705 432093
+rect 561038 417276 561540 432093
+rect 467535 225376 467559 227015
+rect 452007 225352 467559 225376
+rect 338385 136722 338641 151653
+rect 100463 136311 116619 136586
+rect 338617 136461 338641 136722
+rect 354383 136722 354541 151653
+rect 545384 137728 561540 417276
+rect 354383 136461 354407 136722
+rect 338617 136437 354407 136461
 << comment >>
 rect -100 704000 584100 704100
 rect -100 0 0 704000
 rect 584000 0 584100 704000
 rect -100 -100 584100 0
-use top_pll_v1  top_pll_v1_0
-timestamp 1623956621
-transform 1 0 14782 0 1 657248
-box -642 -3418 50180 34053
 use bias  bias_0
-timestamp 1623869799
-transform 1 0 250350 0 1 681360
+timestamp 1624049879
+transform 1 0 202834 0 -1 687483
 box -54 -412 44317 2238
+use top_pll_v1 *top_pll_v1_0
+timestamp 1624049879
+transform 1 0 14782 0 1 657248
+box -642 -33679 50180 2860
+use top_pll_v1  top_pll_v1_1
+timestamp 1624049879
+transform -1 0 133068 0 1 657248
+box -642 -33679 50180 2860
 << labels >>
 flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
 port 0 nsew signal bidirectional
@@ -815,8 +1619,6 @@
 port 40 nsew signal bidirectional
 flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 41 nsew signal bidirectional
-flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 42 nsew signal bidirectional
 flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
 port 43 nsew signal bidirectional
 flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
@@ -827,8 +1629,6 @@
 port 46 nsew signal bidirectional
 flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
 port 47 nsew signal bidirectional
-flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
-port 48 nsew signal bidirectional
 flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
 port 49 nsew signal bidirectional
 flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
@@ -1863,8 +2663,6 @@
 port 564 nsew signal bidirectional
 flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
 port 565 nsew signal bidirectional
-flabel metal3 s 0 559442 1660 564242 0 FreeSans 1120 0 0 0 vssa2
-port 566 nsew signal bidirectional
 flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
 port 567 nsew signal bidirectional
 flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
@@ -2087,6 +2885,12 @@
 port 676 nsew signal input
 flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
 port 677 nsew signal input
+flabel metal3 s -800 559442 860 564242 0 FreeSans 1120 180 0 0 vssa2
+port 566 nsew signal bidirectional
+flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
 << properties >>
 string FIXED_BBOX 0 0 584000 704000
 << end >>
diff --git a/user_project_wrapper.gds b/user_project_wrapper.gds
deleted file mode 100644
index 92bb416..0000000
--- a/user_project_wrapper.gds
+++ /dev/null
Binary files differ
diff --git a/xschem/bias.sym b/xschem/bias.sym
index f96b40f..2f3e347 100644
--- a/xschem/bias.sym
+++ b/xschem/bias.sym
@@ -24,11 +24,9 @@
 L 4 40 -10 40 110 {}
 L 4 -40 -10 -40 110 {}
 L 7 0 -130 0 -110 {}
-L 7 -20 110 -20 130 {}
-L 7 20 110 20 130 {}
+L 7 0 110 0 130 {}
 B 5 -2.5 -132.5 2.5 -127.5 {name=vdd dir=inout }
-B 5 -22.5 127.5 -17.5 132.5 {name=iref dir=inout }
-B 5 17.5 127.5 22.5 132.5 {name=vss dir=inout }
+B 5 -2.5 127.5 2.5 132.5 {name=iref dir=inout }
 B 5 57.5 -92.5 62.5 -87.5 {name=iref_0 dir=out }
 B 5 57.5 -72.5 62.5 -67.5 {name=iref_1 dir=out }
 B 5 57.5 -52.5 62.5 -47.5 {name=iref_2 dir=out }
@@ -42,8 +40,7 @@
 T {@symname} 34 114 0 0 0.3 0.3 {}
 T {@name} 5 -122 0 0 0.2 0.2 {}
 T {vdd} -14 -135 3 1 0.2 0.2 {}
-T {iref} -26 135 1 1 0.2 0.2 {}
-T {vss} 14 135 1 1 0.2 0.2 {}
+T {iref} -6 135 1 1 0.2 0.2 {}
 T {iref_0} 35 -94 0 1 0.2 0.2 {}
 T {iref_1} 35 -74 0 1 0.2 0.2 {}
 T {iref_2} 35 -54 0 1 0.2 0.2 {}
diff --git a/xschem/simulations/tb_top_pll_v1.spice b/xschem/simulations/tb_top_pll_v1.spice
index 243d76f..6a6459b 100644
--- a/xschem/simulations/tb_top_pll_v1.spice
+++ b/xschem/simulations/tb_top_pll_v1.spice
@@ -6,8 +6,9 @@
 I0 net1 vss {iref} 
 x1 iref_cp vss vdd vco_out vctrl Up QB nUp A out_to_pad Down nDown QA D0 lf_vc vco_buffer_out biasp
 + pswitch pfd_reset nswitch out_by_2 out_to_div out_by_5 n_out_by_2 div_5_nQ0 div_5_Q1_shift div_5_Q1
-+ out_buffer_div_2 n_out_buffer_div_2 div_5_Q0 n_out_div_2 div_5_nQ2 out_div_2 top_pll_v1
++ out_buffer_div_2 n_out_buffer_div_2 div_5_Q0 n_out_div_2 div_5_nQ2 out_div_2 out_to_buffer top_pll_v1
 x2 vdd net1 vss iref_cp net2 net3 net4 net5 net6 net7 net8 net9 net10 bias
+C1 out_to_pad vss 20p m=1
 **** begin user architecture code
 
 
@@ -68,7 +69,7 @@
 	*write tb_PLL_tran.raw
 	plot v(vctrl) v(pfd_reset)+2 v(nDown)+4 v(Down)+6 v(nUp)+8 v(Up)+10 v(QA)+12 v(QB)+12 v(A)+14
 + v(out_by_5)+16
- 	plot v(out_to_pad)+9 v(out_to_div)+6 v(out_by_2)+3 v(out_by_5)
+ 	plot v(out_to_pad)+12 v(out_to_buffer)+9 v(out_to_div)+6 v(out_by_2)+3 v(out_by_5)
 	plot v(out_by_5) v(out_by_2) v(out_to_div)
 	plot v(vctrl)
 	plot v(pswitch) v(nswitch) xlimit 1.4us 1.444us
@@ -79,13 +80,13 @@
 **** end user architecture code
 **.ends
 
-* expanding   symbol:  top_pll_v1.sym # of pins=33
+* expanding   symbol:  top_pll_v1.sym # of pins=34
 * sym_path: /home/dhernando/caravel_analog_fulgor/xschem/top_pll_v1.sym
 * sch_path: /home/dhernando/caravel_analog_fulgor/xschem/top_pll_v1.sch
 .subckt top_pll_v1  iref_cp vss vdd vco_out vco_vctrl Up pfd_QA nUp in_ref out_to_pad Down nDown
 + pfd_QB vco_D0 lf_vc out_first_buffer cp_biasp cp_pswitch pfd_reset cp_nswitch out_by_2 out_to_div
 + out_div_by_5 n_out_by_2 div_5_nQ0 div_5_Q1_shift div_5_Q1 n_out_buffer_div_2 out_buffer_div_2 div_5_Q0
-+ n_out_div_2 div_5_nQ2 out_div_2
++ n_out_div_2 div_5_nQ2 out_div_2 out_to_buffer
 *.iopin vdd
 *.iopin vss
 *.ipin in_ref
@@ -105,7 +106,7 @@
 *.iopin vco_vctrl
 *.iopin vco_out
 *.iopin out_first_buffer
-*.opin out_to_pad
+*.iopin out_to_buffer
 *.iopin out_to_div
 *.iopin out_by_2
 *.iopin n_out_by_2
@@ -119,16 +120,18 @@
 *.iopin div_5_Q0
 *.iopin div_5_nQ2
 *.iopin out_div_by_5
+*.iopin out_to_pad
 x1 vss vdd pfd_QA in_ref out_div_by_5 pfd_QB pfd_reset PFD
 x2 vdd Up nUp vco_vctrl Down nDown vss iref_cp cp_nswitch cp_pswitch cp_biasp charge_pump
 x3 Up vdd pfd_QA nUp Down pfd_QB vss nDown pfd_cp_interface
 x4 vss vco_vctrl lf_vc loop_filter
 x5 vdd vco_out vco_D0 vco_vctrl vss csvco
-x6 vdd vco_out out_to_pad out_to_div vss out_first_buffer ring_osc_buffer
+x6 vdd vco_out out_to_buffer out_to_div vss out_first_buffer ring_osc_buffer
 x7 vdd out_div_by_5 out_by_2 vss n_out_by_2 div_5_nQ2 div_5_Q1 div_5_nQ0 div_5_Q0 div_5_Q1_shift
 + div_by_5
 x8 n_out_by_2 vss out_to_div vdd out_by_2 out_div_2 n_out_div_2 out_buffer_div_2 n_out_buffer_div_2
 + div_by_2
+x9 vdd out_to_pad out_to_buffer vss buffer_salida
 .ends
 
 
@@ -405,6 +408,35 @@
 .ends
 
 
+* expanding   symbol:  buffer_salida.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/buffer_salida.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/buffer_salida.sch
+.subckt buffer_salida  vdd out in vss
+*.iopin vss
+*.ipin in
+*.iopin vdd
+*.opin out
+XM2 net1 in vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=6 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=4 m=4 
+XM1 net1 in vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=3 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=4 m=4 
+XM3 net2 net1 vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=6 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=32 m=32 
+XM4 net2 net1 vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=3 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=32 m=32 
+XM5 out net2 vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=6 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=256 m=256 
+XM6 out net2 vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=3 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=256 m=256 
+.ends
+
+
 * expanding   symbol:  DFF.sym # of pins=5
 * sym_path: /home/dhernando/caravel_analog_fulgor/xschem/DFF.sym
 * sch_path: /home/dhernando/caravel_analog_fulgor/xschem/DFF.sch
diff --git a/xschem/simulations/tb_top_pll_v1_pex_c.spice b/xschem/simulations/tb_top_pll_v1_pex_c.spice
index 9bb5fc3..1353856 100644
--- a/xschem/simulations/tb_top_pll_v1_pex_c.spice
+++ b/xschem/simulations/tb_top_pll_v1_pex_c.spice
@@ -6,8 +6,9 @@
 I0 net1 vss {iref} 
 x1 iref_cp vss vdd vco_out vctrl Up QB nUp A out_to_pad Down nDown QA D0 lf_vc vco_buffer_out biasp
 + pswitch pfd_reset nswitch out_by_2 out_to_div out_by_5 n_out_by_2 div_5_nQ0 div_5_Q1_shift div_5_Q1
-+ out_buffer_div_2 n_out_buffer_div_2 div_5_Q0 n_out_div_2 div_5_nQ2 out_div_2 top_pll_v1_pex_c
++ out_buffer_div_2 n_out_buffer_div_2 div_5_Q0 n_out_div_2 div_5_nQ2 out_div_2 out_to_buffer top_pll_v1_pex_c
 x9 vdd net1 vss iref_cp net2 net3 net4 net5 net6 net7 net8 net9 net10 bias_pex_c
+C1 out_to_pad vss 10p m=1
 **** begin user architecture code
 
 
@@ -26,7 +27,7 @@
 .options RSHUNT = 1e20
 
 * Models
-.lib ~/skywater/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest/models/corners/sky130.lib SS
+.lib ~/skywater/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest/models/corners/sky130.lib SF
 .include ~/caravel_analog_fulgor/xschem/simulations/top_pll_v1_pex_c.spice
 .include ~/caravel_analog_fulgor/xschem/simulations/bias_pex_c.spice
 
@@ -61,7 +62,7 @@
 * Simulation
 .control
 	tran 0.01ns 1.5us
-	meas tran Tosc trig v(out_to_pad) val=0.9 fall=1005 targ v(out_to_pad) val=0.9 fall=1105
+	meas tran Tosc trig v(out_to_div) val=0.9 fall=1005 targ v(out_to_div) val=0.9 fall=1105
 	let  T = Tosc/100.0
 	let  f = 1/T
 	echo .
@@ -70,7 +71,7 @@
 	*write tb_PLL_tran.raw
 	plot v(vctrl) v(pfd_reset)+2 v(nDown)+4 v(Down)+6 v(nUp)+8 v(Up)+10 v(QA)+12 v(QB)+12 v(A)+14
 + v(out_by_5)+16
- 	plot v(out_to_pad)+9 v(out_to_div)+6 v(out_by_2)+3 v(out_by_5)
+ 	plot v(out_to_pad)+12 v(out_to_buffer)+9 (out_to_div)+6 v(out_by_2)+3 v(out_by_5)
 	plot v(out_by_5) v(out_by_2) v(out_to_div)
 	plot v(vctrl)
 	plot v(pswitch) v(nswitch) xlimit 1.4us 1.444us
diff --git a/xschem/simulations/tb_top_pll_v1_pex_no_integration.spice b/xschem/simulations/tb_top_pll_v1_pex_no_integration.spice
index e8dc11d..8f0648b 100644
--- a/xschem/simulations/tb_top_pll_v1_pex_no_integration.spice
+++ b/xschem/simulations/tb_top_pll_v1_pex_no_integration.spice
@@ -7,7 +7,9 @@
 x2 vdd net1 vss iref_cp net2 net3 net4 net5 net6 net7 net8 net9 net10 bias_pex_c
 x1 iref_cp vss vdd vco_out vctrl Up QB nUp A out_to_pad Down nDown QA D0 lf_vc vco_buffer_out biasp
 + pswitch pfd_reset nswitch out_by_2 out_to_div out_by_5 n_out_by_2 div_5_nQ0 div_5_Q1_shift div_5_Q1
-+ out_buffer_div_2 n_out_buffer_div_2 div_5_Q0 n_out_div_2 div_5_nQ2 out_div_2 top_pll_v1_pex_no_integration
++ out_buffer_div_2 n_out_buffer_div_2 div_5_Q0 n_out_div_2 div_5_nQ2 out_div_2 out_to_buffer
++ top_pll_v1_pex_no_integration
+C1 out_to_pad vss 20p m=1
 **** begin user architecture code
 
 
@@ -36,7 +38,7 @@
 .include ~/caravel_analog_fulgor/xschem/simulations/div_by_2_pex_c.spice
 .include ~/caravel_analog_fulgor/xschem/simulations/div_by_5_pex_c.spice
 .include ~/caravel_analog_fulgor/xschem/simulations/bias_pex_c.spice
-
+.include ~/caravel_analog_fulgor/xschem/simulations/buffer_salida_pex_c.spice
 
 * Data to save
 
@@ -89,13 +91,13 @@
 **** end user architecture code
 **.ends
 
-* expanding   symbol:  top_pll_v1_pex_no_integration.sym # of pins=33
+* expanding   symbol:  top_pll_v1_pex_no_integration.sym # of pins=34
 * sym_path: /home/dhernando/caravel_analog_fulgor/xschem/top_pll_v1_pex_no_integration.sym
 * sch_path: /home/dhernando/caravel_analog_fulgor/xschem/top_pll_v1_pex_no_integration.sch
 .subckt top_pll_v1_pex_no_integration  iref_cp vss vdd vco_out vco_vctrl Up pfd_QA nUp in_ref
 + out_to_pad Down nDown pfd_QB vco_D0 lf_vc out_first_buffer cp_biasp cp_pswitch pfd_reset cp_nswitch out_by_2
 + out_to_div out_div_by_5 n_out_by_2 div_5_nQ0 div_5_Q1_shift div_5_Q1 n_out_buffer_div_2 out_buffer_div_2
-+ div_5_Q0 n_out_div_2 div_5_nQ2 out_div_2
++ div_5_Q0 n_out_div_2 div_5_nQ2 out_div_2 out_to_buffer
 *.iopin vdd
 *.iopin vss
 *.ipin in_ref
@@ -129,6 +131,7 @@
 *.iopin div_5_Q0
 *.iopin div_5_nQ2
 *.iopin out_div_by_5
+*.iopin out_to_buffer
 x1 vss vdd pfd_QA in_ref out_div_by_5 pfd_QB pfd_reset PFD_pex_c
 x2 vdd Up nUp vco_vctrl Down nDown vss iref_cp cp_nswitch cp_pswitch cp_biasp charge_pump_pex_c
 x3 vdd vco_out vco_vctrl vss vco_D0 csvco_pex_c
@@ -136,9 +139,10 @@
 + div_by_5_pex_c
 x6 vss vco_vctrl lf_vc loop_filter_pex_c
 x7 Up vdd pfd_QA nUp Down pfd_QB vss nDown pfd_cp_interface_pex_c
-x8 vdd vco_out out_to_pad out_to_div vss out_first_buffer ring_osc_buffer_pex_c
+x8 vdd vco_out out_to_buffer out_to_div vss out_first_buffer ring_osc_buffer_pex_c
 x4 n_out_by_2 vss out_to_div vdd out_by_2 out_div_2 n_out_div_2 out_buffer_div_2 n_out_buffer_div_2
 + div_by_2_pex_c
+x9 vdd out_to_pad out_to_buffer vss buffer_salida_pex_c
 .ends
 
 .GLOBAL GND
diff --git a/xschem/simulations/top_pll_v1.spice b/xschem/simulations/top_pll_v1.spice
index 0daec73..669a492 100644
--- a/xschem/simulations/top_pll_v1.spice
+++ b/xschem/simulations/top_pll_v1.spice
@@ -1,7 +1,7 @@
 **.subckt top_pll_v1 vdd vss in_ref pfd_QA pfd_QB Up nUp Down nDown pfd_reset cp_nswitch cp_pswitch
-*+ cp_biasp iref_cp lf_vc vco_D0 vco_vctrl vco_out out_first_buffer out_to_pad out_to_div out_by_2 n_out_by_2
-*+ out_div_2 n_out_div_2 out_buffer_div_2 n_out_buffer_div_2 div_5_Q1 div_5_Q1_shift div_5_nQ0 div_5_Q0
-*+ div_5_nQ2 out_div_by_5
+*+ cp_biasp iref_cp lf_vc vco_D0 vco_vctrl vco_out out_first_buffer out_to_buffer out_to_div out_by_2
+*+ n_out_by_2 out_div_2 n_out_div_2 out_buffer_div_2 n_out_buffer_div_2 div_5_Q1 div_5_Q1_shift div_5_nQ0
+*+ div_5_Q0 div_5_nQ2 out_div_by_5 out_to_pad
 *.iopin vdd
 *.iopin vss
 *.ipin in_ref
@@ -21,7 +21,7 @@
 *.iopin vco_vctrl
 *.iopin vco_out
 *.iopin out_first_buffer
-*.opin out_to_pad
+*.iopin out_to_buffer
 *.iopin out_to_div
 *.iopin out_by_2
 *.iopin n_out_by_2
@@ -35,21 +35,23 @@
 *.iopin div_5_Q0
 *.iopin div_5_nQ2
 *.iopin out_div_by_5
+*.iopin out_to_pad
 x1 vss vdd pfd_QA in_ref out_div_by_5 pfd_QB pfd_reset PFD
-x2 Up vdd pfd_QA nUp Down pfd_QB vss nDown pfd_cp_interface
-x3 vdd Up nUp vco_vctrl Down nDown vss iref_cp cp_nswitch cp_pswitch cp_biasp charge_pump
+x2 vdd Up nUp vco_vctrl Down nDown vss iref_cp cp_nswitch cp_pswitch cp_biasp charge_pump
+x3 Up vdd pfd_QA nUp Down pfd_QB vss nDown pfd_cp_interface
 x4 vss vco_vctrl lf_vc loop_filter
 x5 vdd vco_out vco_D0 vco_vctrl vss csvco
-x6 vdd vco_out out_to_pad out_to_div vss out_first_buffer ring_osc_buffer
-x7 n_out_by_2 vss out_to_div vdd out_by_2 out_div_2 n_out_div_2 out_buffer_div_2 n_out_buffer_div_2
-+ div_by_2
-x8 vdd out_div_by_5 out_by_2 vss n_out_by_2 div_5_nQ2 div_5_Q1 div_5_nQ0 div_5_Q0 div_5_Q1_shift
+x6 vdd vco_out out_to_buffer out_to_div vss out_first_buffer ring_osc_buffer
+x7 vdd out_div_by_5 out_by_2 vss n_out_by_2 div_5_nQ2 div_5_Q1 div_5_nQ0 div_5_Q0 div_5_Q1_shift
 + div_by_5
+x8 n_out_by_2 vss out_to_div vdd out_by_2 out_div_2 n_out_div_2 out_buffer_div_2 n_out_buffer_div_2
++ div_by_2
+x9 vdd out_to_pad out_to_buffer vss buffer_salida
 **.ends
 
-* expanding   symbol:  PFD/sch/PFD.sym # of pins=7
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/PFD/sch/PFD.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/PFD/sch/PFD.sch
+* expanding   symbol:  PFD.sym # of pins=7
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/PFD.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/PFD.sch
 .subckt PFD  vss vdd Up A B Down Reset
 *.iopin vdd
 *.iopin vss
@@ -60,34 +62,13 @@
 *.iopin Reset
 x1 vdd A Up Reset vss DFF
 x2 vdd B Down Reset vss DFF
-x3 vdd Reset Up Down vss and
+x3 vdd Reset Up Down vss and_pfd
 .ends
 
 
-* expanding   symbol:  pfd_cp_interface/sch/pfd_cp_interface.sym # of pins=8
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/pfd_cp_interface/sch/pfd_cp_interface.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/pfd_cp_interface/sch/pfd_cp_interface.sch
-.subckt pfd_cp_interface  Up vdd QA nUp Down QB vss nDown
-*.iopin vdd
-*.iopin vss
-*.ipin QA
-*.ipin QB
-*.opin nDown
-*.opin Down
-*.opin nUp
-*.opin Up
-x5 vdd nDown nQB vss trans_gate
-x3 vdd nQA QA vss inverter_cp_x1
-x4 vdd Up nQA vss inverter_cp_x1
-x6 vdd nQB QB vss inverter_cp_x1
-x7 vdd nUp Up vss inverter_cp_x2
-x8 vdd Down nDown vss inverter_cp_x2
-.ends
-
-
-* expanding   symbol:  charge_pump/sch/charge_pump.sym # of pins=11
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/charge_pump/sch/charge_pump.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/charge_pump/sch/charge_pump.sch
+* expanding   symbol:  charge_pump.sym # of pins=11
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/charge_pump.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/charge_pump.sch
 .subckt charge_pump  vdd Up nUp out Down nDown vss iref nswitch pswitch biasp
 *.iopin vss
 *.iopin vdd
@@ -136,9 +117,30 @@
 .ends
 
 
-* expanding   symbol:  loop_filter/sch/loop_filter.sym # of pins=3
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/loop_filter/sch/loop_filter.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/loop_filter/sch/loop_filter.sch
+* expanding   symbol:  pfd_cp_interface.sym # of pins=8
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/pfd_cp_interface.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/pfd_cp_interface.sch
+.subckt pfd_cp_interface  Up vdd QA nUp Down QB vss nDown
+*.iopin vdd
+*.iopin vss
+*.ipin QA
+*.ipin QB
+*.opin nDown
+*.opin Down
+*.opin nUp
+*.opin Up
+x5 vdd nDown nQB vss trans_gate
+x3 vdd Up nQA vss inverter_cp_x1
+x1 vdd nQB QB vss inverter_cp_x1
+x2 vdd nQA QA vss inverter_cp_x1
+x4 vdd nUp Up vss inverter_cp_x2
+x6 vdd Down nDown vss inverter_cp_x2
+.ends
+
+
+* expanding   symbol:  loop_filter.sym # of pins=3
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/loop_filter.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/loop_filter.sch
 .subckt loop_filter  vss in vc_pex
 *.iopin in
 *.iopin vss
@@ -151,9 +153,9 @@
 .ends
 
 
-* expanding   symbol:  ring_osc/sch/csvco.sym # of pins=5
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/ring_osc/sch/csvco.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/ring_osc/sch/csvco.sch
+* expanding   symbol:  csvco.sym # of pins=5
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/csvco.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/csvco.sch
 .subckt csvco  vdd out D0 vctrl vss
 *.ipin vctrl
 *.iopin vss
@@ -166,15 +168,15 @@
 XM2 vbp vbp vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
 + pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
 + sa=0 sb=0 sd=0 mult=1 m=1 
-x4 vdd vbp out out1 vctrl vss D0 csvco_branch
-x5 vdd vbp out1 out2 vctrl vss D0 csvco_branch
-x6 vdd vbp out2 out vctrl vss D0 csvco_branch
+x1 vdd vbp out out1 vctrl vss D0 csvco_branch
+x2 vdd vbp out1 out2 vctrl vss D0 csvco_branch
+x3 vdd vbp out2 out vctrl vss D0 csvco_branch
 .ends
 
 
-* expanding   symbol:  ring_osc_buffer/sch/ring_osc_buffer.sym # of pins=6
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/ring_osc_buffer/sch/ring_osc_buffer.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/ring_osc_buffer/sch/ring_osc_buffer.sch
+* expanding   symbol:  ring_osc_buffer.sym # of pins=6
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/ring_osc_buffer.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/ring_osc_buffer.sch
 .subckt ring_osc_buffer  vdd in_vco out_pad out_div vss o1
 *.iopin vdd
 *.iopin vss
@@ -188,31 +190,9 @@
 .ends
 
 
-* expanding   symbol:  div_by_2/sch/div_by_2.sym # of pins=9
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/div_by_2/sch/div_by_2.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/div_by_2/sch/div_by_2.sch
-.subckt div_by_2  nCLK_2 vss CLK vdd CLK_2 out_div nout_div o1 o2
-*.ipin CLK
-*.opin CLK_2
-*.iopin vss
-*.iopin vdd
-*.opin nCLK_2
-*.iopin nout_div
-*.iopin o2
-*.iopin o1
-*.iopin out_div
-x1 vdd out_div nout_div vss nout_div CLK_d nCLK_d DFlipFlop
-x2 vdd CLK_d CLK nCLK_d vss clock_inverter
-x3 vdd o1 out_div vss inverter_min_x2
-x4 vdd CLK_2 o1 vss inverter_min_x4
-x5 vdd o2 nout_div vss inverter_min_x2
-x6 vdd nCLK_2 o2 vss inverter_min_x4
-.ends
-
-
-* expanding   symbol:  div_by_5/sch/div_by_5.sym # of pins=10
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/div_by_5/sch/div_by_5.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/div_by_5/sch/div_by_5.sch
+* expanding   symbol:  div_by_5.sym # of pins=10
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/div_by_5.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/div_by_5.sch
 .subckt div_by_5  vdd CLK_5 CLK vss nCLK nQ2 Q1 nQ0 Q0 Q1_shift
 *.iopin vdd
 *.iopin vss
@@ -235,9 +215,60 @@
 .ends
 
 
-* expanding   symbol:  dff_pfd/sch/DFF.sym # of pins=5
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/dff_pfd/sch/DFF.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/dff_pfd/sch/DFF.sch
+* expanding   symbol:  div_by_2.sym # of pins=9
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/div_by_2.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/div_by_2.sch
+.subckt div_by_2  nCLK_2 vss CLK vdd CLK_2 out_div nout_div o1 o2
+*.ipin CLK
+*.opin CLK_2
+*.iopin vss
+*.iopin vdd
+*.opin nCLK_2
+*.iopin nout_div
+*.iopin o2
+*.iopin o1
+*.iopin out_div
+x1 vdd out_div nout_div vss nout_div CLK_d nCLK_d DFlipFlop
+x2 vdd CLK_d CLK nCLK_d vss clock_inverter
+x3 vdd o1 out_div vss inverter_min_x2
+x4 vdd CLK_2 o1 vss inverter_min_x4
+x5 vdd o2 nout_div vss inverter_min_x2
+x6 vdd nCLK_2 o2 vss inverter_min_x4
+.ends
+
+
+* expanding   symbol:  buffer_salida.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/buffer_salida.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/buffer_salida.sch
+.subckt buffer_salida  vdd out in vss
+*.iopin vss
+*.ipin in
+*.iopin vdd
+*.opin out
+XM2 net1 in vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=6 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=4 m=4 
+XM1 net1 in vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=3 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=4 m=4 
+XM3 net2 net1 vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=6 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=32 m=32 
+XM4 net2 net1 vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=3 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=32 m=32 
+XM5 out net2 vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=6 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=256 m=256 
+XM6 out net2 vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=3 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=256 m=256 
+.ends
+
+
+* expanding   symbol:  DFF.sym # of pins=5
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/DFF.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/DFF.sch
 .subckt DFF  D CLK Q Reset vss
 *.ipin D
 *.ipin CLK
@@ -251,10 +282,10 @@
 .ends
 
 
-* expanding   symbol:  and_pfd/sch/and.sym # of pins=5
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/and_pfd/sch/and.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/and_pfd/sch/and.sch
-.subckt and  vdd out A B vss
+* expanding   symbol:  and_pfd.sym # of pins=5
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/and_pfd.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/and_pfd.sch
+.subckt and_pfd  vdd out A B vss
 *.iopin vdd
 *.iopin vss
 *.opin out
@@ -287,9 +318,9 @@
 .ends
 
 
-* expanding   symbol:  trans_gate/sch/trans_gate.sym # of pins=4
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/trans_gate/sch/trans_gate.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/trans_gate/sch/trans_gate.sch
+* expanding   symbol:  trans_gate.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/trans_gate.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/trans_gate.sch
 .subckt trans_gate  vdd out in vss
 *.iopin vss
 *.ipin in
@@ -304,9 +335,9 @@
 .ends
 
 
-* expanding   symbol:  inverter_cp_x1/sch/inverter_cp_x1.sym # of pins=4
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/inverter_cp_x1/sch/inverter_cp_x1.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/inverter_cp_x1/sch/inverter_cp_x1.sch
+* expanding   symbol:  inverter_cp_x1.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_cp_x1.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_cp_x1.sch
 .subckt inverter_cp_x1  vdd out in vss
 *.iopin vss
 *.ipin in
@@ -321,9 +352,9 @@
 .ends
 
 
-* expanding   symbol:  inverter_cp_x2/sch/inverter_cp_x2.sym # of pins=4
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/inverter_cp_x2/sch/inverter_cp_x2.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/inverter_cp_x2/sch/inverter_cp_x2.sch
+* expanding   symbol:  inverter_cp_x2.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_cp_x2.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_cp_x2.sch
 .subckt inverter_cp_x2  vdd out in vss
 *.iopin vss
 *.ipin in
@@ -338,9 +369,9 @@
 .ends
 
 
-* expanding   symbol:  res_loop_filter/sch/res_loop_filter.sym # of pins=3
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/res_loop_filter/sch/res_loop_filter.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/res_loop_filter/sch/res_loop_filter.sch
+* expanding   symbol:  res_loop_filter.sym # of pins=3
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/res_loop_filter.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/res_loop_filter.sch
 .subckt res_loop_filter  in out vss
 *.iopin in
 *.iopin vss
@@ -349,9 +380,9 @@
 .ends
 
 
-* expanding   symbol:  cap1_loop_filter/sch/cap1_loop_filter.sym # of pins=2
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/cap1_loop_filter/sch/cap1_loop_filter.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/cap1_loop_filter/sch/cap1_loop_filter.sch
+* expanding   symbol:  cap1_loop_filter.sym # of pins=2
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/cap1_loop_filter.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/cap1_loop_filter.sch
 .subckt cap1_loop_filter  in out
 *.iopin in
 *.iopin out
@@ -359,9 +390,9 @@
 .ends
 
 
-* expanding   symbol:  cap2_loop_filter/sch/cap2_loop_filter.sym # of pins=2
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/cap2_loop_filter/sch/cap2_loop_filter.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/cap2_loop_filter/sch/cap2_loop_filter.sch
+* expanding   symbol:  cap2_loop_filter.sym # of pins=2
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/cap2_loop_filter.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/cap2_loop_filter.sch
 .subckt cap2_loop_filter  in out
 *.iopin in
 *.iopin out
@@ -369,9 +400,9 @@
 .ends
 
 
-* expanding   symbol:  ring_osc/sch/csvco_branch.sym # of pins=7
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/ring_osc/sch/csvco_branch.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/ring_osc/sch/csvco_branch.sch
+* expanding   symbol:  csvco_branch.sym # of pins=7
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/csvco_branch.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/csvco_branch.sch
 .subckt csvco_branch  vdd vbp in out vctrl vss D0
 *.ipin vctrl
 *.ipin vbp
@@ -394,9 +425,9 @@
 .ends
 
 
-* expanding   symbol:  inverter_min_x2/sch/inverter_min_x2.sym # of pins=4
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/inverter_min_x2/sch/inverter_min_x2.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/inverter_min_x2/sch/inverter_min_x2.sch
+* expanding   symbol:  inverter_min_x2.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_min_x2.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_min_x2.sch
 .subckt inverter_min_x2  vdd out in vss
 *.iopin vss
 *.ipin in
@@ -411,9 +442,9 @@
 .ends
 
 
-* expanding   symbol:  inverter_min_x4/sch/inverter_min_x4.sym # of pins=4
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/inverter_min_x4/sch/inverter_min_x4.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/inverter_min_x4/sch/inverter_min_x4.sch
+* expanding   symbol:  inverter_min_x4.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_min_x4.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_min_x4.sch
 .subckt inverter_min_x4  vdd out in vss
 *.iopin vss
 *.ipin in
@@ -428,9 +459,9 @@
 .ends
 
 
-* expanding   symbol:  DFlipFlop/sch/DFlipFlop.sym # of pins=7
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/DFlipFlop/sch/DFlipFlop.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/DFlipFlop/sch/DFlipFlop.sch
+* expanding   symbol:  DFlipFlop.sym # of pins=7
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/DFlipFlop.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/DFlipFlop.sch
 .subckt DFlipFlop  vdd Q nQ vss D CLK nCLK
 *.iopin vdd
 *.iopin vss
@@ -445,9 +476,9 @@
 .ends
 
 
-* expanding   symbol:  clock_inverter/sch/clock_inverter.sym # of pins=5
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/clock_inverter/sch/clock_inverter.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/clock_inverter/sch/clock_inverter.sch
+* expanding   symbol:  clock_inverter.sym # of pins=5
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/clock_inverter.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/clock_inverter.sch
 .subckt clock_inverter  vdd CLK_d CLK nCLK_d vss
 *.ipin CLK
 *.iopin vdd
@@ -461,9 +492,9 @@
 .ends
 
 
-* expanding   symbol:  nor_pfd/sch/nor.sym # of pins=5
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/nor_pfd/sch/nor.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/nor_pfd/sch/nor.sch
+* expanding   symbol:  nor.sym # of pins=5
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/nor.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/nor.sch
 .subckt nor  vdd A B out vss
 *.ipin A
 *.ipin B
@@ -491,9 +522,9 @@
 .ends
 
 
-* expanding   symbol:  inverter_csvco/sch/inverter_csvco.sym # of pins=6
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/inverter_csvco/sch/inverter_csvco.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/inverter_csvco/sch/inverter_csvco.sch
+* expanding   symbol:  inverter_csvco.sym # of pins=6
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_csvco.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_csvco.sch
 .subckt inverter_csvco  vdd out in vss vbulkp vbulkn
 *.iopin vss
 *.ipin in
@@ -510,9 +541,9 @@
 .ends
 
 
-* expanding   symbol:  latch_diff/sch/latch_diff.sym # of pins=7
-* sym_path: /home/dhernando/sky130-mpw2-fulgor/latch_diff/sch/latch_diff.sym
-* sch_path: /home/dhernando/sky130-mpw2-fulgor/latch_diff/sch/latch_diff.sch
+* expanding   symbol:  latch_diff.sym # of pins=7
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/latch_diff.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/latch_diff.sch
 .subckt latch_diff  vdd nQ Q D nD CLK vss
 *.iopin vdd
 *.iopin vss
diff --git a/xschem/simulations/top_pll_v1_pex_c.spice b/xschem/simulations/top_pll_v1_pex_c.spice
index 8797b64..17a76d5 100644
--- a/xschem/simulations/top_pll_v1_pex_c.spice
+++ b/xschem/simulations/top_pll_v1_pex_c.spice
@@ -30,71 +30,71 @@
 X22 c1_n13188_n13100# m3_n7969_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
 X23 c1_n13188_n13100# m3_n2650_n2600# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
 X24 c1_n13188_n13100# m3_2669_2700# sky130_fd_pr__cap_mim_m3_1 l=2.5e+07u w=2.5e+07u
-C0 m3_n2650_2700# m3_n2650_8000# 3.28fF
-C1 m3_n13288_2700# c1_n13188_n13100# 58.61fF
-C2 m3_7988_n2600# m3_2669_n2600# 2.73fF
-C3 c1_n13188_n13100# m3_n2650_n13200# 58.61fF
-C4 m3_2669_n7900# m3_2669_n2600# 3.28fF
-C5 m3_n7969_n13200# m3_n13288_n13200# 2.73fF
-C6 m3_n2650_n7900# m3_2669_n7900# 2.73fF
-C7 m3_n7969_n13200# c1_n13188_n13100# 58.61fF
-C8 m3_n13288_n2600# m3_n13288_2700# 3.28fF
-C9 m3_2669_2700# m3_2669_8000# 3.28fF
-C10 m3_n13288_8000# m3_n7969_8000# 2.73fF
-C11 c1_n13188_n13100# m3_n7969_n2600# 58.86fF
-C12 c1_n13188_n13100# m3_n2650_n2600# 58.86fF
-C13 m3_n13288_2700# m3_n7969_2700# 2.73fF
-C14 m3_2669_8000# m3_n2650_8000# 2.73fF
-C15 m3_n2650_2700# m3_n2650_n2600# 3.28fF
-C16 m3_7988_8000# m3_7988_2700# 3.39fF
-C17 m3_2669_n13200# m3_2669_n7900# 3.28fF
-C18 m3_7988_2700# m3_7988_n2600# 3.39fF
-C19 m3_2669_2700# m3_2669_n2600# 3.28fF
-C20 m3_n7969_n7900# m3_n2650_n7900# 2.73fF
-C21 m3_n13288_n2600# m3_n7969_n2600# 2.73fF
-C22 m3_n7969_8000# m3_n2650_8000# 2.73fF
-C23 m3_n7969_n7900# m3_n13288_n7900# 2.73fF
-C24 m3_n7969_2700# m3_n7969_n2600# 3.28fF
-C25 m3_7988_n13200# c1_n13188_n13100# 60.75fF
-C26 c1_n13188_n13100# m3_n13288_n13200# 58.36fF
-C27 m3_n13288_2700# m3_n13288_8000# 3.28fF
-C28 c1_n13188_n13100# m3_n2650_2700# 58.86fF
-C29 m3_n2650_n7900# m3_n2650_n13200# 3.28fF
-C30 m3_7988_n7900# m3_7988_n13200# 3.39fF
-C31 m3_2669_2700# m3_7988_2700# 2.73fF
-C32 m3_2669_n2600# m3_n2650_n2600# 2.73fF
-C33 m3_7988_n7900# c1_n13188_n13100# 61.01fF
-C34 m3_n13288_n2600# c1_n13188_n13100# 58.61fF
-C35 m3_n2650_n7900# m3_n2650_n2600# 3.28fF
-C36 m3_2669_n13200# m3_n2650_n13200# 2.73fF
-C37 m3_n7969_2700# c1_n13188_n13100# 58.86fF
-C38 m3_n7969_2700# m3_n2650_2700# 2.73fF
-C39 m3_n7969_n7900# m3_n7969_n13200# 3.28fF
-C40 c1_n13188_n13100# m3_2669_8000# 58.61fF
-C41 m3_n7969_n7900# m3_n7969_n2600# 3.28fF
-C42 m3_7988_8000# c1_n13188_n13100# 60.75fF
-C43 m3_7988_n2600# c1_n13188_n13100# 61.01fF
-C44 m3_2669_n2600# c1_n13188_n13100# 58.86fF
-C45 c1_n13188_n13100# m3_n7969_8000# 58.61fF
-C46 m3_2669_n7900# c1_n13188_n13100# 58.86fF
-C47 m3_n2650_n7900# c1_n13188_n13100# 58.86fF
-C48 m3_n13288_n7900# m3_n13288_n13200# 3.28fF
-C49 m3_n7969_n13200# m3_n2650_n13200# 2.73fF
-C50 m3_n13288_8000# c1_n13188_n13100# 58.36fF
-C51 m3_7988_n7900# m3_7988_n2600# 3.39fF
-C52 m3_n13288_n7900# c1_n13188_n13100# 58.61fF
-C53 m3_2669_n13200# m3_7988_n13200# 2.73fF
-C54 m3_7988_n7900# m3_2669_n7900# 2.73fF
-C55 m3_2669_n13200# c1_n13188_n13100# 58.61fF
-C56 m3_n7969_n7900# c1_n13188_n13100# 58.86fF
-C57 m3_7988_8000# m3_2669_8000# 2.73fF
-C58 m3_n7969_2700# m3_n7969_8000# 3.28fF
-C59 m3_2669_2700# c1_n13188_n13100# 58.86fF
-C60 m3_n2650_n2600# m3_n7969_n2600# 2.73fF
-C61 m3_2669_2700# m3_n2650_2700# 2.73fF
+C0 m3_2669_8000# m3_n2650_8000# 2.73fF
+C1 m3_n7969_8000# c1_n13188_n13100# 58.61fF
+C2 m3_n7969_n2600# m3_n7969_2700# 3.28fF
+C3 m3_n7969_n7900# m3_n2650_n7900# 2.73fF
+C4 m3_7988_n7900# m3_7988_n2600# 3.39fF
+C5 c1_n13188_n13100# m3_n13288_2700# 58.61fF
+C6 m3_n2650_2700# m3_2669_2700# 2.73fF
+C7 m3_n2650_2700# m3_n7969_2700# 2.73fF
+C8 c1_n13188_n13100# m3_7988_n2600# 61.01fF
+C9 m3_n7969_n7900# m3_n7969_n2600# 3.28fF
+C10 m3_n7969_n7900# m3_n13288_n7900# 2.73fF
+C11 m3_2669_n2600# m3_7988_n2600# 2.73fF
+C12 c1_n13188_n13100# m3_2669_2700# 58.86fF
+C13 c1_n13188_n13100# m3_n7969_2700# 58.86fF
+C14 m3_2669_n2600# m3_2669_2700# 3.28fF
+C15 c1_n13188_n13100# m3_2669_n13200# 58.61fF
+C16 m3_n13288_8000# c1_n13188_n13100# 58.36fF
+C17 m3_n13288_n2600# m3_n7969_n2600# 2.73fF
+C18 m3_n13288_n2600# m3_n13288_n7900# 3.28fF
+C19 m3_n7969_n7900# c1_n13188_n13100# 58.86fF
+C20 m3_n2650_n13200# m3_2669_n13200# 2.73fF
+C21 m3_n7969_8000# m3_n2650_8000# 2.73fF
+C22 c1_n13188_n13100# m3_n2650_n7900# 58.86fF
+C23 m3_2669_n7900# m3_2669_n13200# 3.28fF
+C24 c1_n13188_n13100# m3_n13288_n2600# 58.61fF
+C25 m3_2669_n13200# m3_7988_n13200# 2.73fF
+C26 c1_n13188_n13100# m3_n7969_n2600# 58.86fF
+C27 m3_n2650_n13200# m3_n2650_n7900# 3.28fF
+C28 m3_n13288_n13200# m3_n13288_n7900# 3.28fF
+C29 m3_2669_8000# m3_2669_2700# 3.28fF
+C30 m3_7988_2700# m3_7988_n2600# 3.39fF
+C31 c1_n13188_n13100# m3_n13288_n7900# 58.61fF
+C32 c1_n13188_n13100# m3_7988_8000# 60.75fF
+C33 m3_n2650_2700# c1_n13188_n13100# 58.86fF
+C34 m3_7988_2700# m3_2669_2700# 2.73fF
+C35 c1_n13188_n13100# m3_7988_n7900# 61.01fF
+C36 m3_n2650_n7900# m3_2669_n7900# 2.73fF
+C37 m3_n7969_n13200# m3_n7969_n7900# 3.28fF
+C38 c1_n13188_n13100# m3_n13288_n13200# 58.36fF
+C39 m3_2669_n2600# c1_n13188_n13100# 58.86fF
+C40 m3_n2650_n7900# m3_n2650_n2600# 3.28fF
+C41 m3_n2650_n13200# c1_n13188_n13100# 58.61fF
+C42 m3_n7969_n2600# m3_n2650_n2600# 2.73fF
+C43 m3_2669_8000# m3_7988_8000# 2.73fF
+C44 m3_7988_n7900# m3_2669_n7900# 2.73fF
+C45 m3_7988_n7900# m3_7988_n13200# 3.39fF
+C46 m3_n2650_2700# m3_n2650_n2600# 3.28fF
+C47 c1_n13188_n13100# m3_2669_n7900# 58.86fF
+C48 c1_n13188_n13100# m3_7988_n13200# 60.75fF
+C49 m3_n7969_8000# m3_n7969_2700# 3.28fF
+C50 m3_7988_2700# m3_7988_8000# 3.39fF
+C51 m3_2669_n2600# m3_2669_n7900# 3.28fF
+C52 m3_n2650_2700# m3_n2650_8000# 3.28fF
+C53 m3_n7969_8000# m3_n13288_8000# 2.73fF
+C54 c1_n13188_n13100# m3_2669_8000# 58.61fF
+C55 m3_n13288_2700# m3_n7969_2700# 2.73fF
+C56 c1_n13188_n13100# m3_n2650_n2600# 58.86fF
+C57 m3_n7969_n13200# m3_n13288_n13200# 2.73fF
+C58 m3_n7969_n13200# c1_n13188_n13100# 58.61fF
+C59 m3_2669_n2600# m3_n2650_n2600# 2.73fF
+C60 m3_n13288_8000# m3_n13288_2700# 3.28fF
+C61 c1_n13188_n13100# m3_7988_2700# 61.01fF
 C62 c1_n13188_n13100# m3_n2650_8000# 58.61fF
-C63 m3_n13288_n2600# m3_n13288_n7900# 3.28fF
-C64 m3_7988_2700# c1_n13188_n13100# 61.01fF
+C63 m3_n7969_n13200# m3_n2650_n13200# 2.73fF
+C64 m3_n13288_n2600# m3_n13288_2700# 3.28fF
 C65 c1_n13188_n13100# VSUBS 2.51fF
 C66 m3_7988_n13200# VSUBS 12.57fF
 C67 m3_2669_n13200# VSUBS 12.37fF
@@ -126,7 +126,7 @@
 .subckt cap1_loop_filter VSUBS in out
 Xsky130_fd_pr__cap_mim_m3_1_MACBVW_0 VSUBS out out out out out out out out out out
 + out out out out out out out out out out out in out out out out sky130_fd_pr__cap_mim_m3_1_MACBVW
-C0 out in 2.17fF
+C0 in out 2.17fF
 C1 in VSUBS -10.03fF
 C2 out VSUBS 62.40fF
 .ends
@@ -143,25 +143,25 @@
 X6 c1_n2050_n6300# m3_n2150_n2100# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
 X7 c1_n2050_n6300# m3_n2150_n6400# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
 X8 c1_n6369_n6300# m3_n6469_2200# sky130_fd_pr__cap_mim_m3_1 l=2e+07u w=2e+07u
-C0 m3_n6469_2200# m3_n6469_n2100# 2.63fF
-C1 c1_n2050_n6300# m3_n2150_n6400# 38.10fF
-C2 c1_n2050_n6300# m3_n2150_n2100# 38.10fF
-C3 c1_n2050_n6300# c1_2269_n6300# 1.99fF
-C4 m3_2169_n6400# m3_n2150_n6400# 1.75fF
-C5 m3_n2150_2200# m3_n2150_n2100# 2.63fF
-C6 m3_2169_n6400# m3_n2150_n2100# 1.75fF
-C7 m3_n6469_n6400# m3_n6469_n2100# 2.63fF
-C8 m3_2169_n6400# c1_2269_n6300# 121.67fF
-C9 c1_n6369_n6300# c1_n2050_n6300# 1.99fF
-C10 c1_n2050_n6300# m3_n2150_2200# 38.10fF
-C11 m3_n6469_n6400# m3_n2150_n6400# 1.75fF
-C12 c1_n6369_n6300# m3_n6469_2200# 38.10fF
-C13 m3_2169_n6400# m3_n2150_2200# 1.75fF
-C14 m3_n6469_2200# m3_n2150_2200# 1.75fF
-C15 c1_n6369_n6300# m3_n6469_n6400# 38.10fF
-C16 m3_n6469_n2100# m3_n2150_n2100# 1.75fF
-C17 m3_n2150_n6400# m3_n2150_n2100# 2.63fF
-C18 c1_n6369_n6300# m3_n6469_n2100# 38.10fF
+C0 m3_2169_n6400# m3_n2150_n6400# 1.75fF
+C1 m3_2169_n6400# m3_n2150_2200# 1.75fF
+C2 c1_n6369_n6300# c1_n2050_n6300# 1.99fF
+C3 m3_n2150_n2100# m3_n2150_n6400# 2.63fF
+C4 c1_n6369_n6300# m3_n6469_2200# 38.10fF
+C5 c1_n6369_n6300# m3_n6469_n6400# 38.10fF
+C6 m3_n2150_n2100# m3_2169_n6400# 1.75fF
+C7 m3_n2150_n2100# m3_n6469_n2100# 1.75fF
+C8 m3_n2150_n2100# m3_n2150_2200# 2.63fF
+C9 m3_2169_n6400# c1_2269_n6300# 121.67fF
+C10 c1_n6369_n6300# m3_n6469_n2100# 38.10fF
+C11 c1_n2050_n6300# m3_n2150_n6400# 38.10fF
+C12 c1_n2050_n6300# m3_n2150_2200# 38.10fF
+C13 m3_n6469_n6400# m3_n2150_n6400# 1.75fF
+C14 m3_n6469_n2100# m3_n6469_2200# 2.63fF
+C15 m3_n6469_2200# m3_n2150_2200# 1.75fF
+C16 m3_n6469_n2100# m3_n6469_n6400# 2.63fF
+C17 m3_n2150_n2100# c1_n2050_n6300# 38.10fF
+C18 c1_n2050_n6300# c1_2269_n6300# 1.99fF
 C19 c1_2269_n6300# VSUBS 0.16fF
 C20 c1_n2050_n6300# VSUBS 0.16fF
 C21 c1_n6369_n6300# VSUBS 0.16fF
@@ -176,7 +176,7 @@
 
 .subckt cap2_loop_filter VSUBS in out
 Xsky130_fd_pr__cap_mim_m3_1_W3JTNJ_0 VSUBS out in out out in out out in out out sky130_fd_pr__cap_mim_m3_1_W3JTNJ
-C0 out in 8.08fF
+C0 in out 8.08fF
 C1 in VSUBS -16.59fF
 C2 out VSUBS 13.00fF
 .ends
@@ -218,17 +218,17 @@
 X7 a_n1861_n486# a_n2261_n512# a_n2319_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
 X8 a_n29_n486# a_n2261_n512# a_n487_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
 X9 a_1345_n486# a_n2261_n512# a_887_n486# w_n2457_n634# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=4.5e+06u l=2e+06u
-C0 a_2261_n486# w_n2457_n634# 0.02fF
-C1 a_429_n486# w_n2457_n634# 0.02fF
-C2 w_n2457_n634# a_n2319_n486# 0.02fF
-C3 a_n945_n486# w_n2457_n634# 0.02fF
-C4 w_n2457_n634# a_n1403_n486# 0.02fF
-C5 a_n29_n486# w_n2457_n634# 0.02fF
-C6 a_887_n486# w_n2457_n634# 0.02fF
-C7 a_n1861_n486# w_n2457_n634# 0.02fF
-C8 a_n487_n486# w_n2457_n634# 0.02fF
-C9 w_n2457_n634# a_1803_n486# 0.02fF
-C10 a_1345_n486# w_n2457_n634# 0.02fF
+C0 w_n2457_n634# a_2261_n486# 0.02fF
+C1 w_n2457_n634# a_n29_n486# 0.02fF
+C2 w_n2457_n634# a_n1403_n486# 0.02fF
+C3 w_n2457_n634# a_1345_n486# 0.02fF
+C4 w_n2457_n634# a_1803_n486# 0.02fF
+C5 w_n2457_n634# a_n2319_n486# 0.02fF
+C6 w_n2457_n634# a_n945_n486# 0.02fF
+C7 w_n2457_n634# a_n1861_n486# 0.02fF
+C8 w_n2457_n634# a_n487_n486# 0.02fF
+C9 w_n2457_n634# a_887_n486# 0.02fF
+C10 w_n2457_n634# a_429_n486# 0.02fF
 C11 a_2261_n486# VSUBS 0.03fF
 C12 a_1803_n486# VSUBS 0.03fF
 C13 a_1345_n486# VSUBS 0.03fF
@@ -274,100 +274,100 @@
 X22 a_111_n75# a_n1167_n101# a_15_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
 X23 a_n273_n75# a_n1167_n101# a_n369_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
 X24 a_n177_n75# a_n1167_n101# a_n273_n75# w_n1367_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
-C0 a_111_n75# a_n81_n75# 0.08fF
-C1 a_111_n75# a_n273_n75# 0.03fF
-C2 a_n945_n75# a_n1041_n75# 0.22fF
-C3 a_783_n75# a_1167_n75# 0.03fF
-C4 a_1071_n75# a_879_n75# 0.08fF
-C5 a_n753_n75# a_n657_n75# 0.22fF
-C6 a_111_n75# a_n177_n75# 0.05fF
-C7 a_n945_n75# a_n1137_n75# 0.08fF
-C8 a_1071_n75# a_687_n75# 0.03fF
-C9 a_n81_n75# a_n273_n75# 0.08fF
-C10 a_879_n75# a_591_n75# 0.05fF
-C11 a_n369_n75# a_n81_n75# 0.05fF
-C12 a_879_n75# a_495_n75# 0.03fF
-C13 a_n369_n75# a_n273_n75# 0.22fF
-C14 a_1071_n75# a_975_n75# 0.22fF
-C15 a_687_n75# a_591_n75# 0.22fF
-C16 a_303_n75# a_687_n75# 0.03fF
-C17 a_495_n75# a_687_n75# 0.08fF
-C18 a_n177_n75# a_n81_n75# 0.22fF
-C19 a_n849_n75# a_n657_n75# 0.08fF
-C20 a_n177_n75# a_n273_n75# 0.22fF
-C21 a_n369_n75# a_n177_n75# 0.08fF
-C22 a_n1229_n75# a_n849_n75# 0.03fF
-C23 a_975_n75# a_591_n75# 0.03fF
-C24 a_n657_n75# a_n561_n75# 0.22fF
-C25 a_111_n75# a_303_n75# 0.08fF
-C26 a_111_n75# a_495_n75# 0.03fF
-C27 a_879_n75# a_1167_n75# 0.05fF
-C28 a_n465_n75# a_n81_n75# 0.03fF
-C29 a_399_n75# a_591_n75# 0.08fF
-C30 a_399_n75# a_303_n75# 0.22fF
-C31 a_n465_n75# a_n273_n75# 0.08fF
-C32 a_n465_n75# a_n369_n75# 0.22fF
-C33 a_399_n75# a_495_n75# 0.22fF
-C34 a_n753_n75# a_n1041_n75# 0.05fF
-C35 a_303_n75# a_n81_n75# 0.03fF
-C36 a_n753_n75# a_n1137_n75# 0.03fF
-C37 a_975_n75# a_1167_n75# 0.08fF
-C38 a_n465_n75# a_n177_n75# 0.05fF
-C39 a_n753_n75# a_n369_n75# 0.03fF
-C40 a_n849_n75# a_n1041_n75# 0.08fF
-C41 a_n945_n75# a_n753_n75# 0.08fF
-C42 a_111_n75# a_15_n75# 0.22fF
-C43 a_111_n75# a_207_n75# 0.22fF
-C44 a_n1137_n75# a_n849_n75# 0.05fF
-C45 a_399_n75# a_15_n75# 0.03fF
-C46 a_399_n75# a_207_n75# 0.08fF
-C47 a_879_n75# a_783_n75# 0.22fF
-C48 a_15_n75# a_n81_n75# 0.22fF
-C49 a_n81_n75# a_207_n75# 0.05fF
-C50 a_n465_n75# a_n753_n75# 0.05fF
-C51 a_15_n75# a_n273_n75# 0.05fF
-C52 a_n945_n75# a_n849_n75# 0.22fF
-C53 a_15_n75# a_n369_n75# 0.03fF
-C54 a_303_n75# a_591_n75# 0.05fF
-C55 a_495_n75# a_591_n75# 0.22fF
-C56 a_303_n75# a_495_n75# 0.08fF
-C57 a_783_n75# a_687_n75# 0.22fF
-C58 a_n273_n75# a_n561_n75# 0.05fF
-C59 a_n369_n75# a_n561_n75# 0.08fF
-C60 a_n945_n75# a_n561_n75# 0.03fF
-C61 a_783_n75# a_975_n75# 0.08fF
-C62 a_15_n75# a_n177_n75# 0.08fF
-C63 a_1071_n75# a_1167_n75# 0.22fF
+C0 a_n945_n75# a_n753_n75# 0.08fF
+C1 a_n849_n75# a_n561_n75# 0.05fF
+C2 a_111_n75# a_399_n75# 0.05fF
+C3 a_n1229_n75# a_n1041_n75# 0.08fF
+C4 a_n81_n75# a_111_n75# 0.08fF
+C5 a_n1137_n75# a_n753_n75# 0.03fF
+C6 a_303_n75# a_591_n75# 0.05fF
+C7 a_591_n75# a_495_n75# 0.22fF
+C8 a_303_n75# a_495_n75# 0.08fF
+C9 a_399_n75# a_207_n75# 0.08fF
+C10 a_n81_n75# a_n177_n75# 0.22fF
+C11 a_n273_n75# a_n465_n75# 0.08fF
+C12 a_n273_n75# a_15_n75# 0.05fF
+C13 a_n81_n75# a_207_n75# 0.05fF
+C14 a_975_n75# a_1071_n75# 0.22fF
+C15 a_n1041_n75# a_n753_n75# 0.05fF
+C16 a_n945_n75# a_n1137_n75# 0.08fF
+C17 a_975_n75# a_879_n75# 0.22fF
+C18 a_15_n75# a_399_n75# 0.03fF
+C19 a_n81_n75# a_n465_n75# 0.03fF
+C20 a_n177_n75# a_n369_n75# 0.08fF
+C21 a_n657_n75# a_n753_n75# 0.22fF
+C22 a_n81_n75# a_15_n75# 0.22fF
+C23 a_n657_n75# a_n465_n75# 0.08fF
+C24 a_n849_n75# a_n1229_n75# 0.03fF
+C25 a_975_n75# a_687_n75# 0.05fF
+C26 a_975_n75# a_1167_n75# 0.08fF
+C27 a_n177_n75# a_n561_n75# 0.03fF
+C28 a_111_n75# a_303_n75# 0.08fF
+C29 a_111_n75# a_495_n75# 0.03fF
+C30 a_n1041_n75# a_n945_n75# 0.22fF
+C31 a_n369_n75# a_n753_n75# 0.03fF
+C32 a_n369_n75# a_n465_n75# 0.22fF
+C33 a_879_n75# a_1071_n75# 0.08fF
+C34 a_15_n75# a_n369_n75# 0.03fF
+C35 a_n945_n75# a_n657_n75# 0.05fF
+C36 a_591_n75# a_207_n75# 0.03fF
+C37 a_n1041_n75# a_n1137_n75# 0.22fF
+C38 a_303_n75# a_207_n75# 0.22fF
+C39 a_495_n75# a_207_n75# 0.05fF
+C40 a_975_n75# a_783_n75# 0.08fF
+C41 a_n561_n75# a_n753_n75# 0.08fF
+C42 a_n849_n75# a_n753_n75# 0.22fF
+C43 a_n561_n75# a_n465_n75# 0.22fF
+C44 a_n81_n75# a_n273_n75# 0.08fF
+C45 a_687_n75# a_1071_n75# 0.03fF
+C46 a_n849_n75# a_n465_n75# 0.03fF
+C47 a_1167_n75# a_1071_n75# 0.22fF
+C48 a_n273_n75# a_n657_n75# 0.03fF
+C49 a_15_n75# a_303_n75# 0.05fF
+C50 a_687_n75# a_879_n75# 0.08fF
+C51 a_975_n75# a_591_n75# 0.03fF
+C52 a_1167_n75# a_879_n75# 0.05fF
+C53 a_399_n75# a_687_n75# 0.05fF
+C54 a_n945_n75# a_n561_n75# 0.03fF
+C55 a_n849_n75# a_n945_n75# 0.22fF
+C56 a_n1041_n75# a_n657_n75# 0.03fF
+C57 a_1071_n75# a_783_n75# 0.05fF
+C58 a_n273_n75# a_n369_n75# 0.22fF
+C59 a_111_n75# a_n177_n75# 0.05fF
+C60 a_111_n75# a_207_n75# 0.22fF
+C61 a_n849_n75# a_n1137_n75# 0.05fF
+C62 a_879_n75# a_783_n75# 0.22fF
+C63 a_n273_n75# a_n561_n75# 0.05fF
 C64 a_n177_n75# a_207_n75# 0.03fF
-C65 a_n177_n75# a_n561_n75# 0.03fF
-C66 a_n465_n75# a_n849_n75# 0.03fF
-C67 a_399_n75# a_783_n75# 0.03fF
-C68 a_n465_n75# a_n561_n75# 0.22fF
-C69 a_n657_n75# a_n1041_n75# 0.03fF
-C70 a_n1229_n75# a_n1041_n75# 0.08fF
-C71 a_879_n75# a_687_n75# 0.08fF
-C72 a_15_n75# a_303_n75# 0.05fF
-C73 a_591_n75# a_207_n75# 0.03fF
-C74 a_303_n75# a_207_n75# 0.22fF
-C75 a_495_n75# a_207_n75# 0.05fF
-C76 a_n1229_n75# a_n1137_n75# 0.22fF
-C77 a_n753_n75# a_n849_n75# 0.22fF
-C78 a_879_n75# a_975_n75# 0.22fF
-C79 a_n273_n75# a_n657_n75# 0.03fF
-C80 a_n369_n75# a_n657_n75# 0.05fF
-C81 a_n753_n75# a_n561_n75# 0.08fF
-C82 a_n945_n75# a_n657_n75# 0.05fF
-C83 a_687_n75# a_975_n75# 0.05fF
-C84 a_n945_n75# a_n1229_n75# 0.05fF
-C85 a_1071_n75# a_783_n75# 0.05fF
-C86 a_399_n75# a_687_n75# 0.05fF
-C87 a_783_n75# a_591_n75# 0.08fF
-C88 a_783_n75# a_495_n75# 0.05fF
-C89 a_n849_n75# a_n561_n75# 0.05fF
-C90 a_n465_n75# a_n657_n75# 0.08fF
-C91 a_15_n75# a_207_n75# 0.08fF
-C92 a_399_n75# a_111_n75# 0.05fF
-C93 a_n1137_n75# a_n1041_n75# 0.22fF
+C65 a_399_n75# a_783_n75# 0.03fF
+C66 a_n81_n75# a_n369_n75# 0.05fF
+C67 a_687_n75# a_783_n75# 0.22fF
+C68 a_111_n75# a_15_n75# 0.22fF
+C69 a_n657_n75# a_n369_n75# 0.05fF
+C70 a_1167_n75# a_783_n75# 0.03fF
+C71 a_591_n75# a_879_n75# 0.05fF
+C72 a_n849_n75# a_n1041_n75# 0.08fF
+C73 a_879_n75# a_495_n75# 0.03fF
+C74 a_n177_n75# a_n465_n75# 0.05fF
+C75 a_15_n75# a_n177_n75# 0.08fF
+C76 a_399_n75# a_591_n75# 0.08fF
+C77 a_303_n75# a_399_n75# 0.22fF
+C78 a_15_n75# a_207_n75# 0.08fF
+C79 a_n657_n75# a_n561_n75# 0.22fF
+C80 a_399_n75# a_495_n75# 0.22fF
+C81 a_n849_n75# a_n657_n75# 0.08fF
+C82 a_n81_n75# a_303_n75# 0.03fF
+C83 a_591_n75# a_687_n75# 0.22fF
+C84 a_303_n75# a_687_n75# 0.03fF
+C85 a_687_n75# a_495_n75# 0.08fF
+C86 a_n1229_n75# a_n945_n75# 0.05fF
+C87 a_n465_n75# a_n753_n75# 0.05fF
+C88 a_n561_n75# a_n369_n75# 0.08fF
+C89 a_n1229_n75# a_n1137_n75# 0.22fF
+C90 a_111_n75# a_n273_n75# 0.03fF
+C91 a_591_n75# a_783_n75# 0.08fF
+C92 a_495_n75# a_783_n75# 0.05fF
+C93 a_n273_n75# a_n177_n75# 0.22fF
 C94 a_1167_n75# w_n1367_n285# 0.10fF
 C95 a_1071_n75# w_n1367_n285# 0.07fF
 C96 a_975_n75# w_n1367_n285# 0.06fF
@@ -421,81 +421,81 @@
 X17 a_n129_n75# a_n927_n101# a_n225_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
 X18 a_n897_n75# a_n927_n101# a_n989_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
 X19 a_n609_n75# a_n927_n101# a_n705_n75# w_n1127_n285# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=150000u
-C0 a_351_n75# a_63_n75# 0.05fF
-C1 a_n33_n75# a_351_n75# 0.03fF
-C2 a_n129_n75# a_159_n75# 0.05fF
-C3 a_255_n75# a_351_n75# 0.22fF
-C4 a_n989_n75# a_n801_n75# 0.08fF
-C5 a_n897_n75# a_n705_n75# 0.08fF
-C6 a_n989_n75# a_n609_n75# 0.03fF
-C7 a_639_n75# a_735_n75# 0.22fF
-C8 a_n225_n75# a_n609_n75# 0.03fF
-C9 a_n513_n75# a_n705_n75# 0.08fF
-C10 a_n705_n75# a_n321_n75# 0.03fF
-C11 a_831_n75# a_735_n75# 0.22fF
-C12 a_639_n75# a_831_n75# 0.08fF
-C13 a_351_n75# a_447_n75# 0.22fF
-C14 a_n417_n75# a_n225_n75# 0.08fF
-C15 a_n417_n75# a_n33_n75# 0.03fF
-C16 a_n801_n75# a_n897_n75# 0.22fF
-C17 a_543_n75# a_159_n75# 0.03fF
-C18 a_n129_n75# a_63_n75# 0.08fF
-C19 a_543_n75# a_735_n75# 0.08fF
-C20 a_n129_n75# a_n225_n75# 0.22fF
-C21 a_n129_n75# a_n33_n75# 0.22fF
-C22 a_543_n75# a_639_n75# 0.22fF
-C23 a_n609_n75# a_n897_n75# 0.05fF
-C24 a_159_n75# a_63_n75# 0.22fF
-C25 a_n225_n75# a_159_n75# 0.03fF
-C26 a_n129_n75# a_255_n75# 0.03fF
-C27 a_n33_n75# a_159_n75# 0.08fF
-C28 a_n801_n75# a_n513_n75# 0.05fF
-C29 a_543_n75# a_831_n75# 0.05fF
-C30 a_n513_n75# a_n609_n75# 0.22fF
-C31 a_255_n75# a_159_n75# 0.22fF
-C32 a_n609_n75# a_n321_n75# 0.05fF
-C33 a_255_n75# a_639_n75# 0.03fF
-C34 a_n417_n75# a_n513_n75# 0.22fF
-C35 a_n417_n75# a_n321_n75# 0.22fF
-C36 a_n129_n75# a_n513_n75# 0.03fF
-C37 a_n129_n75# a_n321_n75# 0.08fF
-C38 a_927_n75# a_735_n75# 0.08fF
-C39 a_639_n75# a_927_n75# 0.05fF
-C40 a_543_n75# a_255_n75# 0.05fF
-C41 a_159_n75# a_447_n75# 0.05fF
-C42 a_n225_n75# a_63_n75# 0.05fF
-C43 a_n33_n75# a_63_n75# 0.22fF
-C44 a_n225_n75# a_n33_n75# 0.08fF
-C45 a_735_n75# a_447_n75# 0.05fF
-C46 a_n801_n75# a_n705_n75# 0.22fF
-C47 a_639_n75# a_447_n75# 0.08fF
-C48 a_831_n75# a_927_n75# 0.22fF
-C49 a_n609_n75# a_n705_n75# 0.22fF
-C50 a_255_n75# a_63_n75# 0.08fF
-C51 a_255_n75# a_n33_n75# 0.05fF
-C52 a_n989_n75# a_n897_n75# 0.22fF
-C53 a_831_n75# a_447_n75# 0.03fF
-C54 a_543_n75# a_927_n75# 0.03fF
-C55 a_n417_n75# a_n705_n75# 0.05fF
-C56 a_543_n75# a_447_n75# 0.22fF
-C57 a_n513_n75# a_n225_n75# 0.05fF
-C58 a_n801_n75# a_n609_n75# 0.08fF
-C59 a_63_n75# a_n321_n75# 0.03fF
-C60 a_n225_n75# a_n321_n75# 0.22fF
-C61 a_n33_n75# a_n321_n75# 0.05fF
-C62 a_447_n75# a_63_n75# 0.03fF
-C63 a_159_n75# a_351_n75# 0.08fF
-C64 a_n927_n101# a_33_n101# 0.08fF
-C65 a_735_n75# a_351_n75# 0.03fF
-C66 a_639_n75# a_351_n75# 0.05fF
-C67 a_255_n75# a_447_n75# 0.08fF
-C68 a_n417_n75# a_n801_n75# 0.03fF
-C69 a_n417_n75# a_n609_n75# 0.08fF
-C70 a_n513_n75# a_n897_n75# 0.03fF
-C71 a_n989_n75# a_n705_n75# 0.05fF
-C72 a_543_n75# a_351_n75# 0.08fF
-C73 a_n513_n75# a_n321_n75# 0.08fF
-C74 a_n129_n75# a_n417_n75# 0.05fF
+C0 a_n417_n75# a_n129_n75# 0.05fF
+C1 a_63_n75# a_447_n75# 0.03fF
+C2 a_n417_n75# a_n609_n75# 0.08fF
+C3 a_n513_n75# a_n705_n75# 0.08fF
+C4 a_n225_n75# a_63_n75# 0.05fF
+C5 a_63_n75# a_n33_n75# 0.22fF
+C6 a_n225_n75# a_n33_n75# 0.08fF
+C7 a_n129_n75# a_n321_n75# 0.08fF
+C8 a_735_n75# a_543_n75# 0.08fF
+C9 a_n609_n75# a_n321_n75# 0.05fF
+C10 a_n129_n75# a_255_n75# 0.03fF
+C11 a_33_n101# a_n927_n101# 0.08fF
+C12 a_159_n75# a_255_n75# 0.22fF
+C13 a_n513_n75# a_n129_n75# 0.03fF
+C14 a_159_n75# a_543_n75# 0.03fF
+C15 a_n417_n75# a_n801_n75# 0.03fF
+C16 a_831_n75# a_639_n75# 0.08fF
+C17 a_351_n75# a_255_n75# 0.22fF
+C18 a_n513_n75# a_n609_n75# 0.22fF
+C19 a_351_n75# a_543_n75# 0.08fF
+C20 a_n705_n75# a_n989_n75# 0.05fF
+C21 a_n513_n75# a_n897_n75# 0.03fF
+C22 a_831_n75# a_447_n75# 0.03fF
+C23 a_n417_n75# a_n225_n75# 0.08fF
+C24 a_n417_n75# a_n33_n75# 0.03fF
+C25 a_927_n75# a_831_n75# 0.22fF
+C26 a_639_n75# a_255_n75# 0.03fF
+C27 a_639_n75# a_543_n75# 0.22fF
+C28 a_63_n75# a_n321_n75# 0.03fF
+C29 a_n225_n75# a_n321_n75# 0.22fF
+C30 a_n33_n75# a_n321_n75# 0.05fF
+C31 a_447_n75# a_255_n75# 0.08fF
+C32 a_n609_n75# a_n705_n75# 0.22fF
+C33 a_n513_n75# a_n801_n75# 0.05fF
+C34 a_n897_n75# a_n705_n75# 0.08fF
+C35 a_447_n75# a_543_n75# 0.22fF
+C36 a_927_n75# a_543_n75# 0.03fF
+C37 a_n609_n75# a_n989_n75# 0.03fF
+C38 a_n897_n75# a_n989_n75# 0.22fF
+C39 a_63_n75# a_255_n75# 0.08fF
+C40 a_n33_n75# a_255_n75# 0.05fF
+C41 a_735_n75# a_351_n75# 0.03fF
+C42 a_n513_n75# a_n225_n75# 0.05fF
+C43 a_159_n75# a_n129_n75# 0.05fF
+C44 a_735_n75# a_639_n75# 0.22fF
+C45 a_n705_n75# a_n801_n75# 0.22fF
+C46 a_159_n75# a_351_n75# 0.08fF
+C47 a_n417_n75# a_n321_n75# 0.22fF
+C48 a_n897_n75# a_n609_n75# 0.05fF
+C49 a_n801_n75# a_n989_n75# 0.08fF
+C50 a_735_n75# a_447_n75# 0.05fF
+C51 a_927_n75# a_735_n75# 0.08fF
+C52 a_n417_n75# a_n513_n75# 0.22fF
+C53 a_351_n75# a_639_n75# 0.05fF
+C54 a_n609_n75# a_n801_n75# 0.08fF
+C55 a_831_n75# a_543_n75# 0.05fF
+C56 a_159_n75# a_447_n75# 0.05fF
+C57 a_n897_n75# a_n801_n75# 0.22fF
+C58 a_n129_n75# a_63_n75# 0.08fF
+C59 a_n513_n75# a_n321_n75# 0.08fF
+C60 a_n225_n75# a_n129_n75# 0.22fF
+C61 a_351_n75# a_447_n75# 0.22fF
+C62 a_n129_n75# a_n33_n75# 0.22fF
+C63 a_159_n75# a_63_n75# 0.22fF
+C64 a_159_n75# a_n225_n75# 0.03fF
+C65 a_159_n75# a_n33_n75# 0.08fF
+C66 a_n225_n75# a_n609_n75# 0.03fF
+C67 a_63_n75# a_351_n75# 0.05fF
+C68 a_351_n75# a_n33_n75# 0.03fF
+C69 a_543_n75# a_255_n75# 0.05fF
+C70 a_n417_n75# a_n705_n75# 0.05fF
+C71 a_447_n75# a_639_n75# 0.08fF
+C72 a_927_n75# a_639_n75# 0.05fF
+C73 a_n705_n75# a_n321_n75# 0.03fF
+C74 a_735_n75# a_831_n75# 0.22fF
 C75 a_927_n75# w_n1127_n285# 0.04fF
 C76 a_831_n75# w_n1127_n285# 0.04fF
 C77 a_735_n75# w_n1127_n285# 0.04fF
@@ -545,81 +545,81 @@
 X17 a_159_n150# a_33_n247# a_63_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
 X18 a_n225_n150# a_n927_n247# a_n321_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
 X19 a_447_n150# a_33_n247# a_351_n150# w_n1127_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
-C0 a_n927_n247# a_33_n247# 0.09fF
-C1 a_n609_n150# a_n897_n150# 0.10fF
-C2 a_351_n150# a_n33_n150# 0.07fF
-C3 a_n801_n150# a_n897_n150# 0.43fF
-C4 a_n989_n150# a_n609_n150# 0.07fF
-C5 a_n513_n150# a_n417_n150# 0.43fF
-C6 a_n705_n150# a_n897_n150# 0.16fF
-C7 a_n225_n150# a_159_n150# 0.07fF
-C8 a_n801_n150# a_n989_n150# 0.16fF
-C9 a_n129_n150# a_n33_n150# 0.43fF
-C10 a_n801_n150# a_n609_n150# 0.16fF
-C11 a_n705_n150# a_n989_n150# 0.10fF
-C12 a_159_n150# a_63_n150# 0.43fF
-C13 a_543_n150# a_159_n150# 0.07fF
-C14 a_n705_n150# a_n609_n150# 0.43fF
-C15 a_831_n150# a_447_n150# 0.07fF
-C16 a_n801_n150# a_n705_n150# 0.43fF
-C17 a_351_n150# a_63_n150# 0.10fF
-C18 a_n417_n150# a_n321_n150# 0.43fF
-C19 a_351_n150# a_543_n150# 0.16fF
-C20 a_351_n150# a_735_n150# 0.07fF
-C21 a_n225_n150# a_n609_n150# 0.07fF
-C22 a_n225_n150# a_n33_n150# 0.16fF
-C23 a_639_n150# a_543_n150# 0.43fF
-C24 a_n225_n150# a_n129_n150# 0.43fF
-C25 a_639_n150# a_735_n150# 0.43fF
-C26 a_n513_n150# a_n897_n150# 0.07fF
-C27 a_n33_n150# a_63_n150# 0.43fF
-C28 a_n129_n150# a_63_n150# 0.16fF
-C29 a_255_n150# a_159_n150# 0.43fF
-C30 a_927_n150# a_831_n150# 0.43fF
-C31 a_n513_n150# a_n609_n150# 0.43fF
-C32 a_159_n150# a_447_n150# 0.10fF
-C33 a_n513_n150# a_n129_n150# 0.07fF
-C34 a_n801_n150# a_n513_n150# 0.10fF
-C35 a_351_n150# a_255_n150# 0.43fF
-C36 a_351_n150# a_447_n150# 0.43fF
-C37 a_n513_n150# a_n705_n150# 0.16fF
-C38 a_639_n150# a_255_n150# 0.07fF
-C39 a_n225_n150# a_63_n150# 0.10fF
-C40 a_639_n150# a_447_n150# 0.16fF
-C41 a_n225_n150# a_n513_n150# 0.10fF
-C42 a_n609_n150# a_n321_n150# 0.10fF
-C43 a_255_n150# a_n33_n150# 0.10fF
-C44 a_255_n150# a_n129_n150# 0.07fF
-C45 a_543_n150# a_735_n150# 0.16fF
-C46 a_n33_n150# a_n321_n150# 0.10fF
-C47 a_n129_n150# a_n321_n150# 0.16fF
-C48 a_n705_n150# a_n321_n150# 0.07fF
-C49 a_639_n150# a_927_n150# 0.10fF
-C50 a_n225_n150# a_n321_n150# 0.43fF
-C51 a_639_n150# a_831_n150# 0.16fF
-C52 a_255_n150# a_63_n150# 0.16fF
-C53 a_255_n150# a_543_n150# 0.10fF
-C54 a_63_n150# a_447_n150# 0.07fF
-C55 a_543_n150# a_447_n150# 0.43fF
-C56 a_735_n150# a_447_n150# 0.10fF
-C57 a_n321_n150# a_63_n150# 0.07fF
-C58 a_n609_n150# a_n417_n150# 0.16fF
-C59 a_n33_n150# a_n417_n150# 0.07fF
-C60 a_n129_n150# a_n417_n150# 0.10fF
-C61 a_n513_n150# a_n321_n150# 0.16fF
-C62 a_n801_n150# a_n417_n150# 0.07fF
-C63 a_351_n150# a_159_n150# 0.16fF
-C64 a_n705_n150# a_n417_n150# 0.10fF
-C65 a_543_n150# a_927_n150# 0.07fF
-C66 a_927_n150# a_735_n150# 0.16fF
-C67 a_n225_n150# a_n417_n150# 0.16fF
-C68 a_255_n150# a_447_n150# 0.16fF
-C69 a_639_n150# a_351_n150# 0.10fF
-C70 a_159_n150# a_n33_n150# 0.16fF
-C71 a_n129_n150# a_159_n150# 0.10fF
-C72 a_n989_n150# a_n897_n150# 0.43fF
-C73 a_543_n150# a_831_n150# 0.10fF
-C74 a_735_n150# a_831_n150# 0.43fF
+C0 a_n801_n150# a_n513_n150# 0.10fF
+C1 a_351_n150# a_639_n150# 0.10fF
+C2 a_63_n150# a_n321_n150# 0.07fF
+C3 a_351_n150# a_159_n150# 0.16fF
+C4 a_n897_n150# a_n705_n150# 0.16fF
+C5 a_543_n150# a_735_n150# 0.16fF
+C6 a_639_n150# a_447_n150# 0.16fF
+C7 a_n609_n150# a_n705_n150# 0.43fF
+C8 a_n33_n150# a_n225_n150# 0.16fF
+C9 a_n33_n150# a_255_n150# 0.10fF
+C10 a_n417_n150# a_n705_n150# 0.10fF
+C11 a_159_n150# a_447_n150# 0.10fF
+C12 a_n897_n150# a_n513_n150# 0.07fF
+C13 a_n801_n150# a_n989_n150# 0.16fF
+C14 a_n609_n150# a_n513_n150# 0.43fF
+C15 a_255_n150# a_639_n150# 0.07fF
+C16 a_n609_n150# a_n225_n150# 0.07fF
+C17 a_n417_n150# a_n513_n150# 0.43fF
+C18 a_159_n150# a_n225_n150# 0.07fF
+C19 a_255_n150# a_159_n150# 0.43fF
+C20 a_n417_n150# a_n225_n150# 0.16fF
+C21 a_351_n150# a_63_n150# 0.10fF
+C22 a_n705_n150# a_n321_n150# 0.07fF
+C23 a_351_n150# a_543_n150# 0.16fF
+C24 a_351_n150# a_735_n150# 0.07fF
+C25 a_n897_n150# a_n801_n150# 0.43fF
+C26 a_n129_n150# a_n513_n150# 0.07fF
+C27 a_n609_n150# a_n801_n150# 0.16fF
+C28 a_n129_n150# a_n225_n150# 0.43fF
+C29 a_255_n150# a_n129_n150# 0.07fF
+C30 a_639_n150# a_831_n150# 0.16fF
+C31 a_n801_n150# a_n417_n150# 0.07fF
+C32 a_63_n150# a_447_n150# 0.07fF
+C33 a_n897_n150# a_n989_n150# 0.43fF
+C34 a_543_n150# a_447_n150# 0.43fF
+C35 a_735_n150# a_447_n150# 0.10fF
+C36 a_n609_n150# a_n989_n150# 0.07fF
+C37 a_n321_n150# a_n513_n150# 0.16fF
+C38 a_n321_n150# a_n225_n150# 0.43fF
+C39 a_n33_n150# a_159_n150# 0.16fF
+C40 a_n33_n150# a_n417_n150# 0.07fF
+C41 a_63_n150# a_n225_n150# 0.10fF
+C42 a_255_n150# a_63_n150# 0.16fF
+C43 a_255_n150# a_543_n150# 0.10fF
+C44 a_n609_n150# a_n897_n150# 0.10fF
+C45 a_831_n150# a_927_n150# 0.43fF
+C46 a_n33_n150# a_n129_n150# 0.43fF
+C47 a_n609_n150# a_n417_n150# 0.16fF
+C48 a_351_n150# a_447_n150# 0.43fF
+C49 a_n33_n150# a_n321_n150# 0.10fF
+C50 a_543_n150# a_831_n150# 0.10fF
+C51 a_831_n150# a_735_n150# 0.43fF
+C52 a_639_n150# a_927_n150# 0.10fF
+C53 a_33_n247# a_n927_n247# 0.09fF
+C54 a_n33_n150# a_63_n150# 0.43fF
+C55 a_159_n150# a_n129_n150# 0.10fF
+C56 a_351_n150# a_255_n150# 0.43fF
+C57 a_n417_n150# a_n129_n150# 0.10fF
+C58 a_n705_n150# a_n513_n150# 0.16fF
+C59 a_n609_n150# a_n321_n150# 0.10fF
+C60 a_n417_n150# a_n321_n150# 0.43fF
+C61 a_543_n150# a_639_n150# 0.43fF
+C62 a_255_n150# a_447_n150# 0.16fF
+C63 a_639_n150# a_735_n150# 0.43fF
+C64 a_63_n150# a_159_n150# 0.43fF
+C65 a_543_n150# a_159_n150# 0.07fF
+C66 a_n225_n150# a_n513_n150# 0.10fF
+C67 a_n801_n150# a_n705_n150# 0.43fF
+C68 a_n321_n150# a_n129_n150# 0.16fF
+C69 a_351_n150# a_n33_n150# 0.07fF
+C70 a_n989_n150# a_n705_n150# 0.10fF
+C71 a_63_n150# a_n129_n150# 0.16fF
+C72 a_831_n150# a_447_n150# 0.07fF
+C73 a_543_n150# a_927_n150# 0.07fF
+C74 a_735_n150# a_927_n150# 0.16fF
 C75 a_927_n150# VSUBS 0.03fF
 C76 a_831_n150# VSUBS 0.03fF
 C77 a_735_n150# VSUBS 0.03fF
@@ -660,15 +660,15 @@
 X8 a_1403_n44# a_n1761_n132# a_1045_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
 X9 a_n1461_n44# a_n1761_n132# a_n1819_n44# w_n1957_n254# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=750000u l=1.5e+06u
 C0 a_n387_n44# a_n29_n44# 0.04fF
-C1 a_n1103_n44# a_n1461_n44# 0.04fF
-C2 a_687_n44# a_329_n44# 0.04fF
-C3 a_n387_n44# a_n745_n44# 0.04fF
+C1 a_329_n44# a_n29_n44# 0.04fF
+C2 a_n1461_n44# a_n1103_n44# 0.04fF
+C3 a_n1461_n44# a_n1819_n44# 0.04fF
 C4 a_1045_n44# a_687_n44# 0.04fF
-C5 a_1403_n44# a_1045_n44# 0.04fF
+C5 a_n745_n44# a_n1103_n44# 0.04fF
 C6 a_1403_n44# a_1761_n44# 0.04fF
-C7 a_n29_n44# a_329_n44# 0.04fF
-C8 a_n1103_n44# a_n745_n44# 0.04fF
-C9 a_n1819_n44# a_n1461_n44# 0.04fF
+C7 a_1403_n44# a_1045_n44# 0.04fF
+C8 a_n745_n44# a_n387_n44# 0.04fF
+C9 a_329_n44# a_687_n44# 0.04fF
 C10 a_1761_n44# w_n1957_n254# 0.04fF
 C11 a_1403_n44# w_n1957_n254# 0.04fF
 C12 a_1045_n44# w_n1957_n254# 0.04fF
@@ -713,104 +713,104 @@
 X22 a_n849_n150# a_n1167_n247# a_n945_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
 X23 a_207_n150# a_n1167_n247# a_111_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
 X24 a_n177_n150# a_n1167_n247# a_n273_n150# w_n1367_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
-C0 a_15_n150# a_207_n150# 0.16fF
-C1 a_303_n150# a_399_n150# 0.43fF
-C2 a_n1229_n150# a_n849_n150# 0.07fF
-C3 a_15_n150# a_399_n150# 0.07fF
-C4 a_n945_n150# a_n657_n150# 0.10fF
-C5 a_1167_n150# a_975_n150# 0.16fF
-C6 a_n561_n150# a_n465_n150# 0.43fF
-C7 a_n945_n150# a_n849_n150# 0.43fF
-C8 a_303_n150# a_687_n150# 0.07fF
-C9 a_1167_n150# w_n1367_n369# 0.14fF
-C10 a_n657_n150# a_n849_n150# 0.16fF
-C11 a_n945_n150# a_n753_n150# 0.16fF
-C12 a_1167_n150# a_1071_n150# 0.43fF
-C13 a_n81_n150# a_n177_n150# 0.43fF
-C14 a_111_n150# a_207_n150# 0.43fF
-C15 a_n753_n150# a_n657_n150# 0.43fF
-C16 a_303_n150# a_591_n150# 0.10fF
-C17 a_n753_n150# a_n849_n150# 0.43fF
-C18 a_399_n150# a_111_n150# 0.10fF
-C19 a_n465_n150# a_n369_n150# 0.43fF
-C20 a_n1229_n150# a_n1041_n150# 0.16fF
-C21 a_303_n150# a_495_n150# 0.16fF
-C22 a_n273_n150# a_n657_n150# 0.07fF
-C23 a_n945_n150# a_n1041_n150# 0.43fF
-C24 a_n273_n150# a_n177_n150# 0.43fF
-C25 a_n273_n150# a_n81_n150# 0.16fF
-C26 a_n1041_n150# a_n657_n150# 0.07fF
-C27 a_n945_n150# a_n561_n150# 0.07fF
-C28 a_303_n150# a_n81_n150# 0.07fF
-C29 a_n1041_n150# a_n849_n150# 0.16fF
-C30 a_n177_n150# a_15_n150# 0.16fF
-C31 a_879_n150# a_783_n150# 0.43fF
-C32 a_n81_n150# a_15_n150# 0.43fF
-C33 a_n561_n150# a_n657_n150# 0.43fF
-C34 a_n561_n150# a_n849_n150# 0.10fF
-C35 a_n561_n150# a_n177_n150# 0.07fF
+C0 a_n849_n150# a_n1137_n150# 0.10fF
+C1 a_n81_n150# a_n273_n150# 0.16fF
+C2 a_n1229_n150# a_n945_n150# 0.10fF
+C3 a_207_n150# a_303_n150# 0.43fF
+C4 a_15_n150# a_n369_n150# 0.07fF
+C5 a_399_n150# a_591_n150# 0.16fF
+C6 a_495_n150# a_303_n150# 0.16fF
+C7 a_111_n150# a_15_n150# 0.43fF
+C8 a_n945_n150# a_n753_n150# 0.16fF
+C9 a_n849_n150# a_n561_n150# 0.10fF
+C10 a_n945_n150# a_n1137_n150# 0.16fF
+C11 a_n657_n150# a_n753_n150# 0.43fF
+C12 a_1071_n150# a_783_n150# 0.10fF
+C13 a_n465_n150# a_n753_n150# 0.10fF
+C14 a_1071_n150# a_1167_n150# 0.43fF
+C15 a_n81_n150# a_207_n150# 0.10fF
+C16 a_591_n150# a_303_n150# 0.10fF
+C17 a_n945_n150# a_n561_n150# 0.07fF
+C18 a_1071_n150# a_975_n150# 0.43fF
+C19 a_n657_n150# a_n561_n150# 0.43fF
+C20 a_n657_n150# a_n273_n150# 0.07fF
+C21 a_207_n150# a_495_n150# 0.10fF
+C22 a_n465_n150# a_n561_n150# 0.43fF
+C23 a_495_n150# a_783_n150# 0.10fF
+C24 a_399_n150# a_687_n150# 0.10fF
+C25 a_n465_n150# a_n273_n150# 0.16fF
+C26 a_783_n150# a_1167_n150# 0.07fF
+C27 a_111_n150# a_399_n150# 0.10fF
+C28 a_1071_n150# w_n1367_n369# 0.07fF
+C29 a_n753_n150# a_n369_n150# 0.07fF
+C30 a_n1229_n150# a_n1041_n150# 0.16fF
+C31 a_399_n150# a_15_n150# 0.07fF
+C32 a_975_n150# a_783_n150# 0.16fF
+C33 a_n177_n150# a_n561_n150# 0.07fF
+C34 a_n177_n150# a_n273_n150# 0.43fF
+C35 a_591_n150# a_207_n150# 0.07fF
 C36 a_n1041_n150# a_n753_n150# 0.10fF
-C37 a_n1229_n150# a_n1137_n150# 0.43fF
-C38 a_495_n150# a_111_n150# 0.07fF
-C39 a_n561_n150# a_n753_n150# 0.16fF
-C40 a_399_n150# a_783_n150# 0.07fF
-C41 a_n945_n150# a_n1137_n150# 0.16fF
-C42 a_399_n150# a_207_n150# 0.16fF
-C43 a_n273_n150# a_15_n150# 0.10fF
-C44 a_975_n150# a_879_n150# 0.43fF
-C45 a_n177_n150# a_111_n150# 0.10fF
-C46 a_303_n150# a_15_n150# 0.10fF
-C47 a_687_n150# a_879_n150# 0.16fF
-C48 a_n273_n150# a_n561_n150# 0.10fF
-C49 a_n81_n150# a_111_n150# 0.16fF
-C50 a_n657_n150# a_n369_n150# 0.10fF
-C51 a_n849_n150# a_n1137_n150# 0.10fF
-C52 a_975_n150# a_783_n150# 0.16fF
-C53 a_879_n150# w_n1367_n369# 0.04fF
-C54 a_687_n150# a_783_n150# 0.43fF
-C55 a_n177_n150# a_n369_n150# 0.16fF
-C56 a_n81_n150# a_n369_n150# 0.10fF
-C57 a_879_n150# a_1071_n150# 0.16fF
-C58 a_n753_n150# a_n1137_n150# 0.07fF
-C59 a_687_n150# a_399_n150# 0.10fF
-C60 a_879_n150# a_591_n150# 0.10fF
-C61 a_n753_n150# a_n369_n150# 0.07fF
-C62 a_1071_n150# a_783_n150# 0.10fF
-C63 a_n273_n150# a_111_n150# 0.07fF
-C64 a_591_n150# a_783_n150# 0.16fF
-C65 a_879_n150# a_495_n150# 0.07fF
-C66 a_207_n150# a_591_n150# 0.07fF
-C67 a_303_n150# a_111_n150# 0.16fF
-C68 a_n273_n150# a_n369_n150# 0.43fF
-C69 a_975_n150# a_687_n150# 0.10fF
-C70 a_495_n150# a_783_n150# 0.10fF
-C71 a_399_n150# a_591_n150# 0.16fF
-C72 a_15_n150# a_111_n150# 0.43fF
-C73 a_495_n150# a_207_n150# 0.10fF
-C74 a_975_n150# w_n1367_n369# 0.05fF
-C75 a_n1041_n150# a_n1137_n150# 0.43fF
-C76 a_399_n150# a_495_n150# 0.43fF
-C77 a_15_n150# a_n369_n150# 0.07fF
-C78 a_n657_n150# a_n465_n150# 0.16fF
-C79 a_975_n150# a_1071_n150# 0.43fF
-C80 a_n177_n150# a_207_n150# 0.07fF
-C81 a_687_n150# a_1071_n150# 0.07fF
-C82 a_975_n150# a_591_n150# 0.07fF
-C83 a_n849_n150# a_n465_n150# 0.07fF
-C84 a_n81_n150# a_207_n150# 0.10fF
-C85 a_n177_n150# a_n465_n150# 0.10fF
-C86 a_687_n150# a_591_n150# 0.43fF
-C87 a_n561_n150# a_n369_n150# 0.16fF
-C88 a_n81_n150# a_n465_n150# 0.07fF
-C89 w_n1367_n369# a_1071_n150# 0.07fF
-C90 a_687_n150# a_495_n150# 0.16fF
-C91 a_n753_n150# a_n465_n150# 0.10fF
-C92 a_1167_n150# a_879_n150# 0.10fF
-C93 a_1167_n150# a_783_n150# 0.07fF
-C94 a_n273_n150# a_n465_n150# 0.16fF
-C95 a_n945_n150# a_n1229_n150# 0.10fF
-C96 a_303_n150# a_207_n150# 0.43fF
-C97 a_495_n150# a_591_n150# 0.43fF
+C37 a_975_n150# a_1167_n150# 0.16fF
+C38 a_n81_n150# a_n465_n150# 0.07fF
+C39 a_591_n150# a_783_n150# 0.16fF
+C40 a_687_n150# a_303_n150# 0.07fF
+C41 a_591_n150# a_495_n150# 0.43fF
+C42 a_n1041_n150# a_n1137_n150# 0.43fF
+C43 a_1071_n150# a_879_n150# 0.16fF
+C44 a_n369_n150# a_n561_n150# 0.16fF
+C45 a_n849_n150# a_n945_n150# 0.43fF
+C46 a_111_n150# a_303_n150# 0.16fF
+C47 a_n369_n150# a_n273_n150# 0.43fF
+C48 a_n657_n150# a_n849_n150# 0.16fF
+C49 a_111_n150# a_n273_n150# 0.07fF
+C50 w_n1367_n369# a_1167_n150# 0.14fF
+C51 a_1071_n150# a_687_n150# 0.07fF
+C52 a_15_n150# a_303_n150# 0.10fF
+C53 a_n849_n150# a_n465_n150# 0.07fF
+C54 a_591_n150# a_975_n150# 0.07fF
+C55 a_n81_n150# a_n177_n150# 0.43fF
+C56 a_15_n150# a_n273_n150# 0.10fF
+C57 w_n1367_n369# a_975_n150# 0.05fF
+C58 a_207_n150# a_n177_n150# 0.07fF
+C59 a_n657_n150# a_n945_n150# 0.10fF
+C60 a_783_n150# a_879_n150# 0.43fF
+C61 a_495_n150# a_879_n150# 0.07fF
+C62 a_n81_n150# a_n369_n150# 0.10fF
+C63 a_111_n150# a_n81_n150# 0.16fF
+C64 a_1167_n150# a_879_n150# 0.10fF
+C65 a_783_n150# a_687_n150# 0.43fF
+C66 a_n657_n150# a_n465_n150# 0.16fF
+C67 a_495_n150# a_687_n150# 0.16fF
+C68 a_n1229_n150# a_n1137_n150# 0.43fF
+C69 a_111_n150# a_207_n150# 0.43fF
+C70 a_n81_n150# a_15_n150# 0.43fF
+C71 a_111_n150# a_495_n150# 0.07fF
+C72 a_975_n150# a_879_n150# 0.43fF
+C73 a_207_n150# a_15_n150# 0.16fF
+C74 a_n753_n150# a_n1137_n150# 0.07fF
+C75 a_591_n150# a_879_n150# 0.10fF
+C76 a_975_n150# a_687_n150# 0.10fF
+C77 a_399_n150# a_303_n150# 0.43fF
+C78 a_n849_n150# a_n1041_n150# 0.16fF
+C79 w_n1367_n369# a_879_n150# 0.04fF
+C80 a_n465_n150# a_n177_n150# 0.10fF
+C81 a_591_n150# a_687_n150# 0.43fF
+C82 a_n753_n150# a_n561_n150# 0.16fF
+C83 a_n657_n150# a_n369_n150# 0.10fF
+C84 a_n1041_n150# a_n945_n150# 0.43fF
+C85 a_n465_n150# a_n369_n150# 0.43fF
+C86 a_n657_n150# a_n1041_n150# 0.07fF
+C87 a_n273_n150# a_n561_n150# 0.10fF
+C88 a_399_n150# a_207_n150# 0.16fF
+C89 a_687_n150# a_879_n150# 0.16fF
+C90 a_399_n150# a_783_n150# 0.07fF
+C91 a_n369_n150# a_n177_n150# 0.16fF
+C92 a_399_n150# a_495_n150# 0.43fF
+C93 a_111_n150# a_n177_n150# 0.10fF
+C94 a_n1229_n150# a_n849_n150# 0.07fF
+C95 a_15_n150# a_n177_n150# 0.16fF
+C96 a_n81_n150# a_303_n150# 0.07fF
+C97 a_n849_n150# a_n753_n150# 0.43fF
 C98 a_1167_n150# VSUBS 0.03fF
 C99 a_1071_n150# VSUBS 0.03fF
 C100 a_975_n150# VSUBS 0.03fF
@@ -841,8 +841,7 @@
 C125 w_n1367_n369# VSUBS 7.85fF
 .ends
 
-.subckt charge_pump nswitch pswitch vdd nUp vss Down biasp w_2544_775# out iref nDown
-+ Up w_6648_570#
+.subckt charge_pump nswitch vdd nUp vss Down biasp out pswitch iref nDown Up
 Xsky130_fd_pr__pfet_01v8_4ML9WA_0 vss pswitch vdd pswitch pswitch pswitch nUp pswitch
 + pswitch pswitch pswitch pswitch pswitch pswitch sky130_fd_pr__pfet_01v8_4ML9WA
 Xsky130_fd_pr__nfet_01v8_YCGG98_0 vss out out vss vss vss out out vss vss out vss
@@ -865,25 +864,25 @@
 Xsky130_fd_pr__pfet_01v8_ND88ZC_1 vss biasp vdd vdd vdd vdd biasp vdd biasp vdd biasp
 + biasp biasp biasp vdd vdd biasp biasp vdd vdd biasp biasp biasp vdd vdd vdd vdd
 + biasp biasp sky130_fd_pr__pfet_01v8_ND88ZC
-C0 Up nUp 0.15fF
-C1 vdd nswitch 0.07fF
-C2 out nswitch 1.28fF
-C3 vdd out 6.66fF
-C4 nUp Down 0.25fF
-C5 pswitch Up 0.70fF
-C6 biasp iref 0.80fF
-C7 nDown Down 0.13fF
-C8 pswitch nUp 5.66fF
-C9 pswitch biasp 3.11fF
-C10 Down nswitch 2.27fF
-C11 nswitch biasp 0.03fF
-C12 nUp out 0.31fF
-C13 vdd biasp 2.64fF
-C14 nswitch iref 1.91fF
-C15 nDown nswitch 0.31fF
-C16 pswitch nswitch 0.06fF
-C17 pswitch vdd 3.98fF
-C18 pswitch out 4.91fF
+C0 out pswitch 4.91fF
+C1 nswitch vdd 0.07fF
+C2 out nUp 0.31fF
+C3 out vdd 6.66fF
+C4 Down nDown 0.13fF
+C5 nswitch out 1.28fF
+C6 iref biasp 0.80fF
+C7 pswitch biasp 3.11fF
+C8 pswitch Up 0.70fF
+C9 Down nUp 0.25fF
+C10 nswitch Down 2.27fF
+C11 pswitch nUp 5.66fF
+C12 Up nUp 0.15fF
+C13 biasp vdd 2.64fF
+C14 iref nswitch 1.91fF
+C15 pswitch vdd 3.98fF
+C16 nswitch biasp 0.03fF
+C17 nswitch pswitch 0.06fF
+C18 nswitch nDown 0.31fF
 C19 vdd vss 35.71fF
 C20 Down vss 4.77fF
 C21 Up vss 1.17fF
@@ -902,17 +901,17 @@
 X1 a_15_n125# a_n15_n156# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X2 a_111_n125# a_81_n156# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 C0 a_15_n125# a_n173_n125# 0.13fF
-C1 w_n311_n344# a_111_n125# 0.14fF
-C2 a_81_n156# a_n15_n156# 0.02fF
-C3 a_n81_n125# a_15_n125# 0.36fF
-C4 a_15_n125# a_111_n125# 0.36fF
-C5 a_n81_n125# a_n173_n125# 0.36fF
-C6 a_n173_n125# a_111_n125# 0.08fF
-C7 a_15_n125# w_n311_n344# 0.09fF
-C8 a_n81_n125# a_111_n125# 0.13fF
-C9 a_n111_n156# a_n15_n156# 0.02fF
-C10 a_n173_n125# w_n311_n344# 0.14fF
-C11 a_n81_n125# w_n311_n344# 0.09fF
+C1 a_n111_n156# a_n15_n156# 0.02fF
+C2 a_n81_n125# w_n311_n344# 0.09fF
+C3 a_111_n125# a_n81_n125# 0.13fF
+C4 a_n173_n125# a_n81_n125# 0.36fF
+C5 a_81_n156# a_n15_n156# 0.02fF
+C6 a_15_n125# a_n81_n125# 0.36fF
+C7 a_111_n125# w_n311_n344# 0.14fF
+C8 a_n173_n125# w_n311_n344# 0.14fF
+C9 a_111_n125# a_n173_n125# 0.08fF
+C10 a_15_n125# w_n311_n344# 0.09fF
+C11 a_15_n125# a_111_n125# 0.36fF
 C12 a_111_n125# VSUBS 0.03fF
 C13 a_15_n125# VSUBS 0.03fF
 C14 a_n81_n125# VSUBS 0.03fF
@@ -928,14 +927,14 @@
 X0 a_111_n125# a_81_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X2 a_15_n125# a_n15_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
-C0 a_n173_n125# a_111_n125# 0.08fF
-C1 a_15_n125# a_111_n125# 0.36fF
-C2 a_81_n151# a_n15_n151# 0.02fF
-C3 a_n111_n151# a_n15_n151# 0.02fF
-C4 a_n173_n125# a_15_n125# 0.13fF
-C5 a_n81_n125# a_111_n125# 0.13fF
-C6 a_n173_n125# a_n81_n125# 0.36fF
-C7 a_n81_n125# a_15_n125# 0.36fF
+C0 a_n15_n151# a_81_n151# 0.02fF
+C1 a_15_n125# a_n81_n125# 0.36fF
+C2 a_n111_n151# a_n15_n151# 0.02fF
+C3 a_n173_n125# a_111_n125# 0.08fF
+C4 a_n173_n125# a_n81_n125# 0.36fF
+C5 a_n173_n125# a_15_n125# 0.13fF
+C6 a_n81_n125# a_111_n125# 0.13fF
+C7 a_15_n125# a_111_n125# 0.36fF
 C8 a_111_n125# w_n311_n335# 0.17fF
 C9 a_15_n125# w_n311_n335# 0.12fF
 C10 a_n81_n125# w_n311_n335# 0.12fF
@@ -950,9 +949,9 @@
 + vss vss m1_187_n605# sky130_fd_pr__pfet_01v8_4798MH
 Xsky130_fd_pr__nfet_01v8_BHR94T_0 vdd vss vdd m1_187_n605# m1_45_n513# m1_45_n513#
 + vdd m1_187_n605# sky130_fd_pr__nfet_01v8_BHR94T
-C0 m1_187_n605# m1_45_n513# 0.36fF
+C0 vdd m1_45_n513# 0.69fF
 C1 m1_187_n605# vdd 0.55fF
-C2 vdd m1_45_n513# 0.69fF
+C2 m1_187_n605# m1_45_n513# 0.36fF
 C3 m1_187_n605# vss 0.93fF
 C4 m1_45_n513# vss 1.31fF
 C5 vdd vss 3.36fF
@@ -963,16 +962,16 @@
 X0 a_n81_n125# a_n111_n186# a_n173_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X1 a_15_n125# a_n111_n186# a_n81_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X2 a_111_n125# a_n111_n186# a_15_n125# w_n311_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
-C0 a_n173_n125# a_15_n125# 0.13fF
-C1 w_n311_n344# a_n173_n125# 0.14fF
-C2 a_n81_n125# a_111_n125# 0.13fF
-C3 w_n311_n344# a_15_n125# 0.09fF
-C4 a_n81_n125# a_n173_n125# 0.36fF
-C5 a_111_n125# a_n173_n125# 0.08fF
-C6 a_n81_n125# a_15_n125# 0.36fF
-C7 a_n81_n125# w_n311_n344# 0.09fF
-C8 a_111_n125# a_15_n125# 0.36fF
-C9 a_111_n125# w_n311_n344# 0.14fF
+C0 a_15_n125# a_n173_n125# 0.13fF
+C1 a_111_n125# w_n311_n344# 0.14fF
+C2 a_n81_n125# a_n173_n125# 0.36fF
+C3 a_111_n125# a_15_n125# 0.36fF
+C4 a_15_n125# w_n311_n344# 0.09fF
+C5 a_111_n125# a_n81_n125# 0.13fF
+C6 a_n81_n125# w_n311_n344# 0.09fF
+C7 a_111_n125# a_n173_n125# 0.08fF
+C8 w_n311_n344# a_n173_n125# 0.14fF
+C9 a_15_n125# a_n81_n125# 0.36fF
 C10 a_111_n125# VSUBS 0.03fF
 C11 a_15_n125# VSUBS 0.03fF
 C12 a_n81_n125# VSUBS 0.03fF
@@ -986,12 +985,12 @@
 X0 a_111_n125# a_n111_n151# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X1 a_n81_n125# a_n111_n151# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X2 a_15_n125# a_n111_n151# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
-C0 a_n81_n125# a_111_n125# 0.13fF
-C1 a_15_n125# a_n173_n125# 0.13fF
+C0 a_111_n125# a_n81_n125# 0.13fF
+C1 a_111_n125# a_n173_n125# 0.08fF
 C2 a_15_n125# a_n81_n125# 0.36fF
-C3 a_n81_n125# a_n173_n125# 0.36fF
-C4 a_15_n125# a_111_n125# 0.36fF
-C5 a_111_n125# a_n173_n125# 0.08fF
+C3 a_15_n125# a_n173_n125# 0.13fF
+C4 a_n173_n125# a_n81_n125# 0.36fF
+C5 a_111_n125# a_15_n125# 0.36fF
 C6 a_111_n125# w_n311_n335# 0.17fF
 C7 a_15_n125# w_n311_n335# 0.12fF
 C8 a_n81_n125# w_n311_n335# 0.12fF
@@ -1002,8 +1001,8 @@
 .subckt inverter_cp_x1 out in vss vdd
 Xsky130_fd_pr__pfet_01v8_7KT7MH_0 vss in out vdd vdd vdd out sky130_fd_pr__pfet_01v8_7KT7MH
 Xsky130_fd_pr__nfet_01v8_2BS6QM_0 vss out vss vss in out sky130_fd_pr__nfet_01v8_2BS6QM
-C0 out in 0.32fF
-C1 out vdd 0.10fF
+C0 vdd out 0.10fF
+C1 in out 0.32fF
 C2 out vss 0.77fF
 C3 in vss 0.95fF
 C4 vdd vss 3.13fF
@@ -1015,19 +1014,19 @@
 Xinverter_cp_x1_0 inverter_cp_x1_0/out CLK vss vdd inverter_cp_x1
 Xinverter_cp_x1_1 inverter_cp_x1_2/in CLK vss vdd inverter_cp_x1
 Xinverter_cp_x1_2 CLK_d inverter_cp_x1_2/in vss vdd inverter_cp_x1
-C0 inverter_cp_x1_2/in CLK_d 0.12fF
+C0 vdd CLK_d 0.03fF
 C1 nCLK_d vdd 0.03fF
-C2 CLK_d vdd 0.03fF
-C3 inverter_cp_x1_2/in CLK 0.31fF
-C4 inverter_cp_x1_2/in vdd 0.21fF
-C5 CLK vdd 0.36fF
-C6 inverter_cp_x1_0/out nCLK_d 0.11fF
-C7 inverter_cp_x1_0/out CLK 0.31fF
-C8 inverter_cp_x1_0/out vdd 0.28fF
+C2 vdd inverter_cp_x1_2/in 0.21fF
+C3 CLK inverter_cp_x1_2/in 0.31fF
+C4 CLK_d inverter_cp_x1_2/in 0.12fF
+C5 vdd inverter_cp_x1_0/out 0.28fF
+C6 CLK inverter_cp_x1_0/out 0.31fF
+C7 nCLK_d inverter_cp_x1_0/out 0.11fF
+C8 vdd CLK 0.36fF
 C9 CLK_d vss 0.96fF
 C10 inverter_cp_x1_2/in vss 2.01fF
-C11 CLK vss 3.03fF
-C12 inverter_cp_x1_0/out vss 1.97fF
+C11 inverter_cp_x1_0/out vss 1.97fF
+C12 CLK vss 3.03fF
 C13 nCLK_d vss 1.44fF
 C14 vdd vss 16.51fF
 .ends
@@ -1036,12 +1035,12 @@
 + a_n63_n192#
 X0 a_63_n95# a_n63_n192# a_n33_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
 X1 a_n33_n95# a_n63_n192# a_n125_n95# w_n263_n314# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
-C0 a_63_n95# a_n125_n95# 0.10fF
-C1 a_63_n95# w_n263_n314# 0.11fF
-C2 a_n125_n95# a_n33_n95# 0.28fF
-C3 w_n263_n314# a_n33_n95# 0.08fF
-C4 a_63_n95# a_n33_n95# 0.28fF
-C5 w_n263_n314# a_n125_n95# 0.11fF
+C0 a_n33_n95# a_n125_n95# 0.28fF
+C1 a_n33_n95# w_n263_n314# 0.08fF
+C2 a_63_n95# a_n33_n95# 0.28fF
+C3 a_n125_n95# w_n263_n314# 0.11fF
+C4 a_63_n95# a_n125_n95# 0.10fF
+C5 a_63_n95# w_n263_n314# 0.11fF
 C6 a_63_n95# VSUBS 0.03fF
 C7 a_n33_n95# VSUBS 0.03fF
 C8 a_n125_n95# VSUBS 0.03fF
@@ -1054,16 +1053,16 @@
 X0 a_111_n125# a_n129_n213# a_15_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X1 a_n81_n125# a_n129_n213# a_n173_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X2 a_15_n125# a_n129_n213# a_n81_n125# w_n311_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
-C0 a_111_n125# a_n173_n125# 0.08fF
-C1 a_111_n125# a_n81_n125# 0.13fF
-C2 a_111_n125# a_n129_n213# 0.01fF
-C3 a_n173_n125# a_15_n125# 0.13fF
-C4 a_n81_n125# a_15_n125# 0.36fF
-C5 a_n129_n213# a_15_n125# 0.10fF
-C6 a_111_n125# a_15_n125# 0.36fF
-C7 a_n173_n125# a_n81_n125# 0.36fF
-C8 a_n129_n213# a_n173_n125# 0.02fF
-C9 a_n129_n213# a_n81_n125# 0.10fF
+C0 a_n173_n125# a_n81_n125# 0.36fF
+C1 a_n173_n125# a_n129_n213# 0.02fF
+C2 a_111_n125# a_n173_n125# 0.08fF
+C3 a_15_n125# a_n81_n125# 0.36fF
+C4 a_15_n125# a_n129_n213# 0.10fF
+C5 a_111_n125# a_15_n125# 0.36fF
+C6 a_n173_n125# a_15_n125# 0.13fF
+C7 a_n81_n125# a_n129_n213# 0.10fF
+C8 a_111_n125# a_n81_n125# 0.13fF
+C9 a_111_n125# a_n129_n213# 0.01fF
 C10 a_111_n125# w_n311_n335# 0.05fF
 C11 a_15_n125# w_n311_n335# 0.05fF
 C12 a_n81_n125# w_n311_n335# 0.05fF
@@ -1075,8 +1074,8 @@
 X0 a_n33_n95# a_n81_n183# a_n125_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
 X1 a_n125_n95# a_n81_n183# a_n33_n95# w_n263_n305# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=950000u l=150000u
 C0 a_n125_n95# a_n33_n95# 0.88fF
-C1 a_n81_n183# a_n125_n95# 0.16fF
-C2 a_n81_n183# a_n33_n95# 0.10fF
+C1 a_n125_n95# a_n81_n183# 0.16fF
+C2 a_n33_n95# a_n81_n183# 0.10fF
 C3 a_n33_n95# w_n263_n305# 0.07fF
 C4 a_n125_n95# w_n263_n305# 0.13fF
 C5 a_n81_n183# w_n263_n305# 0.31fF
@@ -1088,27 +1087,27 @@
 Xsky130_fd_pr__nfet_01v8_2BS854_0 vss CLK vss m1_657_280# m1_657_280# vss sky130_fd_pr__nfet_01v8_2BS854
 Xsky130_fd_pr__nfet_01v8_KU9PSX_0 m1_657_280# Q nD vss sky130_fd_pr__nfet_01v8_KU9PSX
 Xsky130_fd_pr__nfet_01v8_KU9PSX_1 m1_657_280# nQ D vss sky130_fd_pr__nfet_01v8_KU9PSX
-C0 m1_657_280# CLK 0.24fF
-C1 Q m1_657_280# 0.94fF
+C0 CLK m1_657_280# 0.24fF
+C1 vdd Q 0.16fF
 C2 Q nD 0.05fF
-C3 m1_657_280# nQ 1.41fF
-C4 nD nQ 0.05fF
-C5 Q vdd 0.16fF
-C6 Q D 0.05fF
-C7 vdd nQ 0.16fF
-C8 D nQ 0.05fF
-C9 Q nQ 0.93fF
-C10 D vss 0.53fF
-C11 m1_657_280# vss 1.88fF
-C12 nD vss 0.16fF
-C13 CLK vss 0.87fF
-C14 Q vss -0.55fF
-C15 nQ vss 1.16fF
+C3 D Q 0.05fF
+C4 nQ m1_657_280# 1.41fF
+C5 vdd nQ 0.16fF
+C6 nQ nD 0.05fF
+C7 D nQ 0.05fF
+C8 Q nQ 0.93fF
+C9 Q m1_657_280# 0.94fF
+C10 nQ vss 1.16fF
+C11 D vss 0.53fF
+C12 Q vss -0.55fF
+C13 m1_657_280# vss 1.88fF
+C14 nD vss 0.16fF
+C15 CLK vss 0.87fF
 C16 vdd vss 5.98fF
 .ends
 
 .subckt DFlipFlop latch_diff_0/m1_657_280# vss latch_diff_1/D clock_inverter_0/inverter_cp_x1_2/in
-+ nQ Q D latch_diff_1/m1_657_280# latch_diff_0/D latch_diff_1/nD vdd CLK clock_inverter_0/inverter_cp_x1_0/out
++ nQ Q latch_diff_1/nD D latch_diff_1/m1_657_280# latch_diff_0/D vdd CLK clock_inverter_0/inverter_cp_x1_0/out
 + nCLK latch_diff_0/nD
 Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in D vdd clock_inverter_0/inverter_cp_x1_0/out
 + latch_diff_0/D latch_diff_0/nD clock_inverter
@@ -1116,37 +1115,37 @@
 + latch_diff_0/nD latch_diff_0/D latch_diff
 Xlatch_diff_1 latch_diff_1/m1_657_280# nQ Q vss nCLK vdd latch_diff_1/nD latch_diff_1/D
 + latch_diff
-C0 latch_diff_1/D latch_diff_0/D 0.11fF
-C1 latch_diff_1/D latch_diff_1/m1_657_280# 0.32fF
+C0 nQ latch_diff_1/nD 0.08fF
+C1 latch_diff_1/D latch_diff_1/nD 0.33fF
 C2 vdd latch_diff_0/D 0.09fF
-C3 Q latch_diff_1/nD 0.01fF
-C4 latch_diff_1/nD latch_diff_0/m1_657_280# 0.14fF
-C5 latch_diff_1/D latch_diff_1/nD 0.33fF
-C6 latch_diff_1/D latch_diff_0/m1_657_280# 0.43fF
-C7 nQ latch_diff_1/nD 0.08fF
-C8 vdd latch_diff_1/nD 0.02fF
-C9 vdd clock_inverter_0/inverter_cp_x1_0/out 0.03fF
-C10 latch_diff_1/D nQ 0.11fF
-C11 latch_diff_0/nD latch_diff_0/m1_657_280# 0.38fF
-C12 latch_diff_1/D vdd 0.03fF
-C13 latch_diff_1/D latch_diff_0/nD 0.41fF
-C14 latch_diff_1/nD latch_diff_0/D 0.04fF
-C15 latch_diff_1/m1_657_280# latch_diff_1/nD 0.42fF
-C16 vdd latch_diff_0/nD 0.14fF
-C17 latch_diff_0/m1_657_280# latch_diff_0/D 0.37fF
-C18 latch_diff_1/m1_657_280# latch_diff_0/m1_657_280# 0.18fF
-C19 latch_diff_1/m1_657_280# vss 0.64fF
-C20 nCLK vss 0.83fF
-C21 Q vss -0.92fF
-C22 nQ vss 0.57fF
-C23 latch_diff_0/m1_657_280# vss 0.72fF
-C24 CLK vss 0.83fF
-C25 latch_diff_1/D vss -0.30fF
-C26 latch_diff_1/nD vss 1.83fF
+C3 latch_diff_1/D latch_diff_0/m1_657_280# 0.43fF
+C4 clock_inverter_0/inverter_cp_x1_0/out vdd 0.03fF
+C5 latch_diff_1/D latch_diff_1/m1_657_280# 0.32fF
+C6 latch_diff_0/D latch_diff_1/nD 0.04fF
+C7 latch_diff_0/D latch_diff_0/m1_657_280# 0.37fF
+C8 nQ latch_diff_1/D 0.11fF
+C9 vdd latch_diff_0/nD 0.14fF
+C10 latch_diff_0/nD latch_diff_0/m1_657_280# 0.38fF
+C11 latch_diff_1/D latch_diff_0/D 0.11fF
+C12 latch_diff_0/nD latch_diff_1/D 0.41fF
+C13 vdd latch_diff_1/nD 0.02fF
+C14 latch_diff_1/nD latch_diff_0/m1_657_280# 0.14fF
+C15 Q latch_diff_1/nD 0.01fF
+C16 latch_diff_1/m1_657_280# latch_diff_1/nD 0.42fF
+C17 latch_diff_1/m1_657_280# latch_diff_0/m1_657_280# 0.18fF
+C18 vdd latch_diff_1/D 0.03fF
+C19 nQ vss 0.57fF
+C20 Q vss -0.92fF
+C21 latch_diff_1/m1_657_280# vss 0.64fF
+C22 nCLK vss 0.83fF
+C23 latch_diff_1/nD vss 1.83fF
+C24 latch_diff_1/D vss -0.30fF
+C25 latch_diff_0/m1_657_280# vss 0.72fF
+C26 CLK vss 0.83fF
 C27 latch_diff_0/D vss 1.29fF
 C28 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
-C29 D vss 3.27fF
-C30 clock_inverter_0/inverter_cp_x1_0/out vss 1.84fF
+C29 clock_inverter_0/inverter_cp_x1_0/out vss 1.84fF
+C30 D vss 3.27fF
 C31 latch_diff_0/nD vss 1.74fF
 C32 vdd vss 32.62fF
 .ends
@@ -1157,24 +1156,24 @@
 X1 a_63_n84# a_33_n110# a_n33_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
 X2 a_n33_n84# a_n63_n110# a_n129_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
 X3 a_159_n84# a_129_n110# a_63_n84# w_n359_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
-C0 a_63_n84# a_159_n84# 0.24fF
-C1 a_n129_n84# a_63_n84# 0.09fF
-C2 a_129_n110# a_33_n110# 0.02fF
-C3 a_n33_n84# a_63_n84# 0.24fF
-C4 w_n359_n303# a_159_n84# 0.08fF
-C5 w_n359_n303# a_n129_n84# 0.06fF
-C6 w_n359_n303# a_n33_n84# 0.05fF
-C7 a_n221_n84# a_159_n84# 0.04fF
-C8 a_n129_n84# a_n221_n84# 0.24fF
-C9 a_n33_n84# a_n221_n84# 0.09fF
-C10 w_n359_n303# a_63_n84# 0.06fF
-C11 a_33_n110# a_n63_n110# 0.02fF
-C12 a_n129_n84# a_159_n84# 0.05fF
-C13 a_n159_n110# a_n63_n110# 0.02fF
-C14 a_n33_n84# a_159_n84# 0.09fF
-C15 a_63_n84# a_n221_n84# 0.05fF
-C16 a_n33_n84# a_n129_n84# 0.24fF
-C17 w_n359_n303# a_n221_n84# 0.08fF
+C0 a_n129_n84# a_63_n84# 0.09fF
+C1 a_159_n84# a_n33_n84# 0.09fF
+C2 a_n221_n84# w_n359_n303# 0.08fF
+C3 a_n221_n84# a_n129_n84# 0.24fF
+C4 w_n359_n303# a_n33_n84# 0.05fF
+C5 a_33_n110# a_129_n110# 0.02fF
+C6 a_n129_n84# a_n33_n84# 0.24fF
+C7 w_n359_n303# a_159_n84# 0.08fF
+C8 a_n221_n84# a_63_n84# 0.05fF
+C9 a_159_n84# a_n129_n84# 0.05fF
+C10 a_n33_n84# a_63_n84# 0.24fF
+C11 a_n63_n110# a_33_n110# 0.02fF
+C12 a_n63_n110# a_n159_n110# 0.02fF
+C13 a_159_n84# a_63_n84# 0.24fF
+C14 w_n359_n303# a_n129_n84# 0.06fF
+C15 a_n221_n84# a_n33_n84# 0.09fF
+C16 w_n359_n303# a_63_n84# 0.06fF
+C17 a_n221_n84# a_159_n84# 0.04fF
 C18 a_159_n84# VSUBS 0.03fF
 C19 a_63_n84# VSUBS 0.03fF
 C20 a_n33_n84# VSUBS 0.03fF
@@ -1193,19 +1192,19 @@
 X1 a_n33_n42# a_n63_n68# a_n129_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
 X2 a_159_n42# a_129_n68# a_63_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
 X3 a_n129_n42# a_n159_n68# a_n221_n42# w_n359_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
-C0 a_159_n42# a_n33_n42# 0.05fF
-C1 a_n33_n42# a_63_n42# 0.12fF
-C2 a_33_n68# a_n63_n68# 0.02fF
-C3 a_n159_n68# a_n63_n68# 0.02fF
-C4 a_n221_n42# a_159_n42# 0.02fF
-C5 a_n221_n42# a_63_n42# 0.03fF
-C6 a_n129_n42# a_n33_n42# 0.12fF
-C7 a_159_n42# a_63_n42# 0.12fF
-C8 a_129_n68# a_33_n68# 0.02fF
-C9 a_n221_n42# a_n129_n42# 0.12fF
-C10 a_159_n42# a_n129_n42# 0.03fF
-C11 a_n221_n42# a_n33_n42# 0.05fF
-C12 a_n129_n42# a_63_n42# 0.05fF
+C0 a_n129_n42# a_n33_n42# 0.12fF
+C1 a_n221_n42# a_159_n42# 0.02fF
+C2 a_n221_n42# a_63_n42# 0.03fF
+C3 a_n129_n42# a_159_n42# 0.03fF
+C4 a_n129_n42# a_63_n42# 0.05fF
+C5 a_33_n68# a_n63_n68# 0.02fF
+C6 a_159_n42# a_n33_n42# 0.05fF
+C7 a_n33_n42# a_63_n42# 0.12fF
+C8 a_33_n68# a_129_n68# 0.02fF
+C9 a_n63_n68# a_n159_n68# 0.02fF
+C10 a_159_n42# a_63_n42# 0.12fF
+C11 a_n221_n42# a_n129_n42# 0.12fF
+C12 a_n221_n42# a_n33_n42# 0.05fF
 C13 a_159_n42# w_n359_n252# 0.07fF
 C14 a_63_n42# w_n359_n252# 0.06fF
 C15 a_n33_n42# w_n359_n252# 0.06fF
@@ -1220,8 +1219,8 @@
 .subckt inverter_min_x4 in vss out vdd
 Xsky130_fd_pr__pfet_01v8_ZP3U9B_0 vss out out vdd in vdd in in vdd in out sky130_fd_pr__pfet_01v8_ZP3U9B
 Xsky130_fd_pr__nfet_01v8_DXA56D_0 vss out in in out out vss in in vss sky130_fd_pr__nfet_01v8_DXA56D
-C0 vdd out 0.62fF
-C1 vdd in 0.33fF
+C0 out vdd 0.62fF
+C1 in vdd 0.33fF
 C2 in out 0.67fF
 C3 out vss 0.66fF
 C4 in vss 1.89fF
@@ -1232,7 +1231,7 @@
 + a_n125_n42# a_63_n42#
 X0 a_63_n42# a_33_n68# a_n33_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
 X1 a_n33_n42# a_n63_n68# a_n125_n42# w_n263_n252# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
-C0 a_n33_n42# a_n125_n42# 0.12fF
+C0 a_n125_n42# a_n33_n42# 0.12fF
 C1 a_33_n68# a_n63_n68# 0.02fF
 C2 a_63_n42# a_n33_n42# 0.12fF
 C3 a_63_n42# a_n125_n42# 0.05fF
@@ -1247,13 +1246,13 @@
 + w_n263_n303# a_n33_n84#
 X0 a_63_n84# a_33_n110# a_n33_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
 X1 a_n33_n84# a_n63_n110# a_n125_n84# w_n263_n303# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
-C0 a_63_n84# a_n125_n84# 0.09fF
+C0 a_n33_n84# a_63_n84# 0.24fF
 C1 a_n33_n84# w_n263_n303# 0.07fF
-C2 a_n33_n84# a_n125_n84# 0.24fF
-C3 a_n33_n84# a_63_n84# 0.24fF
-C4 a_n125_n84# w_n263_n303# 0.10fF
-C5 a_n63_n110# a_33_n110# 0.02fF
-C6 a_63_n84# w_n263_n303# 0.10fF
+C2 a_63_n84# w_n263_n303# 0.10fF
+C3 a_33_n110# a_n63_n110# 0.02fF
+C4 a_n33_n84# a_n125_n84# 0.24fF
+C5 a_63_n84# a_n125_n84# 0.09fF
+C6 w_n263_n303# a_n125_n84# 0.10fF
 C7 a_63_n84# VSUBS 0.03fF
 C8 a_n33_n84# VSUBS 0.03fF
 C9 a_n125_n84# VSUBS 0.03fF
@@ -1265,19 +1264,19 @@
 .subckt inverter_min_x2 in out vss vdd
 Xsky130_fd_pr__nfet_01v8_5RJ8EK_0 vss in vss in out out sky130_fd_pr__nfet_01v8_5RJ8EK
 Xsky130_fd_pr__pfet_01v8_ZPB9BB_0 vss in in out out vdd vdd sky130_fd_pr__pfet_01v8_ZPB9BB
-C0 vdd out 0.15fF
-C1 vdd in 0.01fF
-C2 out in 0.30fF
+C0 out in 0.30fF
+C1 out vdd 0.15fF
+C2 vdd in 0.01fF
 C3 vdd vss 2.93fF
 C4 out vss 0.66fF
 C5 in vss 0.72fF
 .ends
 
-.subckt div_by_2 nout_div clock_inverter_0/inverter_cp_x1_2/in vdd CLK_2 nCLK_2 o1
-+ out_div vss o2 clock_inverter_0/inverter_cp_x1_0/out CLK
+.subckt div_by_2 vss vdd clock_inverter_0/inverter_cp_x1_2/in CLK_2 nCLK_2 o1 CLK
++ out_div o2 clock_inverter_0/inverter_cp_x1_0/out nout_div
 XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
-+ nout_div out_div nout_div DFlipFlop_0/latch_diff_1/m1_657_280# DFlipFlop_0/latch_diff_0/D
-+ DFlipFlop_0/latch_diff_1/nD vdd DFlipFlop_0/CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ nout_div out_div DFlipFlop_0/latch_diff_1/nD nout_div DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd DFlipFlop_0/CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
 + DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
 Xclock_inverter_0 vss clock_inverter_0/inverter_cp_x1_2/in CLK vdd clock_inverter_0/inverter_cp_x1_0/out
 + DFlipFlop_0/CLK DFlipFlop_0/nCLK clock_inverter
@@ -1285,68 +1284,257 @@
 Xinverter_min_x4_1 o2 vss nCLK_2 vdd inverter_min_x4
 Xinverter_min_x2_0 nout_div o2 vss vdd inverter_min_x2
 Xinverter_min_x2_1 out_div o1 vss vdd inverter_min_x2
-C0 CLK_2 vdd 0.08fF
-C1 clock_inverter_0/inverter_cp_x1_0/out vdd 0.10fF
-C2 nout_div DFlipFlop_0/latch_diff_1/nD 1.18fF
-C3 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_0/nCLK 0.46fF
-C4 nout_div out_div 0.22fF
-C5 nout_div DFlipFlop_0/latch_diff_1/m1_657_280# 0.21fF
-C6 nout_div DFlipFlop_0/latch_diff_1/D 0.64fF
-C7 nCLK_2 o2 0.11fF
-C8 nout_div DFlipFlop_0/latch_diff_0/nD 0.07fF
-C9 vdd nCLK_2 0.08fF
-C10 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vdd 0.03fF
-C11 DFlipFlop_0/latch_diff_0/D DFlipFlop_0/nCLK 0.13fF
-C12 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_0/m1_657_280# 0.26fF
-C13 vdd o1 0.14fF
-C14 vdd DFlipFlop_0/nCLK 0.30fF
-C15 DFlipFlop_0/CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out 0.29fF
-C16 DFlipFlop_0/CLK vdd 0.40fF
-C17 DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/nCLK -0.09fF
-C18 vdd o2 0.14fF
-C19 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vdd 0.03fF
-C20 out_div o1 0.01fF
-C21 o1 DFlipFlop_0/latch_diff_1/m1_657_280# 0.02fF
-C22 DFlipFlop_0/nCLK DFlipFlop_0/latch_diff_1/m1_657_280# 0.26fF
-C23 nout_div DFlipFlop_0/nCLK 0.43fF
-C24 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_1/nD 0.11fF
+C0 DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/CLK 0.11fF
+C1 DFlipFlop_0/latch_diff_1/D nout_div 0.64fF
+C2 DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/nCLK -0.09fF
+C3 vdd clock_inverter_0/inverter_cp_x1_0/out 0.10fF
+C4 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C5 DFlipFlop_0/latch_diff_0/D DFlipFlop_0/nCLK 0.13fF
+C6 nCLK_2 vdd 0.08fF
+C7 vdd DFlipFlop_0/CLK 0.40fF
+C8 DFlipFlop_0/latch_diff_0/nD nout_div 0.07fF
+C9 vdd DFlipFlop_0/nCLK 0.30fF
+C10 out_div nout_div 0.22fF
+C11 DFlipFlop_0/latch_diff_0/m1_657_280# DFlipFlop_0/CLK 0.26fF
+C12 DFlipFlop_0/latch_diff_1/m1_657_280# o2 0.02fF
+C13 out_div o1 0.01fF
+C14 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_0/nCLK 0.46fF
+C15 CLK_2 o1 0.11fF
+C16 DFlipFlop_0/latch_diff_1/m1_657_280# nout_div 0.21fF
+C17 DFlipFlop_0/latch_diff_1/m1_657_280# o1 0.02fF
+C18 DFlipFlop_0/latch_diff_1/nD nout_div 1.18fF
+C19 DFlipFlop_0/latch_diff_1/D DFlipFlop_0/CLK -0.48fF
+C20 DFlipFlop_0/latch_diff_0/D nout_div 0.09fF
+C21 vdd out_div 0.03fF
+C22 vdd o2 0.14fF
+C23 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vdd 0.03fF
+C24 vdd CLK_2 0.08fF
 C25 DFlipFlop_0/latch_diff_1/D DFlipFlop_0/nCLK 0.08fF
-C26 CLK_2 o1 0.11fF
-C27 nout_div DFlipFlop_0/latch_diff_0/m1_657_280# 0.24fF
-C28 nout_div DFlipFlop_0/CLK 0.42fF
-C29 nout_div DFlipFlop_0/latch_diff_0/D 0.09fF
-C30 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_1/D -0.48fF
-C31 o2 DFlipFlop_0/latch_diff_1/m1_657_280# 0.02fF
-C32 out_div vdd 0.03fF
-C33 DFlipFlop_0/CLK DFlipFlop_0/latch_diff_0/nD 0.12fF
-C34 nout_div vdd 0.16fF
+C26 vdd nout_div 0.16fF
+C27 DFlipFlop_0/latch_diff_0/nD DFlipFlop_0/CLK 0.12fF
+C28 vdd o1 0.14fF
+C29 nCLK_2 o2 0.11fF
+C30 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_0/CLK 0.29fF
+C31 DFlipFlop_0/latch_diff_0/m1_657_280# nout_div 0.24fF
+C32 nout_div DFlipFlop_0/CLK 0.42fF
+C33 nout_div DFlipFlop_0/nCLK 0.43fF
+C34 DFlipFlop_0/latch_diff_1/m1_657_280# DFlipFlop_0/nCLK 0.26fF
 C35 nCLK_2 vss 1.08fF
 C36 o2 vss 2.21fF
 C37 CLK_2 vss 1.08fF
 C38 o1 vss 2.21fF
 C39 DFlipFlop_0/CLK vss 1.03fF
 C40 clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
-C41 CLK vss 3.27fF
-C42 clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C41 clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
+C42 CLK vss 3.27fF
 C43 DFlipFlop_0/nCLK vss 1.76fF
-C44 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.63fF
-C45 out_div vss -0.77fF
-C46 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C44 out_div vss -0.77fF
+C45 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.63fF
+C46 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
 C47 DFlipFlop_0/latch_diff_1/D vss -1.72fF
-C48 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C48 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
 C49 DFlipFlop_0/latch_diff_0/D vss 0.96fF
 C50 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
-C51 nout_div vss 4.41fF
-C52 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.80fF
+C51 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.80fF
+C52 nout_div vss 4.41fF
 C53 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
 C54 vdd vss 64.43fF
 .ends
 
+.subckt sky130_fd_pr__pfet_01v8_58ZKDE VSUBS a_n257_n777# a_n129_n600# a_n221_n600#
++ w_n257_n702#
+X0 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X1 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X2 a_n129_n600# a_n257_n777# a_n221_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+X3 a_n221_n600# a_n257_n777# a_n129_n600# w_n257_n702# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=6e+06u l=150000u
+C0 a_n257_n777# a_n221_n600# 0.25fF
+C1 a_n129_n600# a_n221_n600# 7.87fF
+C2 a_n257_n777# a_n129_n600# 0.29fF
+C3 a_n129_n600# VSUBS 0.10fF
+C4 a_n221_n600# VSUBS 0.25fF
+C5 a_n257_n777# VSUBS 1.05fF
+C6 w_n257_n702# VSUBS 2.16fF
+.ends
+
+.subckt sky130_fd_pr__nfet_01v8_T69Y3A a_n129_n300# a_n221_n300# w_n257_n327# a_n257_n404#
+X0 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X1 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X2 a_n129_n300# a_n257_n404# a_n221_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+X3 a_n221_n300# a_n257_n404# a_n129_n300# w_n257_n327# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=3e+06u l=150000u
+C0 a_n221_n300# a_n129_n300# 4.05fF
+C1 a_n257_n404# a_n129_n300# 0.30fF
+C2 a_n221_n300# a_n257_n404# 0.21fF
+C3 a_n129_n300# w_n257_n327# 0.11fF
+C4 a_n221_n300# w_n257_n327# 0.25fF
+C5 a_n257_n404# w_n257_n327# 1.11fF
+.ends
+
+.subckt buffer_salida a_678_n100# out in vss vdd
+Xsky130_fd_pr__pfet_01v8_58ZKDE_1 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_2 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_3 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_0 a_678_n100# vss vss in sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_1 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_4 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_5 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_2 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_3 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_6 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_4 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_7 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_70 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_8 vss a_678_n100# a_3996_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_5 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_71 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_60 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_6 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_9 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_72 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_61 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_50 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_7 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_62 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_51 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_40 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_8 a_3996_n100# vss vss a_678_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_63 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_52 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_41 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_30 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_9 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_20 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_64 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_53 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_42 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_31 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_10 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_21 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_65 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_54 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_43 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_32 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_11 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_22 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_66 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_55 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_44 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_33 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_12 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_23 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_67 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_56 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_45 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_34 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_13 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_24 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_68 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_57 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_46 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_35 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_14 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_69 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_58 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_47 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_36 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_25 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_15 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_59 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_48 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_37 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_26 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_16 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_49 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_38 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_27 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_70 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_17 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_39 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_28 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_71 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_60 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_18 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__nfet_01v8_T69Y3A_29 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_72 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_61 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_50 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__nfet_01v8_T69Y3A_19 out vss vss a_3996_n100# sky130_fd_pr__nfet_01v8_T69Y3A
+Xsky130_fd_pr__pfet_01v8_58ZKDE_62 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_51 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_40 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_63 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_52 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_41 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_30 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_20 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_64 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_53 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_42 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_31 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_10 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_21 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_65 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_54 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_43 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_32 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_11 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_22 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_66 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_55 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_44 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_33 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_12 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_23 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_67 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_56 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_45 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_34 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_13 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_24 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_68 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_57 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_46 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_35 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_14 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_69 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_58 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_47 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_36 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_25 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_15 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_59 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_48 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_37 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_26 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_16 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_49 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_38 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_27 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_17 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_39 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_28 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_18 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_29 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_19 vss a_3996_n100# out vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+Xsky130_fd_pr__pfet_01v8_58ZKDE_0 vss in a_678_n100# vdd vdd sky130_fd_pr__pfet_01v8_58ZKDE
+C0 in a_678_n100# 0.81fF
+C1 vdd in 0.02fF
+C2 vdd out 47.17fF
+C3 vdd a_678_n100# 0.08fF
+C4 a_3996_n100# out 55.19fF
+C5 a_3996_n100# a_678_n100# 6.52fF
+C6 a_3996_n100# vdd 3.68fF
+C7 vdd vss 20.93fF
+C8 out vss 35.17fF
+C9 a_3996_n100# vss 49.53fF
+C10 a_678_n100# vss 13.08fF
+C11 in vss 0.87fF
+.ends
+
 .subckt sky130_fd_pr__nfet_01v8_CBAU6Y a_n73_n150# a_n33_n238# w_n211_n360# a_15_n150#
 X0 a_15_n150# a_n33_n238# a_n73_n150# w_n211_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
-C0 a_n73_n150# a_n33_n238# 0.02fF
-C1 a_15_n150# a_n33_n238# 0.02fF
-C2 a_15_n150# a_n73_n150# 0.51fF
+C0 a_n33_n238# a_n73_n150# 0.02fF
+C1 a_15_n150# a_n73_n150# 0.51fF
+C2 a_15_n150# a_n33_n238# 0.02fF
 C3 a_15_n150# w_n211_n360# 0.23fF
 C4 a_n73_n150# w_n211_n360# 0.23fF
 C5 a_n33_n238# w_n211_n360# 0.17fF
@@ -1354,12 +1542,12 @@
 
 .subckt sky130_fd_pr__pfet_01v8_4757AC VSUBS a_n73_n150# a_n33_181# w_n211_n369# a_15_n150#
 X0 a_15_n150# a_n33_181# a_n73_n150# w_n211_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
-C0 w_n211_n369# a_15_n150# 0.20fF
-C1 a_n33_181# a_15_n150# 0.01fF
-C2 a_n73_n150# a_15_n150# 0.51fF
-C3 a_n33_181# w_n211_n369# 0.05fF
+C0 w_n211_n369# a_n33_181# 0.05fF
+C1 a_15_n150# w_n211_n369# 0.20fF
+C2 a_n73_n150# a_n33_181# 0.01fF
+C3 a_n73_n150# a_15_n150# 0.51fF
 C4 a_n73_n150# w_n211_n369# 0.20fF
-C5 a_n73_n150# a_n33_181# 0.01fF
+C5 a_15_n150# a_n33_181# 0.01fF
 C6 a_15_n150# VSUBS 0.03fF
 C7 a_n73_n150# VSUBS 0.03fF
 C8 a_n33_181# VSUBS 0.13fF
@@ -1379,51 +1567,51 @@
 X7 a_351_n150# a_n465_172# a_255_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
 X8 a_255_n150# a_n465_172# a_159_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
 X9 a_n321_n150# a_n465_172# a_n417_n150# w_n647_n360# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
-C0 a_n225_n150# a_n321_n150# 0.43fF
-C1 a_n129_n150# a_n509_n150# 0.07fF
-C2 a_n321_n150# a_63_n150# 0.07fF
-C3 a_n465_172# a_n321_n150# 0.10fF
-C4 a_n417_n150# a_n129_n150# 0.10fF
-C5 a_351_n150# a_63_n150# 0.10fF
-C6 a_n465_172# a_351_n150# 0.10fF
-C7 a_n129_n150# a_255_n150# 0.07fF
-C8 a_255_n150# a_447_n150# 0.16fF
-C9 a_n33_n150# a_n225_n150# 0.16fF
-C10 a_n33_n150# a_63_n150# 0.43fF
+C0 a_n129_n150# a_63_n150# 0.16fF
+C1 a_447_n150# a_159_n150# 0.10fF
+C2 a_n321_n150# a_n33_n150# 0.10fF
+C3 a_n225_n150# a_159_n150# 0.07fF
+C4 a_447_n150# a_n465_172# 0.01fF
+C5 a_n225_n150# a_n465_172# 0.10fF
+C6 a_n33_n150# a_159_n150# 0.16fF
+C7 a_n465_172# a_n509_n150# 0.01fF
+C8 a_n417_n150# a_n225_n150# 0.16fF
+C9 a_n321_n150# a_n465_172# 0.10fF
+C10 a_255_n150# a_447_n150# 0.16fF
 C11 a_n33_n150# a_n465_172# 0.10fF
-C12 a_n509_n150# a_n321_n150# 0.16fF
-C13 a_159_n150# a_n129_n150# 0.10fF
-C14 a_159_n150# a_255_n150# 0.43fF
-C15 a_159_n150# a_447_n150# 0.10fF
+C12 a_n417_n150# a_n509_n150# 0.43fF
+C13 a_447_n150# a_351_n150# 0.43fF
+C14 a_447_n150# a_63_n150# 0.07fF
+C15 a_n417_n150# a_n321_n150# 0.43fF
 C16 a_n225_n150# a_63_n150# 0.10fF
-C17 a_n417_n150# a_n321_n150# 0.43fF
-C18 a_n225_n150# a_n465_172# 0.10fF
-C19 a_n465_172# a_63_n150# 0.10fF
-C20 a_n129_n150# a_n321_n150# 0.16fF
-C21 a_255_n150# a_351_n150# 0.43fF
-C22 a_351_n150# a_447_n150# 0.43fF
-C23 a_n417_n150# a_n33_n150# 0.07fF
-C24 a_n225_n150# a_n509_n150# 0.10fF
-C25 a_n33_n150# a_n129_n150# 0.43fF
-C26 a_n33_n150# a_255_n150# 0.10fF
-C27 a_n417_n150# a_n225_n150# 0.16fF
-C28 a_n509_n150# a_n465_172# 0.01fF
-C29 a_159_n150# a_351_n150# 0.16fF
-C30 a_n417_n150# a_n465_172# 0.10fF
-C31 a_n225_n150# a_n129_n150# 0.43fF
-C32 a_n129_n150# a_63_n150# 0.16fF
-C33 a_255_n150# a_63_n150# 0.16fF
-C34 a_447_n150# a_63_n150# 0.07fF
-C35 a_159_n150# a_n33_n150# 0.16fF
-C36 a_n129_n150# a_n465_172# 0.10fF
-C37 a_n465_172# a_255_n150# 0.10fF
-C38 a_n465_172# a_447_n150# 0.01fF
-C39 a_159_n150# a_n225_n150# 0.07fF
-C40 a_n33_n150# a_n321_n150# 0.10fF
-C41 a_n417_n150# a_n509_n150# 0.43fF
-C42 a_159_n150# a_63_n150# 0.43fF
-C43 a_n33_n150# a_351_n150# 0.07fF
-C44 a_159_n150# a_n465_172# 0.10fF
+C17 a_n417_n150# a_n33_n150# 0.07fF
+C18 a_n465_172# a_159_n150# 0.10fF
+C19 a_n129_n150# a_n225_n150# 0.43fF
+C20 a_255_n150# a_n33_n150# 0.10fF
+C21 a_n321_n150# a_63_n150# 0.07fF
+C22 a_351_n150# a_n33_n150# 0.07fF
+C23 a_n33_n150# a_63_n150# 0.43fF
+C24 a_n129_n150# a_n509_n150# 0.07fF
+C25 a_n321_n150# a_n129_n150# 0.16fF
+C26 a_n417_n150# a_n465_172# 0.10fF
+C27 a_255_n150# a_159_n150# 0.43fF
+C28 a_n129_n150# a_n33_n150# 0.43fF
+C29 a_351_n150# a_159_n150# 0.16fF
+C30 a_159_n150# a_63_n150# 0.43fF
+C31 a_255_n150# a_n465_172# 0.10fF
+C32 a_351_n150# a_n465_172# 0.10fF
+C33 a_n465_172# a_63_n150# 0.10fF
+C34 a_n129_n150# a_159_n150# 0.10fF
+C35 a_n129_n150# a_n465_172# 0.10fF
+C36 a_n225_n150# a_n509_n150# 0.10fF
+C37 a_255_n150# a_351_n150# 0.43fF
+C38 a_255_n150# a_63_n150# 0.16fF
+C39 a_n417_n150# a_n129_n150# 0.10fF
+C40 a_n321_n150# a_n225_n150# 0.43fF
+C41 a_351_n150# a_63_n150# 0.10fF
+C42 a_n225_n150# a_n33_n150# 0.16fF
+C43 a_255_n150# a_n129_n150# 0.07fF
+C44 a_n321_n150# a_n509_n150# 0.16fF
 C45 a_447_n150# w_n647_n360# 0.17fF
 C46 a_351_n150# w_n647_n360# 0.10fF
 C47 a_255_n150# w_n647_n360# 0.08fF
@@ -1451,61 +1639,61 @@
 X7 a_159_n150# a_n465_n247# a_63_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
 X8 a_n225_n150# a_n465_n247# a_n321_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
 X9 a_447_n150# a_n465_n247# a_351_n150# w_n647_n369# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=150000u
-C0 w_n647_n369# a_63_n150# 0.02fF
-C1 a_255_n150# a_63_n150# 0.16fF
-C2 a_n225_n150# a_n465_n247# 0.08fF
-C3 a_n225_n150# a_n417_n150# 0.16fF
-C4 a_n465_n247# a_351_n150# 0.08fF
-C5 a_n225_n150# a_n33_n150# 0.16fF
-C6 a_n33_n150# a_351_n150# 0.07fF
-C7 a_n465_n247# a_n417_n150# 0.08fF
-C8 a_63_n150# a_n321_n150# 0.07fF
-C9 a_n33_n150# a_n465_n247# 0.08fF
-C10 a_n33_n150# a_n417_n150# 0.07fF
-C11 a_n225_n150# a_159_n150# 0.07fF
-C12 a_159_n150# a_351_n150# 0.16fF
-C13 a_159_n150# a_n465_n247# 0.08fF
+C0 a_n225_n150# a_n321_n150# 0.43fF
+C1 a_n417_n150# a_n33_n150# 0.07fF
+C2 a_n417_n150# w_n647_n369# 0.07fF
+C3 a_n33_n150# a_n321_n150# 0.10fF
+C4 w_n647_n369# a_n321_n150# 0.05fF
+C5 a_63_n150# a_n321_n150# 0.07fF
+C6 a_n129_n150# a_159_n150# 0.10fF
+C7 a_n129_n150# a_n225_n150# 0.43fF
+C8 a_n129_n150# a_255_n150# 0.07fF
+C9 a_n129_n150# a_n33_n150# 0.43fF
+C10 a_n225_n150# a_159_n150# 0.07fF
+C11 a_n129_n150# w_n647_n369# 0.02fF
+C12 a_255_n150# a_159_n150# 0.43fF
+C13 a_447_n150# a_351_n150# 0.43fF
 C14 a_63_n150# a_n129_n150# 0.16fF
-C15 a_159_n150# a_n33_n150# 0.16fF
-C16 w_n647_n369# a_n225_n150# 0.04fF
-C17 w_n647_n369# a_351_n150# 0.07fF
-C18 a_255_n150# a_351_n150# 0.43fF
-C19 w_n647_n369# a_n465_n247# 0.47fF
-C20 w_n647_n369# a_n417_n150# 0.07fF
-C21 a_255_n150# a_n465_n247# 0.08fF
-C22 w_n647_n369# a_n33_n150# 0.02fF
-C23 a_255_n150# a_n33_n150# 0.10fF
-C24 w_n647_n369# a_159_n150# 0.04fF
-C25 a_255_n150# a_159_n150# 0.43fF
-C26 a_n225_n150# a_n321_n150# 0.43fF
+C15 a_n33_n150# a_159_n150# 0.16fF
+C16 w_n647_n369# a_159_n150# 0.04fF
+C17 a_n225_n150# a_n33_n150# 0.16fF
+C18 a_255_n150# a_n33_n150# 0.10fF
+C19 a_n225_n150# w_n647_n369# 0.04fF
+C20 a_n465_n247# a_n417_n150# 0.08fF
+C21 a_63_n150# a_159_n150# 0.43fF
+C22 a_255_n150# w_n647_n369# 0.05fF
+C23 a_63_n150# a_n225_n150# 0.10fF
+C24 a_63_n150# a_255_n150# 0.16fF
+C25 a_n417_n150# a_n509_n150# 0.43fF
+C26 w_n647_n369# a_n33_n150# 0.02fF
 C27 a_n465_n247# a_n321_n150# 0.08fF
-C28 a_n321_n150# a_n417_n150# 0.43fF
-C29 a_63_n150# a_447_n150# 0.07fF
-C30 a_n225_n150# a_n509_n150# 0.10fF
-C31 a_n33_n150# a_n321_n150# 0.10fF
-C32 w_n647_n369# a_255_n150# 0.05fF
-C33 a_n225_n150# a_n129_n150# 0.43fF
-C34 a_n509_n150# a_n417_n150# 0.43fF
-C35 a_n465_n247# a_n129_n150# 0.08fF
-C36 a_n129_n150# a_n417_n150# 0.10fF
-C37 a_n33_n150# a_n129_n150# 0.43fF
-C38 a_159_n150# a_n129_n150# 0.10fF
-C39 w_n647_n369# a_n321_n150# 0.05fF
-C40 w_n647_n369# a_n509_n150# 0.14fF
-C41 w_n647_n369# a_n129_n150# 0.02fF
-C42 a_255_n150# a_n129_n150# 0.07fF
-C43 a_447_n150# a_351_n150# 0.43fF
-C44 a_n509_n150# a_n321_n150# 0.16fF
-C45 a_159_n150# a_447_n150# 0.10fF
-C46 a_n129_n150# a_n321_n150# 0.16fF
-C47 a_n129_n150# a_n509_n150# 0.07fF
-C48 a_n225_n150# a_63_n150# 0.10fF
-C49 a_63_n150# a_351_n150# 0.10fF
-C50 a_63_n150# a_n465_n247# 0.08fF
-C51 a_63_n150# a_n33_n150# 0.43fF
-C52 w_n647_n369# a_447_n150# 0.14fF
-C53 a_255_n150# a_447_n150# 0.16fF
-C54 a_63_n150# a_159_n150# 0.43fF
+C28 a_63_n150# a_n33_n150# 0.43fF
+C29 a_63_n150# w_n647_n369# 0.02fF
+C30 a_n509_n150# a_n321_n150# 0.16fF
+C31 a_n129_n150# a_n465_n247# 0.08fF
+C32 a_n129_n150# a_n509_n150# 0.07fF
+C33 a_n465_n247# a_159_n150# 0.08fF
+C34 a_n465_n247# a_n225_n150# 0.08fF
+C35 a_255_n150# a_n465_n247# 0.08fF
+C36 a_159_n150# a_351_n150# 0.16fF
+C37 a_n509_n150# a_n225_n150# 0.10fF
+C38 a_n465_n247# a_n33_n150# 0.08fF
+C39 a_255_n150# a_351_n150# 0.43fF
+C40 a_n465_n247# w_n647_n369# 0.47fF
+C41 a_63_n150# a_n465_n247# 0.08fF
+C42 a_n33_n150# a_351_n150# 0.07fF
+C43 a_n509_n150# w_n647_n369# 0.14fF
+C44 w_n647_n369# a_351_n150# 0.07fF
+C45 a_63_n150# a_351_n150# 0.10fF
+C46 a_n417_n150# a_n321_n150# 0.43fF
+C47 a_447_n150# a_159_n150# 0.10fF
+C48 a_255_n150# a_447_n150# 0.16fF
+C49 a_n465_n247# a_351_n150# 0.08fF
+C50 a_n129_n150# a_n417_n150# 0.10fF
+C51 a_447_n150# w_n647_n369# 0.14fF
+C52 a_63_n150# a_447_n150# 0.07fF
+C53 a_n129_n150# a_n321_n150# 0.16fF
+C54 a_n417_n150# a_n225_n150# 0.16fF
 C55 a_447_n150# VSUBS 0.03fF
 C56 a_351_n150# VSUBS 0.03fF
 C57 a_255_n150# VSUBS 0.03fF
@@ -1523,9 +1711,9 @@
 
 .subckt sky130_fd_pr__nfet_01v8_EDT3AT a_15_n11# a_n33_n99# w_n211_n221# a_n73_n11#
 X0 a_15_n11# a_n33_n99# a_n73_n11# w_n211_n221# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=420000u l=150000u
-C0 a_15_n11# a_n33_n99# 0.02fF
-C1 a_15_n11# a_n73_n11# 0.15fF
-C2 a_n33_n99# a_n73_n11# 0.02fF
+C0 a_15_n11# a_n73_n11# 0.15fF
+C1 a_n33_n99# a_n73_n11# 0.02fF
+C2 a_n33_n99# a_15_n11# 0.02fF
 C3 a_15_n11# w_n211_n221# 0.09fF
 C4 a_n73_n11# w_n211_n221# 0.09fF
 C5 a_n33_n99# w_n211_n221# 0.17fF
@@ -1542,7 +1730,7 @@
 .subckt sky130_fd_pr__pfet_01v8_HRYSXS VSUBS a_n33_n211# a_n78_n114# w_n216_n334#
 + a_20_n114#
 X0 a_20_n114# a_n33_n211# a_n78_n114# w_n216_n334# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.5e+06u l=200000u
-C0 a_n78_n114# w_n216_n334# 0.20fF
+C0 w_n216_n334# a_n78_n114# 0.20fF
 C1 a_n78_n114# a_20_n114# 0.42fF
 C2 w_n216_n334# a_20_n114# 0.20fF
 C3 a_20_n114# VSUBS 0.03fF
@@ -1554,15 +1742,15 @@
 .subckt inverter_csvco in vbulkn out vbulkp vdd vss
 Xsky130_fd_pr__nfet_01v8_AQR2CW_0 in vss vbulkn out sky130_fd_pr__nfet_01v8_AQR2CW
 Xsky130_fd_pr__pfet_01v8_HRYSXS_0 vbulkn in vdd vbulkp out sky130_fd_pr__pfet_01v8_HRYSXS
-C0 out in 0.11fF
-C1 out vbulkp 0.08fF
-C2 vdd in 0.01fF
-C3 vdd vbulkp 0.04fF
-C4 in vss 0.01fF
+C0 in vdd 0.01fF
+C1 vdd vbulkp 0.04fF
+C2 in vss 0.01fF
+C3 in out 0.11fF
+C4 vbulkp out 0.08fF
 C5 vbulkp vbulkn 2.49fF
-C6 vdd vbulkn 0.06fF
-C7 in vbulkn 0.54fF
-C8 out vbulkn 0.60fF
+C6 out vbulkn 0.60fF
+C7 vdd vbulkn 0.06fF
+C8 in vbulkn 0.54fF
 C9 vss vbulkn 0.17fF
 .ends
 
@@ -1572,7 +1760,7 @@
 C2 b VSUBS 0.09fF
 .ends
 
-.subckt csvco_branch vctrl in vbp cap_vco_0/t D0 out vss vdd inverter_csvco_0/vss
+.subckt csvco_branch vctrl in vbp cap_vco_0/t D0 out inverter_csvco_0/vss vss vdd
 + inverter_csvco_0/vdd
 Xsky130_fd_pr__nfet_01v8_7H8F5S_0 vctrl inverter_csvco_0/vss inverter_csvco_0/vss
 + vss vss inverter_csvco_0/vss vss vss inverter_csvco_0/vss vss inverter_csvco_0/vss
@@ -1583,73 +1771,73 @@
 Xsky130_fd_pr__nfet_01v8_EDT3AT_0 cap_vco_0/t D0 vss out sky130_fd_pr__nfet_01v8_EDT3AT
 Xinverter_csvco_0 in vss out vdd inverter_csvco_0/vdd inverter_csvco_0/vss inverter_csvco
 Xcap_vco_0 cap_vco_0/t vss vss cap_vco
-C0 vdd vbp 1.21fF
-C1 inverter_csvco_0/vdd vdd 1.89fF
-C2 vctrl inverter_csvco_0/vss 0.87fF
-C3 in inverter_csvco_0/vdd 0.01fF
-C4 D0 inverter_csvco_0/vss 0.02fF
-C5 out inverter_csvco_0/vdd 0.02fF
-C6 out D0 0.09fF
-C7 inverter_csvco_0/vdd vbp 0.75fF
-C8 cap_vco_0/t vdd 0.04fF
-C9 cap_vco_0/t out 0.70fF
-C10 cap_vco_0/t inverter_csvco_0/vdd 0.10fF
-C11 in inverter_csvco_0/vss 0.01fF
-C12 out in 0.06fF
-C13 out inverter_csvco_0/vss 0.03fF
-C14 inverter_csvco_0/vdd vss 0.26fF
-C15 in vss 0.69fF
-C16 out vss 0.93fF
-C17 cap_vco_0/t vss 7.22fF
-C18 D0 vss -0.67fF
-C19 vbp vss 0.13fF
-C20 vdd vss 9.58fF
+C0 vdd inverter_csvco_0/vdd 1.89fF
+C1 inverter_csvco_0/vss out 0.03fF
+C2 inverter_csvco_0/vss in 0.01fF
+C3 vdd cap_vco_0/t 0.04fF
+C4 inverter_csvco_0/vdd vbp 0.75fF
+C5 out D0 0.09fF
+C6 inverter_csvco_0/vss vctrl 0.87fF
+C7 inverter_csvco_0/vdd out 0.02fF
+C8 inverter_csvco_0/vdd in 0.01fF
+C9 inverter_csvco_0/vss D0 0.02fF
+C10 inverter_csvco_0/vdd cap_vco_0/t 0.10fF
+C11 out in 0.06fF
+C12 out cap_vco_0/t 0.70fF
+C13 vdd vbp 1.21fF
+C14 out vss 0.93fF
+C15 inverter_csvco_0/vdd vss 0.26fF
+C16 in vss 0.69fF
+C17 D0 vss -0.67fF
+C18 vbp vss 0.13fF
+C19 vdd vss 9.58fF
+C20 cap_vco_0/t vss 7.22fF
 C21 inverter_csvco_0/vss vss 1.79fF
 C22 vctrl vss 3.06fF
 .ends
 
-.subckt ring_osc vctrl vss vdd csvco_branch_0/inverter_csvco_0/vss csvco_branch_2/vbp
-+ csvco_branch_2/cap_vco_0/t csvco_branch_2/inverter_csvco_0/vss D0 out_vco
+.subckt ring_osc vctrl vdd vss csvco_branch_2/vbp csvco_branch_0/inverter_csvco_0/vss
++ D0 csvco_branch_2/cap_vco_0/t out_vco
 Xsky130_fd_pr__nfet_01v8_CBAU6Y_0 vss vctrl vss csvco_branch_2/vbp sky130_fd_pr__nfet_01v8_CBAU6Y
 Xsky130_fd_pr__pfet_01v8_4757AC_0 vss vdd csvco_branch_2/vbp vdd csvco_branch_2/vbp
 + sky130_fd_pr__pfet_01v8_4757AC
 Xcsvco_branch_0 vctrl out_vco csvco_branch_2/vbp csvco_branch_0/cap_vco_0/t D0 csvco_branch_1/in
-+ vss vdd csvco_branch_0/inverter_csvco_0/vss csvco_branch_0/inverter_csvco_0/vdd
++ csvco_branch_0/inverter_csvco_0/vss vss vdd csvco_branch_0/inverter_csvco_0/vdd
 + csvco_branch
 Xcsvco_branch_2 vctrl csvco_branch_2/in csvco_branch_2/vbp csvco_branch_2/cap_vco_0/t
-+ D0 out_vco vss vdd csvco_branch_2/inverter_csvco_0/vss csvco_branch_2/inverter_csvco_0/vdd
++ D0 out_vco csvco_branch_2/inverter_csvco_0/vss vss vdd csvco_branch_2/inverter_csvco_0/vdd
 + csvco_branch
 Xcsvco_branch_1 vctrl csvco_branch_1/in csvco_branch_2/vbp csvco_branch_1/cap_vco_0/t
-+ D0 csvco_branch_2/in vss vdd csvco_branch_1/inverter_csvco_0/vss csvco_branch_1/inverter_csvco_0/vdd
++ D0 csvco_branch_2/in csvco_branch_1/inverter_csvco_0/vss vss vdd csvco_branch_1/inverter_csvco_0/vdd
 + csvco_branch
-C0 vctrl D0 4.41fF
-C1 csvco_branch_2/inverter_csvco_0/vss D0 0.68fF
-C2 csvco_branch_2/vbp csvco_branch_0/inverter_csvco_0/vdd 0.06fF
-C3 csvco_branch_0/inverter_csvco_0/vdd vdd 0.13fF
-C4 vctrl csvco_branch_2/vbp 0.06fF
-C5 csvco_branch_1/inverter_csvco_0/vss D0 0.68fF
-C6 csvco_branch_1/in out_vco 0.76fF
-C7 csvco_branch_0/inverter_csvco_0/vss D0 0.49fF
-C8 csvco_branch_1/inverter_csvco_0/vdd vdd 0.19fF
-C9 out_vco csvco_branch_2/in 0.58fF
-C10 out_vco csvco_branch_1/cap_vco_0/t 0.03fF
-C11 vdd csvco_branch_2/inverter_csvco_0/vdd 0.10fF
-C12 csvco_branch_0/inverter_csvco_0/vss csvco_branch_2/vbp 0.06fF
-C13 out_vco csvco_branch_0/cap_vco_0/t 0.03fF
-C14 csvco_branch_2/vbp vdd 1.49fF
-C15 csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
-C16 csvco_branch_2/in vss 1.60fF
+C0 csvco_branch_1/inverter_csvco_0/vss D0 0.68fF
+C1 out_vco csvco_branch_0/cap_vco_0/t 0.03fF
+C2 vdd csvco_branch_1/inverter_csvco_0/vdd 0.19fF
+C3 csvco_branch_2/inverter_csvco_0/vss D0 0.68fF
+C4 csvco_branch_2/vbp vctrl 0.06fF
+C5 vdd csvco_branch_2/inverter_csvco_0/vdd 0.10fF
+C6 D0 vctrl 4.41fF
+C7 csvco_branch_0/inverter_csvco_0/vss csvco_branch_2/vbp 0.06fF
+C8 csvco_branch_2/vbp vdd 1.49fF
+C9 csvco_branch_2/vbp csvco_branch_0/inverter_csvco_0/vdd 0.06fF
+C10 out_vco csvco_branch_2/in 0.58fF
+C11 csvco_branch_1/cap_vco_0/t out_vco 0.03fF
+C12 csvco_branch_0/inverter_csvco_0/vss D0 0.49fF
+C13 vdd csvco_branch_0/inverter_csvco_0/vdd 0.13fF
+C14 out_vco csvco_branch_1/in 0.76fF
+C15 csvco_branch_2/in vss 1.60fF
+C16 csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
 C17 csvco_branch_1/cap_vco_0/t vss 7.10fF
 C18 csvco_branch_1/inverter_csvco_0/vss vss 0.72fF
 C19 csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
-C20 out_vco vss 0.67fF
-C21 csvco_branch_2/cap_vco_0/t vss 7.10fF
-C22 csvco_branch_2/inverter_csvco_0/vss vss 0.62fF
+C20 csvco_branch_2/cap_vco_0/t vss 7.10fF
+C21 csvco_branch_2/inverter_csvco_0/vss vss 0.62fF
+C22 csvco_branch_1/in vss 1.58fF
 C23 csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
-C24 csvco_branch_1/in vss 1.58fF
-C25 csvco_branch_0/cap_vco_0/t vss 7.10fF
-C26 D0 vss -1.55fF
-C27 vdd vss 31.40fF
+C24 out_vco vss 0.67fF
+C25 D0 vss -1.55fF
+C26 vdd vss 31.40fF
+C27 csvco_branch_0/cap_vco_0/t vss 7.10fF
 C28 csvco_branch_0/inverter_csvco_0/vss vss 0.66fF
 C29 vctrl vss 11.02fF
 C30 csvco_branch_2/vbp vss 0.77fF
@@ -1659,11 +1847,11 @@
 Xinverter_min_x4_0 o1 vss out_div vdd inverter_min_x4
 Xinverter_min_x4_1 out_div vss out_pad vdd inverter_min_x4
 Xinverter_min_x2_0 in_vco o1 vss vdd inverter_min_x2
-C0 out_div o1 0.11fF
-C1 vdd o1 0.09fF
-C2 out_pad out_div 0.15fF
-C3 out_div vdd 0.17fF
-C4 out_pad vdd 0.10fF
+C0 vdd out_pad 0.10fF
+C1 out_div out_pad 0.15fF
+C2 vdd out_div 0.17fF
+C3 vdd o1 0.09fF
+C4 out_div o1 0.11fF
 C5 in_vco vss 0.83fF
 C6 out_pad vss 0.70fF
 C7 out_div vss 3.00fF
@@ -1683,27 +1871,27 @@
 X7 a_455_87# A VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
 X8 VGND B a_194_125# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
 X9 VGND a_194_125# X VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=740000u l=150000u
-C0 VPWR VGND 0.01fF
-C1 a_194_125# B 0.57fF
-C2 a_355_368# B 0.08fF
-C3 X VPWR 0.07fF
-C4 a_194_125# a_355_368# 0.51fF
-C5 A B 0.28fF
-C6 a_194_125# A 0.18fF
-C7 a_355_368# A 0.02fF
-C8 VPB VPWR 0.06fF
-C9 VGND B 0.10fF
-C10 a_194_125# VGND 0.25fF
-C11 X B 0.13fF
-C12 VGND A 0.31fF
-C13 X a_194_125# 0.29fF
-C14 X a_355_368# 0.17fF
-C15 VPWR B 0.09fF
-C16 a_194_125# VPWR 0.33fF
-C17 VPWR a_355_368# 0.37fF
-C18 VPWR A 0.15fF
-C19 a_194_125# a_158_392# 0.06fF
-C20 X VGND 0.28fF
+C0 a_355_368# a_194_125# 0.51fF
+C1 a_355_368# X 0.17fF
+C2 VPWR VPB 0.06fF
+C3 a_355_368# A 0.02fF
+C4 B a_194_125# 0.57fF
+C5 B X 0.13fF
+C6 VGND VPWR 0.01fF
+C7 B A 0.28fF
+C8 X a_194_125# 0.29fF
+C9 B VGND 0.10fF
+C10 A a_194_125# 0.18fF
+C11 a_355_368# VPWR 0.37fF
+C12 a_194_125# a_158_392# 0.06fF
+C13 VGND a_194_125# 0.25fF
+C14 VGND X 0.28fF
+C15 B VPWR 0.09fF
+C16 VGND A 0.31fF
+C17 B a_355_368# 0.08fF
+C18 VPWR a_194_125# 0.33fF
+C19 X VPWR 0.07fF
+C20 A VPWR 0.15fF
 C21 VGND VNB 0.78fF
 C22 X VNB 0.21fF
 C23 VPWR VNB 0.78fF
@@ -1721,20 +1909,20 @@
 X3 a_143_136# A a_56_136# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=640000u l=150000u
 X4 a_56_136# A VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=840000u l=150000u
 X5 X a_56_136# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
-C0 VGND B 0.03fF
-C1 a_56_136# X 0.26fF
-C2 VPWR X 0.20fF
-C3 a_56_136# VPWR 0.57fF
-C4 a_56_136# A 0.17fF
-C5 A VPWR 0.07fF
-C6 VGND X 0.15fF
-C7 B X 0.02fF
-C8 VGND a_56_136# 0.06fF
-C9 a_56_136# B 0.30fF
+C0 A B 0.08fF
+C1 X a_56_136# 0.26fF
+C2 VPB VPWR 0.04fF
+C3 VGND X 0.15fF
+C4 A VPWR 0.07fF
+C5 VPWR B 0.02fF
+C6 X B 0.02fF
+C7 VGND a_56_136# 0.06fF
+C8 A a_56_136# 0.17fF
+C9 VPWR X 0.20fF
 C10 VGND A 0.21fF
-C11 VPWR B 0.02fF
-C12 A B 0.08fF
-C13 VPB VPWR 0.04fF
+C11 B a_56_136# 0.30fF
+C12 VGND B 0.03fF
+C13 VPWR a_56_136# 0.57fF
 C14 VGND VNB 0.50fF
 C15 X VNB 0.23fF
 C16 VPWR VNB 0.50fF
@@ -1751,20 +1939,20 @@
 X3 X a_63_368# VPWR VPB sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.12e+06u l=150000u
 X4 a_63_368# B VGND VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
 X5 VGND A a_63_368# VNB sky130_fd_pr__nfet_01v8_lvt ad=0p pd=0u as=0p ps=0u w=550000u l=150000u
-C0 X A 0.02fF
-C1 VGND X 0.16fF
-C2 a_63_368# VPWR 0.29fF
-C3 VPB VPWR 0.04fF
-C4 a_63_368# A 0.28fF
-C5 a_63_368# VGND 0.27fF
-C6 a_63_368# B 0.14fF
-C7 VPWR A 0.05fF
-C8 B VPWR 0.01fF
-C9 B A 0.10fF
-C10 VGND B 0.11fF
-C11 a_63_368# X 0.33fF
-C12 a_63_368# a_152_368# 0.03fF
-C13 X VPWR 0.18fF
+C0 a_63_368# a_152_368# 0.03fF
+C1 a_63_368# X 0.33fF
+C2 X VPWR 0.18fF
+C3 a_63_368# A 0.28fF
+C4 A VPWR 0.05fF
+C5 VGND B 0.11fF
+C6 VGND X 0.16fF
+C7 VPB VPWR 0.04fF
+C8 B A 0.10fF
+C9 A X 0.02fF
+C10 a_63_368# VPWR 0.29fF
+C11 a_63_368# B 0.14fF
+C12 B VPWR 0.01fF
+C13 a_63_368# VGND 0.27fF
 C14 VGND VNB 0.53fF
 C15 X VNB 0.24fF
 C16 A VNB 0.21fF
@@ -1775,36 +1963,37 @@
 .ends
 
 .subckt div_by_5 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_1/latch_diff_0/D
-+ nCLK DFlipFlop_0/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_0/latch_diff_1/nD
-+ DFlipFlop_2/latch_diff_0/nD DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out Q0
-+ DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_0/Q CLK vdd Q1 DFlipFlop_2/latch_diff_1/D
-+ DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out sky130_fd_sc_hs__and2_1_0/a_56_136#
-+ nQ0 DFlipFlop_1/latch_diff_1/nD vss CLK_5 DFlipFlop_3/latch_diff_0/nD nQ2 DFlipFlop_0/latch_diff_0/D
-+ DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_1/latch_diff_1/D DFlipFlop_2/D
-+ DFlipFlop_2/latch_diff_1/nD DFlipFlop_3/latch_diff_0/D DFlipFlop_1/D sky130_fd_sc_hs__xor2_1_0/a_355_368#
-+ DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_3/latch_diff_1/nD DFlipFlop_0/latch_diff_1/D
-+ Q1_shift DFlipFlop_0/latch_diff_0/nD DFlipFlop_2/nQ DFlipFlop_2/latch_diff_0/D sky130_fd_sc_hs__xor2_1_0/a_158_392#
-+ sky130_fd_sc_hs__or2_1_0/a_63_368# DFlipFlop_3/latch_diff_1/D DFlipFlop_1/latch_diff_0/nD
-+ sky130_fd_sc_hs__and2_1_1/a_143_136# sky130_fd_sc_hs__and2_1_1/a_56_136# sky130_fd_sc_hs__xor2_1_0/a_194_125#
-+ DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in sky130_fd_sc_hs__and2_1_0/a_143_136#
++ nCLK DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd DFlipFlop_2/latch_diff_0/nD Q0 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ CLK DFlipFlop_2/latch_diff_1/D vss DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ sky130_fd_sc_hs__and2_1_0/a_56_136# nQ0 DFlipFlop_1/latch_diff_1/nD CLK_5 DFlipFlop_3/latch_diff_0/nD
++ nQ2 DFlipFlop_0/latch_diff_0/D DFlipFlop_2/latch_diff_1/nD DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_1/latch_diff_1/D Q1 DFlipFlop_2/D DFlipFlop_3/latch_diff_0/D DFlipFlop_1/D
++ sky130_fd_sc_hs__xor2_1_0/a_355_368# DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_3/latch_diff_1/nD DFlipFlop_0/latch_diff_1/D Q1_shift DFlipFlop_0/latch_diff_0/nD
++ DFlipFlop_2/nQ DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out DFlipFlop_2/latch_diff_0/D
++ sky130_fd_sc_hs__xor2_1_0/a_158_392# DFlipFlop_3/latch_diff_1/D sky130_fd_sc_hs__or2_1_0/a_63_368#
++ DFlipFlop_1/latch_diff_0/nD sky130_fd_sc_hs__and2_1_1/a_143_136# DFlipFlop_0/Q sky130_fd_sc_hs__and2_1_1/a_56_136#
++ sky130_fd_sc_hs__xor2_1_0/a_194_125# DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
++ sky130_fd_sc_hs__and2_1_0/a_143_136#
 Xsky130_fd_sc_hs__xor2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_194_125#
 + sky130_fd_sc_hs__xor2_1_0/a_355_368# sky130_fd_sc_hs__xor2_1_0/a_455_87# sky130_fd_sc_hs__xor2_1_0/a_158_392#
 + sky130_fd_sc_hs__xor2_1
 XDFlipFlop_0 DFlipFlop_0/latch_diff_0/m1_657_280# vss DFlipFlop_0/latch_diff_1/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
-+ nQ2 DFlipFlop_0/Q DFlipFlop_0/D DFlipFlop_0/latch_diff_1/m1_657_280# DFlipFlop_0/latch_diff_0/D
-+ DFlipFlop_0/latch_diff_1/nD vdd CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ nQ2 DFlipFlop_0/Q DFlipFlop_0/latch_diff_1/nD DFlipFlop_0/D DFlipFlop_0/latch_diff_1/m1_657_280#
++ DFlipFlop_0/latch_diff_0/D vdd CLK DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
 + nCLK DFlipFlop_0/latch_diff_0/nD DFlipFlop
 XDFlipFlop_1 DFlipFlop_1/latch_diff_0/m1_657_280# vss DFlipFlop_1/latch_diff_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
-+ nQ0 Q0 DFlipFlop_1/D DFlipFlop_1/latch_diff_1/m1_657_280# DFlipFlop_1/latch_diff_0/D
-+ DFlipFlop_1/latch_diff_1/nD vdd CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ nQ0 Q0 DFlipFlop_1/latch_diff_1/nD DFlipFlop_1/D DFlipFlop_1/latch_diff_1/m1_657_280#
++ DFlipFlop_1/latch_diff_0/D vdd CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
 + nCLK DFlipFlop_1/latch_diff_0/nD DFlipFlop
 XDFlipFlop_2 DFlipFlop_2/latch_diff_0/m1_657_280# vss DFlipFlop_2/latch_diff_1/D DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in
-+ DFlipFlop_2/nQ Q1 DFlipFlop_2/D DFlipFlop_2/latch_diff_1/m1_657_280# DFlipFlop_2/latch_diff_0/D
-+ DFlipFlop_2/latch_diff_1/nD vdd CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_2/nQ Q1 DFlipFlop_2/latch_diff_1/nD DFlipFlop_2/D DFlipFlop_2/latch_diff_1/m1_657_280#
++ DFlipFlop_2/latch_diff_0/D vdd CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
 + nCLK DFlipFlop_2/latch_diff_0/nD DFlipFlop
 XDFlipFlop_3 DFlipFlop_3/latch_diff_0/m1_657_280# vss DFlipFlop_3/latch_diff_1/D DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in
-+ DFlipFlop_3/nQ Q1_shift Q1 DFlipFlop_3/latch_diff_1/m1_657_280# DFlipFlop_3/latch_diff_0/D
-+ DFlipFlop_3/latch_diff_1/nD vdd nCLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ DFlipFlop_3/nQ Q1_shift DFlipFlop_3/latch_diff_1/nD Q1 DFlipFlop_3/latch_diff_1/m1_657_280#
++ DFlipFlop_3/latch_diff_0/D vdd nCLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
 + CLK DFlipFlop_3/latch_diff_0/nD DFlipFlop
 Xsky130_fd_sc_hs__and2_1_0 Q1 Q0 vss vss vdd vdd DFlipFlop_0/D sky130_fd_sc_hs__and2_1_0/a_143_136#
 + sky130_fd_sc_hs__and2_1_0/a_56_136# sky130_fd_sc_hs__and2_1
@@ -1812,211 +2001,211 @@
 + sky130_fd_sc_hs__and2_1_1/a_56_136# sky130_fd_sc_hs__and2_1
 Xsky130_fd_sc_hs__or2_1_0 Q1 Q1_shift vss vss vdd vdd CLK_5 sky130_fd_sc_hs__or2_1_0/a_152_368#
 + sky130_fd_sc_hs__or2_1_0/a_63_368# sky130_fd_sc_hs__or2_1
-C0 CLK_5 sky130_fd_sc_hs__or2_1_0/a_63_368# 0.06fF
-C1 sky130_fd_sc_hs__and2_1_1/a_56_136# vdd 0.04fF
-C2 CLK DFlipFlop_2/latch_diff_0/nD 0.08fF
-C3 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vdd 0.03fF
-C4 Q1 DFlipFlop_2/latch_diff_1/nD 0.21fF
-C5 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in Q0 0.33fF
-C6 CLK DFlipFlop_1/latch_diff_0/m1_657_280# 0.28fF
-C7 CLK_5 vdd 0.15fF
-C8 CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out -0.31fF
-C9 nQ0 Q0 0.33fF
-C10 DFlipFlop_2/latch_diff_1/m1_657_280# nCLK 0.28fF
-C11 CLK vdd 0.41fF
-C12 DFlipFlop_1/D nCLK 0.14fF
-C13 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out nCLK 0.05fF
-C14 DFlipFlop_2/D DFlipFlop_1/latch_diff_1/m1_657_280# 0.04fF
-C15 DFlipFlop_1/latch_diff_0/D Q0 0.42fF
-C16 Q1 DFlipFlop_0/latch_diff_1/nD 0.10fF
-C17 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in nCLK -0.33fF
-C18 DFlipFlop_0/Q CLK 0.08fF
-C19 DFlipFlop_1/latch_diff_1/D nCLK 0.08fF
-C20 nQ0 DFlipFlop_1/latch_diff_1/nD 0.88fF
-C21 nCLK sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.02fF
-C22 Q1 DFlipFlop_2/D 0.10fF
-C23 sky130_fd_sc_hs__xor2_1_0/a_194_125# DFlipFlop_2/D 0.08fF
-C24 DFlipFlop_1/latch_diff_0/nD CLK 0.08fF
-C25 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in Q0 0.42fF
-C26 nQ0 sky130_fd_sc_hs__and2_1_1/a_143_136# 0.04fF
-C27 DFlipFlop_3/latch_diff_0/nD nCLK 0.08fF
-C28 nQ0 DFlipFlop_1/latch_diff_1/m1_657_280# 0.21fF
-C29 sky130_fd_sc_hs__and2_1_1/a_56_136# nQ2 0.01fF
-C30 sky130_fd_sc_hs__xor2_1_0/a_355_368# vdd 0.03fF
-C31 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in Q1 0.09fF
-C32 CLK DFlipFlop_3/latch_diff_1/nD 0.16fF
-C33 DFlipFlop_2/latch_diff_1/nD nCLK 0.16fF
-C34 Q0 DFlipFlop_0/latch_diff_0/D 0.42fF
-C35 DFlipFlop_2/D vdd 0.07fF
-C36 Q1 nQ0 0.06fF
-C37 Q1_shift sky130_fd_sc_hs__or2_1_0/a_152_368# -0.04fF
-C38 DFlipFlop_3/latch_diff_1/m1_657_280# CLK 0.27fF
-C39 nQ2 CLK 0.17fF
-C40 DFlipFlop_1/latch_diff_0/D Q1 0.18fF
-C41 nQ0 DFlipFlop_1/latch_diff_0/m1_657_280# 0.25fF
-C42 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vdd 0.02fF
-C43 CLK DFlipFlop_0/latch_diff_0/m1_657_280# 0.28fF
-C44 sky130_fd_sc_hs__and2_1_1/a_56_136# DFlipFlop_1/D 0.04fF
-C45 Q0 DFlipFlop_1/latch_diff_1/nD 0.21fF
-C46 Q1_shift DFlipFlop_3/nQ 0.04fF
-C47 nQ0 vdd 0.11fF
-C48 DFlipFlop_2/latch_diff_0/m1_657_280# CLK 0.28fF
-C49 Q1 Q1_shift 0.36fF
-C50 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in Q1 0.21fF
-C51 DFlipFlop_0/latch_diff_1/nD nCLK 0.05fF
-C52 DFlipFlop_1/latch_diff_1/m1_657_280# Q0 0.01fF
-C53 sky130_fd_sc_hs__and2_1_0/a_56_136# Q0 0.17fF
-C54 DFlipFlop_2/D nCLK 0.41fF
-C55 DFlipFlop_1/D CLK 0.21fF
-C56 Q1 DFlipFlop_2/latch_diff_1/D 0.23fF
-C57 Q1_shift sky130_fd_sc_hs__or2_1_0/a_63_368# -0.27fF
-C58 Q1 Q0 9.65fF
-C59 sky130_fd_sc_hs__xor2_1_0/a_194_125# Q0 0.26fF
-C60 sky130_fd_sc_hs__and2_1_1/a_56_136# CLK 0.06fF
-C61 DFlipFlop_1/latch_diff_0/nD nQ0 0.08fF
-C62 Q1 DFlipFlop_2/nQ 0.31fF
-C63 Q1 DFlipFlop_0/latch_diff_0/D 0.15fF
-C64 DFlipFlop_1/latch_diff_1/D CLK 0.14fF
-C65 Q1_shift vdd 0.10fF
-C66 Q1 DFlipFlop_2/latch_diff_0/D 0.42fF
-C67 Q1 DFlipFlop_3/latch_diff_1/D 0.79fF
-C68 nQ0 nCLK 0.09fF
-C69 CLK DFlipFlop_0/latch_diff_1/D 0.03fF
-C70 Q0 vdd 5.33fF
-C71 Q1 DFlipFlop_1/latch_diff_1/nD 0.10fF
-C72 DFlipFlop_1/latch_diff_0/D nCLK 0.11fF
-C73 DFlipFlop_2/nQ vdd 0.02fF
-C74 CLK DFlipFlop_2/latch_diff_1/nD 0.09fF
-C75 Q1 DFlipFlop_3/latch_diff_0/D 0.09fF
-C76 nQ0 nQ2 0.03fF
-C77 sky130_fd_sc_hs__and2_1_0/a_56_136# Q1 0.14fF
-C78 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
-C79 DFlipFlop_0/Q Q0 0.21fF
-C80 Q1 DFlipFlop_3/nQ 0.10fF
-C81 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in nCLK 0.14fF
-C82 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vdd 0.02fF
-C83 DFlipFlop_2/latch_diff_1/D nCLK 0.08fF
-C84 DFlipFlop_0/D DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.02fF
-C85 DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.08fF
-C86 Q0 nCLK 0.20fF
-C87 Q1 sky130_fd_sc_hs__or2_1_0/a_63_368# 0.10fF
-C88 CLK DFlipFlop_0/latch_diff_1/nD 0.02fF
-C89 nQ0 DFlipFlop_1/D 0.12fF
-C90 sky130_fd_sc_hs__and2_1_0/a_56_136# vdd 0.02fF
-C91 DFlipFlop_2/nQ nCLK 0.09fF
-C92 DFlipFlop_3/nQ vdd 0.02fF
-C93 DFlipFlop_2/D CLK 0.14fF
-C94 DFlipFlop_3/latch_diff_1/D nCLK 0.14fF
-C95 DFlipFlop_2/latch_diff_0/D nCLK 0.11fF
-C96 sky130_fd_sc_hs__and2_1_1/a_56_136# nQ0 0.01fF
-C97 Q1 vdd 9.49fF
-C98 sky130_fd_sc_hs__xor2_1_0/a_194_125# vdd 0.03fF
-C99 nQ2 Q0 0.23fF
-C100 DFlipFlop_1/latch_diff_1/D nQ0 0.91fF
-C101 DFlipFlop_1/latch_diff_1/nD nCLK 0.16fF
-C102 sky130_fd_sc_hs__or2_1_0/a_63_368# vdd 0.02fF
-C103 Q1 DFlipFlop_0/Q 0.13fF
-C104 nQ0 CLK 0.19fF
-C105 DFlipFlop_1/latch_diff_1/m1_657_280# nCLK 0.28fF
-C106 DFlipFlop_3/nQ nCLK 0.02fF
-C107 DFlipFlop_0/D Q0 0.39fF
-C108 nQ2 sky130_fd_sc_hs__and2_1_1/a_143_136# 0.01fF
-C109 DFlipFlop_1/D Q0 0.07fF
-C110 Q1 nCLK -0.01fF
-C111 sky130_fd_sc_hs__xor2_1_0/a_194_125# nCLK 0.11fF
-C112 Q1 DFlipFlop_3/latch_diff_0/m1_657_280# 0.28fF
-C113 Q1 DFlipFlop_3/latch_diff_1/nD 1.24fF
-C114 Q0 sky130_fd_sc_hs__and2_1_0/a_143_136# 0.03fF
-C115 DFlipFlop_1/latch_diff_1/D Q0 0.06fF
-C116 DFlipFlop_3/latch_diff_1/m1_657_280# Q1 0.28fF
-C117 Q1 nQ2 0.07fF
-C118 DFlipFlop_2/latch_diff_1/D CLK 0.14fF
-C119 DFlipFlop_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
-C120 nCLK vdd 0.34fF
-C121 Q0 DFlipFlop_0/latch_diff_1/D 0.23fF
-C122 CLK Q0 0.08fF
-C123 CLK DFlipFlop_2/nQ 0.13fF
-C124 DFlipFlop_0/D sky130_fd_sc_hs__and2_1_0/a_56_136# 0.04fF
-C125 DFlipFlop_0/latch_diff_1/m1_657_280# nCLK 0.28fF
-C126 CLK DFlipFlop_3/latch_diff_1/D 0.08fF
-C127 Q1 DFlipFlop_2/latch_diff_1/m1_657_280# 0.03fF
-C128 DFlipFlop_0/Q nCLK 0.11fF
-C129 nQ2 vdd 0.04fF
-C130 CLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
-C131 DFlipFlop_0/D Q1 0.13fF
-C132 Q1 DFlipFlop_1/D 0.03fF
-C133 CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.15fF
-C134 Q1 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.15fF
-C135 CLK DFlipFlop_1/latch_diff_1/nD 0.09fF
-C136 nQ2 DFlipFlop_0/latch_diff_1/m1_657_280# 0.05fF
-C137 CLK sky130_fd_sc_hs__and2_1_1/a_143_136# 0.03fF
-C138 CLK DFlipFlop_3/latch_diff_0/D 0.11fF
-C139 DFlipFlop_0/Q nQ2 0.09fF
-C140 Q1 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in 0.20fF
-C141 Q1 sky130_fd_sc_hs__and2_1_0/a_143_136# 0.02fF
-C142 DFlipFlop_3/latch_diff_0/m1_657_280# nCLK 0.27fF
-C143 sky130_fd_sc_hs__xor2_1_0/a_355_368# Q0 0.03fF
-C144 Q1 DFlipFlop_1/latch_diff_1/D -0.10fF
-C145 DFlipFlop_3/latch_diff_1/nD nCLK 0.09fF
-C146 DFlipFlop_1/latch_diff_0/D nQ0 0.09fF
-C147 Q0 DFlipFlop_0/latch_diff_1/nD 0.21fF
-C148 DFlipFlop_0/D vdd 0.19fF
-C149 CLK DFlipFlop_3/nQ 0.01fF
-C150 DFlipFlop_3/latch_diff_0/nD Q1 0.08fF
-C151 DFlipFlop_1/D vdd 0.25fF
-C152 DFlipFlop_2/D Q0 0.25fF
-C153 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vdd 0.03fF
-C154 nQ2 nCLK 0.10fF
-C155 Q1 DFlipFlop_0/latch_diff_1/D 0.06fF
-C156 Q1 CLK -0.10fF
+C0 nCLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in 0.14fF
+C1 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in Q0 0.33fF
+C2 sky130_fd_sc_hs__and2_1_1/a_56_136# nQ0 0.01fF
+C3 DFlipFlop_3/nQ CLK 0.01fF
+C4 Q1 DFlipFlop_2/D 0.10fF
+C5 nQ2 DFlipFlop_0/Q 0.09fF
+C6 nQ0 nQ2 0.03fF
+C7 sky130_fd_sc_hs__and2_1_0/a_56_136# DFlipFlop_0/D 0.04fF
+C8 nCLK DFlipFlop_1/D 0.14fF
+C9 Q1 nQ2 0.07fF
+C10 nQ0 DFlipFlop_1/latch_diff_0/D 0.09fF
+C11 sky130_fd_sc_hs__and2_1_1/a_143_136# nQ2 0.01fF
+C12 vdd nQ0 0.11fF
+C13 Q1 DFlipFlop_1/latch_diff_0/D 0.18fF
+C14 Q1 DFlipFlop_3/latch_diff_1/D 0.79fF
+C15 nCLK DFlipFlop_3/latch_diff_1/nD 0.09fF
+C16 DFlipFlop_1/latch_diff_1/m1_657_280# nQ0 0.21fF
+C17 vdd Q1 9.49fF
+C18 Q1 DFlipFlop_0/latch_diff_1/nD 0.10fF
+C19 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in DFlipFlop_0/D 0.02fF
+C20 CLK DFlipFlop_2/latch_diff_1/D 0.14fF
+C21 DFlipFlop_3/nQ Q1 0.10fF
+C22 CLK DFlipFlop_0/Q 0.08fF
+C23 CLK nQ0 0.19fF
+C24 DFlipFlop_2/D nCLK 0.41fF
+C25 CLK Q1 -0.10fF
+C26 Q0 DFlipFlop_0/latch_diff_0/D 0.42fF
+C27 nQ2 nCLK 0.10fF
+C28 sky130_fd_sc_hs__and2_1_0/a_143_136# Q1 0.02fF
+C29 CLK sky130_fd_sc_hs__and2_1_1/a_143_136# 0.03fF
+C30 vdd sky130_fd_sc_hs__or2_1_0/a_63_368# 0.02fF
+C31 vdd sky130_fd_sc_hs__and2_1_0/a_56_136# 0.02fF
+C32 DFlipFlop_1/latch_diff_0/D nCLK 0.11fF
+C33 nCLK DFlipFlop_3/latch_diff_1/D 0.14fF
+C34 CLK DFlipFlop_0/latch_diff_1/D 0.03fF
+C35 vdd nCLK 0.34fF
+C36 nCLK DFlipFlop_0/latch_diff_1/nD 0.05fF
+C37 CLK DFlipFlop_1/latch_diff_0/m1_657_280# 0.28fF
+C38 DFlipFlop_1/latch_diff_1/m1_657_280# nCLK 0.28fF
+C39 DFlipFlop_3/nQ nCLK 0.02fF
+C40 DFlipFlop_2/latch_diff_1/D Q1 0.23fF
+C41 nCLK sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.02fF
+C42 CLK DFlipFlop_1/latch_diff_1/D 0.14fF
+C43 vdd DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.02fF
+C44 Q1 DFlipFlop_0/Q 0.13fF
+C45 nQ0 Q1 0.06fF
+C46 CLK DFlipFlop_3/latch_diff_1/m1_657_280# 0.27fF
+C47 sky130_fd_sc_hs__and2_1_1/a_143_136# nQ0 0.04fF
+C48 Q1 DFlipFlop_2/latch_diff_0/D 0.42fF
+C49 CLK DFlipFlop_1/latch_diff_1/nD 0.09fF
+C50 DFlipFlop_3/latch_diff_0/m1_657_280# Q1 0.28fF
+C51 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C52 DFlipFlop_2/latch_diff_1/m1_657_280# Q1 0.03fF
+C53 DFlipFlop_0/latch_diff_1/D Q1 0.06fF
+C54 CLK_5 vdd 0.15fF
+C55 DFlipFlop_1/latch_diff_0/m1_657_280# nQ0 0.25fF
+C56 DFlipFlop_2/latch_diff_1/D nCLK 0.08fF
+C57 DFlipFlop_1/latch_diff_1/D nQ0 0.91fF
+C58 sky130_fd_sc_hs__or2_1_0/a_63_368# Q1 0.10fF
+C59 sky130_fd_sc_hs__and2_1_0/a_56_136# Q1 0.14fF
+C60 nCLK DFlipFlop_0/Q 0.11fF
+C61 nQ0 nCLK 0.09fF
+C62 DFlipFlop_1/latch_diff_1/D Q1 -0.10fF
+C63 Q1 nCLK -0.01fF
+C64 DFlipFlop_3/latch_diff_1/m1_657_280# Q1 0.28fF
+C65 sky130_fd_sc_hs__xor2_1_0/a_194_125# Q0 0.26fF
+C66 DFlipFlop_1/latch_diff_1/nD nQ0 0.88fF
+C67 nCLK DFlipFlop_2/latch_diff_0/D 0.11fF
+C68 DFlipFlop_3/latch_diff_0/m1_657_280# nCLK 0.27fF
+C69 DFlipFlop_1/latch_diff_1/nD Q1 0.10fF
+C70 DFlipFlop_2/latch_diff_1/m1_657_280# nCLK 0.28fF
+C71 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in Q1 0.09fF
+C72 CLK DFlipFlop_2/latch_diff_0/nD 0.08fF
+C73 DFlipFlop_1/latch_diff_1/D nCLK 0.08fF
+C74 sky130_fd_sc_hs__or2_1_0/a_152_368# Q1_shift -0.04fF
+C75 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out Q1 0.15fF
+C76 Q0 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in 0.42fF
+C77 CLK DFlipFlop_2/latch_diff_1/nD 0.09fF
+C78 DFlipFlop_1/latch_diff_1/nD nCLK 0.16fF
+C79 Q0 DFlipFlop_1/D 0.07fF
+C80 vdd DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C81 Q0 DFlipFlop_0/D 0.39fF
+C82 sky130_fd_sc_hs__xor2_1_0/a_194_125# DFlipFlop_2/D 0.08fF
+C83 CLK_5 sky130_fd_sc_hs__or2_1_0/a_63_368# 0.06fF
+C84 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out nCLK 0.05fF
+C85 DFlipFlop_0/latch_diff_0/D Q1 0.15fF
+C86 vdd Q1_shift 0.10fF
+C87 nQ2 DFlipFlop_0/latch_diff_1/m1_657_280# 0.05fF
+C88 Q0 DFlipFlop_2/D 0.25fF
+C89 DFlipFlop_2/latch_diff_1/nD Q1 0.21fF
+C90 Q0 sky130_fd_sc_hs__xor2_1_0/a_355_368# 0.03fF
+C91 DFlipFlop_3/nQ Q1_shift 0.04fF
+C92 vdd sky130_fd_sc_hs__xor2_1_0/a_194_125# 0.03fF
+C93 vdd DFlipFlop_2/nQ 0.02fF
+C94 Q0 nQ2 0.23fF
+C95 DFlipFlop_3/latch_diff_0/nD Q1 0.08fF
+C96 Q0 DFlipFlop_1/latch_diff_0/D 0.42fF
+C97 vdd Q0 5.33fF
+C98 Q0 DFlipFlop_0/latch_diff_1/nD 0.21fF
+C99 DFlipFlop_1/latch_diff_1/m1_657_280# Q0 0.01fF
+C100 CLK DFlipFlop_2/nQ 0.13fF
+C101 sky130_fd_sc_hs__and2_1_1/a_56_136# DFlipFlop_1/D 0.04fF
+C102 CLK DFlipFlop_0/latch_diff_0/m1_657_280# 0.28fF
+C103 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in Q1 0.20fF
+C104 DFlipFlop_2/latch_diff_1/nD nCLK 0.16fF
+C105 vdd DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C106 CLK Q0 0.08fF
+C107 sky130_fd_sc_hs__and2_1_0/a_143_136# Q0 0.03fF
+C108 DFlipFlop_3/latch_diff_0/nD nCLK 0.08fF
+C109 Q1 Q1_shift 0.36fF
+C110 vdd DFlipFlop_1/D 0.25fF
+C111 DFlipFlop_1/D DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.03fF
+C112 CLK DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in 0.03fF
+C113 vdd DFlipFlop_0/D 0.19fF
+C114 CLK DFlipFlop_1/latch_diff_0/nD 0.08fF
+C115 DFlipFlop_2/nQ Q1 0.31fF
+C116 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in nCLK -0.33fF
+C117 sky130_fd_sc_hs__and2_1_1/a_56_136# nQ2 0.01fF
+C118 Q0 DFlipFlop_0/Q 0.21fF
+C119 CLK DFlipFlop_1/D 0.21fF
+C120 Q0 nQ0 0.33fF
+C121 sky130_fd_sc_hs__or2_1_0/a_63_368# Q1_shift -0.27fF
+C122 Q0 Q1 9.65fF
+C123 vdd sky130_fd_sc_hs__and2_1_1/a_56_136# 0.04fF
+C124 vdd DFlipFlop_2/D 0.07fF
+C125 CLK DFlipFlop_3/latch_diff_0/D 0.11fF
+C126 vdd sky130_fd_sc_hs__xor2_1_0/a_355_368# 0.03fF
+C127 CLK DFlipFlop_3/latch_diff_1/nD 0.16fF
+C128 DFlipFlop_1/latch_diff_1/m1_657_280# DFlipFlop_2/D 0.04fF
+C129 vdd nQ2 0.04fF
+C130 Q1 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in 0.21fF
+C131 DFlipFlop_0/latch_diff_1/D Q0 0.23fF
+C132 DFlipFlop_2/D sky130_fd_sc_hs__xor2_1_0/a_455_87# 0.08fF
+C133 DFlipFlop_1/latch_diff_0/nD nQ0 0.08fF
+C134 sky130_fd_sc_hs__xor2_1_0/a_194_125# nCLK 0.11fF
+C135 CLK sky130_fd_sc_hs__and2_1_1/a_56_136# 0.06fF
+C136 CLK DFlipFlop_2/D 0.14fF
+C137 DFlipFlop_2/nQ nCLK 0.09fF
+C138 nCLK DFlipFlop_0/latch_diff_1/m1_657_280# 0.28fF
+C139 vdd DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.02fF
+C140 nQ0 DFlipFlop_1/D 0.12fF
+C141 Q0 sky130_fd_sc_hs__and2_1_0/a_56_136# 0.17fF
+C142 DFlipFlop_2/latch_diff_0/m1_657_280# CLK 0.28fF
+C143 DFlipFlop_1/latch_diff_1/D Q0 0.06fF
+C144 CLK nQ2 0.17fF
+C145 Q1 DFlipFlop_1/D 0.03fF
+C146 CLK DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out -0.31fF
+C147 DFlipFlop_3/nQ vdd 0.02fF
+C148 Q0 nCLK 0.20fF
+C149 CLK DFlipFlop_3/latch_diff_1/D 0.08fF
+C150 DFlipFlop_0/D Q1 0.13fF
+C151 Q1 DFlipFlop_3/latch_diff_0/D 0.09fF
+C152 Q1 DFlipFlop_3/latch_diff_1/nD 1.24fF
+C153 vdd CLK 0.41fF
+C154 CLK DFlipFlop_0/latch_diff_1/nD 0.02fF
+C155 CLK DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out 0.15fF
+C156 Q0 DFlipFlop_1/latch_diff_1/nD 0.21fF
 C157 CLK_5 vss -0.18fF
 C158 sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.38fF
 C159 sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.41fF
 C160 sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.38fF
-C161 DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.64fF
+C161 DFlipFlop_3/nQ vss 0.52fF
 C162 Q1_shift vss -0.29fF
-C163 DFlipFlop_3/nQ vss 0.52fF
-C164 DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C163 DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.64fF
+C164 DFlipFlop_3/latch_diff_1/nD vss 0.57fF
 C165 DFlipFlop_3/latch_diff_1/D vss -1.73fF
-C166 DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C166 DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
 C167 DFlipFlop_3/latch_diff_0/D vss 0.96fF
 C168 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.94fF
 C169 DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.85fF
 C170 DFlipFlop_3/latch_diff_0/nD vss 1.14fF
-C171 DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.72fF
+C171 DFlipFlop_2/nQ vss 0.50fF
 C172 Q1 vss 8.55fF
-C173 DFlipFlop_2/nQ vss 0.50fF
-C174 DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C173 DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.72fF
+C174 DFlipFlop_2/latch_diff_1/nD vss 0.58fF
 C175 DFlipFlop_2/latch_diff_1/D vss -1.72fF
-C176 DFlipFlop_2/latch_diff_1/nD vss 0.58fF
+C176 DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
 C177 DFlipFlop_2/latch_diff_0/D vss 0.96fF
 C178 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.89fF
-C179 DFlipFlop_2/D vss 5.34fF
-C180 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C179 DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C180 DFlipFlop_2/D vss 5.34fF
 C181 DFlipFlop_2/latch_diff_0/nD vss 1.14fF
-C182 DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.62fF
+C182 nQ0 vss 3.42fF
 C183 Q0 vss 0.53fF
-C184 nQ0 vss 3.42fF
-C185 DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C184 DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.62fF
+C185 DFlipFlop_1/latch_diff_1/nD vss 0.57fF
 C186 DFlipFlop_1/latch_diff_1/D vss -1.73fF
-C187 DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C187 DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
 C188 DFlipFlop_1/latch_diff_0/D vss 0.96fF
 C189 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
-C190 DFlipFlop_1/D vss 3.72fF
-C191 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.78fF
+C190 DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.78fF
+C191 DFlipFlop_1/D vss 3.72fF
 C192 DFlipFlop_1/latch_diff_0/nD vss 1.14fF
-C193 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.61fF
-C194 nCLK vss 0.96fF
-C195 DFlipFlop_0/Q vss -0.94fF
-C196 nQ2 vss 2.05fF
-C197 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
-C198 CLK vss 0.20fF
-C199 DFlipFlop_0/latch_diff_1/D vss -1.73fF
-C200 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C193 nQ2 vss 2.05fF
+C194 DFlipFlop_0/Q vss -0.94fF
+C195 DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.61fF
+C196 nCLK vss 0.96fF
+C197 DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C198 DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C199 DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C200 CLK vss 0.20fF
 C201 DFlipFlop_0/latch_diff_0/D vss 0.96fF
 C202 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.88fF
-C203 DFlipFlop_0/D vss 4.04fF
-C204 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C203 DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C204 DFlipFlop_0/D vss 4.04fF
 C205 DFlipFlop_0/latch_diff_0/nD vss 1.14fF
 C206 vdd vss 146.76fF
 C207 sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
@@ -2032,29 +2221,29 @@
 X3 a_n129_n125# a_n159_n151# a_n225_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X4 a_n33_n125# a_n63_n151# a_n129_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X5 a_255_n125# a_225_n151# a_159_n125# w_n455_n335# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
-C0 a_n33_n125# a_63_n125# 0.36fF
-C1 a_129_n151# a_225_n151# 0.02fF
-C2 a_n63_n151# a_n159_n151# 0.02fF
-C3 a_n225_n125# a_n129_n125# 0.36fF
-C4 a_n129_n125# a_159_n125# 0.08fF
-C5 a_n225_n125# a_63_n125# 0.08fF
-C6 a_255_n125# a_n129_n125# 0.06fF
-C7 a_63_n125# a_159_n125# 0.36fF
-C8 a_255_n125# a_63_n125# 0.13fF
-C9 a_33_n151# a_129_n151# 0.02fF
-C10 a_n33_n125# a_n317_n125# 0.08fF
-C11 a_63_n125# a_n129_n125# 0.13fF
-C12 a_n225_n125# a_n317_n125# 0.36fF
-C13 a_n33_n125# a_n225_n125# 0.13fF
-C14 a_n33_n125# a_159_n125# 0.13fF
-C15 a_255_n125# a_n33_n125# 0.08fF
-C16 a_n317_n125# a_n129_n125# 0.13fF
-C17 a_n255_n151# a_n159_n151# 0.02fF
-C18 a_63_n125# a_n317_n125# 0.06fF
-C19 a_n63_n151# a_33_n151# 0.02fF
+C0 a_n63_n151# a_33_n151# 0.02fF
+C1 a_159_n125# a_255_n125# 0.36fF
+C2 a_n317_n125# a_63_n125# 0.06fF
+C3 a_n129_n125# a_n225_n125# 0.36fF
+C4 a_63_n125# a_159_n125# 0.36fF
+C5 a_n317_n125# a_n33_n125# 0.08fF
+C6 a_63_n125# a_255_n125# 0.13fF
+C7 a_n33_n125# a_159_n125# 0.13fF
+C8 a_n33_n125# a_255_n125# 0.08fF
+C9 a_63_n125# a_n33_n125# 0.36fF
+C10 a_n63_n151# a_n159_n151# 0.02fF
+C11 a_n255_n151# a_n159_n151# 0.02fF
+C12 a_129_n151# a_33_n151# 0.02fF
+C13 a_n317_n125# a_n129_n125# 0.13fF
+C14 a_n129_n125# a_159_n125# 0.08fF
+C15 a_225_n151# a_129_n151# 0.02fF
+C16 a_n129_n125# a_255_n125# 0.06fF
+C17 a_63_n125# a_n129_n125# 0.13fF
+C18 a_n129_n125# a_n33_n125# 0.36fF
+C19 a_n317_n125# a_n225_n125# 0.36fF
 C20 a_n225_n125# a_159_n125# 0.06fF
-C21 a_255_n125# a_159_n125# 0.36fF
-C22 a_n33_n125# a_n129_n125# 0.36fF
+C21 a_63_n125# a_n225_n125# 0.08fF
+C22 a_n33_n125# a_n225_n125# 0.13fF
 C23 a_255_n125# w_n455_n335# 0.14fF
 C24 a_159_n125# w_n455_n335# 0.08fF
 C25 a_63_n125# w_n455_n335# 0.07fF
@@ -2079,36 +2268,36 @@
 X3 a_159_n125# a_129_n154# a_63_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X4 a_n225_n125# a_n255_n154# a_n317_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
 X5 a_63_n125# a_33_n154# a_n33_n125# w_n455_n344# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=1.25e+06u l=150000u
-C0 a_n255_n154# a_n159_n154# 0.02fF
-C1 a_n33_n125# a_n129_n125# 0.36fF
-C2 a_159_n125# a_n225_n125# 0.06fF
-C3 a_n225_n125# w_n455_n344# 0.06fF
-C4 a_159_n125# a_255_n125# 0.36fF
-C5 a_255_n125# w_n455_n344# 0.11fF
-C6 a_n317_n125# w_n455_n344# 0.11fF
-C7 a_159_n125# a_63_n125# 0.36fF
-C8 a_63_n125# w_n455_n344# 0.04fF
-C9 a_n159_n154# a_n63_n154# 0.02fF
+C0 a_n225_n125# a_n33_n125# 0.13fF
+C1 a_63_n125# a_159_n125# 0.36fF
+C2 a_n129_n125# a_n317_n125# 0.13fF
+C3 a_n225_n125# a_63_n125# 0.08fF
+C4 a_n129_n125# a_n33_n125# 0.36fF
+C5 a_n63_n154# a_33_n154# 0.02fF
+C6 a_129_n154# a_33_n154# 0.02fF
+C7 a_n225_n125# a_159_n125# 0.06fF
+C8 a_n159_n154# a_n63_n154# 0.02fF
+C9 a_n129_n125# a_63_n125# 0.13fF
 C10 a_129_n154# a_225_n154# 0.02fF
-C11 a_n33_n125# a_n225_n125# 0.13fF
-C12 a_33_n154# a_129_n154# 0.02fF
-C13 a_255_n125# a_n33_n125# 0.08fF
-C14 a_n317_n125# a_n33_n125# 0.08fF
-C15 a_n225_n125# a_n129_n125# 0.36fF
-C16 a_159_n125# w_n455_n344# 0.06fF
-C17 a_n33_n125# a_63_n125# 0.36fF
-C18 a_255_n125# a_n129_n125# 0.06fF
-C19 a_n317_n125# a_n129_n125# 0.13fF
-C20 a_63_n125# a_n129_n125# 0.13fF
-C21 a_159_n125# a_n33_n125# 0.13fF
-C22 a_n33_n125# w_n455_n344# 0.05fF
-C23 a_159_n125# a_n129_n125# 0.08fF
-C24 w_n455_n344# a_n129_n125# 0.04fF
-C25 a_n317_n125# a_n225_n125# 0.36fF
-C26 a_n225_n125# a_63_n125# 0.08fF
-C27 a_255_n125# a_63_n125# 0.13fF
-C28 a_n317_n125# a_63_n125# 0.06fF
-C29 a_33_n154# a_n63_n154# 0.02fF
+C11 a_255_n125# a_n33_n125# 0.08fF
+C12 a_n129_n125# a_159_n125# 0.08fF
+C13 a_n159_n154# a_n255_n154# 0.02fF
+C14 w_n455_n344# a_n317_n125# 0.11fF
+C15 w_n455_n344# a_n33_n125# 0.05fF
+C16 a_n129_n125# a_n225_n125# 0.36fF
+C17 a_255_n125# a_63_n125# 0.13fF
+C18 w_n455_n344# a_63_n125# 0.04fF
+C19 a_255_n125# a_159_n125# 0.36fF
+C20 w_n455_n344# a_159_n125# 0.06fF
+C21 w_n455_n344# a_n225_n125# 0.06fF
+C22 a_n317_n125# a_n33_n125# 0.08fF
+C23 a_n129_n125# a_255_n125# 0.06fF
+C24 a_n129_n125# w_n455_n344# 0.04fF
+C25 a_n317_n125# a_63_n125# 0.06fF
+C26 a_63_n125# a_n33_n125# 0.36fF
+C27 a_n33_n125# a_159_n125# 0.13fF
+C28 a_255_n125# w_n455_n344# 0.11fF
+C29 a_n317_n125# a_n225_n125# 0.36fF
 C30 a_255_n125# VSUBS 0.03fF
 C31 a_159_n125# VSUBS 0.03fF
 C32 a_63_n125# VSUBS 0.03fF
@@ -2130,9 +2319,9 @@
 + sky130_fd_pr__nfet_01v8_AZESM8
 Xsky130_fd_pr__pfet_01v8_XJXT7S_0 vss vdd in in vdd in out out in in out vdd out vdd
 + in sky130_fd_pr__pfet_01v8_XJXT7S
-C0 out in 0.85fF
-C1 vdd in 0.04fF
-C2 vdd out 0.29fF
+C0 vdd in 0.04fF
+C1 vdd out 0.29fF
+C2 in out 0.85fF
 C3 vdd vss 5.90fF
 C4 out vss 1.30fF
 C5 in vss 1.82fF
@@ -2146,18 +2335,18 @@
 Xinverter_cp_x1_0 inverter_cp_x1_0/out QB vss vdd inverter_cp_x1
 Xinverter_cp_x1_2 Up inverter_cp_x1_2/in vss vdd inverter_cp_x1
 Xinverter_cp_x1_1 inverter_cp_x1_2/in QA vss vdd inverter_cp_x1
-C0 nDown inverter_cp_x1_0/out 0.11fF
+C0 vdd QB 0.02fF
 C1 vdd Down 0.09fF
-C2 nUp vdd 0.14fF
-C3 Up inverter_cp_x1_2/in 0.12fF
-C4 inverter_cp_x1_0/out Down 0.12fF
-C5 nUp Up 0.20fF
+C2 nUp Up 0.20fF
+C3 vdd inverter_cp_x1_2/in 0.42fF
+C4 inverter_cp_x1_0/out nDown 0.11fF
+C5 vdd nUp 0.14fF
 C6 nDown Down 0.23fF
-C7 QB vdd 0.02fF
-C8 inverter_cp_x1_0/out vdd 0.25fF
-C9 vdd Up 0.60fF
-C10 nDown vdd 0.80fF
-C11 vdd inverter_cp_x1_2/in 0.42fF
+C7 vdd Up 0.60fF
+C8 vdd nDown 0.80fF
+C9 inverter_cp_x1_2/in Up 0.12fF
+C10 inverter_cp_x1_0/out Down 0.12fF
+C11 vdd inverter_cp_x1_0/out 0.25fF
 C12 vdd QA 0.02fF
 C13 inverter_cp_x1_2/in vss 2.01fF
 C14 QA vss 1.09fF
@@ -2176,21 +2365,21 @@
 X1 a_n129_n90# a_n159_n207# a_n221_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
 X2 a_63_n90# a_n159_n207# a_n33_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
 X3 a_n33_n90# a_n63_n116# a_n129_n90# w_n359_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
-C0 w_n359_n309# a_n129_n90# 0.06fF
-C1 a_n159_n207# a_n63_n116# 0.12fF
-C2 a_n33_n90# w_n359_n309# 0.05fF
-C3 a_63_n90# a_n221_n90# 0.06fF
-C4 w_n359_n309# a_159_n90# 0.09fF
-C5 a_n33_n90# a_n129_n90# 0.26fF
-C6 a_159_n90# a_n129_n90# 0.06fF
-C7 a_n33_n90# a_159_n90# 0.09fF
-C8 a_63_n90# w_n359_n309# 0.06fF
-C9 a_n221_n90# w_n359_n309# 0.09fF
-C10 a_63_n90# a_n129_n90# 0.09fF
+C0 a_n129_n90# a_63_n90# 0.09fF
+C1 a_n221_n90# a_63_n90# 0.06fF
+C2 w_n359_n309# a_n129_n90# 0.06fF
+C3 a_n221_n90# w_n359_n309# 0.09fF
+C4 a_63_n90# a_159_n90# 0.26fF
+C5 w_n359_n309# a_159_n90# 0.09fF
+C6 w_n359_n309# a_63_n90# 0.06fF
+C7 a_n129_n90# a_n33_n90# 0.26fF
+C8 a_n221_n90# a_n33_n90# 0.09fF
+C9 a_n33_n90# a_159_n90# 0.09fF
+C10 a_n33_n90# a_63_n90# 0.26fF
 C11 a_n221_n90# a_n129_n90# 0.26fF
-C12 a_63_n90# a_n33_n90# 0.26fF
-C13 a_n221_n90# a_n33_n90# 0.09fF
-C14 a_63_n90# a_159_n90# 0.26fF
+C12 w_n359_n309# a_n33_n90# 0.05fF
+C13 a_n129_n90# a_159_n90# 0.06fF
+C14 a_n63_n116# a_n159_n207# 0.12fF
 C15 a_n221_n90# a_159_n90# 0.04fF
 C16 a_159_n90# VSUBS 0.03fF
 C17 a_63_n90# VSUBS 0.03fF
@@ -2206,10 +2395,10 @@
 + a_n125_n45# a_63_n45#
 X0 a_63_n45# a_33_n71# a_n33_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
 X1 a_n33_n45# a_n129_71# a_n125_n45# w_n263_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
-C0 a_33_n71# a_n129_71# 0.04fF
-C1 a_n125_n45# a_63_n45# 0.05fF
-C2 a_n125_n45# a_n33_n45# 0.13fF
-C3 a_63_n45# a_n33_n45# 0.13fF
+C0 a_63_n45# a_n33_n45# 0.13fF
+C1 a_n125_n45# a_n33_n45# 0.13fF
+C2 a_63_n45# a_n125_n45# 0.05fF
+C3 a_n129_71# a_33_n71# 0.04fF
 C4 a_63_n45# w_n263_n255# 0.04fF
 C5 a_n33_n45# w_n263_n255# 0.04fF
 C6 a_n125_n45# w_n263_n255# 0.04fF
@@ -2217,19 +2406,19 @@
 C8 a_n129_71# w_n263_n255# 0.14fF
 .ends
 
-.subckt nor_pfd vdd sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
-+ vss A B
+.subckt nor_pfd sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd A B
 Xsky130_fd_pr__pfet_01v8_4F35BC_0 vss sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
 + vdd B A sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out vdd vdd sky130_fd_pr__pfet_01v8_4F35BC
 Xsky130_fd_pr__nfet_01v8_C3YG4M_0 out B A vss vss vss sky130_fd_pr__nfet_01v8_C3YG4M
-C0 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.02fF
-C1 out sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.08fF
-C2 out vdd 0.11fF
-C3 B A 0.24fF
-C4 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.02fF
-C5 out A 0.06fF
-C6 out B 0.40fF
-C7 A vdd 0.09fF
+C0 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.02fF
+C1 A out 0.06fF
+C2 vdd out 0.11fF
+C3 B out 0.40fF
+C4 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# out 0.08fF
+C5 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.02fF
+C6 vdd A 0.09fF
+C7 B A 0.24fF
 C8 sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
 C9 out vss 0.45fF
 C10 sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
@@ -2239,34 +2428,34 @@
 .ends
 
 .subckt dff_pfd vss vdd nor_pfd_2/A Q CLK nor_pfd_3/A nor_pfd_2/B Reset
-Xnor_pfd_0 vdd nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/A nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
-+ vss CLK Q nor_pfd
-Xnor_pfd_1 vdd nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# Q nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
-+ vss nor_pfd_2/A nor_pfd_3/A nor_pfd
-Xnor_pfd_2 vdd nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_3/A nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
-+ vss nor_pfd_2/A nor_pfd_2/B nor_pfd
-Xnor_pfd_3 vdd nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/B nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
-+ vss nor_pfd_3/A Reset nor_pfd
-C0 nor_pfd_2/B nor_pfd_2/A 0.05fF
-C1 nor_pfd_2/B Q 2.22fF
-C2 vdd nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
-C3 vdd nor_pfd_2/A -0.01fF
-C4 nor_pfd_3/A nor_pfd_2/A 0.38fF
-C5 Q vdd 0.08fF
-C6 nor_pfd_3/A Q 0.98fF
-C7 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.06fF
-C8 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.06fF
-C9 nor_pfd_2/B vdd 0.02fF
-C10 nor_pfd_3/A nor_pfd_2/B 0.58fF
-C11 vdd nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
-C12 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vdd 0.06fF
-C13 nor_pfd_3/A vdd 0.09fF
-C14 CLK Q 0.04fF
-C15 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vdd 0.06fF
-C16 Q Reset 0.14fF
-C17 nor_pfd_2/B Reset 0.43fF
-C18 nor_pfd_3/A Reset 0.12fF
-C19 Q nor_pfd_2/A 1.38fF
+Xnor_pfd_0 nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/A nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd CLK Q nor_pfd
+Xnor_pfd_1 nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# Q nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_3/A nor_pfd
+Xnor_pfd_2 nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_3/A nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_2/A nor_pfd_2/B nor_pfd
+Xnor_pfd_3 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# nor_pfd_2/B nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90#
++ vss vdd nor_pfd_3/A Reset nor_pfd
+C0 nor_pfd_3/A nor_pfd_2/A 0.38fF
+C1 vdd nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C2 vdd nor_pfd_2/A -0.01fF
+C3 nor_pfd_2/B Reset 0.43fF
+C4 vdd nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
+C5 Reset Q 0.14fF
+C6 vdd nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
+C7 nor_pfd_2/B nor_pfd_3/A 0.58fF
+C8 nor_pfd_3/A Q 0.98fF
+C9 vdd nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C10 vdd nor_pfd_2/B 0.02fF
+C11 vdd Q 0.08fF
+C12 nor_pfd_2/B nor_pfd_2/A 0.05fF
+C13 Q nor_pfd_2/A 1.38fF
+C14 vdd nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# 0.06fF
+C15 CLK Q 0.04fF
+C16 vdd nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# 0.06fF
+C17 Reset nor_pfd_3/A 0.12fF
+C18 nor_pfd_2/B Q 2.22fF
+C19 vdd nor_pfd_3/A 0.09fF
 C20 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
 C21 nor_pfd_2/B vss 1.42fF
 C22 nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
@@ -2290,17 +2479,17 @@
 X1 a_n33_n45# a_n63_n71# a_n129_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
 X2 a_159_n45# a_n63_n71# a_63_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
 X3 a_n129_n45# a_n159_n173# a_n221_n45# w_n359_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
-C0 a_63_n45# a_n129_n45# 0.05fF
-C1 a_n33_n45# a_63_n45# 0.13fF
+C0 a_n129_n45# a_159_n45# 0.03fF
+C1 a_n221_n45# a_159_n45# 0.02fF
 C2 a_63_n45# a_159_n45# 0.13fF
-C3 a_63_n45# a_n221_n45# 0.03fF
-C4 a_n33_n45# a_n129_n45# 0.13fF
-C5 a_159_n45# a_n129_n45# 0.03fF
-C6 a_n33_n45# a_159_n45# 0.05fF
-C7 a_n221_n45# a_n129_n45# 0.13fF
-C8 a_n33_n45# a_n221_n45# 0.05fF
-C9 a_n221_n45# a_159_n45# 0.02fF
-C10 a_n63_n71# a_n159_n173# 0.10fF
+C3 a_n221_n45# a_n129_n45# 0.13fF
+C4 a_n33_n45# a_159_n45# 0.05fF
+C5 a_63_n45# a_n129_n45# 0.05fF
+C6 a_n63_n71# a_n159_n173# 0.10fF
+C7 a_n33_n45# a_n129_n45# 0.13fF
+C8 a_63_n45# a_n221_n45# 0.03fF
+C9 a_n221_n45# a_n33_n45# 0.05fF
+C10 a_63_n45# a_n33_n45# 0.13fF
 C11 a_159_n45# w_n359_n255# 0.04fF
 C12 a_63_n45# w_n359_n255# 0.05fF
 C13 a_n33_n45# w_n359_n255# 0.05fF
@@ -2314,10 +2503,10 @@
 + a_n33_n90# w_n263_n309#
 X0 a_63_n90# a_33_n187# a_n33_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
 X1 a_n33_n90# a_n99_n187# a_n125_n90# w_n263_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
-C0 a_n99_n187# a_33_n187# 0.04fF
-C1 a_n125_n90# a_63_n90# 0.09fF
-C2 a_n125_n90# a_n33_n90# 0.26fF
-C3 a_n33_n90# a_63_n90# 0.26fF
+C0 a_n125_n90# a_63_n90# 0.09fF
+C1 a_n33_n90# a_63_n90# 0.26fF
+C2 a_33_n187# a_n99_n187# 0.04fF
+C3 a_n125_n90# a_n33_n90# 0.26fF
 C4 a_63_n90# VSUBS 0.03fF
 C5 a_n33_n90# VSUBS 0.03fF
 C6 a_n125_n90# VSUBS 0.03fF
@@ -2328,7 +2517,7 @@
 
 .subckt sky130_fd_pr__nfet_01v8_ZXAV3F a_n73_n45# a_n33_67# a_15_n45# w_n211_n255#
 X0 a_15_n45# a_n33_67# a_n73_n45# w_n211_n255# sky130_fd_pr__nfet_01v8 ad=0p pd=0u as=0p ps=0u w=450000u l=150000u
-C0 a_n73_n45# a_15_n45# 0.16fF
+C0 a_15_n45# a_n73_n45# 0.16fF
 C1 a_15_n45# w_n211_n255# 0.08fF
 C2 a_n73_n45# w_n211_n255# 0.06fF
 C3 a_n33_67# w_n211_n255# 0.10fF
@@ -2336,8 +2525,8 @@
 
 .subckt sky130_fd_pr__pfet_01v8_4F7GBC VSUBS a_n51_n187# a_n73_n90# a_15_n90# w_n211_n309#
 X0 a_15_n90# a_n51_n187# a_n73_n90# w_n211_n309# sky130_fd_pr__pfet_01v8 ad=0p pd=0u as=0p ps=0u w=900000u l=150000u
-C0 a_15_n90# w_n211_n309# 0.09fF
-C1 w_n211_n309# a_n73_n90# 0.04fF
+C0 a_n73_n90# w_n211_n309# 0.04fF
+C1 a_15_n90# w_n211_n309# 0.09fF
 C2 a_15_n90# a_n73_n90# 0.31fF
 C3 a_15_n90# VSUBS 0.03fF
 C4 a_n73_n90# VSUBS 0.03fF
@@ -2345,22 +2534,22 @@
 C6 w_n211_n309# VSUBS 1.24fF
 .ends
 
-.subckt and_pfd a_656_410# out vss vdd A B
+.subckt and_pfd a_656_410# vss out vdd A B
 Xsky130_fd_pr__nfet_01v8_ZCYAJJ_0 vss a_656_410# A vss vss B sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45#
 + sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# sky130_fd_pr__nfet_01v8_ZCYAJJ
 Xsky130_fd_pr__pfet_01v8_7T83YG_0 vss vdd vdd B A a_656_410# vdd sky130_fd_pr__pfet_01v8_7T83YG
 Xsky130_fd_pr__nfet_01v8_ZXAV3F_0 vss a_656_410# out vss sky130_fd_pr__nfet_01v8_ZXAV3F
 Xsky130_fd_pr__pfet_01v8_4F7GBC_0 vss a_656_410# vdd out vdd sky130_fd_pr__pfet_01v8_4F7GBC
-C0 a_656_410# sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# 0.07fF
-C1 A a_656_410# 0.04fF
-C2 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# B 0.02fF
-C3 a_656_410# out 0.20fF
-C4 B A 0.33fF
-C5 vdd A 0.05fF
-C6 vdd out 0.10fF
-C7 B a_656_410# 0.30fF
-C8 out sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# 0.03fF
-C9 vdd a_656_410# 0.20fF
+C0 A vdd 0.05fF
+C1 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# a_656_410# 0.07fF
+C2 out sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# 0.03fF
+C3 B a_656_410# 0.30fF
+C4 out a_656_410# 0.20fF
+C5 sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# B 0.02fF
+C6 B A 0.33fF
+C7 a_656_410# A 0.04fF
+C8 a_656_410# vdd 0.20fF
+C9 out vdd 0.10fF
 C10 vdd vss 4.85fF
 C11 out vss 0.47fF
 C12 a_656_410# vss 1.00fF
@@ -2370,22 +2559,22 @@
 C16 B vss 0.95fF
 .ends
 
-.subckt PFD vss vdd Reset Down Up A B
+.subckt PFD vss vdd Down Up A B Reset
 Xdff_pfd_0 vss vdd dff_pfd_0/nor_pfd_2/A Up A dff_pfd_0/nor_pfd_3/A dff_pfd_0/nor_pfd_2/B
 + Reset dff_pfd
 Xdff_pfd_1 vss vdd dff_pfd_1/nor_pfd_2/A Down B dff_pfd_1/nor_pfd_3/A dff_pfd_1/nor_pfd_2/B
 + Reset dff_pfd
-Xand_pfd_0 and_pfd_0/a_656_410# Reset vss vdd Up Down and_pfd
-C0 vdd dff_pfd_1/nor_pfd_2/B 0.04fF
-C1 vdd Down 0.08fF
-C2 vdd dff_pfd_0/nor_pfd_3/A 0.08fF
-C3 vdd dff_pfd_1/nor_pfd_3/A 0.08fF
-C4 vdd dff_pfd_0/nor_pfd_2/B 0.11fF
-C5 Up Down 0.06fF
-C6 Reset vdd 0.02fF
-C7 dff_pfd_1/nor_pfd_2/A vdd 0.13fF
-C8 Up vdd 1.62fF
-C9 vdd dff_pfd_0/nor_pfd_2/A 0.13fF
+Xand_pfd_0 and_pfd_0/a_656_410# vss Reset vdd Up Down and_pfd
+C0 Down Up 0.06fF
+C1 vdd Up 1.62fF
+C2 dff_pfd_0/nor_pfd_3/A vdd 0.08fF
+C3 vdd Reset 0.02fF
+C4 dff_pfd_1/nor_pfd_2/B vdd 0.04fF
+C5 dff_pfd_0/nor_pfd_2/A vdd 0.13fF
+C6 vdd dff_pfd_1/nor_pfd_3/A 0.08fF
+C7 Down vdd 0.08fF
+C8 dff_pfd_1/nor_pfd_2/A vdd 0.13fF
+C9 dff_pfd_0/nor_pfd_2/B vdd 0.11fF
 C10 and_pfd_0/a_656_410# vss 0.99fF
 C11 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
 C12 and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.05fF
@@ -2422,262 +2611,273 @@
 .subckt top_pll_v1_pex_c iref_cp vss vdd vco_out vco_vctrl Up pfd_QA nUp in_ref out_to_pad Down nDown
 + pfd_QB vco_D0 lf_vc out_first_buffer cp_biasp cp_pswitch pfd_reset cp_nswitch out_by_2 out_to_div
 + out_div_by_5 n_out_by_2 div_5_nQ0 div_5_Q1_shift div_5_Q1 n_out_buffer_div_2 out_buffer_div_2 div_5_Q0
-+ n_out_div_2 div_5_nQ2 out_div_2
++ n_out_div_2 div_5_nQ2 out_div_2 out_to_buffer
 Xloop_filter_0 lf_vc vco_vctrl vss loop_filter
-Xcharge_pump_0 nswitch pswitch vdd nUp vss Down biasp charge_pump_0/w_2544_775# vco_vctrl
-+ iref_cp nDown Up vss charge_pump
-Xdiv_by_2_0 n_out_div_2 div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vdd out_by_2
-+ n_out_by_2 out_buffer_div_2 out_div_2 vss n_out_buffer_div_2 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out
-+ out_to_div div_by_2
-Xring_osc_0 vco_vctrl vss vdd ring_osc_0/csvco_branch_0/inverter_csvco_0/vss ring_osc_0/csvco_branch_2/vbp
-+ ring_osc_0/csvco_branch_2/cap_vco_0/t ring_osc_0/csvco_branch_2/inverter_csvco_0/vss
-+ vco_D0 vco_out ring_osc
-Xring_osc_buffer_0 vss vco_out vdd out_first_buffer out_to_div out_to_pad ring_osc_buffer
+Xcharge_pump_0 nswitch vdd nUp vss Down biasp vco_vctrl pswitch iref_cp nDown Up charge_pump
+Xdiv_by_2_0 vss vdd div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in out_by_2 n_out_by_2
++ out_buffer_div_2 out_to_div out_div_2 n_out_buffer_div_2 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out
++ n_out_div_2 div_by_2
+Xbuffer_salida_0 buffer_salida_0/a_678_n100# out_to_pad out_to_buffer vss vdd buffer_salida
+Xring_osc_0 vco_vctrl vdd vss ring_osc_0/csvco_branch_2/vbp ring_osc_0/csvco_branch_0/inverter_csvco_0/vss
++ vco_D0 ring_osc_0/csvco_branch_2/cap_vco_0/t vco_out ring_osc
+Xring_osc_buffer_0 vss vco_out vdd out_first_buffer out_to_div out_to_buffer ring_osc_buffer
 Xdiv_by_5_0 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/DFlipFlop_1/latch_diff_0/D
-+ n_out_by_2 div_by_5_0/DFlipFlop_0/D div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
-+ div_by_5_0/DFlipFlop_0/latch_diff_1/nD div_by_5_0/DFlipFlop_2/latch_diff_0/nD div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
-+ div_5_Q0 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/DFlipFlop_0/Q
-+ out_by_2 vdd div_5_Q1 div_by_5_0/DFlipFlop_2/latch_diff_1/D div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
++ n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD div_by_5_0/DFlipFlop_0/D div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in
++ vdd div_by_5_0/DFlipFlop_2/latch_diff_0/nD div_5_Q0 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in
++ out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out
 + div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# div_5_nQ0 div_by_5_0/DFlipFlop_1/latch_diff_1/nD
-+ vss out_div_by_5 div_by_5_0/DFlipFlop_3/latch_diff_0/nD div_5_nQ2 div_by_5_0/DFlipFlop_0/latch_diff_0/D
-+ div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out div_by_5_0/DFlipFlop_1/latch_diff_1/D
-+ div_by_5_0/DFlipFlop_2/D div_by_5_0/DFlipFlop_2/latch_diff_1/nD div_by_5_0/DFlipFlop_3/latch_diff_0/D
++ out_div_by_5 div_by_5_0/DFlipFlop_3/latch_diff_0/nD div_5_nQ2 div_by_5_0/DFlipFlop_0/latch_diff_0/D
++ div_by_5_0/DFlipFlop_2/latch_diff_1/nD div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_1/latch_diff_1/D div_5_Q1 div_by_5_0/DFlipFlop_2/D div_by_5_0/DFlipFlop_3/latch_diff_0/D
 + div_by_5_0/DFlipFlop_1/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out
 + div_by_5_0/DFlipFlop_3/latch_diff_1/nD div_by_5_0/DFlipFlop_0/latch_diff_1/D div_5_Q1_shift
-+ div_by_5_0/DFlipFlop_0/latch_diff_0/nD div_by_5_0/DFlipFlop_2/nQ div_by_5_0/DFlipFlop_2/latch_diff_0/D
-+ div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392# div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368#
-+ div_by_5_0/DFlipFlop_3/latch_diff_1/D div_by_5_0/DFlipFlop_1/latch_diff_0/nD div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136#
-+ div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125#
++ div_by_5_0/DFlipFlop_0/latch_diff_0/nD div_by_5_0/DFlipFlop_2/nQ div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out
++ div_by_5_0/DFlipFlop_2/latch_diff_0/D div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392#
++ div_by_5_0/DFlipFlop_3/latch_diff_1/D div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368#
++ div_by_5_0/DFlipFlop_1/latch_diff_0/nD div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136#
++ div_by_5_0/DFlipFlop_0/Q div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125#
 + div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136#
 + div_by_5
 Xpfd_cp_interface_0 vss pfd_cp_interface_0/inverter_cp_x1_2/in vdd pfd_cp_interface_0/inverter_cp_x1_0/out
 + Down QA QB nDown Up nUp pfd_cp_interface
-XPFD_0 vss vdd pfd_reset QB QA in_ref out_div_by_5 PFD
-C0 n_out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/nD 0.24fF
-C1 nswitch Down 0.54fF
-C2 n_out_by_2 div_by_5_0/DFlipFlop_0/D -1.47fF
-C3 out_first_buffer ring_osc_0/csvco_branch_2/cap_vco_0/t 0.03fF
-C4 nUp biasp -0.17fF
-C5 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392# 0.01fF
-C6 n_out_by_2 div_5_Q0 -0.11fF
-C7 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D 0.10fF
-C8 n_out_by_2 div_by_5_0/DFlipFlop_2/D 0.19fF
-C9 vdd pfd_cp_interface_0/inverter_cp_x1_2/in 0.01fF
-C10 out_by_2 div_by_5_0/DFlipFlop_0/Q 0.09fF
-C11 vdd div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out 0.04fF
-C12 vdd vco_D0 0.03fF
-C13 out_to_pad out_to_div 0.11fF
-C14 n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD 0.33fF
-C15 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/D 0.33fF
-C16 n_out_by_2 vdd 1.03fF
-C17 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_0/nD 0.17fF
-C18 div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in out_to_div -0.16fF
-C19 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/D 0.09fF
-C20 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/nD 0.23fF
-C21 Down charge_pump_0/w_2544_775# -0.23fF
-C22 out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/D 0.23fF
-C23 n_out_by_2 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in -0.20fF
-C24 out_by_2 div_5_Q1 0.42fF
-C25 n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_0/D 0.24fF
-C26 n_out_by_2 div_5_nQ0 0.10fF
-C27 out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136# -0.02fF
-C28 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# 0.03fF
-C29 out_by_2 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in -0.22fF
-C30 out_by_2 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out 0.28fF
-C31 out_by_2 div_by_5_0/DFlipFlop_2/nQ 0.23fF
-C32 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# -0.05fF
-C33 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/nD 0.24fF
-C34 nDown Down 2.55fF
-C35 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# 0.13fF
-C36 out_div_by_5 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# 0.18fF
-C37 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out out_to_div -0.12fF
-C38 vdd ring_osc_0/csvco_branch_2/cap_vco_0/t 0.02fF
-C39 vdd Up 0.30fF
-C40 n_out_by_2 div_by_5_0/DFlipFlop_1/D 0.22fF
-C41 nDown nswitch 0.76fF
-C42 div_by_5_0/DFlipFlop_0/Q n_out_by_2 -0.23fF
-C43 vdd out_div_by_5 0.28fF
-C44 Down biasp 1.79fF
-C45 n_out_by_2 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in -0.51fF
-C46 n_out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/D 0.17fF
-C47 vdd vco_vctrl 0.25fF
-C48 out_by_2 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out -0.04fF
-C49 div_5_nQ2 out_by_2 0.16fF
-C50 out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/nD 0.09fF
-C51 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/D 0.24fF
-C52 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/nD 0.10fF
-C53 n_out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/D 0.10fF
-C54 n_out_by_2 div_5_Q1 1.04fF
-C55 iref_cp Down 0.09fF
-C56 out_by_2 div_by_5_0/DFlipFlop_0/D 0.35fF
-C57 nDown charge_pump_0/w_2544_775# 0.05fF
-C58 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# 0.02fF
-C59 pswitch Up 2.04fF
-C60 nDown vdd 0.22fF
-C61 out_by_2 div_5_Q0 0.09fF
-C62 out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# 0.10fF
-C63 nUp Up 2.67fF
-C64 out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D 0.23fF
-C65 n_out_by_2 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in 0.27fF
-C66 out_by_2 div_by_5_0/DFlipFlop_2/D 0.22fF
-C67 vdd div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# 0.03fF
-C68 vdd out_to_div 0.21fF
-C69 out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_0/nD 0.10fF
-C70 vco_vctrl ring_osc_0/csvco_branch_0/inverter_csvco_0/vss 0.04fF
-C71 n_out_by_2 div_by_5_0/DFlipFlop_2/nQ 0.10fF
-C72 biasp Up 0.26fF
-C73 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD 0.17fF
-C74 vdd QA -0.04fF
-C75 nUp vdd 0.05fF
-C76 out_by_2 vdd 0.97fF
-C77 div_by_5_0/DFlipFlop_3/latch_diff_0/nD n_out_by_2 0.11fF
-C78 n_out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_0/D 0.12fF
-C79 n_out_by_2 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out -0.11fF
-C80 vdd ring_osc_0/csvco_branch_2/vbp 0.03fF
-C81 out_by_2 div_5_nQ0 0.32fF
-C82 pswitch vco_vctrl 0.59fF
-C83 n_out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_0/D 0.12fF
-C84 nUp vco_vctrl 0.31fF
-C85 out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_0/nD 0.10fF
-C86 div_5_Q1_shift out_div_by_5 0.05fF
-C87 vco_vctrl ring_osc_0/csvco_branch_2/vbp 0.26fF
-C88 nDown pswitch 0.53fF
-C89 iref_cp vdd 0.15fF
-C90 nDown nUp -0.09fF
-C91 out_by_2 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out 0.09fF
-C92 out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/nD 0.09fF
-C93 nDown biasp 0.26fF
-C94 div_5_Q1 out_div_by_5 0.01fF
-C95 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_0/D 0.11fF
-C96 out_by_2 div_by_5_0/DFlipFlop_1/D 0.38fF
-C97 nUp pswitch 0.85fF
-C98 div_5_nQ2 n_out_by_2 0.10fF
-C99 PFD_0/and_pfd_0/a_656_410# vss 0.96fF
-C100 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
-C101 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.07fF
-C102 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
-C103 PFD_0/dff_pfd_1/nor_pfd_2/B vss 1.40fF
-C104 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
-C105 PFD_0/dff_pfd_1/nor_pfd_3/A vss 3.14fF
-C106 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
-C107 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
-C108 PFD_0/dff_pfd_1/nor_pfd_2/A vss 2.55fF
-C109 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
-C110 QB vss 3.46fF
-C111 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
-C112 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
-C113 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
-C114 out_div_by_5 vss 0.83fF
-C115 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
-C116 PFD_0/dff_pfd_0/nor_pfd_2/B vss 1.40fF
-C117 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
-C118 PFD_0/dff_pfd_0/nor_pfd_3/A vss 3.14fF
-C119 pfd_reset vss 1.87fF
-C120 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
-C121 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
-C122 PFD_0/dff_pfd_0/nor_pfd_2/A vss 2.55fF
-C123 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
-C124 QA vss 4.02fF
-C125 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
-C126 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
-C127 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
-C128 in_ref vss 0.72fF
-C129 pfd_cp_interface_0/inverter_cp_x1_2/in vss 1.85fF
-C130 pfd_cp_interface_0/inverter_cp_x1_0/out vss 1.87fF
-C131 nUp vss 5.71fF
-C132 Up vss 5.31fF
-C133 Down vss 1.44fF
-C134 nDown vss 2.10fF
-C135 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.37fF
-C136 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.38fF
-C137 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.41fF
-C138 div_by_5_0/DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.57fF
-C139 div_5_Q1_shift vss -1.23fF
-C140 div_by_5_0/DFlipFlop_3/nQ vss 0.48fF
-C141 div_by_5_0/DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
-C142 div_by_5_0/DFlipFlop_3/latch_diff_1/D vss -1.73fF
-C143 div_by_5_0/DFlipFlop_3/latch_diff_1/nD vss 0.57fF
-C144 div_by_5_0/DFlipFlop_3/latch_diff_0/D vss 0.96fF
-C145 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
-C146 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
-C147 div_by_5_0/DFlipFlop_3/latch_diff_0/nD vss 1.14fF
-C148 div_by_5_0/DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.57fF
-C149 div_5_Q1 vss 4.34fF
-C150 div_by_5_0/DFlipFlop_2/nQ vss 0.48fF
-C151 div_by_5_0/DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
-C152 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss -1.73fF
-C153 div_by_5_0/DFlipFlop_2/latch_diff_1/nD vss 0.57fF
-C154 div_by_5_0/DFlipFlop_2/latch_diff_0/D vss 0.96fF
-C155 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
-C156 div_by_5_0/DFlipFlop_2/D vss 3.13fF
-C157 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
-C158 div_by_5_0/DFlipFlop_2/latch_diff_0/nD vss 1.14fF
-C159 div_by_5_0/DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.57fF
-C160 div_5_Q0 vss 0.55fF
-C161 div_5_nQ0 vss 1.22fF
-C162 div_by_5_0/DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
-C163 div_by_5_0/DFlipFlop_1/latch_diff_1/D vss -1.73fF
-C164 div_by_5_0/DFlipFlop_1/latch_diff_1/nD vss 0.57fF
-C165 div_by_5_0/DFlipFlop_1/latch_diff_0/D vss 0.96fF
-C166 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
-C167 div_by_5_0/DFlipFlop_1/D vss 3.64fF
-C168 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
-C169 div_by_5_0/DFlipFlop_1/latch_diff_0/nD vss 1.14fF
-C170 div_by_5_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
-C171 n_out_by_2 vss 3.25fF
-C172 div_by_5_0/DFlipFlop_0/Q vss -0.94fF
-C173 div_5_nQ2 vss 1.49fF
-C174 div_by_5_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
-C175 out_by_2 vss 1.54fF
-C176 div_by_5_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
-C177 div_by_5_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
-C178 div_by_5_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
-C179 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
-C180 div_by_5_0/DFlipFlop_0/D vss 3.96fF
-C181 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
-C182 div_by_5_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
-C183 vdd vss 371.65fF
-C184 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
-C185 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.40fF
-C186 out_to_pad vss 0.33fF
-C187 out_to_div vss 4.82fF
-C188 out_first_buffer vss 1.45fF
-C189 ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
-C190 ring_osc_0/csvco_branch_2/in vss 1.59fF
-C191 ring_osc_0/csvco_branch_1/cap_vco_0/t vss 7.10fF
-C192 ring_osc_0/csvco_branch_1/inverter_csvco_0/vss vss 0.52fF
-C193 ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
-C194 vco_out vss 1.61fF
-C195 ring_osc_0/csvco_branch_2/cap_vco_0/t vss 7.09fF
-C196 ring_osc_0/csvco_branch_2/inverter_csvco_0/vss vss 0.50fF
-C197 ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
-C198 ring_osc_0/csvco_branch_1/in vss 1.58fF
-C199 ring_osc_0/csvco_branch_0/cap_vco_0/t vss 7.10fF
-C200 vco_D0 vss -4.73fF
-C201 ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vss 0.52fF
-C202 ring_osc_0/csvco_branch_2/vbp vss 0.38fF
-C203 n_out_buffer_div_2 vss 2.30fF
-C204 out_buffer_div_2 vss 2.30fF
-C205 div_by_2_0/DFlipFlop_0/CLK vss 0.31fF
-C206 div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
-C207 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.89fF
-C208 div_by_2_0/DFlipFlop_0/nCLK vss 1.03fF
-C209 div_by_2_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
-C210 out_div_2 vss -0.79fF
-C211 div_by_2_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
-C212 div_by_2_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
-C213 div_by_2_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
-C214 div_by_2_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
-C215 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
-C216 n_out_div_2 vss 2.63fF
-C217 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
-C218 div_by_2_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
-C219 nswitch vss 4.61fF
-C220 biasp vss 4.91fF
-C221 iref_cp vss 7.56fF
-C222 vco_vctrl vss -16.13fF
-C223 pswitch vss 3.57fF
-C224 lf_vc vss -46.69fF
-C225 loop_filter_0/res_loop_filter_2/out vss 7.90fF
+XPFD_0 vss vdd QB QA in_ref out_div_by_5 pfd_reset PFD
+C0 out_by_2 div_by_5_0/DFlipFlop_0/Q 0.09fF
+C1 ring_osc_0/csvco_branch_2/cap_vco_0/t vdd 0.02fF
+C2 div_by_5_0/DFlipFlop_3/latch_diff_1/nD out_by_2 0.23fF
+C3 n_out_by_2 vco_vctrl 0.52fF
+C4 div_by_5_0/DFlipFlop_0/D n_out_by_2 -1.48fF
+C5 vco_vctrl nswitch -0.06fF
+C6 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_1/nD 0.17fF
+C7 div_by_5_0/DFlipFlop_1/latch_diff_0/D n_out_by_2 0.12fF
+C8 vco_vctrl ring_osc_0/csvco_branch_2/vbp 0.26fF
+C9 div_by_5_0/DFlipFlop_1/latch_diff_1/nD n_out_by_2 0.24fF
+C10 biasp nUp -0.17fF
+C11 QA vdd -0.04fF
+C12 div_by_5_0/DFlipFlop_1/latch_diff_1/D n_out_by_2 0.10fF
+C13 out_by_2 div_5_nQ0 0.32fF
+C14 out_div_by_5 div_5_Q1 0.01fF
+C15 div_by_5_0/DFlipFlop_2/latch_diff_1/nD out_by_2 0.09fF
+C16 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vdd 0.03fF
+C17 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in out_by_2 -0.22fF
+C18 div_5_Q0 vco_vctrl 0.48fF
+C19 out_by_2 div_by_5_0/DFlipFlop_0/latch_diff_0/nD 0.17fF
+C20 out_by_2 div_by_5_0/DFlipFlop_2/latch_diff_1/D 0.23fF
+C21 ring_osc_0/csvco_branch_2/cap_vco_0/t out_first_buffer 0.03fF
+C22 div_5_Q0 out_by_2 0.09fF
+C23 buffer_salida_0/a_678_n100# vdd 0.24fF
+C24 pfd_cp_interface_0/inverter_cp_x1_2/in vdd 0.01fF
+C25 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/D 0.09fF
+C26 div_by_5_0/DFlipFlop_2/latch_diff_0/D n_out_by_2 0.12fF
+C27 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# 0.12fF
+C28 n_out_by_2 div_5_Q1 1.04fF
+C29 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# n_out_by_2 -0.05fF
+C30 lf_vc vdd 0.02fF
+C31 biasp Down 1.24fF
+C32 nUp vdd 0.05fF
+C33 iref_cp vdd 0.15fF
+C34 div_by_5_0/DFlipFlop_1/D n_out_by_2 0.22fF
+C35 div_by_5_0/DFlipFlop_0/D vco_vctrl -0.45fF
+C36 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out out_by_2 -0.04fF
+C37 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_0/nD 0.11fF
+C38 pswitch nUp 0.85fF
+C39 div_by_5_0/DFlipFlop_0/latch_diff_1/D n_out_by_2 0.17fF
+C40 buffer_salida_0/a_678_n100# out_to_buffer 0.22fF
+C41 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in n_out_by_2 -0.51fF
+C42 out_to_div div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out -0.12fF
+C43 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_143_136# out_by_2 -0.02fF
+C44 out_by_2 vco_vctrl 0.53fF
+C45 div_by_5_0/DFlipFlop_0/D out_by_2 0.35fF
+C46 out_div_by_5 vdd 0.28fF
+C47 div_by_5_0/DFlipFlop_1/latch_diff_1/nD out_by_2 0.09fF
+C48 div_by_5_0/DFlipFlop_2/latch_diff_0/nD out_by_2 0.10fF
+C49 Down iref_cp 0.09fF
+C50 div_5_nQ2 n_out_by_2 0.10fF
+C51 out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_1/D 0.23fF
+C52 div_by_5_0/DFlipFlop_2/nQ n_out_by_2 0.10fF
+C53 ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vco_vctrl 0.04fF
+C54 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# 0.02fF
+C55 div_by_5_0/DFlipFlop_2/D n_out_by_2 0.19fF
+C56 n_out_by_2 vdd 1.03fF
+C57 biasp nDown 0.26fF
+C58 vco_vctrl div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# -0.36fF
+C59 vco_vctrl div_5_Q1 0.14fF
+C60 out_to_div div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in -0.16fF
+C61 vdd out_to_buffer 0.07fF
+C62 biasp Up 0.26fF
+C63 out_to_div vdd 0.21fF
+C64 ring_osc_0/csvco_branch_2/vbp vdd 0.03fF
+C65 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out out_by_2 0.09fF
+C66 div_by_5_0/DFlipFlop_0/Q n_out_by_2 -0.23fF
+C67 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vdd 0.04fF
+C68 out_by_2 div_5_Q1 0.42fF
+C69 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in n_out_by_2 -0.20fF
+C70 out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_0/D 0.11fF
+C71 div_by_5_0/DFlipFlop_3/latch_diff_1/nD n_out_by_2 0.10fF
+C72 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# out_by_2 0.10fF
+C73 div_by_5_0/DFlipFlop_0/latch_diff_1/nD n_out_by_2 0.33fF
+C74 vco_D0 vdd 0.03fF
+C75 nUp nDown -0.09fF
+C76 Down nswitch 0.54fF
+C77 Up nUp 2.72fF
+C78 out_by_2 div_by_5_0/DFlipFlop_1/D 0.38fF
+C79 div_5_nQ0 n_out_by_2 0.10fF
+C80 div_by_5_0/DFlipFlop_0/latch_diff_1/D out_by_2 0.33fF
+C81 div_by_5_0/DFlipFlop_2/latch_diff_1/nD n_out_by_2 0.24fF
+C82 out_by_2 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out 0.28fF
+C83 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# out_div_by_5 0.18fF
+C84 out_to_div out_to_buffer 0.13fF
+C85 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in n_out_by_2 0.27fF
+C86 out_by_2 div_by_5_0/DFlipFlop_1/latch_diff_0/nD 0.10fF
+C87 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out n_out_by_2 -0.11fF
+C88 nUp vco_vctrl 0.02fF
+C89 nDown vdd 0.22fF
+C90 div_by_5_0/DFlipFlop_2/latch_diff_1/D n_out_by_2 0.10fF
+C91 out_by_2 div_5_nQ2 0.16fF
+C92 Up vdd 0.28fF
+C93 div_5_Q0 n_out_by_2 -0.12fF
+C94 n_out_by_2 div_by_5_0/DFlipFlop_3/latch_diff_1/D 0.24fF
+C95 vco_vctrl div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_143_136# -0.11fF
+C96 div_by_5_0/DFlipFlop_0/latch_diff_0/D n_out_by_2 0.24fF
+C97 div_by_5_0/DFlipFlop_2/nQ out_by_2 0.23fF
+C98 pswitch nDown 0.53fF
+C99 vco_vctrl vdd -1.02fF
+C100 pswitch Up 1.98fF
+C101 n_out_by_2 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_158_392# 0.01fF
+C102 div_by_5_0/DFlipFlop_2/D out_by_2 0.22fF
+C103 Down nDown 2.55fF
+C104 out_by_2 vdd 0.97fF
+C105 div_5_Q1_shift out_div_by_5 0.05fF
+C106 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# n_out_by_2 0.03fF
+C107 nDown nswitch 0.76fF
+C108 PFD_0/and_pfd_0/a_656_410# vss 0.96fF
+C109 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_63_n45# vss 0.05fF
+C110 PFD_0/and_pfd_0/sky130_fd_pr__nfet_01v8_ZCYAJJ_0/a_n129_n45# vss 0.07fF
+C111 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C112 PFD_0/dff_pfd_1/nor_pfd_2/B vss 1.40fF
+C113 PFD_0/dff_pfd_1/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C114 PFD_0/dff_pfd_1/nor_pfd_3/A vss 3.14fF
+C115 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C116 PFD_0/dff_pfd_1/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C117 PFD_0/dff_pfd_1/nor_pfd_2/A vss 2.55fF
+C118 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C119 QB vss 4.93fF
+C120 PFD_0/dff_pfd_1/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C121 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C122 PFD_0/dff_pfd_1/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C123 out_div_by_5 vss 1.39fF
+C124 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C125 PFD_0/dff_pfd_0/nor_pfd_2/B vss 1.40fF
+C126 PFD_0/dff_pfd_0/nor_pfd_3/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C127 PFD_0/dff_pfd_0/nor_pfd_3/A vss 3.14fF
+C128 pfd_reset vss 2.17fF
+C129 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C130 PFD_0/dff_pfd_0/nor_pfd_2/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C131 PFD_0/dff_pfd_0/nor_pfd_2/A vss 2.55fF
+C132 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C133 QA vss 4.76fF
+C134 PFD_0/dff_pfd_0/nor_pfd_1/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C135 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_63_n90# vss 0.03fF
+C136 PFD_0/dff_pfd_0/nor_pfd_0/sky130_fd_pr__pfet_01v8_4F35BC_0/a_n129_n90# vss 0.03fF
+C137 in_ref vss 1.19fF
+C138 pfd_cp_interface_0/inverter_cp_x1_2/in vss 1.85fF
+C139 pfd_cp_interface_0/inverter_cp_x1_0/out vss 1.87fF
+C140 nUp vss 6.05fF
+C141 Up vss 2.16fF
+C142 Down vss 6.16fF
+C143 nDown vss 3.38fF
+C144 div_by_5_0/sky130_fd_sc_hs__or2_1_0/a_63_368# vss 0.37fF
+C145 div_by_5_0/sky130_fd_sc_hs__and2_1_1/a_56_136# vss 0.38fF
+C146 div_by_5_0/sky130_fd_sc_hs__and2_1_0/a_56_136# vss 0.41fF
+C147 div_by_5_0/DFlipFlop_3/nQ vss 0.48fF
+C148 div_5_Q1_shift vss -0.14fF
+C149 div_by_5_0/DFlipFlop_3/latch_diff_1/m1_657_280# vss 0.57fF
+C150 div_by_5_0/DFlipFlop_3/latch_diff_1/nD vss 0.57fF
+C151 div_by_5_0/DFlipFlop_3/latch_diff_1/D vss -1.73fF
+C152 div_by_5_0/DFlipFlop_3/latch_diff_0/m1_657_280# vss 0.57fF
+C153 div_by_5_0/DFlipFlop_3/latch_diff_0/D vss 0.96fF
+C154 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C155 div_by_5_0/DFlipFlop_3/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C156 div_by_5_0/DFlipFlop_3/latch_diff_0/nD vss 1.14fF
+C157 div_by_5_0/DFlipFlop_2/nQ vss 0.48fF
+C158 div_5_Q1 vss 4.28fF
+C159 div_by_5_0/DFlipFlop_2/latch_diff_1/m1_657_280# vss 0.57fF
+C160 div_by_5_0/DFlipFlop_2/latch_diff_1/nD vss 0.57fF
+C161 div_by_5_0/DFlipFlop_2/latch_diff_1/D vss -1.73fF
+C162 div_by_5_0/DFlipFlop_2/latch_diff_0/m1_657_280# vss 0.57fF
+C163 div_by_5_0/DFlipFlop_2/latch_diff_0/D vss 0.96fF
+C164 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C165 div_by_5_0/DFlipFlop_2/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C166 div_by_5_0/DFlipFlop_2/D vss 3.13fF
+C167 div_by_5_0/DFlipFlop_2/latch_diff_0/nD vss 1.14fF
+C168 div_5_nQ0 vss 0.59fF
+C169 div_5_Q0 vss 0.01fF
+C170 div_by_5_0/DFlipFlop_1/latch_diff_1/m1_657_280# vss 0.57fF
+C171 div_by_5_0/DFlipFlop_1/latch_diff_1/nD vss 0.57fF
+C172 div_by_5_0/DFlipFlop_1/latch_diff_1/D vss -1.73fF
+C173 div_by_5_0/DFlipFlop_1/latch_diff_0/m1_657_280# vss 0.57fF
+C174 div_by_5_0/DFlipFlop_1/latch_diff_0/D vss 0.96fF
+C175 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C176 div_by_5_0/DFlipFlop_1/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C177 div_by_5_0/DFlipFlop_1/D vss 3.64fF
+C178 div_by_5_0/DFlipFlop_1/latch_diff_0/nD vss 1.14fF
+C179 div_5_nQ2 vss 1.24fF
+C180 div_by_5_0/DFlipFlop_0/Q vss -0.94fF
+C181 div_by_5_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C182 n_out_by_2 vss -2.62fF
+C183 div_by_5_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C184 div_by_5_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C185 div_by_5_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C186 out_by_2 vss -4.51fF
+C187 div_by_5_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C188 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C189 div_by_5_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C190 div_by_5_0/DFlipFlop_0/D vss 3.96fF
+C191 div_by_5_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C192 vdd vss 366.82fF
+C193 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_355_368# vss 0.08fF
+C194 div_by_5_0/sky130_fd_sc_hs__xor2_1_0/a_194_125# vss 0.40fF
+C195 out_to_buffer vss 1.57fF
+C196 out_to_div vss 4.46fF
+C197 out_first_buffer vss 2.88fF
+C198 ring_osc_0/csvco_branch_2/in vss 1.60fF
+C199 ring_osc_0/csvco_branch_1/inverter_csvco_0/vdd vss 0.16fF
+C200 ring_osc_0/csvco_branch_1/cap_vco_0/t vss 7.10fF
+C201 ring_osc_0/csvco_branch_1/inverter_csvco_0/vss vss 0.52fF
+C202 ring_osc_0/csvco_branch_2/inverter_csvco_0/vdd vss 0.16fF
+C203 ring_osc_0/csvco_branch_2/cap_vco_0/t vss 7.10fF
+C204 ring_osc_0/csvco_branch_2/inverter_csvco_0/vss vss 0.52fF
+C205 ring_osc_0/csvco_branch_1/in vss 1.58fF
+C206 ring_osc_0/csvco_branch_0/inverter_csvco_0/vdd vss 0.16fF
+C207 vco_out vss 1.01fF
+C208 vco_D0 vss -4.63fF
+C209 ring_osc_0/csvco_branch_0/cap_vco_0/t vss 7.10fF
+C210 ring_osc_0/csvco_branch_0/inverter_csvco_0/vss vss 0.52fF
+C211 ring_osc_0/csvco_branch_2/vbp vss 0.38fF
+C212 out_to_pad vss 7.50fF
+C213 buffer_salida_0/a_3996_n100# vss 48.29fF
+C214 buffer_salida_0/a_678_n100# vss 13.38fF
+C215 n_out_buffer_div_2 vss 1.63fF
+C216 out_buffer_div_2 vss 1.60fF
+C217 div_by_2_0/DFlipFlop_0/CLK vss 0.31fF
+C218 div_by_2_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C219 div_by_2_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.89fF
+C220 div_by_2_0/DFlipFlop_0/nCLK vss 1.03fF
+C221 out_div_2 vss -1.30fF
+C222 div_by_2_0/DFlipFlop_0/latch_diff_1/m1_657_280# vss 0.57fF
+C223 div_by_2_0/DFlipFlop_0/latch_diff_1/nD vss 0.57fF
+C224 div_by_2_0/DFlipFlop_0/latch_diff_1/D vss -1.73fF
+C225 div_by_2_0/DFlipFlop_0/latch_diff_0/m1_657_280# vss 0.57fF
+C226 div_by_2_0/DFlipFlop_0/latch_diff_0/D vss 0.96fF
+C227 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_2/in vss 1.86fF
+C228 div_by_2_0/DFlipFlop_0/clock_inverter_0/inverter_cp_x1_0/out vss 1.76fF
+C229 n_out_div_2 vss 1.95fF
+C230 div_by_2_0/DFlipFlop_0/latch_diff_0/nD vss 1.14fF
+C231 nswitch vss 3.73fF
+C232 biasp vss 5.44fF
+C233 iref_cp vss 2.81fF
+C234 vco_vctrl vss -19.28fF
+C235 pswitch vss 3.57fF
+C236 lf_vc vss -59.89fF
+C237 loop_filter_0/res_loop_filter_2/out vss 7.90fF
 .ends
 
diff --git a/xschem/simulations/user_analog_project_wrapper.spice b/xschem/simulations/user_analog_project_wrapper.spice
index 917d69e..b9cc6c9 100644
--- a/xschem/simulations/user_analog_project_wrapper.spice
+++ b/xschem/simulations/user_analog_project_wrapper.spice
@@ -1,4 +1,4 @@
-**.subckt user_analog_project_wrapper vdda1 vdda2 vssa1 vssa1 vccd1 vccd2 vssd1 vssd2 wb_clk_i
+**.subckt user_analog_project_wrapper vdda1 vdda2 vssa1 vssa2 vccd1 vccd2 vssd1 vssd2 wb_clk_i
 *+ wb_rst_i wbs_stb_i wbs_cyc_i wbs_we_i wbs_sel_i[3],wbs_sel_i[2],wbs_sel_i[1],wbs_sel_i[0]
 *+ wbs_dat_i[31],wbs_dat_i[30],wbs_dat_i[29],wbs_dat_i[28],wbs_dat_i[27],wbs_dat_i[26],wbs_dat_i[25],wbs_dat_i[24],wbs_dat_i[23],wbs_dat_i[22],wbs_dat_i[21],wbs_dat_i[20],wbs_dat_i[19],wbs_dat_i[18],wbs_dat_i[17],wbs_dat_i[16],wbs_dat_i[15],wbs_dat_i[14],wbs_dat_i[13],wbs_dat_i[12],wbs_dat_i[11],wbs_dat_i[10],wbs_dat_i[9],wbs_dat_i[8],wbs_dat_i[7],wbs_dat_i[6],wbs_dat_i[5],wbs_dat_i[4],wbs_dat_i[3],wbs_dat_i[2],wbs_dat_i[1],wbs_dat_i[0]
 *+ wbs_adr_i[31],wbs_adr_i[30],wbs_adr_i[29],wbs_adr_i[28],wbs_adr_i[27],wbs_adr_i[26],wbs_adr_i[25],wbs_adr_i[24],wbs_adr_i[23],wbs_adr_i[22],wbs_adr_i[21],wbs_adr_i[20],wbs_adr_i[19],wbs_adr_i[18],wbs_adr_i[17],wbs_adr_i[16],wbs_adr_i[15],wbs_adr_i[14],wbs_adr_i[13],wbs_adr_i[12],wbs_adr_i[11],wbs_adr_i[10],wbs_adr_i[9],wbs_adr_i[8],wbs_adr_i[7],wbs_adr_i[6],wbs_adr_i[5],wbs_adr_i[4],wbs_adr_i[3],wbs_adr_i[2],wbs_adr_i[1],wbs_adr_i[0] wbs_ack_o
@@ -17,7 +17,7 @@
 *.iopin vdda1
 *.iopin vdda2
 *.iopin vssa1
-*.iopin vssa1
+*.iopin vssa2
 *.iopin vccd1
 *.iopin vccd2
 *.iopin vssd1
@@ -59,62 +59,673 @@
 *.opin user_irq[2],user_irq[1],user_irq[0]
 *.ipin
 *+ la_oenb[127],la_oenb[126],la_oenb[125],la_oenb[124],la_oenb[123],la_oenb[122],la_oenb[121],la_oenb[120],la_oenb[119],la_oenb[118],la_oenb[117],la_oenb[116],la_oenb[115],la_oenb[114],la_oenb[113],la_oenb[112],la_oenb[111],la_oenb[110],la_oenb[109],la_oenb[108],la_oenb[107],la_oenb[106],la_oenb[105],la_oenb[104],la_oenb[103],la_oenb[102],la_oenb[101],la_oenb[100],la_oenb[99],la_oenb[98],la_oenb[97],la_oenb[96],la_oenb[95],la_oenb[94],la_oenb[93],la_oenb[92],la_oenb[91],la_oenb[90],la_oenb[89],la_oenb[88],la_oenb[87],la_oenb[86],la_oenb[85],la_oenb[84],la_oenb[83],la_oenb[82],la_oenb[81],la_oenb[80],la_oenb[79],la_oenb[78],la_oenb[77],la_oenb[76],la_oenb[75],la_oenb[74],la_oenb[73],la_oenb[72],la_oenb[71],la_oenb[70],la_oenb[69],la_oenb[68],la_oenb[67],la_oenb[66],la_oenb[65],la_oenb[64],la_oenb[63],la_oenb[62],la_oenb[61],la_oenb[60],la_oenb[59],la_oenb[58],la_oenb[57],la_oenb[56],la_oenb[55],la_oenb[54],la_oenb[53],la_oenb[52],la_oenb[51],la_oenb[50],la_oenb[49],la_oenb[48],la_oenb[47],la_oenb[46],la_oenb[45],la_oenb[44],la_oenb[43],la_oenb[42],la_oenb[41],la_oenb[40],la_oenb[39],la_oenb[38],la_oenb[37],la_oenb[36],la_oenb[35],la_oenb[34],la_oenb[33],la_oenb[32],la_oenb[31],la_oenb[30],la_oenb[29],la_oenb[28],la_oenb[27],la_oenb[26],la_oenb[25],la_oenb[24],la_oenb[23],la_oenb[22],la_oenb[21],la_oenb[20],la_oenb[19],la_oenb[18],la_oenb[17],la_oenb[16],la_oenb[15],la_oenb[14],la_oenb[13],la_oenb[12],la_oenb[11],la_oenb[10],la_oenb[9],la_oenb[8],la_oenb[7],la_oenb[6],la_oenb[5],la_oenb[4],la_oenb[3],la_oenb[2],la_oenb[1],la_oenb[0]
-x1 vdda1 vccd1 gpio_analog[3] io_out[11] io_out[12] vssa1 example_por
-x2 io_analog[4] vccd1 gpio_analog[7] io_out[15] io_out[16] vssa1 example_por
+x1 iref_cp2 vssa1 vdda1 net13 net12 net6 net1 net5 io_analog[10] io_analog[9] net4 net7 net2
++ io_in[14] net11 net14 net8 net9 net3 net10 net20 net15 net27 net21 net23 net25 net24 net18 net19 net22 net17
++ net26 net16 net28 top_pll_v1
+x2 vdda1 io_analog[5] net29 iref_cp1 iref_cp2 net30 net31 net32 net33 net34 net35 net36 bias
+x3 iref_cp1 vssa1 vdda1 net49 net48 net42 net37 net41 io_analog[10] io_analog[8] net40 net43 net38
++ io_in[14] net47 net50 net44 net45 net39 net46 net56 net51 net63 net57 net59 net61 net60 net54 net55 net58
++ net53 net62 net52 net64 top_pll_v1
 **.ends
 
-* expanding   symbol:  example_por.sym # of pins=6
-* sym_path: /home/tim/gits/caravel_user_project_analog/xschem/example_por.sym
-* sch_path: /home/tim/gits/caravel_user_project_analog/xschem/example_por.sch
-.subckt example_por  vdd3v3 vdd1v8 porb_h porb_l por_l vss
-*.iopin vdd3v3
+* expanding   symbol:  top_pll_v1.sym # of pins=34
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/top_pll_v1.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/top_pll_v1.sch
+.subckt top_pll_v1  iref_cp vss vdd vco_out vco_vctrl Up pfd_QA nUp in_ref out_to_pad Down nDown
++ pfd_QB vco_D0 lf_vc out_first_buffer cp_biasp cp_pswitch pfd_reset cp_nswitch out_by_2 out_to_div
++ out_div_by_5 n_out_by_2 div_5_nQ0 div_5_Q1_shift div_5_Q1 n_out_buffer_div_2 out_buffer_div_2 div_5_Q0
++ n_out_div_2 div_5_nQ2 out_div_2 out_to_buffer
+*.iopin vdd
 *.iopin vss
-*.opin porb_h
-*.opin porb_l
-*.opin por_l
-*.iopin vdd1v8
-XC1 net9 vss sky130_fd_pr__cap_mim_m3_1 W=30 L=30 MF=1 m=1
-XC2 vss net9 sky130_fd_pr__cap_mim_m3_2 W=30 L=30 MF=1 m=1
-XM1 net3 net7 net5 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.8 W=2 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
+*.ipin in_ref
+*.iopin pfd_QA
+*.iopin pfd_QB
+*.iopin Up
+*.iopin nUp
+*.iopin Down
+*.iopin nDown
+*.iopin pfd_reset
+*.iopin cp_nswitch
+*.iopin cp_pswitch
+*.iopin cp_biasp
+*.ipin iref_cp
+*.iopin lf_vc
+*.iopin vco_D0
+*.iopin vco_vctrl
+*.iopin vco_out
+*.iopin out_first_buffer
+*.iopin out_to_buffer
+*.iopin out_to_div
+*.iopin out_by_2
+*.iopin n_out_by_2
+*.iopin out_div_2
+*.iopin n_out_div_2
+*.iopin out_buffer_div_2
+*.iopin n_out_buffer_div_2
+*.iopin div_5_Q1
+*.iopin div_5_Q1_shift
+*.iopin div_5_nQ0
+*.iopin div_5_Q0
+*.iopin div_5_nQ2
+*.iopin out_div_by_5
+*.iopin out_to_pad
+x1 vss vdd pfd_QA in_ref out_div_by_5 pfd_QB pfd_reset PFD
+x2 vdd Up nUp vco_vctrl Down nDown vss iref_cp cp_nswitch cp_pswitch cp_biasp charge_pump
+x3 Up vdd pfd_QA nUp Down pfd_QB vss nDown pfd_cp_interface
+x4 vss vco_vctrl lf_vc loop_filter
+x5 vdd vco_out vco_D0 vco_vctrl vss csvco
+x6 vdd vco_out out_to_buffer out_to_div vss out_first_buffer ring_osc_buffer
+x7 vdd out_div_by_5 out_by_2 vss n_out_by_2 div_5_nQ2 div_5_Q1 div_5_nQ0 div_5_Q0 div_5_Q1_shift
++ div_by_5
+x8 n_out_by_2 vss out_to_div vdd out_by_2 out_div_2 n_out_div_2 out_buffer_div_2 n_out_buffer_div_2
++ div_by_2
+x9 vdd out_to_pad out_to_buffer vss buffer_salida
+.ends
+
+
+* expanding   symbol:  bias.sym # of pins=12
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/bias.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/bias.sch
+.subckt bias  vdd iref iref_0 iref_1 iref_2 iref_3 iref_4 iref_5 iref_6 iref_7 iref_8 iref_9
+*.iopin iref
+*.iopin vdd
+*.opin iref_0
+*.opin iref_1
+*.opin iref_2
+*.opin iref_3
+*.opin iref_4
+*.opin iref_5
+*.opin iref_6
+*.opin iref_7
+*.opin iref_8
+*.opin iref_9
+XM1 iref iref vbp1 vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
 + as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
-+ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
-XM2 net2 net3 vss vss sky130_fd_pr__nfet_g5v0d10v5 L=0.8 W=2 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM2 vbp1 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM3 net1 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM4 iref_0 iref net1 vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM5 net2 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM6 iref_1 iref net2 vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM7 net3 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM8 iref_2 iref net3 vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM9 net4 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM10 iref_3 iref net4 vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM11 net5 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM12 iref_4 iref net5 vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM13 net6 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM14 iref_5 iref net6 vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM15 net7 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM16 iref_6 iref net7 vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM17 net8 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM18 iref_7 iref net8 vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM19 net9 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM20 iref_8 iref net9 vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM21 net10 vbp1 vdd vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+XM22 iref_9 iref net10 vdd sky130_fd_pr__pfet_01v8_lvt L=0.45 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=25 m=25 
+.ends
+
+
+* expanding   symbol:  PFD.sym # of pins=7
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/PFD.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/PFD.sch
+.subckt PFD  vss vdd Up A B Down Reset
+*.iopin vdd
+*.iopin vss
+*.ipin A
+*.ipin B
+*.opin Down
+*.opin Up
+*.iopin Reset
+x1 vdd A Up Reset vss DFF
+x2 vdd B Down Reset vss DFF
+x3 vdd Reset Up Down vss and_pfd
+.ends
+
+
+* expanding   symbol:  charge_pump.sym # of pins=11
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/charge_pump.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/charge_pump.sch
+.subckt charge_pump  vdd Up nUp out Down nDown vss iref nswitch pswitch biasp
+*.iopin vss
+*.iopin vdd
+*.ipin Down
+*.ipin nUp
+*.ipin Up
+*.ipin nDown
+*.opin out
+*.iopin nswitch
+*.iopin pswitch
+*.ipin iref
+*.iopin biasp
+XM1 out pswitch vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=25 m=25 
+XM2 out nswitch vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.75 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=25 m=25 
+XM3 pswitch nUp biasp vdd sky130_fd_pr__pfet_01v8 L=0.15 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10 
+XM4 pswitch Up vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10 
+XM5 nswitch Down iref vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.75 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10 
+XM6 nswitch nDown vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.75 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10 
+XM7 pswitch nUp pswitch vdd sky130_fd_pr__pfet_01v8 L=2 W=4.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10 
+XM8 nswitch Down nswitch vss sky130_fd_pr__nfet_01v8 L=1.5 W=0.75 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
++ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10 
+XM9 iref iref vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.75 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=25 m=25 
+XM10 biasp iref vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.75 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=25 m=25 
+XM11 biasp biasp vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=25 m=25 
+.ends
+
+
+* expanding   symbol:  pfd_cp_interface.sym # of pins=8
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/pfd_cp_interface.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/pfd_cp_interface.sch
+.subckt pfd_cp_interface  Up vdd QA nUp Down QB vss nDown
+*.iopin vdd
+*.iopin vss
+*.ipin QA
+*.ipin QB
+*.opin nDown
+*.opin Down
+*.opin nUp
+*.opin Up
+x5 vdd nDown nQB vss trans_gate
+x3 vdd Up nQA vss inverter_cp_x1
+x1 vdd nQB QB vss inverter_cp_x1
+x2 vdd nQA QA vss inverter_cp_x1
+x4 vdd nUp Up vss inverter_cp_x2
+x6 vdd Down nDown vss inverter_cp_x2
+.ends
+
+
+* expanding   symbol:  loop_filter.sym # of pins=3
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/loop_filter.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/loop_filter.sch
+.subckt loop_filter  vss in vc_pex
+*.iopin in
+*.iopin vss
+*.iopin vc_pex
+x1 in net1 vss res_loop_filter
+x2 vc_pex net1 vss res_loop_filter
+x3 vc_pex net1 vss res_loop_filter
+x4 vc_pex vss cap1_loop_filter
+x5 in vss cap2_loop_filter
+.ends
+
+
+* expanding   symbol:  csvco.sym # of pins=5
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/csvco.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/csvco.sch
+.subckt csvco  vdd out D0 vctrl vss
+*.ipin vctrl
+*.iopin vss
+*.iopin vdd
+*.opin out
+*.ipin D0
+XM1 vbp vctrl vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
 + pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
 + sa=0 sb=0 sd=0 mult=1 m=1 
-XR1 net4 vdd3v3 vss sky130_fd_pr__res_xhigh_po_0p69 W=0.69 L=500 mult=1 m=1
-XM4 net5 net6 vdd3v3 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.8 W=2 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
-+ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
-+ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
-XM5 net3 net3 vss vss sky130_fd_pr__nfet_g5v0d10v5 L=0.8 W=14 nf=7 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
+XM2 vbp vbp vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
 + pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
 + sa=0 sb=0 sd=0 mult=1 m=1 
-XR2 vss net4 vss sky130_fd_pr__res_xhigh_po_0p69 W=0.69 L=150 mult=1 m=1
-XM7 net2 net2 net1 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.8 W=2 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
-+ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
-+ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
-XM8 net1 net1 vdd3v3 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.8 W=14 nf=7 ad='int((nf+1)/2) * W/nf * 0.29'
-+ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
-+ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
-XM10 net7 net4 vss vss sky130_fd_pr__nfet_g5v0d10v5 L=0.8 W=2 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
+x1 vdd vbp out out1 vctrl vss D0 csvco_branch
+x2 vdd vbp out1 out2 vctrl vss D0 csvco_branch
+x3 vdd vbp out2 out vctrl vss D0 csvco_branch
+.ends
+
+
+* expanding   symbol:  ring_osc_buffer.sym # of pins=6
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/ring_osc_buffer.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/ring_osc_buffer.sch
+.subckt ring_osc_buffer  vdd in_vco out_pad out_div vss o1
+*.iopin vdd
+*.iopin vss
+*.ipin in_vco
+*.opin out_pad
+*.opin out_div
+*.iopin o1
+x1 vdd o1 in_vco vss inverter_min_x2
+x2 vdd out_div o1 vss inverter_min_x4
+x3 vdd out_pad out_div vss inverter_min_x4
+.ends
+
+
+* expanding   symbol:  div_by_5.sym # of pins=10
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/div_by_5.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/div_by_5.sch
+.subckt div_by_5  vdd CLK_5 CLK vss nCLK nQ2 Q1 nQ0 Q0 Q1_shift
+*.iopin vdd
+*.iopin vss
+*.ipin CLK
+*.opin CLK_5
+*.ipin nCLK
+*.iopin nQ2
+*.iopin Q1
+*.iopin Q0
+*.iopin nQ0
+*.iopin Q1_shift
+x8 Q1 Q0 vss vss vdd vdd D2 sky130_fd_sc_hs__and2_1
+x9 Q1 Q0 vss vss vdd vdd D1 sky130_fd_sc_hs__xor2_1
+x10 nQ2 nQ0 vss vss vdd vdd D0 sky130_fd_sc_hs__and2_1
+x12 Q1 Q1_shift vss vss vdd vdd CLK_5 sky130_fd_sc_hs__or2_1
+x1 vdd Q2 nQ2 vss D2 CLK nCLK DFlipFlop
+x2 vdd Q1 nQ1 vss D1 CLK nCLK DFlipFlop
+x3 vdd Q0 nQ0 vss D0 CLK nCLK DFlipFlop
+x4 vdd Q1_shift nQ1_shift vss Q1 nCLK CLK DFlipFlop
+.ends
+
+
+* expanding   symbol:  div_by_2.sym # of pins=9
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/div_by_2.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/div_by_2.sch
+.subckt div_by_2  nCLK_2 vss CLK vdd CLK_2 out_div nout_div o1 o2
+*.ipin CLK
+*.opin CLK_2
+*.iopin vss
+*.iopin vdd
+*.opin nCLK_2
+*.iopin nout_div
+*.iopin o2
+*.iopin o1
+*.iopin out_div
+x1 vdd out_div nout_div vss nout_div CLK_d nCLK_d DFlipFlop
+x2 vdd CLK_d CLK nCLK_d vss clock_inverter
+x3 vdd o1 out_div vss inverter_min_x2
+x4 vdd CLK_2 o1 vss inverter_min_x4
+x5 vdd o2 nout_div vss inverter_min_x2
+x6 vdd nCLK_2 o2 vss inverter_min_x4
+.ends
+
+
+* expanding   symbol:  buffer_salida.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/buffer_salida.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/buffer_salida.sch
+.subckt buffer_salida  vdd out in vss
+*.iopin vss
+*.ipin in
+*.iopin vdd
+*.opin out
+XM2 net1 in vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=6 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=4 m=4 
+XM1 net1 in vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=3 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=4 m=4 
+XM3 net2 net1 vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=6 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=32 m=32 
+XM4 net2 net1 vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=3 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=32 m=32 
+XM5 out net2 vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=6 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=256 m=256 
+XM6 out net2 vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=3 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=256 m=256 
+.ends
+
+
+* expanding   symbol:  DFF.sym # of pins=5
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/DFF.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/DFF.sch
+.subckt DFF  D CLK Q Reset vss
+*.ipin D
+*.ipin CLK
+*.opin Q
+*.ipin Reset
+*.iopin vss
+x1 D CLK Q P vss nor
+x2 D P P1 Q vss nor
+x3 D P P2 P1 vss nor
+x4 D P1 Reset P2 vss nor
+.ends
+
+
+* expanding   symbol:  and_pfd.sym # of pins=5
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/and_pfd.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/and_pfd.sch
+.subckt and_pfd  vdd out A B vss
+*.iopin vdd
+*.iopin vss
+*.opin out
+*.ipin A
+*.ipin B
+XM1 out_nand A net1 vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.45 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
 + pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
 + sa=0 sb=0 sd=0 mult=1 m=1 
-XM9 net7 net7 net6 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.8 W=2 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
+XM2 out_nand A vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=0.9 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM3 net1 B vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.45 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM4 out_nand B net2 vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.45 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM5 net2 A vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.45 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM6 out_nand B vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=0.9 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM7 out out_nand vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=0.9 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM8 out out_nand vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.45 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
 + as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
 + nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
-XM11 net6 net6 vdd3v3 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.8 W=16 nf=8 ad='int((nf+1)/2) * W/nf * 0.29'
+.ends
+
+
+* expanding   symbol:  trans_gate.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/trans_gate.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/trans_gate.sch
+.subckt trans_gate  vdd out in vss
+*.iopin vss
+*.ipin in
+*.opin out
+*.iopin vdd
+XM2 out vss in vdd sky130_fd_pr__pfet_01v8 L=0.15 W=1.25 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=3 m=3 
+XM1 out vdd in vss sky130_fd_pr__nfet_01v8 L=0.15 W=1.25 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=3 m=3 
+.ends
+
+
+* expanding   symbol:  inverter_cp_x1.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_cp_x1.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_cp_x1.sch
+.subckt inverter_cp_x1  vdd out in vss
+*.iopin vss
+*.ipin in
+*.opin out
+*.iopin vdd
+XM2 out in vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=1.25 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=3 m=3 
+XM1 out in vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=1.25 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=3 m=3 
+.ends
+
+
+* expanding   symbol:  inverter_cp_x2.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_cp_x2.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_cp_x2.sch
+.subckt inverter_cp_x2  vdd out in vss
+*.iopin vss
+*.ipin in
+*.opin out
+*.iopin vdd
+XM2 out in vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=1.25 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=6 m=6 
+XM1 out in vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=1.25 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=6 m=6 
+.ends
+
+
+* expanding   symbol:  res_loop_filter.sym # of pins=3
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/res_loop_filter.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/res_loop_filter.sch
+.subckt res_loop_filter  in out vss
+*.iopin in
+*.iopin vss
+*.iopin out
+XR3 out in vss sky130_fd_pr__res_high_po_5p73 L=22.92 mult=1 m=1
+.ends
+
+
+* expanding   symbol:  cap1_loop_filter.sym # of pins=2
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/cap1_loop_filter.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/cap1_loop_filter.sch
+.subckt cap1_loop_filter  in out
+*.iopin in
+*.iopin out
+XC1 in out sky130_fd_pr__cap_mim_m3_1 W=25 L=25 MF=25 m=25
+.ends
+
+
+* expanding   symbol:  cap2_loop_filter.sym # of pins=2
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/cap2_loop_filter.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/cap2_loop_filter.sch
+.subckt cap2_loop_filter  in out
+*.iopin in
+*.iopin out
+XC1 in out sky130_fd_pr__cap_mim_m3_1 W=20 L=20 MF=9 m=9
+.ends
+
+
+* expanding   symbol:  csvco_branch.sym # of pins=7
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/csvco_branch.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/csvco_branch.sch
+.subckt csvco_branch  vdd vbp in out vctrl vss D0
+*.ipin vctrl
+*.ipin vbp
+*.iopin vdd
+*.iopin vss
+*.ipin in
+*.opin out
+*.ipin D0
+XM1 vdd_inv vbp vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=10 m=10 
+XM2 vss_inv vctrl vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
 + as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
-+ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
-XM12 net8 net1 vdd3v3 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.8 W=2 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
-+ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
-+ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
-XM13 net9 net2 net8 vdd3v3 sky130_fd_pr__pfet_g5v0d10v5 L=0.8 W=2 nf=1 ad='int((nf+1)/2) * W/nf * 0.29'
-+ as='int((nf+2)/2) * W/nf * 0.29' pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)'
-+ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=1 m=1 
-XR3 vss vss vss sky130_fd_pr__res_xhigh_po_0p69 W=0.69 L=25 mult=2 m=2
-x2 net10 vss vss vdd3v3 vdd3v3 porb_h sky130_fd_sc_hvl__buf_8
-x3 net10 vss vss vdd1v8 vdd1v8 porb_l sky130_fd_sc_hvl__buf_8
-x4 net10 vss vss vdd1v8 vdd1v8 por_l sky130_fd_sc_hvl__inv_8
-x5 net9 vss vss vdd3v3 vdd3v3 net10 sky130_fd_sc_hvl__schmittbuf_1
++ nrd='0.29 / W' nrs='0.29 / W' sa=0 sb=0 sd=0 mult=10 m=10 
+XM4 out D0 net1 vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.42 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+x1 vdd_inv out in vss_inv vdd vss inverter_csvco
+C1 net1 vss 5.78f m=1
+.ends
+
+
+* expanding   symbol:  inverter_min_x2.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_min_x2.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_min_x2.sch
+.subckt inverter_min_x2  vdd out in vss
+*.iopin vss
+*.ipin in
+*.opin out
+*.iopin vdd
+XM2 out in vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=0.84 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2 
+XM1 out in vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.42 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2 
+.ends
+
+
+* expanding   symbol:  inverter_min_x4.sym # of pins=4
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_min_x4.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_min_x4.sch
+.subckt inverter_min_x4  vdd out in vss
+*.iopin vss
+*.ipin in
+*.opin out
+*.iopin vdd
+XM2 out in vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=0.84 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=4 m=4 
+XM1 out in vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.42 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=4 m=4 
+.ends
+
+
+* expanding   symbol:  DFlipFlop.sym # of pins=7
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/DFlipFlop.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/DFlipFlop.sch
+.subckt DFlipFlop  vdd Q nQ vss D CLK nCLK
+*.iopin vdd
+*.iopin vss
+*.opin Q
+*.opin nQ
+*.ipin D
+*.ipin CLK
+*.ipin nCLK
+x1 vdd D_d D nD_d vss clock_inverter
+x2 vdd nA A D_d nD_d CLK vss latch_diff
+x3 vdd nQ Q A nA nCLK vss latch_diff
+.ends
+
+
+* expanding   symbol:  clock_inverter.sym # of pins=5
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/clock_inverter.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/clock_inverter.sch
+.subckt clock_inverter  vdd CLK_d CLK nCLK_d vss
+*.ipin CLK
+*.iopin vdd
+*.iopin vss
+*.opin nCLK_d
+*.opin CLK_d
+x5 vdd nCLK_d net1 vss trans_gate
+x1 vdd CLK_d net2 vss inverter_cp_x1
+x2 vdd net2 CLK vss inverter_cp_x1
+x3 vdd net1 CLK vss inverter_cp_x1
+.ends
+
+
+* expanding   symbol:  nor.sym # of pins=5
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/nor.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/nor.sch
+.subckt nor  vdd A B out vss
+*.ipin A
+*.ipin B
+*.iopin vdd
+*.opin out
+*.iopin vss
+XM1 out A vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.45 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM2 out B vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.45 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM4 out B net1 vdd sky130_fd_pr__pfet_01v8 L=0.15 W=0.9 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM3 net1 A vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=0.9 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM5 net2 B vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=0.9 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM6 out A net2 vdd sky130_fd_pr__pfet_01v8 L=0.15 W=0.9 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+.ends
+
+
+* expanding   symbol:  inverter_csvco.sym # of pins=6
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_csvco.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/inverter_csvco.sch
+.subckt inverter_csvco  vdd out in vss vbulkp vbulkn
+*.iopin vss
+*.ipin in
+*.opin out
+*.iopin vdd
+*.iopin vbulkn
+*.iopin vbulkp
+XM1 out in vss vbulkn sky130_fd_pr__nfet_01v8 L=0.2 W=0.75 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+XM2 out in vdd vbulkp sky130_fd_pr__pfet_01v8 L=0.2 W=1.5 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=1 m=1 
+.ends
+
+
+* expanding   symbol:  latch_diff.sym # of pins=7
+* sym_path: /home/dhernando/caravel_analog_fulgor/xschem/latch_diff.sym
+* sch_path: /home/dhernando/caravel_analog_fulgor/xschem/latch_diff.sch
+.subckt latch_diff  vdd nQ Q D nD CLK vss
+*.iopin vdd
+*.iopin vss
+*.ipin D
+*.opin nQ
+*.ipin CLK
+*.ipin nD
+*.opin Q
+XM3 net1 CLK vss vss sky130_fd_pr__nfet_01v8 L=0.15 W=1.25 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=3 m=3 
+XM4 nQ Q vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=0.95 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2 
+XM5 Q nQ vdd vdd sky130_fd_pr__pfet_01v8 L=0.15 W=0.95 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2 
+XM1 nQ D net1 vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.95 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2 
+XM2 Q nD net1 vss sky130_fd_pr__nfet_01v8 L=0.15 W=0.95 nf=1 ad='int((nf+1)/2) * W/nf * 0.29' as='int((nf+2)/2) * W/nf * 0.29'
++ pd='2*int((nf+1)/2) * (W/nf + 0.29)' ps='2*int((nf+2)/2) * (W/nf + 0.29)' nrd='0.29 / W' nrs='0.29 / W'
++ sa=0 sb=0 sd=0 mult=2 m=2 
 .ends
 
 ** flattened .save nodes
diff --git a/xschem/tb_top_pll_v1.sch b/xschem/tb_top_pll_v1.sch
index 0fce1ea..188f759 100644
--- a/xschem/tb_top_pll_v1.sch
+++ b/xschem/tb_top_pll_v1.sch
@@ -32,8 +32,8 @@
 N -280 80 -280 120 { lab=iref_cp}
 N -200 90 -200 120 { lab=D0}
 N -370 250 -340 250 { lab=A}
-N 360 250 400 250 { lab=out_to_pad}
-N 400 250 450 250 { lab=out_to_pad}
+N 420 250 460 250 { lab=out_to_pad}
+N 460 250 510 250 { lab=out_to_pad}
 N 280 380 280 430 { lab=div_5_nQ2}
 N 260 380 260 430 { lab=div_5_Q1_shift}
 N 240 380 240 430 { lab=div_5_Q1}
@@ -78,6 +78,9 @@
 N 170 380 170 430 { lab=n_out_by_2}
 N 300 430 300 480 { lab=out_by_5}
 N 300 380 300 430 { lab=out_by_5}
+N 510 250 510 280 { lab=out_to_pad}
+N 510 340 510 370 { lab=vss}
+N 350 380 350 430 { lab=out_to_buffer}
 C {vsource.sym} -620 -150 0 0 {name=VSS value=\{vss\}}
 C {vsource.sym} -550 -150 0 0 {name=VDD value=\{vdd\}}
 C {vsource.sym} -470 -150 0 0 {name=Vref value="PULSE(0 \{vin\} 0 1p 1p \{Tref/2\} \{Tref\}) DC \{vin\} AC 0"}
@@ -145,7 +148,7 @@
 	print T f 
 	*write tb_PLL_tran.raw
 	plot v(vctrl) v(pfd_reset)+2 v(nDown)+4 v(Down)+6 v(nUp)+8 v(Up)+10 v(QA)+12 v(QB)+12 v(A)+14 v(out_by_5)+16
- 	plot v(out_to_pad)+9 v(out_to_div)+6 v(out_by_2)+3 v(out_by_5)
+ 	plot v(out_to_pad)+12 v(out_to_buffer)+9 v(out_to_div)+6 v(out_by_2)+3 v(out_by_5)
 	plot v(out_by_5) v(out_by_2) v(out_to_div)
 	plot v(vctrl)
 	plot v(pswitch) v(nswitch) xlimit 1.4us 1.444us
@@ -176,8 +179,7 @@
 C {lab_pin.sym} -280 80 1 0 {name=l9 sig_type=std_logic lab=iref_cp}
 C {lab_pin.sym} -200 90 1 0 {name=l10 sig_type=std_logic lab=D0}
 C {lab_pin.sym} -370 250 2 1 {name=l11 sig_type=std_logic lab=A}
-C {noconn.sym} 450 250 2 0 {name=l48}
-C {lab_wire.sym} 370 250 0 1 {name=l61 sig_type=std_logic lab=out_to_pad}
+C {lab_wire.sym} 470 250 0 1 {name=l61 sig_type=std_logic lab=out_to_pad}
 C {noconn.sym} 280 430 1 1 {name=l66}
 C {noconn.sym} 260 430 1 1 {name=l67}
 C {noconn.sym} 240 430 1 1 {name=l68}
@@ -238,3 +240,11 @@
 place=end
 format="tcleval(@value )"
 value="[sky130_models]"}
+C {capa.sym} 510 310 0 0 {name=C1
+m=1
+value=20p
+footprint=1206
+device="ceramic capacitor"}
+C {lab_pin.sym} 510 370 3 0 {name=l48 sig_type=std_logic lab=vss}
+C {noconn.sym} 350 430 3 0 {name=l74}
+C {lab_wire.sym} 350 390 3 0 {name=l84 sig_type=std_logic lab=out_to_buffer}
diff --git a/xschem/tb_top_pll_v1_pex_c.sch b/xschem/tb_top_pll_v1_pex_c.sch
index 16ec5c4..8814e0f 100644
--- a/xschem/tb_top_pll_v1_pex_c.sch
+++ b/xschem/tb_top_pll_v1_pex_c.sch
@@ -32,8 +32,6 @@
 N -280 80 -280 120 { lab=iref_cp}
 N -200 90 -200 120 { lab=D0}
 N -370 250 -340 250 { lab=A}
-N 360 250 400 250 { lab=out_to_pad}
-N 400 250 450 250 { lab=out_to_pad}
 N 280 380 280 430 { lab=div_5_nQ2}
 N 260 380 260 430 { lab=div_5_Q1_shift}
 N 240 380 240 430 { lab=div_5_Q1}
@@ -78,6 +76,11 @@
 N 170 380 170 430 { lab=n_out_by_2}
 N 300 430 300 480 { lab=out_by_5}
 N 300 380 300 430 { lab=out_by_5}
+N 420 250 460 250 { lab=out_to_pad}
+N 460 250 510 250 { lab=out_to_pad}
+N 510 250 510 280 { lab=out_to_pad}
+N 510 340 510 370 { lab=vss}
+N 350 380 350 430 { lab=out_to_buffer}
 C {vsource.sym} -620 -150 0 0 {name=VSS value=\{vss\}}
 C {vsource.sym} -550 -150 0 0 {name=VDD value=\{vdd\}}
 C {vsource.sym} -470 -150 0 0 {name=Vref value="PULSE(0 \{vin\} 0 1p 1p \{Tref/2\} \{Tref\}) DC \{vin\} AC 0"}
@@ -104,7 +107,7 @@
 .options RSHUNT = 1e20
 
 * Models
-.lib ~/skywater/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest/models/corners/sky130.lib SS
+.lib ~/skywater/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest/models/corners/sky130.lib SF
 .include ~/caravel_analog_fulgor/xschem/simulations/top_pll_v1_pex_c.spice
 .include ~/caravel_analog_fulgor/xschem/simulations/bias_pex_c.spice
 
@@ -139,7 +142,7 @@
 * Simulation
 .control
 	tran 0.01ns 1.5us
-	meas tran Tosc trig v(out_to_pad) val=0.9 fall=1005 targ v(out_to_pad) val=0.9 fall=1105
+	meas tran Tosc trig v(out_to_div) val=0.9 fall=1005 targ v(out_to_div) val=0.9 fall=1105
 	let  T = Tosc/100.0
 	let  f = 1/T
 	echo .
@@ -147,7 +150,7 @@
 	print T f 
 	*write tb_PLL_tran.raw
 	plot v(vctrl) v(pfd_reset)+2 v(nDown)+4 v(Down)+6 v(nUp)+8 v(Up)+10 v(QA)+12 v(QB)+12 v(A)+14 v(out_by_5)+16
- 	plot v(out_to_pad)+9 v(out_to_div)+6 v(out_by_2)+3 v(out_by_5)
+ 	plot v(out_to_pad)+12 v(out_to_buffer)+9 (out_to_div)+6 v(out_by_2)+3 v(out_by_5)
 	plot v(out_by_5) v(out_by_2) v(out_to_div)
 	plot v(vctrl)
 	plot v(pswitch) v(nswitch) xlimit 1.4us 1.444us
@@ -177,8 +180,6 @@
 C {lab_pin.sym} -280 80 1 0 {name=l9 sig_type=std_logic lab=iref_cp}
 C {lab_pin.sym} -200 90 1 0 {name=l10 sig_type=std_logic lab=D0}
 C {lab_pin.sym} -370 250 2 1 {name=l11 sig_type=std_logic lab=A}
-C {noconn.sym} 450 250 2 0 {name=l48}
-C {lab_wire.sym} 370 250 0 1 {name=l61 sig_type=std_logic lab=out_to_pad}
 C {noconn.sym} 280 430 1 1 {name=l66}
 C {noconn.sym} 260 430 1 1 {name=l67}
 C {noconn.sym} 240 430 1 1 {name=l68}
@@ -235,3 +236,12 @@
 C {lab_wire.sym} 280 420 3 0 {name=l73 sig_type=std_logic lab=div_5_nQ2}
 C {top_pll_v1_pex_c.sym} 10 250 0 0 {name=x1}
 C {bias_pex_c.sym} -590 210 0 0 {name=x9}
+C {lab_wire.sym} 470 250 0 1 {name=l74 sig_type=std_logic lab=out_to_pad}
+C {capa.sym} 510 310 0 0 {name=C1
+m=1
+value=10p
+footprint=1206
+device="ceramic capacitor"}
+C {lab_pin.sym} 510 370 3 0 {name=l84 sig_type=std_logic lab=vss}
+C {noconn.sym} 350 430 3 0 {name=l85}
+C {lab_wire.sym} 350 390 3 0 {name=l86 sig_type=std_logic lab=out_to_buffer}
diff --git a/xschem/tb_top_pll_v1_pex_no_integration.sch b/xschem/tb_top_pll_v1_pex_no_integration.sch
index 467f55f..630563d 100644
--- a/xschem/tb_top_pll_v1_pex_no_integration.sch
+++ b/xschem/tb_top_pll_v1_pex_no_integration.sch
@@ -32,8 +32,6 @@
 N -280 80 -280 120 { lab=iref_cp}
 N -200 90 -200 120 { lab=D0}
 N -370 250 -340 250 { lab=A}
-N 360 250 400 250 { lab=out_to_pad}
-N 400 250 450 250 { lab=out_to_pad}
 N 280 380 280 430 { lab=div_5_nQ2}
 N 260 380 260 430 { lab=div_5_Q1_shift}
 N 240 380 240 430 { lab=div_5_Q1}
@@ -78,6 +76,11 @@
 N 170 380 170 430 { lab=n_out_by_2}
 N 300 430 300 480 { lab=out_by_5}
 N 300 380 300 430 { lab=out_by_5}
+N 420 250 460 250 { lab=out_to_pad}
+N 460 250 510 250 { lab=out_to_pad}
+N 510 250 510 280 { lab=out_to_pad}
+N 510 340 510 370 { lab=vss}
+N 350 380 350 430 { lab=out_to_buffer}
 C {vsource.sym} -620 -150 0 0 {name=VSS value=\{vss\}}
 C {vsource.sym} -550 -150 0 0 {name=VDD value=\{vdd\}}
 C {vsource.sym} -470 -150 0 0 {name=Vref value="PULSE(0 \{vin\} 0 1p 1p \{Tref/2\} \{Tref\}) DC \{vin\} AC 0"}
@@ -114,7 +117,7 @@
 .include ~/caravel_analog_fulgor/xschem/simulations/div_by_2_pex_c.spice
 .include ~/caravel_analog_fulgor/xschem/simulations/div_by_5_pex_c.spice
 .include ~/caravel_analog_fulgor/xschem/simulations/bias_pex_c.spice
-
+.include ~/caravel_analog_fulgor/xschem/simulations/buffer_salida_pex_c.spice
 
 * Data to save
 
@@ -185,8 +188,6 @@
 C {lab_pin.sym} -280 80 1 0 {name=l9 sig_type=std_logic lab=iref_cp}
 C {lab_pin.sym} -200 90 1 0 {name=l10 sig_type=std_logic lab=D0}
 C {lab_pin.sym} -370 250 2 1 {name=l11 sig_type=std_logic lab=A}
-C {noconn.sym} 450 250 2 0 {name=l48}
-C {lab_wire.sym} 370 250 0 1 {name=l61 sig_type=std_logic lab=out_to_pad}
 C {noconn.sym} 280 430 1 1 {name=l66}
 C {noconn.sym} 260 430 1 1 {name=l67}
 C {noconn.sym} 240 430 1 1 {name=l68}
@@ -248,3 +249,12 @@
 value="[sky130_models]"}
 C {bias_pex_c.sym} -590 210 0 0 {name=x2}
 C {top_pll_v1_pex_no_integration.sym} 10 250 0 0 {name=x1}
+C {lab_wire.sym} 470 250 0 1 {name=l61 sig_type=std_logic lab=out_to_pad}
+C {capa.sym} 510 310 0 0 {name=C1
+m=1
+value=20p
+footprint=1206
+device="ceramic capacitor"}
+C {lab_pin.sym} 510 370 3 0 {name=l48 sig_type=std_logic lab=vss}
+C {noconn.sym} 350 430 3 0 {name=l74}
+C {lab_wire.sym} 350 390 3 0 {name=l84 sig_type=std_logic lab=out_to_buffer}
diff --git a/xschem/top_pll_v1.sch b/xschem/top_pll_v1.sch
index 80a4648..e0c4c51 100644
--- a/xschem/top_pll_v1.sch
+++ b/xschem/top_pll_v1.sch
@@ -50,7 +50,7 @@
 N 520 -230 580 -230 { lab=vco_out}
 N 620 -330 620 -300 { lab=vdd}
 N 660 -330 660 -300 { lab=vss}
-N 700 -250 740 -250 { lab=out_to_pad}
+N 700 -250 740 -250 { lab=out_to_buffer}
 N 700 -210 750 -210 { lab=out_to_div}
 N 870 -210 870 260 { lab=out_to_div}
 N 570 260 750 260 { lab=out_to_div}
@@ -93,6 +93,11 @@
 N -210 340 -210 380 { lab=div_5_Q0}
 N -130 340 -130 380 { lab=div_5_nQ2}
 N -390 260 -390 300 { lab=out_div_by_5}
+N 740 -250 930 -250 { lab=out_to_buffer}
+N 810 -300 810 -250 { lab=out_to_buffer}
+N 970 -330 970 -300 { lab=vdd}
+N 970 -200 970 -170 { lab=vss}
+N 1060 -250 1100 -250 { lab=out_to_pad}
 C {lab_pin.sym} 410 -330 1 0 {name=l38 sig_type=std_logic lab=vdd}
 C {lab_pin.sym} 410 -130 3 0 {name=l39 sig_type=std_logic lab=vss}
 C {lab_pin.sym} -530 -360 1 0 {name=l7 sig_type=std_logic lab=vdd}
@@ -125,7 +130,7 @@
 C {iopin.sym} 160 -320 3 0 {name=p17 lab=vco_vctrl}
 C {iopin.sym} 520 -320 3 0 {name=p18 lab=vco_out}
 C {iopin.sym} 640 -120 1 0 {name=p19 lab=out_first_buffer}
-C {opin.sym} 740 -250 0 0 {name=p20 lab=out_to_pad}
+C {iopin.sym} 810 -300 3 0 {name=p20 lab=out_to_buffer}
 C {iopin.sym} 370 220 3 0 {name=p21 lab=out_to_div}
 C {iopin.sym} 0 200 3 0 {name=p22 lab=out_by_2}
 C {iopin.sym} 0 320 1 0 {name=p23 lab=n_out_by_2}
@@ -147,3 +152,7 @@
 C {ring_osc_buffer.sym} 640 -230 0 0 {name=x6}
 C {div_by_5.sym} -170 260 0 1 {name=x7}
 C {div_by_2.sym} 160 260 0 1 {name=x8}
+C {buffer_salida.sym} 990 -250 0 0 {name=x9}
+C {lab_pin.sym} 970 -330 1 0 {name=l1 sig_type=std_logic lab=vdd}
+C {lab_pin.sym} 970 -170 3 0 {name=l2 sig_type=std_logic lab=vss}
+C {iopin.sym} 1100 -250 0 0 {name=p35 lab=out_to_pad}
diff --git a/xschem/top_pll_v1.sym b/xschem/top_pll_v1.sym
index ead0800..3fee5b2 100644
--- a/xschem/top_pll_v1.sym
+++ b/xschem/top_pll_v1.sym
@@ -10,7 +10,7 @@
 L 4 -240 110 20 110 {}
 L 4 -290 -130 -290 -110 {}
 L 4 -350 0 -330 0 {}
-L 4 330 0 350 0 {}
+L 4 390 0 410 0 {}
 L 4 -300 90 -300 110 {}
 L 4 -300 90 -240 90 {}
 L 4 -240 90 -240 110 {}
@@ -41,13 +41,19 @@
 L 4 -330 -0 -330 110 {}
 L 4 -330 -110 -330 0 {}
 L 4 -330 -110 330 -110 {}
-L 4 330 -110 330 110 {}
+L 4 390 -110 390 110 {}
 L 4 -240 -110 -240 -90 {}
 L 4 -240 -90 -120 -90 {}
 L 4 -120 -110 -120 -90 {}
 L 4 -320 70 -320 110 {}
 L 4 -320 70 320 70 {}
-L 4 320 70 320 110 {}
+L 4 380 70 380 110 {}
+L 4 320 90 320 110 {}
+L 4 320 90 360 90 {}
+L 4 360 90 360 110 {}
+L 4 330 110 390 110 {}
+L 4 320 70 380 70 {}
+L 4 330 -110 390 -110 {}
 L 7 230 -130 230 -110 {}
 L 7 170 -130 170 -110 {}
 L 7 -10 110 -10 130 {}
@@ -78,6 +84,7 @@
 L 7 80 110 80 130 {}
 L 7 270 110 270 130 {}
 L 7 60 110 60 130 {}
+L 7 340 110 340 130 {}
 B 5 -292.5 -132.5 -287.5 -127.5 {name=iref_cp dir=in }
 B 5 227.5 -132.5 232.5 -127.5 {name=vss dir=inout }
 B 5 167.5 -132.5 172.5 -127.5 {name=vdd dir=inout }
@@ -87,7 +94,7 @@
 B 5 -252.5 127.5 -247.5 132.5 {name=pfd_QA dir=inout }
 B 5 -202.5 127.5 -197.5 132.5 {name=nUp dir=inout }
 B 5 -352.5 -2.5 -347.5 2.5 {name=in_ref dir=in }
-B 5 347.5 -2.5 352.5 2.5 {name=out_to_pad dir=out }
+B 5 407.5 -2.5 412.5 2.5 {name=out_to_pad dir=out }
 B 5 -182.5 127.5 -177.5 132.5 {name=Down dir=inout }
 B 5 -162.5 127.5 -157.5 132.5 {name=nDown dir=inout }
 B 5 -272.5 127.5 -267.5 132.5 {name=pfd_QB dir=inout }
@@ -111,6 +118,7 @@
 B 5 77.5 127.5 82.5 132.5 {name=n_out_div_2 dir=inout }
 B 5 267.5 127.5 272.5 132.5 {name=div_5_nQ2 dir=inout }
 B 5 57.5 127.5 62.5 132.5 {name=out_div_2 dir=inout }
+B 5 337.5 127.5 342.5 132.5 {name=out_to_buffer dir=inout }
 T {@symname} -30 -10 0 0 0.3 0.3 {}
 T {@name} -15 -92 0 0 0.2 0.2 {}
 T {iref_cp} -296 -145 1 0 0.2 0.2 {}
@@ -122,7 +130,7 @@
 T {pfd_QA} -252.5 150 1 1 0.2 0.2 {}
 T {nUp} -215 132.5 3 0 0.2 0.2 {}
 T {in_ref} -325 -12.5 0 0 0.2 0.2 {}
-T {out_to_pad} 327.5 -12.5 0 1 0.2 0.2 {}
+T {out_to_pad} 447.5 -12.5 0 1 0.2 0.2 {}
 T {Down} -195 140 3 0 0.2 0.2 {}
 T {nDown} -175 147.5 3 0 0.2 0.2 {}
 T {pfd_QB} -272.5 150 1 1 0.2 0.2 {}
@@ -155,3 +163,4 @@
 T {DIV_BY_5} 212.5 105 2 1 0.2 0.2 {}
 T {Debug} -17.5 85 2 1 0.2 0.2 {}
 T {Config} -197.5 -92.5 2 1 0.2 0.2 {}
+T {out_to_buffer} 337.5 180 1 1 0.2 0.2 {}
diff --git a/xschem/top_pll_v1_pex_c.sym b/xschem/top_pll_v1_pex_c.sym
index 6eda278..db970bf 100644
--- a/xschem/top_pll_v1_pex_c.sym
+++ b/xschem/top_pll_v1_pex_c.sym
@@ -10,7 +10,7 @@
 L 4 -240 110 20 110 {}
 L 4 -290 -130 -290 -110 {}
 L 4 -350 0 -330 0 {}
-L 4 330 0 350 0 {}
+L 4 390 0 410 0 {}
 L 4 -300 90 -300 110 {}
 L 4 -300 90 -240 90 {}
 L 4 -240 90 -240 110 {}
@@ -41,15 +41,19 @@
 L 4 -330 -0 -330 110 {}
 L 4 -330 -110 -330 0 {}
 L 4 -330 -110 330 -110 {}
-L 4 330 -110 330 110 {}
+L 4 390 -110 390 110 {}
 L 4 -240 -110 -240 -90 {}
 L 4 -240 -90 -120 -90 {}
 L 4 -120 -110 -120 -90 {}
-L 4 -320 80 -320 110 {}
-L 4 -320 70 -320 80 {}
-L 4 -320 70 310 70 {}
-L 4 310 70 320 70 {}
-L 4 320 70 320 110 {}
+L 4 -320 70 -320 110 {}
+L 4 -320 70 320 70 {}
+L 4 380 70 380 110 {}
+L 4 320 90 320 110 {}
+L 4 320 90 360 90 {}
+L 4 360 90 360 110 {}
+L 4 330 110 390 110 {}
+L 4 320 70 380 70 {}
+L 4 330 -110 390 -110 {}
 L 7 230 -130 230 -110 {}
 L 7 170 -130 170 -110 {}
 L 7 -10 110 -10 130 {}
@@ -80,6 +84,7 @@
 L 7 80 110 80 130 {}
 L 7 270 110 270 130 {}
 L 7 60 110 60 130 {}
+L 7 340 110 340 130 {}
 B 5 -292.5 -132.5 -287.5 -127.5 {name=iref_cp dir=in }
 B 5 227.5 -132.5 232.5 -127.5 {name=vss dir=inout }
 B 5 167.5 -132.5 172.5 -127.5 {name=vdd dir=inout }
@@ -89,7 +94,7 @@
 B 5 -252.5 127.5 -247.5 132.5 {name=pfd_QA dir=inout }
 B 5 -202.5 127.5 -197.5 132.5 {name=nUp dir=inout }
 B 5 -352.5 -2.5 -347.5 2.5 {name=in_ref dir=in }
-B 5 347.5 -2.5 352.5 2.5 {name=out_to_pad dir=out }
+B 5 407.5 -2.5 412.5 2.5 {name=out_to_pad dir=out }
 B 5 -182.5 127.5 -177.5 132.5 {name=Down dir=inout }
 B 5 -162.5 127.5 -157.5 132.5 {name=nDown dir=inout }
 B 5 -272.5 127.5 -267.5 132.5 {name=pfd_QB dir=inout }
@@ -113,6 +118,7 @@
 B 5 77.5 127.5 82.5 132.5 {name=n_out_div_2 dir=inout }
 B 5 267.5 127.5 272.5 132.5 {name=div_5_nQ2 dir=inout }
 B 5 57.5 127.5 62.5 132.5 {name=out_div_2 dir=inout }
+B 5 337.5 127.5 342.5 132.5 {name=out_to_buffer dir=inout }
 T {@symname} -30 -10 0 0 0.3 0.3 {}
 T {@name} -15 -92 0 0 0.2 0.2 {}
 T {iref_cp} -296 -145 1 0 0.2 0.2 {}
@@ -124,7 +130,7 @@
 T {pfd_QA} -252.5 150 1 1 0.2 0.2 {}
 T {nUp} -215 132.5 3 0 0.2 0.2 {}
 T {in_ref} -325 -12.5 0 0 0.2 0.2 {}
-T {out_to_pad} 327.5 -12.5 0 1 0.2 0.2 {}
+T {out_to_pad} 447.5 -12.5 0 1 0.2 0.2 {}
 T {Down} -195 140 3 0 0.2 0.2 {}
 T {nDown} -175 147.5 3 0 0.2 0.2 {}
 T {pfd_QB} -272.5 150 1 1 0.2 0.2 {}
@@ -157,3 +163,4 @@
 T {DIV_BY_5} 212.5 105 2 1 0.2 0.2 {}
 T {Debug} -17.5 85 2 1 0.2 0.2 {}
 T {Config} -197.5 -92.5 2 1 0.2 0.2 {}
+T {out_to_buffer} 337.5 180 1 1 0.2 0.2 {}
diff --git a/xschem/top_pll_v1_pex_no_integration.sch b/xschem/top_pll_v1_pex_no_integration.sch
index a792613..bf890fe 100644
--- a/xschem/top_pll_v1_pex_no_integration.sch
+++ b/xschem/top_pll_v1_pex_no_integration.sch
@@ -50,7 +50,7 @@
 N 520 -230 580 -230 { lab=vco_out}
 N 620 -330 620 -300 { lab=vdd}
 N 660 -330 660 -300 { lab=vss}
-N 700 -250 740 -250 { lab=out_to_pad}
+N 1050 -250 1090 -250 { lab=out_to_pad}
 N 700 -210 750 -210 { lab=out_to_div}
 N 870 -210 870 260 { lab=out_to_div}
 N 570 260 750 260 { lab=out_to_div}
@@ -93,6 +93,10 @@
 N -210 340 -210 380 { lab=div_5_Q0}
 N -130 340 -130 380 { lab=div_5_nQ2}
 N -390 260 -390 300 { lab=out_div_by_5}
+N 960 -200 960 -170 { lab=vss}
+N 960 -330 960 -300 { lab=vdd}
+N 700 -250 920 -250 { lab=vco_out}
+N 810 -340 810 -250 { lab=vco_out}
 C {lab_pin.sym} 410 -330 1 0 {name=l38 sig_type=std_logic lab=vdd}
 C {lab_pin.sym} 410 -130 3 0 {name=l39 sig_type=std_logic lab=vss}
 C {lab_pin.sym} -530 -360 1 0 {name=l7 sig_type=std_logic lab=vdd}
@@ -125,7 +129,7 @@
 C {iopin.sym} 160 -320 3 0 {name=p17 lab=vco_vctrl}
 C {iopin.sym} 520 -320 3 0 {name=p18 lab=vco_out}
 C {iopin.sym} 640 -120 1 0 {name=p19 lab=out_first_buffer}
-C {opin.sym} 740 -250 0 0 {name=p20 lab=out_to_pad}
+C {opin.sym} 1090 -250 0 0 {name=p20 lab=out_to_pad}
 C {iopin.sym} 370 220 3 0 {name=p21 lab=out_to_div}
 C {iopin.sym} 0 200 3 0 {name=p22 lab=out_by_2}
 C {iopin.sym} 0 320 1 0 {name=p23 lab=n_out_by_2}
@@ -147,3 +151,7 @@
 C {pfd_cp_interface_pex_c.sym} -530 -230 0 0 {name=x7}
 C {ring_osc_buffer_pex_c.sym} 640 -230 0 0 {name=x8}
 C {div_by_2_pex_c.sym} 160 260 0 1 {name=x4}
+C {buffer_salida_pex_c.sym} 980 -250 0 0 {name=x9}
+C {lab_pin.sym} 960 -170 3 0 {name=l1 sig_type=std_logic lab=vss}
+C {lab_pin.sym} 960 -330 1 0 {name=l2 sig_type=std_logic lab=vdd}
+C {iopin.sym} 810 -340 3 0 {name=p34 lab=out_to_buffer}
diff --git a/xschem/top_pll_v1_pex_no_integration.sym b/xschem/top_pll_v1_pex_no_integration.sym
index ead0800..3fee5b2 100644
--- a/xschem/top_pll_v1_pex_no_integration.sym
+++ b/xschem/top_pll_v1_pex_no_integration.sym
@@ -10,7 +10,7 @@
 L 4 -240 110 20 110 {}
 L 4 -290 -130 -290 -110 {}
 L 4 -350 0 -330 0 {}
-L 4 330 0 350 0 {}
+L 4 390 0 410 0 {}
 L 4 -300 90 -300 110 {}
 L 4 -300 90 -240 90 {}
 L 4 -240 90 -240 110 {}
@@ -41,13 +41,19 @@
 L 4 -330 -0 -330 110 {}
 L 4 -330 -110 -330 0 {}
 L 4 -330 -110 330 -110 {}
-L 4 330 -110 330 110 {}
+L 4 390 -110 390 110 {}
 L 4 -240 -110 -240 -90 {}
 L 4 -240 -90 -120 -90 {}
 L 4 -120 -110 -120 -90 {}
 L 4 -320 70 -320 110 {}
 L 4 -320 70 320 70 {}
-L 4 320 70 320 110 {}
+L 4 380 70 380 110 {}
+L 4 320 90 320 110 {}
+L 4 320 90 360 90 {}
+L 4 360 90 360 110 {}
+L 4 330 110 390 110 {}
+L 4 320 70 380 70 {}
+L 4 330 -110 390 -110 {}
 L 7 230 -130 230 -110 {}
 L 7 170 -130 170 -110 {}
 L 7 -10 110 -10 130 {}
@@ -78,6 +84,7 @@
 L 7 80 110 80 130 {}
 L 7 270 110 270 130 {}
 L 7 60 110 60 130 {}
+L 7 340 110 340 130 {}
 B 5 -292.5 -132.5 -287.5 -127.5 {name=iref_cp dir=in }
 B 5 227.5 -132.5 232.5 -127.5 {name=vss dir=inout }
 B 5 167.5 -132.5 172.5 -127.5 {name=vdd dir=inout }
@@ -87,7 +94,7 @@
 B 5 -252.5 127.5 -247.5 132.5 {name=pfd_QA dir=inout }
 B 5 -202.5 127.5 -197.5 132.5 {name=nUp dir=inout }
 B 5 -352.5 -2.5 -347.5 2.5 {name=in_ref dir=in }
-B 5 347.5 -2.5 352.5 2.5 {name=out_to_pad dir=out }
+B 5 407.5 -2.5 412.5 2.5 {name=out_to_pad dir=out }
 B 5 -182.5 127.5 -177.5 132.5 {name=Down dir=inout }
 B 5 -162.5 127.5 -157.5 132.5 {name=nDown dir=inout }
 B 5 -272.5 127.5 -267.5 132.5 {name=pfd_QB dir=inout }
@@ -111,6 +118,7 @@
 B 5 77.5 127.5 82.5 132.5 {name=n_out_div_2 dir=inout }
 B 5 267.5 127.5 272.5 132.5 {name=div_5_nQ2 dir=inout }
 B 5 57.5 127.5 62.5 132.5 {name=out_div_2 dir=inout }
+B 5 337.5 127.5 342.5 132.5 {name=out_to_buffer dir=inout }
 T {@symname} -30 -10 0 0 0.3 0.3 {}
 T {@name} -15 -92 0 0 0.2 0.2 {}
 T {iref_cp} -296 -145 1 0 0.2 0.2 {}
@@ -122,7 +130,7 @@
 T {pfd_QA} -252.5 150 1 1 0.2 0.2 {}
 T {nUp} -215 132.5 3 0 0.2 0.2 {}
 T {in_ref} -325 -12.5 0 0 0.2 0.2 {}
-T {out_to_pad} 327.5 -12.5 0 1 0.2 0.2 {}
+T {out_to_pad} 447.5 -12.5 0 1 0.2 0.2 {}
 T {Down} -195 140 3 0 0.2 0.2 {}
 T {nDown} -175 147.5 3 0 0.2 0.2 {}
 T {pfd_QB} -272.5 150 1 1 0.2 0.2 {}
@@ -155,3 +163,4 @@
 T {DIV_BY_5} 212.5 105 2 1 0.2 0.2 {}
 T {Debug} -17.5 85 2 1 0.2 0.2 {}
 T {Config} -197.5 -92.5 2 1 0.2 0.2 {}
+T {out_to_buffer} 337.5 180 1 1 0.2 0.2 {}
diff --git a/xschem/user_analog_project_wrapper.sch b/xschem/user_analog_project_wrapper.sch
index 0edf5ec..4e7f670 100644
--- a/xschem/user_analog_project_wrapper.sch
+++ b/xschem/user_analog_project_wrapper.sch
@@ -1,29 +1,157 @@
-v{xschem version=2.9.9 file_version=1.2 }
+v {xschem version=2.9.9 file_version=1.2 }
 G {}
 K {}
 V {}
 S {}
 E {}
-N 3830 -460 3830 -390 { lab=vdda1}
-N 3730 -460 3830 -460 { lab=vdda1}
-N 3860 -230 3860 -180 { lab=vssa1}
-N 3770 -180 3860 -180 { lab=vssa1}
-N 3890 -460 3890 -390 { lab=vccd1}
-N 3890 -460 3960 -460 { lab=vccd1}
-N 3890 -130 3890 -60 { lab=vccd1}
-N 3890 -130 3950 -130 { lab=vccd1}
-N 3830 -130 3830 -60 { lab=io_analog[4]}
-N 3790 -130 3830 -130 { lab=io_analog[4]}
-N 3860 100 3860 150 { lab=vssa1}
-N 3800 150 3860 150 { lab=vssa1}
-N 4010 -10 4110 -10 { lab=gpio_analog[7]}
-N 4010 20 4110 20 { lab=io_out[15]}
-N 4010 50 4110 50 { lab=io_out[16]}
-N 4010 -340 4130 -340 { lab=gpio_analog[3]}
-N 4010 -310 4130 -310 { lab=io_out[11]}
-N 4010 -280 4130 -280 { lab=io_out[12]}
-C {example_por.sym} 3860 -310 0 0 {name=x1}
-C {example_por.sym} 3860 20 0 0 {name=x2}
+N 4410 160 4410 220 { lab=vdda1}
+N 4470 160 4470 220 { lab=vssa1}
+N 3990 530 3990 580 { lab=#net1}
+N 3990 480 3990 530 { lab=#net1}
+N 3970 530 3970 580 { lab=#net2}
+N 3970 480 3970 530 { lab=#net2}
+N 3950 530 3950 580 { lab=#net3}
+N 3950 480 3950 530 { lab=#net3}
+N 4060 530 4060 580 { lab=#net4}
+N 4060 480 4060 530 { lab=#net4}
+N 4040 530 4040 580 { lab=#net5}
+N 4040 480 4040 530 { lab=#net5}
+N 4020 530 4020 580 { lab=#net6}
+N 4020 480 4020 530 { lab=#net6}
+N 4080 530 4080 580 { lab=#net7}
+N 4080 480 4080 530 { lab=#net7}
+N 4150 530 4150 580 { lab=#net8}
+N 4150 480 4150 530 { lab=#net8}
+N 4130 530 4130 580 { lab=#net9}
+N 4130 480 4130 530 { lab=#net9}
+N 4110 530 4110 580 { lab=#net10}
+N 4110 480 4110 530 { lab=#net10}
+N 4180 530 4180 580 { lab=#net11}
+N 4180 480 4180 530 { lab=#net11}
+N 4210 530 4210 580 { lab=#net12}
+N 4210 480 4210 530 { lab=#net12}
+N 4230 530 4230 580 { lab=#net13}
+N 4230 480 4230 530 { lab=#net13}
+N 4250 530 4250 580 { lab=#net14}
+N 4250 480 4250 530 { lab=#net14}
+N 4270 530 4270 580 { lab=#net15}
+N 4270 480 4270 530 { lab=#net15}
+N 4300 530 4300 580 { lab=#net16}
+N 4300 480 4300 530 { lab=#net16}
+N 4320 530 4320 580 { lab=#net17}
+N 4320 480 4320 530 { lab=#net17}
+N 4340 530 4340 580 { lab=#net18}
+N 4340 480 4340 530 { lab=#net18}
+N 4360 530 4360 580 { lab=#net19}
+N 4360 480 4360 530 { lab=#net19}
+N 4380 530 4380 580 { lab=#net20}
+N 4380 480 4380 530 { lab=#net20}
+N 4400 530 4400 580 { lab=#net21}
+N 4400 480 4400 530 { lab=#net21}
+N 4430 530 4430 580 { lab=#net22}
+N 4430 480 4430 530 { lab=#net22}
+N 4450 530 4450 580 { lab=#net23}
+N 4450 480 4450 530 { lab=#net23}
+N 4470 530 4470 580 { lab=#net24}
+N 4470 480 4470 530 { lab=#net24}
+N 4490 530 4490 580 { lab=#net25}
+N 4490 480 4490 530 { lab=#net25}
+N 4510 530 4510 580 { lab=#net26}
+N 4510 480 4510 530 { lab=#net26}
+N 4530 530 4530 580 { lab=#net27}
+N 4530 480 4530 530 { lab=#net27}
+N 4580 530 4580 580 { lab=#net28}
+N 4580 480 4580 530 { lab=#net28}
+N 4700 350 4750 350 { lab=io_analog[9]}
+N 4650 350 4700 350 { lab=io_analog[9]}
+N 3820 350 3890 350 { lab=io_analog[10]}
+N 3950 150 3950 220 { lab=iref_cp2}
+N 4030 150 4030 220 { lab=io_in[14]}
+N 3890 -430 3890 -370 { lab=vdda1}
+N 3950 -290 3990 -290 { lab=iref_cp2}
+N 3780 350 3820 350 { lab=io_analog[10]}
+N 3890 -110 3890 -50 { lab=io_analog[5]}
+N 4000 -330 4050 -330 { lab=#net29}
+N 3950 -330 4000 -330 { lab=#net29}
+N 4000 -270 4050 -270 { lab=#net30}
+N 3950 -270 4000 -270 { lab=#net30}
+N 4000 -250 4050 -250 { lab=#net31}
+N 3950 -250 4000 -250 { lab=#net31}
+N 4000 -230 4050 -230 { lab=#net32}
+N 3950 -230 4000 -230 { lab=#net32}
+N 4000 -210 4050 -210 { lab=#net33}
+N 3950 -210 4000 -210 { lab=#net33}
+N 4000 -190 4050 -190 { lab=#net34}
+N 3950 -190 4000 -190 { lab=#net34}
+N 4000 -170 4050 -170 { lab=#net35}
+N 3950 -170 4000 -170 { lab=#net35}
+N 4000 -150 4050 -150 { lab=#net36}
+N 3950 -150 4000 -150 { lab=#net36}
+N 5660 160 5660 220 { lab=vdda1}
+N 5720 160 5720 220 { lab=vssa1}
+N 5240 530 5240 580 { lab=#net37}
+N 5240 480 5240 530 { lab=#net37}
+N 5220 530 5220 580 { lab=#net38}
+N 5220 480 5220 530 { lab=#net38}
+N 5200 530 5200 580 { lab=#net39}
+N 5200 480 5200 530 { lab=#net39}
+N 5310 530 5310 580 { lab=#net40}
+N 5310 480 5310 530 { lab=#net40}
+N 5290 530 5290 580 { lab=#net41}
+N 5290 480 5290 530 { lab=#net41}
+N 5270 530 5270 580 { lab=#net42}
+N 5270 480 5270 530 { lab=#net42}
+N 5330 530 5330 580 { lab=#net43}
+N 5330 480 5330 530 { lab=#net43}
+N 5400 530 5400 580 { lab=#net44}
+N 5400 480 5400 530 { lab=#net44}
+N 5380 530 5380 580 { lab=#net45}
+N 5380 480 5380 530 { lab=#net45}
+N 5360 530 5360 580 { lab=#net46}
+N 5360 480 5360 530 { lab=#net46}
+N 5430 530 5430 580 { lab=#net47}
+N 5430 480 5430 530 { lab=#net47}
+N 5460 530 5460 580 { lab=#net48}
+N 5460 480 5460 530 { lab=#net48}
+N 5480 530 5480 580 { lab=#net49}
+N 5480 480 5480 530 { lab=#net49}
+N 5500 530 5500 580 { lab=#net50}
+N 5500 480 5500 530 { lab=#net50}
+N 5520 530 5520 580 { lab=#net51}
+N 5520 480 5520 530 { lab=#net51}
+N 5550 530 5550 580 { lab=#net52}
+N 5550 480 5550 530 { lab=#net52}
+N 5570 530 5570 580 { lab=#net53}
+N 5570 480 5570 530 { lab=#net53}
+N 5590 530 5590 580 { lab=#net54}
+N 5590 480 5590 530 { lab=#net54}
+N 5610 530 5610 580 { lab=#net55}
+N 5610 480 5610 530 { lab=#net55}
+N 5630 530 5630 580 { lab=#net56}
+N 5630 480 5630 530 { lab=#net56}
+N 5650 530 5650 580 { lab=#net57}
+N 5650 480 5650 530 { lab=#net57}
+N 5680 530 5680 580 { lab=#net58}
+N 5680 480 5680 530 { lab=#net58}
+N 5700 530 5700 580 { lab=#net59}
+N 5700 480 5700 530 { lab=#net59}
+N 5720 530 5720 580 { lab=#net60}
+N 5720 480 5720 530 { lab=#net60}
+N 5740 530 5740 580 { lab=#net61}
+N 5740 480 5740 530 { lab=#net61}
+N 5760 530 5760 580 { lab=#net62}
+N 5760 480 5760 530 { lab=#net62}
+N 5780 530 5780 580 { lab=#net63}
+N 5780 480 5780 530 { lab=#net63}
+N 5830 530 5830 580 { lab=#net64}
+N 5830 480 5830 530 { lab=#net64}
+N 5950 350 6000 350 { lab=io_analog[8]}
+N 5900 350 5950 350 { lab=io_analog[8]}
+N 5070 350 5140 350 { lab=io_analog[10]}
+N 5200 150 5200 220 { lab=iref_cp1}
+N 5280 150 5280 220 { lab=io_in[14]}
+N 5030 350 5070 350 { lab=io_analog[10]}
+N 3950 -310 3990 -310 { lab=iref_cp1}
 C {iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
 C {iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
 C {iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
@@ -56,15 +184,86 @@
 C {iopin.sym} 3250 530 0 0 {name=p31 lab=io_clamp_low[2:0]}
 C {opin.sym} 3270 600 0 0 {name=p32 lab=user_irq[2:0]}
 C {ipin.sym} 3290 210 0 0 {name=p28 lab=la_oenb[127:0]}
-C {lab_pin.sym} 3730 -460 0 0 {name=l1 sig_type=std_logic lab=vdda1}
-C {lab_pin.sym} 3770 -180 0 0 {name=l2 sig_type=std_logic lab=vssa1}
-C {lab_pin.sym} 3960 -460 0 1 {name=l3 sig_type=std_logic lab=vccd1}
-C {lab_pin.sym} 3950 -130 0 1 {name=l4 sig_type=std_logic lab=vccd1}
-C {lab_pin.sym} 3790 -130 0 0 {name=l5 sig_type=std_logic lab=io_analog[4]}
-C {lab_pin.sym} 3800 150 0 0 {name=l6 sig_type=std_logic lab=vssa1}
-C {lab_pin.sym} 4130 -340 0 1 {name=l7 sig_type=std_logic lab=gpio_analog[3]}
-C {lab_pin.sym} 4130 -310 0 1 {name=l8 sig_type=std_logic lab=io_out[11]}
-C {lab_pin.sym} 4130 -280 0 1 {name=l9 sig_type=std_logic lab=io_out[12]}
-C {lab_pin.sym} 4110 -10 0 1 {name=l10 sig_type=std_logic lab=gpio_analog[7]}
-C {lab_pin.sym} 4110 20 0 1 {name=l11 sig_type=std_logic lab=io_out[15]}
-C {lab_pin.sym} 4110 50 0 1 {name=l12 sig_type=std_logic lab=io_out[16]}
+C {top_pll_v1.sym} 4240 350 0 0 {name=x1}
+C {lab_pin.sym} 4410 160 1 0 {name=l13 sig_type=std_logic lab=vdda1}
+C {lab_pin.sym} 4470 160 1 0 {name=l14 sig_type=std_logic lab=vssa1}
+C {noconn.sym} 3990 580 3 0 {name=l15}
+C {noconn.sym} 3970 580 3 0 {name=l6}
+C {noconn.sym} 3950 580 3 0 {name=l16}
+C {noconn.sym} 4060 580 3 0 {name=l17}
+C {noconn.sym} 4040 580 3 0 {name=l18}
+C {noconn.sym} 4020 580 3 0 {name=l19}
+C {noconn.sym} 4080 580 3 0 {name=l20}
+C {noconn.sym} 4150 580 3 0 {name=l21}
+C {noconn.sym} 4130 580 3 0 {name=l22}
+C {noconn.sym} 4110 580 3 0 {name=l23}
+C {noconn.sym} 4180 580 3 0 {name=l24}
+C {noconn.sym} 4210 580 3 0 {name=l25}
+C {noconn.sym} 4230 580 3 0 {name=l26}
+C {noconn.sym} 4250 580 3 0 {name=l27}
+C {noconn.sym} 4270 580 3 0 {name=l28}
+C {noconn.sym} 4300 580 3 0 {name=l29}
+C {noconn.sym} 4320 580 3 0 {name=l30}
+C {noconn.sym} 4340 580 3 0 {name=l31}
+C {noconn.sym} 4360 580 3 0 {name=l32}
+C {noconn.sym} 4380 580 3 0 {name=l33}
+C {noconn.sym} 4400 580 3 0 {name=l34}
+C {noconn.sym} 4430 580 3 0 {name=l35}
+C {noconn.sym} 4450 580 3 0 {name=l36}
+C {noconn.sym} 4470 580 3 0 {name=l37}
+C {noconn.sym} 4490 580 3 0 {name=l38}
+C {noconn.sym} 4510 580 3 0 {name=l39}
+C {noconn.sym} 4530 580 3 0 {name=l40}
+C {noconn.sym} 4580 580 3 0 {name=l41}
+C {bias.sym} 3890 -240 0 0 {name=x2}
+C {lab_pin.sym} 3890 -430 1 0 {name=l42 sig_type=std_logic lab=vdda1}
+C {lab_pin.sym} 3990 -290 2 0 {name=l43 sig_type=std_logic lab=iref_cp2}
+C {lab_pin.sym} 3950 150 1 0 {name=l44 sig_type=std_logic lab=iref_cp2}
+C {noconn.sym} 4050 -330 2 0 {name=l46}
+C {noconn.sym} 4050 -270 2 0 {name=l48}
+C {noconn.sym} 4050 -250 2 0 {name=l49}
+C {noconn.sym} 4050 -230 2 0 {name=l50}
+C {noconn.sym} 4050 -210 2 0 {name=l51}
+C {noconn.sym} 4050 -190 2 0 {name=l52}
+C {noconn.sym} 4050 -170 2 0 {name=l53}
+C {noconn.sym} 4050 -150 2 0 {name=l54}
+C {lab_pin.sym} 3780 350 0 0 {name=l45 sig_type=std_logic lab=io_analog[10]}
+C {lab_pin.sym} 4750 350 2 0 {name=l55 sig_type=std_logic lab=io_analog[9]}
+C {lab_pin.sym} 4030 150 3 1 {name=l56 sig_type=std_logic lab=io_in[14]}
+C {lab_pin.sym} 3890 -50 3 0 {name=l1 sig_type=std_logic lab=io_analog[5]}
+C {top_pll_v1.sym} 5490 350 0 0 {name=x3}
+C {lab_pin.sym} 5660 160 1 0 {name=l2 sig_type=std_logic lab=vdda1}
+C {lab_pin.sym} 5720 160 1 0 {name=l3 sig_type=std_logic lab=vssa1}
+C {noconn.sym} 5240 580 3 0 {name=l4}
+C {noconn.sym} 5220 580 3 0 {name=l5}
+C {noconn.sym} 5200 580 3 0 {name=l7}
+C {noconn.sym} 5310 580 3 0 {name=l8}
+C {noconn.sym} 5290 580 3 0 {name=l9}
+C {noconn.sym} 5270 580 3 0 {name=l10}
+C {noconn.sym} 5330 580 3 0 {name=l11}
+C {noconn.sym} 5400 580 3 0 {name=l12}
+C {noconn.sym} 5380 580 3 0 {name=l57}
+C {noconn.sym} 5360 580 3 0 {name=l58}
+C {noconn.sym} 5430 580 3 0 {name=l59}
+C {noconn.sym} 5460 580 3 0 {name=l60}
+C {noconn.sym} 5480 580 3 0 {name=l61}
+C {noconn.sym} 5500 580 3 0 {name=l62}
+C {noconn.sym} 5520 580 3 0 {name=l63}
+C {noconn.sym} 5550 580 3 0 {name=l64}
+C {noconn.sym} 5570 580 3 0 {name=l65}
+C {noconn.sym} 5590 580 3 0 {name=l66}
+C {noconn.sym} 5610 580 3 0 {name=l67}
+C {noconn.sym} 5630 580 3 0 {name=l68}
+C {noconn.sym} 5650 580 3 0 {name=l69}
+C {noconn.sym} 5680 580 3 0 {name=l70}
+C {noconn.sym} 5700 580 3 0 {name=l71}
+C {noconn.sym} 5720 580 3 0 {name=l72}
+C {noconn.sym} 5740 580 3 0 {name=l73}
+C {noconn.sym} 5760 580 3 0 {name=l74}
+C {noconn.sym} 5780 580 3 0 {name=l75}
+C {noconn.sym} 5830 580 3 0 {name=l76}
+C {lab_pin.sym} 5200 150 1 0 {name=l77 sig_type=std_logic lab=iref_cp1}
+C {lab_pin.sym} 5030 350 0 0 {name=l78 sig_type=std_logic lab=io_analog[10]}
+C {lab_pin.sym} 6000 350 2 0 {name=l79 sig_type=std_logic lab=io_analog[8]}
+C {lab_pin.sym} 5280 150 3 1 {name=l80 sig_type=std_logic lab=io_in[14]}
+C {lab_pin.sym} 3990 -310 2 0 {name=l81 sig_type=std_logic lab=iref_cp1}