Possible fix to XOR error
diff --git a/checks/user_analog_project_wrapper.magic.drc.mag b/checks/user_analog_project_wrapper.magic.drc.mag
index b5381f3..b2eadb0 100644
--- a/checks/user_analog_project_wrapper.magic.drc.mag
+++ b/checks/user_analog_project_wrapper.magic.drc.mag
@@ -1,9 +1,9 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624397418
+timestamp 1624402262
 << checkpaint >>
-rect -4732 -4732 589003 708732
+rect -4732 -4732 588732 708732
 << nwell >>
 rect 14730 660108 64962 661110
 rect 14730 660034 64841 660108
@@ -1263,9 +1263,9 @@
 rect 329294 702300 334294 704800
 rect 413394 702300 418394 704800
 rect 465394 702300 470394 704800
-rect 510594 704716 515394 704800
-rect 520594 704716 525394 704800
-rect 510296 704677 525583 704716
+rect 510594 703099 515394 704800
+rect 520594 703099 525394 704800
+rect 510501 703060 525671 703099
 rect 17496 693341 19996 702300
 rect 69842 699968 72342 702300
 rect 69842 697468 82326 699968
@@ -1543,13 +1543,11 @@
 rect 330587 695123 333087 702300
 rect 414564 696421 417064 702300
 rect 466720 696421 469220 702300
-rect 510296 701813 510307 704677
-rect 510296 701790 510467 701813
-rect 510456 697733 510467 701790
-rect 525571 697733 525583 704677
+rect 510501 696916 510534 703060
+rect 525638 696916 525671 703060
 rect 566594 702300 571594 704800
 rect 567875 698736 570375 702300
-rect 510456 697694 525583 697733
+rect 510501 696878 525671 696916
 rect 567865 698718 570385 698736
 rect 567865 696734 567893 698718
 rect 570357 696734 570385 698718
@@ -1740,22 +1738,14 @@
 rect 583520 583562 584800 583674
 rect 570571 581162 573071 582710
 rect 381890 578662 573071 581162
-rect 582340 555254 584800 555362
-rect 582340 554118 582458 555254
-rect 582330 554054 582458 554118
-rect 584682 554118 584800 555254
-rect 584682 554054 584810 554118
-rect 582330 551670 582378 554054
-rect 584762 551670 584810 554054
-rect 582330 551658 582458 551670
-rect 582340 550630 582458 551658
-rect 584682 551658 584810 551670
-rect 584682 550630 584800 551658
-rect 582340 550562 584800 550630
-rect 582340 545150 584800 545362
-rect 582340 540686 582503 545150
-rect 584647 540686 584800 545150
-rect 582340 540562 584800 540686
+rect 578758 555644 583188 555678
+rect 578758 540220 578781 555644
+rect 583165 555362 583188 555644
+rect 583165 550562 584800 555362
+rect 583165 545362 583188 550562
+rect 583165 540562 584800 545362
+rect 583165 540220 583188 540562
+rect 578758 540186 583188 540220
 rect 583520 500050 584800 500162
 rect 583520 498868 584800 498980
 rect 583520 497686 584800 497798
@@ -1814,14 +1804,15 @@
 rect -800 248852 480 248964
 rect -800 247670 480 247782
 rect -800 246488 480 246600
-rect 578927 240561 584011 240589
-rect 578927 224977 578957 240561
-rect 583981 240030 584011 240561
-rect 583981 235230 584800 240030
-rect 583981 230030 584011 235230
-rect 583981 225230 584800 230030
-rect 583981 224977 584011 225230
-rect 578927 224950 584011 224977
+rect 578927 240347 581622 240589
+rect 578409 240335 583296 240347
+rect 578409 225391 578420 240335
+rect 583284 240030 583296 240335
+rect 583284 235230 584800 240030
+rect 583284 230030 583296 235230
+rect 583284 225391 584800 230030
+rect 578409 225380 584800 225391
+rect 578927 225230 584800 225380
 rect -800 214888 1660 219688
 rect -800 204888 1660 209688
 rect 582340 191430 584800 196230
@@ -1937,8 +1928,7 @@
 rect 202809 684094 247033 684733
 rect 137770 607191 139754 611815
 rect 156516 620746 206820 624090
-rect 510307 701813 525571 704677
-rect 510467 697733 525571 701813
+rect 510534 696916 525638 703060
 rect 567893 696734 570357 698718
 rect 414582 693939 417046 696403
 rect 466738 693939 469202 696403
@@ -1962,28 +1952,15 @@
 rect 361139 628508 361523 628732
 rect 362055 628475 362439 628699
 rect 210159 607175 212223 611719
-rect 582458 554054 584682 555254
-rect 582378 551670 584762 554054
-rect 582458 550630 584682 551670
-rect 582503 540686 584647 545150
-rect 578957 224977 583981 240561
+rect 578781 540220 583165 555644
+rect 578420 225391 583284 240335
 rect 578908 136621 583772 151565
 << metal4 >>
-rect 510305 704683 525574 704717
-rect 510305 704677 510461 704683
-rect 525417 704677 525574 704683
+rect 510510 703060 525662 703100
 rect 242731 702122 245233 702135
 rect 242731 699646 242744 702122
 rect 245220 699646 245233 702122
-rect 510305 701813 510307 704677
-rect 510305 701789 510467 701813
 rect 242731 699633 245233 699646
-rect 510465 697733 510467 701789
-rect 525571 697733 525574 704677
-rect 510465 697727 510781 697733
-rect 525417 697727 525574 697733
-rect 510465 697693 525574 697727
-rect 567874 698718 570376 698737
 rect 218687 697340 231368 697368
 rect 218687 697326 228987 697340
 rect 218687 697297 223943 697326
@@ -1996,6 +1973,10 @@
 rect 221043 694942 223943 697297
 rect 226247 694956 228987 697326
 rect 231291 694956 231368 697340
+rect 510510 696916 510534 703060
+rect 525638 696916 525662 703060
+rect 510510 696877 525662 696916
+rect 567874 698718 570376 698737
 rect 567874 696734 567893 698718
 rect 570357 696734 570376 698718
 rect 567874 696716 570376 696734
@@ -2362,10 +2343,11 @@
 rect 116388 583445 561785 583513
 rect 116388 583242 116512 583445
 rect 100668 583221 116512 583242
-rect 20619 555772 584769 555900
-rect 17921 555625 584769 555772
+rect 20619 555772 583382 555900
+rect 17921 555644 583382 555772
+rect 17921 555625 578781 555644
 rect 17921 540349 17943 555625
-rect 32899 555515 584769 555625
+rect 32899 555515 578781 555625
 rect 32899 555414 259906 555515
 rect 32899 555334 199833 555414
 rect 32899 540378 41075 555334
@@ -2374,7 +2356,7 @@
 rect 159111 540561 199833 555197
 rect 70751 540458 199833 540561
 rect 229829 540559 259906 555414
-rect 275182 555513 584769 555515
+rect 275182 555513 578781 555515
 rect 275182 555434 452190 555513
 rect 275182 555159 385288 555434
 rect 275182 540559 293931 555159
@@ -2386,26 +2368,14 @@
 rect 70751 540378 452190 540458
 rect 32899 540349 452190 540378
 rect 17921 540237 452190 540349
-rect 467466 555254 584769 555513
-rect 467466 555225 582458 555254
+rect 467466 555225 578781 555513
 rect 467466 540589 491316 555225
-rect 521952 554054 582458 555225
-rect 584682 554119 584769 555254
-rect 584682 554054 584801 554119
-rect 521952 551670 582378 554054
-rect 584762 551670 584801 554054
-rect 521952 550630 582458 551670
-rect 584682 551657 584801 551670
-rect 584682 550630 584769 551657
-rect 521952 549950 584769 550630
-rect 521952 546246 582340 549950
-rect 521952 545150 585071 546246
-rect 521952 540686 582503 545150
-rect 584647 540686 585071 545150
-rect 521952 540589 585071 540686
-rect 467466 540237 585071 540589
-rect 17921 540202 585071 540237
-rect 20619 540029 585071 540202
+rect 521952 540589 578781 555225
+rect 467466 540237 578781 540589
+rect 17921 540220 578781 540237
+rect 583165 540220 583382 555644
+rect 17921 540202 583382 540220
+rect 20619 540029 583382 540202
 rect 102586 432558 557291 432965
 rect 101051 432468 557291 432558
 rect 101051 417512 101088 432468
@@ -2433,47 +2403,42 @@
 rect 275052 363389 466454 363464
 rect 17721 363349 466454 363389
 rect 21612 363143 466454 363349
-rect 22911 240605 583937 240685
-rect 17911 240590 583937 240605
-rect 17911 240561 584002 240590
-rect 17911 240491 578957 240561
+rect 22911 240605 583795 240685
+rect 17911 240491 583795 240605
 rect 17911 225215 17985 240491
-rect 32621 240343 578957 240491
+rect 32621 240343 583795 240491
 rect 32621 240321 452145 240343
 rect 32621 225365 259905 240321
 rect 274861 225387 452145 240321
-rect 467421 225387 578957 240343
-rect 274861 225365 578957 225387
-rect 32621 225215 578957 225365
-rect 17911 225102 578957 225215
-rect 22911 225078 578957 225102
-rect 563330 224977 578957 225078
-rect 583981 224977 584002 240561
-rect 563330 224949 584002 224977
-rect 563330 224854 583937 224949
-rect 100326 151638 584154 151892
+rect 467421 240335 583795 240343
+rect 467421 225391 578420 240335
+rect 583284 225391 583795 240335
+rect 467421 225387 583795 225391
+rect 274861 225365 583795 225387
+rect 32621 225215 583795 225365
+rect 17911 225102 583795 225215
+rect 22911 225078 583795 225102
+rect 563330 225074 578151 225078
+rect 100326 151638 583784 151892
 rect 100326 151590 545507 151638
 rect 100326 136634 100611 151590
 rect 116527 151535 545507 151590
 rect 116527 136634 338714 151535
 rect 100326 136579 338714 136634
 rect 354310 136682 545507 151535
-rect 561423 151565 584154 151638
+rect 561423 151565 583784 151638
 rect 561423 136682 578908 151565
 rect 354310 136621 578908 136682
-rect 583772 136621 584154 151565
-rect 354310 136579 584154 136621
-rect 100326 136443 584154 136579
+rect 583772 136621 583784 151565
+rect 354310 136579 583784 136621
+rect 100326 136443 583784 136579
 << via4 >>
-rect 510461 704677 525417 704683
 rect 242744 702116 245220 702122
 rect 242744 699652 242750 702116
 rect 242750 699652 245214 702116
 rect 245214 699652 245220 702116
 rect 242744 699646 245220 699652
-rect 510461 701887 525417 704677
-rect 510781 697733 525417 701887
-rect 510781 697727 525417 697733
+rect 510608 696990 525564 702986
 rect 414576 696403 417052 696409
 rect 414576 693939 414582 696403
 rect 414582 693939 417046 696403
@@ -2580,17 +2545,14 @@
 rect 338714 136579 354310 151535
 rect 545507 136682 561423 151638
 << metal5 >>
-rect 510282 704683 525597 704740
-rect 510282 703705 510461 704683
+rect 510306 702986 525839 703217
 rect 242708 702122 245256 702158
 rect 242708 699646 242744 702122
 rect 245220 699646 245256 702122
 rect 242708 699610 245256 699646
-rect 510173 701887 510461 703705
-rect 525417 703705 525597 704683
 rect 242732 693377 245232 699610
-rect 510173 697727 510781 701887
-rect 525417 697727 525839 703705
+rect 510306 696990 510608 702986
+rect 525564 696990 525839 702986
 rect 414540 696421 417088 696445
 rect 466696 696421 469244 696445
 rect 414540 696409 436162 696421
@@ -3297,7 +3259,7 @@
 rect 391779 540524 394666 540620
 rect 412854 540415 415741 540620
 rect 451976 555513 467642 673109
-rect 510173 599189 525839 697727
+rect 510306 599189 525839 696990
 rect 487908 599148 525839 599189
 rect 487908 598849 487943 599148
 rect 487426 583552 487943 598849
@@ -3491,72 +3453,72 @@
 rect 338617 136437 354407 136579
 use mimcap_decoup_1x5  mimcap_decoup_1x5_6
 array 0 0 34500 0 2 6522
-timestamp 1624397418
+timestamp 1624402262
 transform 1 0 38481 0 1 560871
 box 0 -159 34500 6363
 use top_pll_v1  top_pll_v1_1
-timestamp 1624397418
+timestamp 1624402262
 transform 1 0 14782 0 1 657248
 box -656 -33693 50195 2860
 use sky130_fd_pr__cap_mim_m3_2_2Y8F6P  sky130_fd_pr__cap_mim_m3_2_2Y8F6P_2
 array 0 0 6724 0 8 6522
-timestamp 1624397418
+timestamp 1624402262
 transform 1 0 74005 0 1 616157
 box -3351 -3261 3373 3261
 use top_pll_v2  top_pll_v2_0
-timestamp 1624397418
+timestamp 1624402262
 transform -1 0 133068 0 1 657248
 box -656 -33693 50195 2860
 use mimcap_decoup_1x5  mimcap_decoup_1x5_5
 array 0 0 34500 0 2 6522
-timestamp 1624397418
+timestamp 1624402262
 transform 1 0 126717 0 1 559996
 box 0 -159 34500 6363
 use sky130_fd_pr__cap_mim_m3_2_2Y8F6P  sky130_fd_pr__cap_mim_m3_2_2Y8F6P_1
 array 0 0 6724 0 8 6522
-timestamp 1624397418
+timestamp 1624402262
 transform 1 0 144463 0 1 616442
 box -3351 -3261 3373 3261
 use top_pll_v1  top_pll_v1_0
-timestamp 1624397418
+timestamp 1624402262
 transform -1 0 206380 0 1 656706
 box -656 -33693 50195 2860
 use sky130_fd_pr__cap_mim_m3_2_2Y8F6P  sky130_fd_pr__cap_mim_m3_2_2Y8F6P_0
 array 0 0 6724 0 6 6522
-timestamp 1624397418
+timestamp 1624402262
 transform 1 0 220679 0 1 616773
 box -3351 -3261 3373 3261
 use mimcap_decoup_1x5  mimcap_decoup_1x5_4
 array 0 0 34500 0 2 6522
-timestamp 1624397418
+timestamp 1624402262
 transform 1 0 197202 0 1 560156
 box 0 -159 34500 6363
 use bias  bias_0
-timestamp 1624397418
+timestamp 1624402262
 transform 1 0 202834 0 -1 687483
 box -54 -412 44317 2238
 use mimcap_decoup_1x5  mimcap_decoup_1x5_3
 array 0 0 34500 0 1 6522
-timestamp 1624397418
+timestamp 1624402262
 transform -1 0 345445 0 1 602155
 box 0 -159 34500 6363
 use mimcap_decoup_1x5  mimcap_decoup_1x5_2
 array 0 0 34500 0 2 6522
-timestamp 1624397418
+timestamp 1624402262
 transform 1 0 291410 0 1 559700
 box 0 -159 34500 6363
 use res_amp_top  res_amp_top_0
-timestamp 1624397418
+timestamp 1624402262
 transform 1 0 349695 0 1 630386
 box -5005 -972 31038 12726
 use mimcap_decoup_1x5  mimcap_decoup_1x5_1
 array 0 0 34500 0 2 6522
-timestamp 1624397418
+timestamp 1624402262
 transform 1 0 382888 0 1 560156
 box 0 -159 34500 6363
 use mimcap_decoup_1x5  mimcap_decoup_1x5_0
 array 0 0 34500 0 2 6522
-timestamp 1624397418
+timestamp 1624402262
 transform 1 0 489384 0 1 560611
 box 0 -159 34500 6363
 << labels >>
diff --git a/gds/user_analog_project_wrapper.gds b/gds/user_analog_project_wrapper.gds
index 243fff4..fae824e 100644
--- a/gds/user_analog_project_wrapper.gds
+++ b/gds/user_analog_project_wrapper.gds
Binary files differ
diff --git a/mag/afernandez_residue_amplifier/res_amp_lin_prog.mag b/mag/afernandez_residue_amplifier/res_amp_lin_prog.mag
index 50f9591..b32516f 100644
--- a/mag/afernandez_residue_amplifier/res_amp_lin_prog.mag
+++ b/mag/afernandez_residue_amplifier/res_amp_lin_prog.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624397222
+timestamp 1624402156
 << nwell >>
 rect 19730 11269 20704 12071
 rect 19753 11233 20704 11269
@@ -458,7 +458,7 @@
 rect 19282 10324 19486 10325
 rect 19283 10315 19485 10324
 use inverter_min_x4  inverter_min_x4_0
-timestamp 1623895985
+timestamp 1624049879
 transform 1 0 18411 0 -1 8928
 box -53 -616 665 643
 use res_amp_lin  res_amp_lin_0
diff --git a/mag/afernandez_residue_amplifier/res_amp_sync_v2.mag b/mag/afernandez_residue_amplifier/res_amp_sync_v2.mag
index fff5135..eefade3 100644
--- a/mag/afernandez_residue_amplifier/res_amp_sync_v2.mag
+++ b/mag/afernandez_residue_amplifier/res_amp_sync_v2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624397222
+timestamp 1624402156
 << nwell >>
 rect 3636 6239 4733 7015
 rect 7538 6935 8332 7015
@@ -352,42 +352,26 @@
 rect 2926 -1313 5650 -1241
 rect 5909 -1313 5910 -1241
 rect -92 -1314 5910 -1313
-use DFlipFlop  DFlipFlop_2
-timestamp 1623898709
-transform 1 0 1926 0 1 879
-box -1244 0 1740 3068
-use DFlipFlop  DFlipFlop_3
-timestamp 1623898709
-transform 1 0 1926 0 -1 879
-box -1244 0 1740 3068
 use DFlipFlop  DFlipFlop_4
-timestamp 1623898709
+timestamp 1624049879
 transform 1 0 4910 0 -1 879
 box -1244 0 1740 3068
-use DFlipFlop  DFlipFlop_0
-timestamp 1623898709
-transform 1 0 1926 0 1 3947
+use DFlipFlop  DFlipFlop_3
+timestamp 1624049879
+transform 1 0 1926 0 -1 879
+box -1244 0 1740 3068
+use DFlipFlop  DFlipFlop_2
+timestamp 1624049879
+transform 1 0 1926 0 1 879
 box -1244 0 1740 3068
 use nand_logic  nand_logic_0
 timestamp 1623952422
 transform 1 0 3885 0 1 3205
 box -219 -731 833 707
-use DFlipFlop  DFlipFlop_1
-timestamp 1623898709
-transform 1 0 5798 0 1 3947
+use DFlipFlop  DFlipFlop_0
+timestamp 1624049879
+transform 1 0 1926 0 1 3947
 box -1244 0 1740 3068
-use inverter_min_x4  inverter_min_x4_1
-timestamp 1623895985
-transform 1 0 4771 0 1 3224
-box -53 -616 665 643
-use inverter_min_x4  inverter_min_x4_3
-timestamp 1623895985
-transform 1 0 6541 0 1 3224
-box -53 -616 665 643
-use inverter_min_x4  inverter_min_x4_4
-timestamp 1623895985
-transform 1 0 4115 0 -1 1602
-box -53 -616 665 643
 use inverter_min_x16  inverter_min_x16_0
 timestamp 1624046389
 transform 1 0 4833 0 -1 1602
@@ -396,12 +380,28 @@
 timestamp 1623952422
 transform 1 0 5655 0 1 3205
 box -219 -731 833 707
+use inverter_min_x4  inverter_min_x4_4
+timestamp 1624049879
+transform 1 0 4115 0 -1 1602
+box -53 -616 665 643
+use inverter_min_x4  inverter_min_x4_3
+timestamp 1624049879
+transform 1 0 6541 0 1 3224
+box -53 -616 665 643
+use inverter_min_x4  inverter_min_x4_1
+timestamp 1624049879
+transform 1 0 4771 0 1 3224
+box -53 -616 665 643
+use DFlipFlop  DFlipFlop_1
+timestamp 1624049879
+transform 1 0 5798 0 1 3947
+box -1244 0 1740 3068
 use inverter_min_x4  inverter_min_x4_0
-timestamp 1623895985
+timestamp 1624049879
 transform 1 0 3795 0 1 6292
 box -53 -616 665 643
 use inverter_min_x4  inverter_min_x4_2
-timestamp 1623895985
+timestamp 1624049879
 transform 1 0 7667 0 1 6292
 box -53 -616 665 643
 << labels >>
diff --git a/mag/afernandez_residue_amplifier/res_amp_top.mag b/mag/afernandez_residue_amplifier/res_amp_top.mag
index e68b271..85be0c2 100644
--- a/mag/afernandez_residue_amplifier/res_amp_top.mag
+++ b/mag/afernandez_residue_amplifier/res_amp_top.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624397222
+timestamp 1624402156
 << nwell >>
 rect 18234 4138 21604 4172
 << pwell >>
@@ -892,18 +892,18 @@
 rect 20467 -611 20491 -605
 rect 19795 -635 20491 -611
 rect -4958 -972 -4262 -948
+use res_amp_lin_prog  res_amp_lin_prog_0
+timestamp 1624402156
+transform 1 0 -5726 0 1 -7077
+box 5835 7077 21302 14799
+use res_amp_sync_v2  res_amp_sync_v2_0
+timestamp 1624402156
+transform 1 0 -899 0 1 4870
+box -92 -2189 8342 7015
 use source_follower_buff_diff  source_follower_buff_diff_0
 timestamp 1624113565
 transform 1 0 17170 0 1 1168
 box 863 -174 10692 6158
-use res_amp_sync_v2  res_amp_sync_v2_0
-timestamp 1624397222
-transform 1 0 -899 0 1 4870
-box -92 -2189 8342 7015
-use res_amp_lin_prog  res_amp_lin_prog_0
-timestamp 1624397222
-transform 1 0 -5726 0 1 -7077
-box 5835 7077 21302 14799
 << labels >>
 rlabel metal4 8534 12559 8597 12604 1 inn
 rlabel metal4 8060 12573 8123 12618 1 inp
diff --git a/mag/div_by_2.mag b/mag/div_by_2.mag
index 7002dd4..333a768 100644
--- a/mag/div_by_2.mag
+++ b/mag/div_by_2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624396964
+timestamp 1624402156
 << nwell >>
 rect 2984 2989 4228 3068
 rect 3203 118 4219 142
@@ -179,18 +179,18 @@
 timestamp 1624049879
 transform 1 0 3563 0 -1 723
 box -53 -616 665 643
-use clock_inverter  clock_inverter_0
-timestamp 1624049879
-transform 1 0 -1244 0 1 0
-box 0 0 1244 3068
-use inverter_min_x2  inverter_min_x2_0
-timestamp 1624049879
-transform 1 0 3037 0 -1 723
-box -53 -615 473 655
 use inverter_min_x2  inverter_min_x2_1
 timestamp 1624049879
 transform 1 0 3037 0 1 2345
 box -53 -615 473 655
+use inverter_min_x2  inverter_min_x2_0
+timestamp 1624049879
+transform 1 0 3037 0 -1 723
+box -53 -615 473 655
+use clock_inverter  clock_inverter_0
+timestamp 1624049879
+transform 1 0 -1244 0 1 0
+box 0 0 1244 3068
 << labels >>
 rlabel metal1 -1244 2944 2984 2998 1 vdd
 rlabel metal1 -1244 1498 1313 1570 1 vss
diff --git a/mag/div_by_5.mag b/mag/div_by_5.mag
index a9cdfe3..4f8c55d 100644
--- a/mag/div_by_5.mag
+++ b/mag/div_by_5.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624396964
+timestamp 1624402156
 << nwell >>
 rect -556 2925 0 3068
 rect -556 2664 57 2925
@@ -333,21 +333,21 @@
 timestamp 1624049879
 transform 1 0 1244 0 1 0
 box -1244 0 1740 3068
-use sky130_fd_sc_hs__or2_1  sky130_fd_sc_hs__or2_1_0
+use sky130_fd_sc_hs__and2_1  sky130_fd_sc_hs__and2_1_1
 timestamp 1624049879
-transform 1 0 13374 0 1 1960
-box -38 -49 518 715
-use sky130_fd_sc_hs__and2_1  sky130_fd_sc_hs__and2_1_0
-timestamp 1624049879
-transform 1 0 -518 0 1 1960
+transform 1 0 3022 0 -1 1108
 box -38 -49 518 715
 use sky130_fd_sc_hs__xor2_1  sky130_fd_sc_hs__xor2_1_0
 timestamp 1624049879
 transform -1 0 7330 0 1 1960
 box -38 -49 806 715
-use sky130_fd_sc_hs__and2_1  sky130_fd_sc_hs__and2_1_1
+use sky130_fd_sc_hs__and2_1  sky130_fd_sc_hs__and2_1_0
 timestamp 1624049879
-transform 1 0 3022 0 -1 1108
+transform 1 0 -518 0 1 1960
+box -38 -49 518 715
+use sky130_fd_sc_hs__or2_1  sky130_fd_sc_hs__or2_1_0
+timestamp 1624049879
+transform 1 0 13374 0 1 1960
 box -38 -49 518 715
 << labels >>
 rlabel metal2 7175 2568 10572 2700 1 Q1
diff --git a/mag/ring_osc_buffer.mag b/mag/ring_osc_buffer.mag
index eee0d4c..6244cdd 100644
--- a/mag/ring_osc_buffer.mag
+++ b/mag/ring_osc_buffer.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624396964
+timestamp 1624402156
 << nwell >>
 rect 1 1259 1963 1270
 rect 1 744 1961 1259
diff --git a/mag/top_pll_v1.mag b/mag/top_pll_v1.mag
index 03f45c9..e732e11 100644
--- a/mag/top_pll_v1.mag
+++ b/mag/top_pll_v1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624396964
+timestamp 1624402156
 << nwell >>
 rect 0 2846 20536 2860
 rect 0 2838 6183 2846
@@ -518,42 +518,42 @@
 rect 13764 -4358 13765 -3781
 rect 13076 -4359 13765 -4358
 rect 19686 -4493 43939 -3693
-use loop_filter  loop_filter_0
-timestamp 1624049879
-transform 1 0 15820 0 1 -9473
-box -16462 -24206 34360 5780
-use charge_pump  charge_pump_0
-timestamp 1624049879
-transform 1 0 6183 0 1 -142
-box 0 -96 7722 2988
-use buffer_salida  buffer_salida_0
-timestamp 1624049879
-transform 1 0 20599 0 1 1292
-box -63 -1119 28718 1568
-use pfd_cp_interface  pfd_cp_interface_0
-timestamp 1624049879
-transform 1 0 3909 0 1 -230
-box 0 0 2154 3068
-use PFD  PFD_0
-timestamp 1624049879
-transform 1 0 0 0 1 1304
-box 0 -1304 3790 1304
+use div_by_2  div_by_2_0
+timestamp 1624402156
+transform -1 0 18034 0 -1 -350
+box -1244 0 4228 3068
+use div_by_5  div_by_5_0
+timestamp 1624402156
+transform -1 0 13250 0 1 -3418
+box -556 0 13892 3068
+use ring_osc_buffer  ring_osc_buffer_0
+timestamp 1624402156
+transform 1 0 18509 0 1 653
+box 0 0 1963 1270
 use ring_osc  ring_osc_0
 timestamp 1624049879
 transform 1 0 14447 0 1 -174
 box -422 0 3882 2956
-use ring_osc_buffer  ring_osc_buffer_0
-timestamp 1624396964
-transform 1 0 18509 0 1 653
-box 0 0 1963 1270
-use div_by_5  div_by_5_0
-timestamp 1624396964
-transform -1 0 13250 0 1 -3418
-box -556 0 13892 3068
-use div_by_2  div_by_2_0
-timestamp 1624396964
-transform -1 0 18034 0 -1 -350
-box -1244 0 4228 3068
+use PFD  PFD_0
+timestamp 1624049879
+transform 1 0 0 0 1 1304
+box 0 -1304 3790 1304
+use pfd_cp_interface  pfd_cp_interface_0
+timestamp 1624049879
+transform 1 0 3909 0 1 -230
+box 0 0 2154 3068
+use buffer_salida  buffer_salida_0
+timestamp 1624049879
+transform 1 0 20599 0 1 1292
+box -63 -1119 28718 1568
+use charge_pump  charge_pump_0
+timestamp 1624049879
+transform 1 0 6183 0 1 -142
+box 0 -96 7722 2988
+use loop_filter  loop_filter_0
+timestamp 1624049879
+transform 1 0 15820 0 1 -9473
+box -16462 -24206 34360 5780
 << labels >>
 rlabel metal2 2159 858 2211 1750 1 pfd_reset
 rlabel metal1 0 1956 210 2022 1 in_ref
diff --git a/mag/top_pll_v2.mag b/mag/top_pll_v2.mag
index ae76801..ef4fb6a 100644
--- a/mag/top_pll_v2.mag
+++ b/mag/top_pll_v2.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624396964
+timestamp 1624402156
 << nwell >>
 rect 0 2846 20536 2860
 rect 0 2838 6183 2846
@@ -519,42 +519,42 @@
 rect 13764 -4358 13765 -3781
 rect 13076 -4359 13765 -4358
 rect 19686 -4493 43939 -3693
-use loop_filter_v2  loop_filter_v2_0
-timestamp 1624053471
-transform 1 0 15820 0 1 -9473
-box -16462 -24206 34360 5780
-use div_by_2  div_by_2_0
-timestamp 1624396964
-transform -1 0 18034 0 -1 -350
-box -1244 0 4228 3068
-use div_by_5  div_by_5_0
-timestamp 1624396964
-transform -1 0 13250 0 1 -3418
-box -556 0 13892 3068
-use ring_osc_buffer  ring_osc_buffer_0
-timestamp 1624396964
-transform 1 0 18509 0 1 653
-box 0 0 1963 1270
-use ring_osc  ring_osc_0
-timestamp 1624049879
-transform 1 0 14447 0 1 -174
-box -422 0 3882 2956
-use PFD  PFD_0
-timestamp 1624049879
-transform 1 0 0 0 1 1304
-box 0 -1304 3790 1304
-use pfd_cp_interface  pfd_cp_interface_0
-timestamp 1624049879
-transform 1 0 3909 0 1 -230
-box 0 0 2154 3068
-use buffer_salida  buffer_salida_0
-timestamp 1624049879
-transform 1 0 20599 0 1 1292
-box -63 -1119 28718 1568
 use charge_pump  charge_pump_0
 timestamp 1624049879
 transform 1 0 6183 0 1 -142
 box 0 -96 7722 2988
+use buffer_salida  buffer_salida_0
+timestamp 1624049879
+transform 1 0 20599 0 1 1292
+box -63 -1119 28718 1568
+use pfd_cp_interface  pfd_cp_interface_0
+timestamp 1624049879
+transform 1 0 3909 0 1 -230
+box 0 0 2154 3068
+use PFD  PFD_0
+timestamp 1624049879
+transform 1 0 0 0 1 1304
+box 0 -1304 3790 1304
+use ring_osc  ring_osc_0
+timestamp 1624049879
+transform 1 0 14447 0 1 -174
+box -422 0 3882 2956
+use ring_osc_buffer  ring_osc_buffer_0
+timestamp 1624402156
+transform 1 0 18509 0 1 653
+box 0 0 1963 1270
+use div_by_5  div_by_5_0
+timestamp 1624402156
+transform -1 0 13250 0 1 -3418
+box -556 0 13892 3068
+use div_by_2  div_by_2_0
+timestamp 1624402156
+transform -1 0 18034 0 -1 -350
+box -1244 0 4228 3068
+use loop_filter_v2  loop_filter_v2_0
+timestamp 1624053471
+transform 1 0 15820 0 1 -9473
+box -16462 -24206 34360 5780
 << labels >>
 rlabel metal2 2159 858 2211 1750 1 pfd_reset
 rlabel metal1 0 1956 210 2022 1 in_ref
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index 510a6af..6b6fd30 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624397236
+timestamp 1624402156
 << nwell >>
 rect 14730 660108 64962 661110
 rect 14730 660034 64841 660108
@@ -972,8 +972,8 @@
 rect 173394 702300 175594 704800
 rect 175894 702300 180894 704800
 rect 217294 702300 222294 704800
-rect 222594 702300 223658 704800
-rect 226242 702300 227294 704800
+rect 222594 702300 224794 704800
+rect 225094 702300 227294 704800
 rect 227594 702300 232594 704800
 rect 318994 702300 323994 704800
 rect 324294 702300 326494 704800
@@ -981,8 +981,8 @@
 rect 329294 702300 334294 704800
 rect 413394 702300 418394 704800
 rect 465394 702300 470394 704800
-rect 510594 704716 515394 704800
-rect 520594 704716 525394 704800
+rect 510594 703099 515394 704800
+rect 520594 703099 525394 704800
 rect 17496 693341 19996 702300
 rect 69842 699968 72342 702300
 rect 69842 697468 82326 699968
@@ -1209,9 +1209,8 @@
 rect 330587 695123 333087 702300
 rect 414564 696421 417064 702300
 rect 466720 696421 469220 702300
-rect 510296 701790 510306 704716
-rect 510456 697694 510466 701790
-rect 525573 697694 525583 704716
+rect 510501 696878 510511 703099
+rect 525661 696878 525671 703099
 rect 566594 702300 571594 704800
 rect 567875 698736 570375 702300
 rect 567865 696717 567875 698736
@@ -1370,18 +1369,12 @@
 rect 583554 583562 584800 583674
 rect 570571 581162 573071 582710
 rect 381890 578662 573071 581162
-rect 582340 555256 584800 555362
-rect 582340 554118 582403 555256
-rect 584710 554118 584800 555256
-rect 582330 551658 582340 554118
-rect 584800 551658 584810 554118
-rect 582340 550629 582403 551658
-rect 584710 550629 584800 551658
-rect 582340 550562 584800 550629
-rect 582340 545159 584800 545362
-rect 582340 540677 582466 545159
-rect 584684 540677 584800 545159
-rect 582340 540562 584800 540677
+rect 578758 540186 578768 555678
+rect 583178 555362 583188 555678
+rect 583178 550562 584800 555362
+rect 583178 545362 583188 550562
+rect 583178 540562 584800 545362
+rect 583178 540186 583188 540562
 rect 583520 500050 584800 500162
 rect 583520 498868 584800 498980
 rect 583520 497686 584800 497798
@@ -1440,12 +1433,13 @@
 rect -800 248852 480 248964
 rect -800 247670 480 247782
 rect -800 246488 480 246600
-rect 578927 224950 578937 240589
-rect 584001 240030 584011 240589
-rect 584001 235230 584800 240030
-rect 584001 230030 584011 235230
-rect 584001 225230 584800 230030
-rect 584001 224950 584011 225230
+rect 578927 240347 581622 240589
+rect 578409 225380 578419 240347
+rect 583286 240030 583296 240347
+rect 583286 235230 584800 240030
+rect 583286 230030 583296 235230
+rect 583286 225380 584800 230030
+rect 578927 225230 584800 225380
 rect -800 214888 1660 219688
 rect -800 204888 1660 209688
 rect 582340 191430 584800 196230
@@ -1545,8 +1539,7 @@
 rect 202805 684082 247109 684711
 rect 137755 607168 139770 611839
 rect 156500 620709 206836 624128
-rect 510306 701790 525573 704716
-rect 510466 697694 525573 701790
+rect 510511 696878 525661 703099
 rect 567875 696717 570375 698736
 rect 414564 693921 417064 696421
 rect 466720 693921 469220 696421
@@ -1570,24 +1563,15 @@
 rect 361132 628469 361530 628771
 rect 362048 628436 362446 628738
 rect 210137 607161 212245 611733
-rect 582403 554118 584710 555256
-rect 582340 551658 584800 554118
-rect 582403 550629 584710 551658
-rect 582466 540677 584684 545159
-rect 578937 224950 584001 240589
+rect 578768 540186 583178 555678
+rect 578419 225380 583286 240347
 rect 578907 136610 583774 151577
 << metal4 >>
-rect 510305 704716 525574 704717
+rect 510510 703099 525662 703100
 rect 242731 702134 245233 702135
 rect 242731 699634 242732 702134
 rect 245232 699634 245233 702134
-rect 510305 701790 510306 704716
-rect 510305 701789 510466 701790
 rect 242731 699633 245233 699634
-rect 510465 697694 510466 701789
-rect 525573 697694 525574 704716
-rect 510465 697693 525574 697694
-rect 567874 698736 570376 698737
 rect 218687 697352 231368 697368
 rect 218687 697338 228965 697352
 rect 218687 697309 223921 697338
@@ -1600,6 +1584,10 @@
 rect 221066 694931 223921 697309
 rect 226270 694945 228965 697338
 rect 231314 694945 231368 697352
+rect 510510 696878 510511 703099
+rect 525661 696878 525662 703099
+rect 510510 696877 525662 696878
+rect 567874 698736 570376 698737
 rect 567874 696717 567875 698736
 rect 570375 696717 570376 698736
 rect 567874 696716 570376 696717
@@ -1847,8 +1835,9 @@
 rect 525630 583536 561785 584066
 rect 319550 583497 561785 583536
 rect 116512 583445 561785 583497
-rect 20619 555772 584769 555900
-rect 32921 555640 584769 555772
+rect 20619 555772 583382 555900
+rect 32921 555678 583382 555772
+rect 32921 555640 578768 555678
 rect 32921 555464 259862 555640
 rect 32921 555414 199695 555464
 rect 32921 540298 40996 555414
@@ -1856,7 +1845,7 @@
 rect 70830 540407 128975 555351
 rect 159252 540409 199695 555351
 rect 229967 540435 259862 555464
-rect 275226 555601 584769 555640
+rect 275226 555601 578768 555640
 rect 275226 555588 452164 555601
 rect 275226 555313 385148 555588
 rect 275226 540435 293791 555313
@@ -1869,25 +1858,13 @@
 rect 70830 540298 452164 540369
 rect 32921 540202 452164 540298
 rect 20619 540149 452164 540202
-rect 467493 555349 584769 555601
+rect 467493 555349 578768 555601
 rect 467493 540466 491313 555349
-rect 521955 555256 584769 555349
-rect 521955 554118 582403 555256
-rect 584710 554119 584769 555256
-rect 584710 554118 584801 554119
-rect 521955 551658 582340 554118
-rect 584800 551658 584801 554118
-rect 521955 550629 582403 551658
-rect 584710 551657 584801 551658
-rect 584710 550629 584769 551657
-rect 521955 549950 584769 550629
-rect 521955 546246 582340 549950
-rect 521955 545159 585071 546246
-rect 521955 540677 582466 545159
-rect 584684 540677 585071 545159
-rect 521955 540466 585071 540677
-rect 467493 540149 585071 540466
-rect 20619 540029 585071 540149
+rect 521955 540466 578768 555349
+rect 467493 540186 578768 540466
+rect 583178 540186 583382 555678
+rect 467493 540149 583382 540186
+rect 20619 540029 583382 540149
 rect 102586 432559 557291 432965
 rect 102586 432558 338894 432559
 rect 116402 417742 338894 432558
@@ -1904,38 +1881,35 @@
 rect 275183 363464 452093 378759
 rect 275183 363295 466454 363464
 rect 21612 363143 466454 363295
-rect 22911 240605 583937 240685
-rect 32695 240590 583937 240605
-rect 32695 240589 584002 240590
-rect 32695 240427 578937 240589
+rect 22911 240605 583795 240685
+rect 32695 240427 583795 240605
 rect 32695 225260 259755 240427
-rect 275011 240355 578937 240427
+rect 275011 240355 583795 240427
 rect 275011 225376 452031 240355
-rect 467535 225376 578937 240355
-rect 275011 225260 578937 225376
-rect 32695 225102 578937 225260
-rect 22911 225078 578937 225102
-rect 563330 224950 578937 225078
-rect 584001 224950 584002 240589
-rect 563330 224949 584002 224950
-rect 563330 224854 583937 224949
-rect 100326 151702 584154 151892
+rect 467535 240347 583795 240355
+rect 467535 225380 578419 240347
+rect 583286 225380 583795 240347
+rect 467535 225376 583795 225380
+rect 275011 225260 583795 225376
+rect 32695 225102 583795 225260
+rect 22911 225078 583795 225102
+rect 563330 225074 578151 225078
+rect 100326 151702 583784 151892
 rect 100326 151653 545482 151702
 rect 100326 151638 338641 151653
 rect 100326 136586 100582 151638
 rect 116556 136586 338641 151638
 rect 100326 136461 338641 136586
 rect 354383 136619 545482 151653
-rect 561448 151577 584154 151702
+rect 561448 151577 583784 151702
 rect 561448 136619 578907 151577
 rect 354383 136610 578907 136619
-rect 583774 136610 584154 151577
-rect 354383 136461 584154 136610
-rect 100326 136443 584154 136461
+rect 583774 136610 583784 151577
+rect 354383 136461 583784 136610
+rect 100326 136443 583784 136461
 << via4 >>
 rect 242732 699634 245232 702134
-rect 510306 701790 525573 704716
-rect 510466 697694 525573 701790
+rect 510511 696878 525661 703099
 rect 414564 693921 417064 696421
 rect 466720 693921 469220 696421
 rect 227277 688115 230750 688664
@@ -2021,17 +1995,14 @@
 rect 338641 136461 354383 151653
 rect 545482 136619 561448 151702
 << metal5 >>
-rect 510282 704716 525597 704740
-rect 510282 703705 510306 704716
+rect 510306 703099 525839 703217
 rect 242708 702134 245256 702158
 rect 242708 699634 242732 702134
 rect 245232 699634 245256 702134
 rect 242708 699610 245256 699634
-rect 510173 701790 510306 703705
-rect 525573 703705 525597 704716
 rect 242732 693377 245232 699610
-rect 510173 697694 510466 701790
-rect 525573 697694 525839 703705
+rect 510306 696878 510511 703099
+rect 525661 696878 525839 703099
 rect 414540 696421 417088 696445
 rect 466696 696421 469244 696445
 rect 414540 693921 414564 696421
@@ -2721,7 +2692,7 @@
 rect 391779 540524 394666 540620
 rect 412854 540415 415741 540620
 rect 451976 555601 467642 673047
-rect 510173 599189 525839 697694
+rect 510306 599189 525839 696878
 rect 487908 599165 525839 599189
 rect 487908 598849 487932 599165
 rect 487426 583536 487932 598849
@@ -2924,7 +2895,7 @@
 transform 1 0 38481 0 1 560871
 box 0 -159 34500 6363
 use top_pll_v1  top_pll_v1_0
-timestamp 1624396964
+timestamp 1624402156
 transform 1 0 14782 0 1 657248
 box -642 -33679 50180 2860
 use sky130_fd_pr__cap_mim_m3_2_2Y8F6P  sky130_fd_pr__cap_mim_m3_2_2Y8F6P_0
@@ -2933,7 +2904,7 @@
 transform 1 0 74005 0 1 616157
 box -3351 -3261 3373 3261
 use top_pll_v2 *top_pll_v2_0
-timestamp 1624396964
+timestamp 1624402156
 transform -1 0 133068 0 1 657248
 box -642 -33679 50180 2860
 use mimcap_decoup_1x5  mimcap_decoup_1x5_1
@@ -2947,7 +2918,7 @@
 transform 1 0 144463 0 1 616442
 box -3351 -3261 3373 3261
 use top_pll_v1 *top_pll_v1_1
-timestamp 1624396964
+timestamp 1624402156
 transform -1 0 206380 0 1 656706
 box -642 -33679 50180 2860
 use sky130_fd_pr__cap_mim_m3_2_2Y8F6P  sky130_fd_pr__cap_mim_m3_2_2Y8F6P_2
@@ -2975,7 +2946,7 @@
 transform 1 0 291410 0 1 559700
 box 0 -159 34500 6363
 use res_amp_top  res_amp_top_0 ~/caravel_analog_fulgor/mag/afernandez_residue_amplifier
-timestamp 1624397222
+timestamp 1624402156
 transform 1 0 349695 0 1 630386
 box -5005 -972 31038 12726
 use mimcap_decoup_1x5  mimcap_decoup_1x5_4
@@ -4097,10 +4068,6 @@
 port 554 nsew signal bidirectional
 flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
 port 555 nsew signal bidirectional
-flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
-port 556 nsew signal bidirectional
-flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
-port 557 nsew signal bidirectional
 flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
 port 558 nsew signal bidirectional
 flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
@@ -4345,6 +4312,10 @@
 port 42 nsew signal bidirectional
 flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
 port 48 nsew signal bidirectional
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
+port 557 nsew signal bidirectional
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
+port 556 nsew signal bidirectional
 << properties >>
 string FIXED_BBOX 0 0 584000 704000
 << end >>