Agregado res amp
diff --git a/mag/afernandez_residue_amplifier/DFlipFlop.mag b/mag/afernandez_residue_amplifier/DFlipFlop.mag
new file mode 100644
index 0000000..8d4f93a
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/DFlipFlop.mag
@@ -0,0 +1,132 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623898709
+<< nwell >>
+rect 559 2292 1181 3068
+rect 559 0 1181 776
+<< pwell >>
+rect 559 1729 1740 2292
+rect 460 1400 1740 1729
+rect 559 776 1740 1400
+<< psubdiff >>
+rect 433 2222 654 2256
+rect 489 1718 1242 1752
+rect 487 1316 1249 1350
+rect 1000 812 1307 846
+<< poly >>
+rect 741 2104 1000 2170
+rect 740 898 999 964
+<< locali >>
+rect 433 2222 462 2256
+rect 556 2222 654 2256
+rect 483 1718 1265 1752
+rect 489 1316 1256 1350
+rect 1028 812 1205 846
+rect 1299 812 1310 846
+<< viali >>
+rect 462 2222 556 2256
+rect 1205 812 1299 846
+<< metal1 >>
+rect 523 3027 1200 3038
+rect 523 2998 1253 3027
+rect -1244 2944 1740 2998
+rect 523 2940 1195 2944
+rect 523 2904 1198 2940
+rect -131 2240 -121 2344
+rect 450 2256 596 2262
+rect 450 2222 462 2256
+rect 556 2222 596 2256
+rect 450 2216 596 2222
+rect 219 1802 229 1854
+rect 361 1814 371 1854
+rect 361 1802 373 1814
+rect 1393 1811 1403 1863
+rect 1486 1811 1496 1863
+rect 587 1712 636 1758
+rect -1244 1498 69 1570
+rect 1105 1310 1167 1356
+rect 219 1254 231 1266
+rect 221 1214 231 1254
+rect 361 1254 373 1266
+rect 361 1214 371 1254
+rect 1392 1208 1402 1260
+rect 1486 1208 1496 1260
+rect 1157 846 1311 852
+rect -91 724 -81 828
+rect 1157 812 1205 846
+rect 1299 812 1311 846
+rect 1157 806 1311 812
+rect 559 124 1181 164
+rect -1244 70 1740 124
+rect 559 30 1181 70
+<< via1 >>
+rect -190 2240 -131 2344
+rect 229 1802 361 1854
+rect 1403 1811 1486 1863
+rect 231 1214 361 1266
+rect 1402 1208 1486 1260
+rect -150 724 -91 828
+<< metal2 >>
+rect -190 2344 -131 2354
+rect -131 2266 40 2318
+rect -190 2230 -131 2240
+rect -12 1854 40 2266
+rect 1521 2258 1577 2369
+rect 229 1854 361 1864
+rect -12 1802 229 1854
+rect 229 1792 361 1802
+rect 1403 1863 1486 1873
+rect 1403 1801 1486 1811
+rect 373 1748 429 1758
+rect 1413 1722 1473 1801
+rect 429 1662 1473 1722
+rect 373 1626 429 1636
+rect 163 1432 219 1442
+rect 219 1346 1473 1406
+rect 163 1310 219 1320
+rect 231 1266 361 1276
+rect 1413 1270 1473 1346
+rect -12 1214 231 1266
+rect -150 828 -91 838
+rect -12 802 40 1214
+rect 231 1204 361 1214
+rect 1402 1260 1486 1270
+rect 1402 1198 1486 1208
+rect -91 750 40 802
+rect -150 714 -91 724
+rect 1311 699 1367 810
+<< via2 >>
+rect 373 1636 429 1748
+rect 163 1320 219 1432
+<< metal3 >>
+rect -997 804 -937 2264
+rect 363 1748 439 1753
+rect 363 1636 373 1748
+rect 429 1636 439 1748
+rect 363 1631 439 1636
+rect 153 1432 229 1437
+rect 153 1320 163 1432
+rect 219 1320 229 1432
+rect 153 1315 229 1320
+use clock_inverter *clock_inverter_0 ~/sky130-mpw2-fulgor/clock_inverter/mag
+timestamp 1623799048
+transform 1 0 -1244 0 1 0
+box 0 0 1244 3068
+use latch_diff *latch_diff_1 ~/sky130-mpw2-fulgor/latch_diff/mag
+timestamp 1623798783
+transform -1 0 1707 0 -1 2352
+box -33 -716 1147 2352
+use latch_diff *latch_diff_0
+timestamp 1623798783
+transform 1 0 33 0 1 716
+box -33 -716 1147 2352
+<< labels >>
+rlabel metal1 -1244 1498 69 1570 1 vss
+rlabel metal1 -1244 2944 1740 2998 1 vdd
+rlabel metal3 -997 1498 -937 1570 1 D
+rlabel poly 740 898 999 964 1 CLK
+rlabel poly 741 2104 1000 2170 1 nCLK
+rlabel metal2 1311 699 1367 810 1 nQ
+rlabel metal2 1521 2258 1577 2369 1 Q
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/buffer_no_inv_x05.mag b/mag/afernandez_residue_amplifier/buffer_no_inv_x05.mag
new file mode 100644
index 0000000..300e13a
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/buffer_no_inv_x05.mag
@@ -0,0 +1,27 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624038785
+<< metal1 >>
+rect 418 1060 443 1086
+rect 423 119 448 123
+rect 420 97 448 119
+rect 420 93 445 97
+rect 418 49 443 75
+<< metal2 >>
+rect 14 526 39 552
+rect 823 529 848 555
+use inverter_min  inverter_min_1 ~/sky130-mpw2-fulgor/inverter_min/mag
+timestamp 1624038681
+transform 1 0 485 0 1 -6
+box -53 16 369 1179
+use inverter_min  inverter_min_0
+timestamp 1624038681
+transform 1 0 63 0 1 -6
+box -53 16 369 1179
+<< labels >>
+rlabel metal2 14 526 39 552 1 in
+rlabel metal2 823 529 848 555 1 out
+rlabel metal1 418 1060 443 1086 1 avdd1p8
+rlabel metal1 418 49 443 75 1 avss1p8
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/clock_inverter.mag b/mag/afernandez_residue_amplifier/clock_inverter.mag
new file mode 100644
index 0000000..958b6a3
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/clock_inverter.mag
@@ -0,0 +1,89 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623799048
+<< metal1 >>
+rect 520 2998 530 3028
+rect 0 2944 530 2998
+rect 520 2914 530 2944
+rect 714 2998 724 3028
+rect 714 2944 1244 2998
+rect 714 2914 724 2944
+rect 210 2264 220 2320
+rect 334 2264 344 2320
+rect 442 2259 848 2325
+rect 1054 2070 1100 2523
+rect 0 1504 1244 1564
+rect 221 804 226 809
+rect 210 748 220 804
+rect 334 748 344 804
+rect 221 743 226 748
+rect 478 743 720 809
+rect 1094 307 1152 1328
+rect 520 124 530 154
+rect 0 70 530 124
+rect 520 40 530 70
+rect 714 124 724 154
+rect 714 70 1244 124
+rect 714 40 724 70
+<< via1 >>
+rect 530 2914 714 3028
+rect 220 2264 334 2320
+rect 220 748 334 804
+rect 530 40 714 154
+<< metal2 >>
+rect 530 3028 714 3038
+rect 530 2904 714 2914
+rect 220 2320 334 2330
+rect 220 2254 334 2264
+rect 220 804 334 814
+rect 220 738 334 748
+rect 530 154 714 164
+rect 530 30 714 40
+<< via2 >>
+rect 530 2914 714 3028
+rect 220 2264 334 2320
+rect 220 748 334 804
+rect 530 40 714 154
+<< metal3 >>
+rect 520 3028 724 3033
+rect 520 2914 530 3028
+rect 714 2914 724 3028
+rect 520 2909 724 2914
+rect 210 2320 344 2325
+rect 210 2264 220 2320
+rect 334 2264 344 2320
+rect 210 2259 344 2264
+rect 247 809 307 2259
+rect 210 804 344 809
+rect 210 748 220 804
+rect 334 748 344 804
+rect 210 743 344 748
+rect 586 159 658 2909
+rect 520 154 724 159
+rect 520 40 530 154
+rect 714 40 724 154
+rect 520 35 724 40
+use inverter_cp_x1 *inverter_cp_x1_1 ~/sky130-mpw2-fulgor/inverter_cp_x1/mag
+timestamp 1623798692
+transform 1 0 0 0 1 2292
+box 0 -758 622 776
+use inverter_cp_x1 *inverter_cp_x1_2
+timestamp 1623798692
+transform 1 0 622 0 1 2292
+box 0 -758 622 776
+use inverter_cp_x1 *inverter_cp_x1_0
+timestamp 1623798692
+transform 1 0 0 0 -1 776
+box 0 -758 622 776
+use trans_gate *trans_gate_0 ~/sky130-mpw2-fulgor/trans_gate/mag
+timestamp 1623610677
+transform 1 0 675 0 -1 723
+box -53 -811 569 723
+<< labels >>
+rlabel metal1 0 1504 1244 1564 1 vss
+rlabel metal1 0 2944 1244 2998 1 vdd
+rlabel metal3 247 1504 307 1564 1 CLK
+rlabel metal1 1054 2070 1100 2523 1 CLK_d
+rlabel metal1 1094 307 1152 1328 1 nCLK_d
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/delay_cell_buff.mag b/mag/afernandez_residue_amplifier/delay_cell_buff.mag
new file mode 100644
index 0000000..ec1dfca
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/delay_cell_buff.mag
@@ -0,0 +1,480 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624063007
+<< nwell >>
+rect 10300 1147 10722 1823
+rect 11303 1155 11816 1904
+<< pwell >>
+rect 10298 1904 10482 2452
+rect 11528 2270 11816 2452
+rect 11242 1904 11816 2270
+rect 10298 1877 10648 1904
+rect 10318 1823 10648 1877
+<< metal1 >>
+rect 10332 2463 10476 2601
+rect -54 2372 10476 2463
+rect 11492 2452 11816 2601
+rect -54 2280 -44 2372
+rect 28 2326 10476 2372
+rect 28 2280 38 2326
+rect 9123 2320 10476 2326
+rect -54 2186 4 2280
+rect 10851 1913 10861 1976
+rect 10949 1913 10959 1976
+rect 11052 1794 11062 1877
+rect 11150 1794 11160 1877
+rect 11281 1867 11816 1981
+rect 547 1684 557 1756
+rect 618 1684 628 1756
+rect 1073 1684 1083 1756
+rect 1144 1684 1154 1756
+rect 2021 1684 2031 1756
+rect 2092 1684 2102 1756
+rect 2547 1684 2557 1756
+rect 2618 1684 2628 1756
+rect 3495 1684 3505 1756
+rect 3566 1684 3576 1756
+rect 4021 1684 4031 1756
+rect 4092 1684 4102 1756
+rect 4969 1684 4979 1756
+rect 5040 1684 5050 1756
+rect 5495 1684 5505 1756
+rect 5566 1684 5576 1756
+rect 6443 1684 6453 1756
+rect 6514 1684 6524 1756
+rect 6969 1684 6979 1756
+rect 7040 1684 7050 1756
+rect 7917 1684 7927 1756
+rect 7988 1684 7998 1756
+rect 8443 1684 8453 1756
+rect 8514 1684 8524 1756
+rect 9391 1684 9401 1756
+rect 9462 1684 9472 1756
+rect 9917 1684 9927 1756
+rect 9988 1684 9998 1756
+rect 9262 1224 10655 1239
+rect 32 1218 57 1220
+rect 328 1218 10655 1224
+rect 0 1190 10655 1218
+rect 11366 1190 11816 1338
+rect 0 1136 11816 1190
+rect 328 1130 10655 1136
+rect -7 141 9 168
+rect -7 49 3 141
+rect 75 49 85 141
+rect -7 28 9 49
+<< via1 >>
+rect -44 2280 28 2372
+rect 10861 1913 10949 1976
+rect 11062 1794 11150 1877
+rect 557 1684 618 1756
+rect 1083 1684 1144 1756
+rect 2031 1684 2092 1756
+rect 2557 1684 2618 1756
+rect 3505 1684 3566 1756
+rect 4031 1684 4092 1756
+rect 4979 1684 5040 1756
+rect 5505 1684 5566 1756
+rect 6453 1684 6514 1756
+rect 6979 1684 7040 1756
+rect 7927 1684 7988 1756
+rect 8453 1684 8514 1756
+rect 9401 1684 9462 1756
+rect 9927 1684 9988 1756
+rect 3 49 75 141
+<< metal2 >>
+rect 62 2537 1547 2538
+rect 62 2486 5975 2537
+rect -44 2372 28 2382
+rect -208 2280 -44 2365
+rect -208 2278 28 2280
+rect -208 124 -124 2278
+rect -44 2270 28 2278
+rect 15 1812 23 1838
+rect 62 1826 114 2486
+rect 1495 2485 5975 2486
+rect 62 1812 79 1826
+rect 1495 1811 1547 2485
+rect 2972 2330 3043 2340
+rect 2972 2246 3043 2256
+rect 2981 1839 3033 2246
+rect 2973 1813 3033 1839
+rect 4451 1837 4503 2485
+rect 2981 1812 3033 1813
+rect 4436 1814 4503 1837
+rect 5923 1817 5975 2485
+rect 7396 2514 7467 2524
+rect 7396 2420 7467 2430
+rect 7404 1836 7456 2420
+rect 4436 1811 4461 1814
+rect 5923 1811 5948 1817
+rect 7388 1813 7456 1836
+rect 7388 1810 7413 1813
+rect 8879 1810 8931 2443
+rect 10861 1976 10949 1986
+rect 10133 1970 10134 1973
+rect 10087 1924 10861 1970
+rect 10087 1804 10133 1924
+rect 10861 1903 10949 1913
+rect 11062 1877 11150 1887
+rect 11062 1784 11150 1794
+rect 557 1756 618 1766
+rect 557 1674 618 1684
+rect 1083 1756 1144 1766
+rect 1083 1674 1144 1684
+rect 2031 1756 2092 1766
+rect 2031 1674 2092 1684
+rect 2557 1756 2618 1766
+rect 2557 1674 2618 1684
+rect 3505 1756 3566 1766
+rect 3505 1674 3566 1684
+rect 4031 1756 4092 1766
+rect 4031 1674 4092 1684
+rect 4979 1756 5040 1766
+rect 4979 1674 5040 1684
+rect 5505 1756 5566 1766
+rect 5505 1674 5566 1684
+rect 6453 1756 6514 1766
+rect 6453 1674 6514 1684
+rect 6979 1756 7040 1766
+rect 6979 1674 7040 1684
+rect 7927 1756 7988 1766
+rect 7927 1674 7988 1684
+rect 8453 1756 8514 1766
+rect 8453 1674 8514 1684
+rect 9401 1756 9462 1766
+rect 9401 1674 9462 1684
+rect 9927 1756 9988 1766
+rect 9927 1674 9988 1684
+rect 11073 1409 11133 1784
+rect 10952 1349 11133 1409
+rect 10952 756 11012 1349
+rect 10952 682 11012 692
+rect 19 559 80 569
+rect 19 493 80 503
+rect 1671 559 1732 569
+rect 3348 559 3409 569
+rect 1732 518 1751 544
+rect 1671 493 1732 503
+rect 5035 559 5096 569
+rect 3421 520 3446 546
+rect 3348 493 3409 503
+rect 6725 559 6786 569
+rect 5106 519 5131 545
+rect 5035 493 5096 503
+rect 8413 559 8474 569
+rect 6790 520 6815 546
+rect 6725 493 6786 503
+rect 10098 559 10159 569
+rect 11744 567 11805 569
+rect 8481 514 8506 540
+rect 8413 493 8474 503
+rect 11726 559 11805 567
+rect 11726 552 11744 559
+rect 10168 516 10193 542
+rect 10098 493 10159 503
+rect 11727 503 11744 510
+rect 11727 495 11805 503
+rect 11744 493 11805 495
+rect 3 141 75 151
+rect -208 49 3 124
+rect -208 40 75 49
+rect 3 39 75 40
+<< via2 >>
+rect 2972 2256 3043 2330
+rect 7396 2430 7467 2514
+rect 557 1684 618 1756
+rect 1083 1684 1144 1756
+rect 2031 1684 2092 1756
+rect 2557 1684 2618 1756
+rect 3505 1684 3566 1756
+rect 4031 1684 4092 1756
+rect 4979 1684 5040 1756
+rect 5505 1684 5566 1756
+rect 6453 1684 6514 1756
+rect 6979 1684 7040 1756
+rect 7927 1684 7988 1756
+rect 8453 1684 8514 1756
+rect 9401 1684 9462 1756
+rect 9927 1684 9988 1756
+rect 10952 692 11012 756
+rect 19 503 80 559
+rect 1671 503 1732 559
+rect 3348 503 3409 559
+rect 5035 503 5096 559
+rect 6725 503 6786 559
+rect 8413 503 8474 559
+rect 10098 503 10159 559
+rect 11744 503 11805 559
+<< metal3 >>
+rect 2981 2514 7477 2553
+rect 2981 2493 7396 2514
+rect 2981 2335 3041 2493
+rect 7386 2430 7396 2493
+rect 7467 2430 7477 2514
+rect 7386 2425 7477 2430
+rect 2962 2330 3053 2335
+rect 2962 2256 2972 2330
+rect 3043 2256 3053 2330
+rect 2962 2251 3053 2256
+rect 4497 2265 7957 2337
+rect 1632 2014 3218 2086
+rect 1632 1925 1704 2014
+rect 1171 1853 1704 1925
+rect 2753 1859 2903 1919
+rect 2782 1848 2903 1859
+rect 525 1756 628 1761
+rect 525 1684 557 1756
+rect 618 1684 628 1756
+rect 525 1679 628 1684
+rect 1054 1756 1154 1761
+rect 1054 1684 1083 1756
+rect 1144 1684 1154 1756
+rect 1054 1679 1154 1684
+rect 2021 1756 2102 1761
+rect 2021 1684 2031 1756
+rect 2092 1684 2102 1756
+rect 2021 1679 2102 1684
+rect 2547 1756 2628 1761
+rect 2547 1684 2557 1756
+rect 2618 1684 2628 1756
+rect 2547 1679 2628 1684
+rect 525 1183 585 1679
+rect 26 1123 585 1183
+rect 1054 1173 1114 1679
+rect 26 564 86 1123
+rect 1054 1113 1725 1173
+rect 1665 564 1725 1113
+rect 2031 699 2091 1679
+rect 2564 867 2624 1679
+rect 2843 1536 2903 1848
+rect 3146 1769 3218 2014
+rect 4497 1925 4569 2265
+rect 6173 2039 7718 2111
+rect 6173 1925 6245 2039
+rect 4119 1853 4569 1925
+rect 5593 1853 6245 1925
+rect 7067 1853 7366 1925
+rect 3146 1761 3560 1769
+rect 3146 1756 3576 1761
+rect 3146 1697 3505 1756
+rect 3495 1684 3505 1697
+rect 3566 1684 3576 1756
+rect 4021 1756 4102 1761
+rect 4021 1734 4031 1756
+rect 3495 1679 3576 1684
+rect 4020 1684 4031 1734
+rect 4092 1684 4102 1756
+rect 4020 1679 4102 1684
+rect 4969 1756 5050 1761
+rect 4969 1684 4979 1756
+rect 5040 1748 5050 1756
+rect 5495 1756 5576 1761
+rect 5040 1684 5060 1748
+rect 4969 1679 5060 1684
+rect 5495 1684 5505 1756
+rect 5566 1743 5576 1756
+rect 6443 1756 6524 1761
+rect 5566 1684 5585 1743
+rect 5495 1679 5585 1684
+rect 6443 1684 6453 1756
+rect 6514 1734 6524 1756
+rect 6969 1756 7050 1761
+rect 6514 1684 6525 1734
+rect 6443 1679 6525 1684
+rect 6969 1684 6979 1756
+rect 7040 1684 7050 1756
+rect 6969 1679 7050 1684
+rect 4020 1536 4080 1679
+rect 2843 1476 4080 1536
+rect 5000 1067 5060 1679
+rect 5000 1007 5405 1067
+rect 2564 807 5099 867
+rect 2031 639 3414 699
+rect 3354 564 3414 639
+rect 5039 564 5099 807
+rect 5345 809 5405 1007
+rect 5525 950 5585 1679
+rect 6465 1150 6525 1679
+rect 6980 1335 7040 1679
+rect 7294 1574 7366 1853
+rect 7646 1774 7718 2039
+rect 7885 2090 7957 2265
+rect 7885 2018 9156 2090
+rect 8541 1853 8845 1925
+rect 7646 1761 7996 1774
+rect 7646 1756 7998 1761
+rect 7646 1702 7927 1756
+rect 7917 1684 7927 1702
+rect 7988 1684 7998 1756
+rect 8443 1756 8524 1761
+rect 8443 1742 8453 1756
+rect 7917 1679 7998 1684
+rect 8438 1684 8453 1742
+rect 8514 1684 8524 1756
+rect 8438 1679 8524 1684
+rect 8438 1574 8510 1679
+rect 7294 1502 8510 1574
+rect 8773 1554 8845 1853
+rect 9084 1769 9156 2018
+rect 9084 1761 9446 1769
+rect 9084 1756 9472 1761
+rect 9084 1697 9401 1756
+rect 9391 1684 9401 1697
+rect 9462 1684 9472 1756
+rect 9917 1756 9998 1761
+rect 9917 1748 9927 1756
+rect 9391 1679 9472 1684
+rect 9902 1684 9927 1748
+rect 9988 1684 9998 1756
+rect 9902 1679 9998 1684
+rect 9902 1554 9974 1679
+rect 8773 1482 9974 1554
+rect 6980 1275 10476 1335
+rect 6465 1090 10166 1150
+rect 5525 890 8474 950
+rect 5345 749 6788 809
+rect 6728 564 6788 749
+rect 8414 564 8474 890
+rect 10106 564 10166 1090
+rect 10416 931 10476 1275
+rect 10416 871 11810 931
+rect 10942 756 11022 761
+rect 10942 692 10952 756
+rect 11012 692 11022 756
+rect 10942 687 11022 692
+rect 9 563 90 564
+rect 9 559 145 563
+rect 9 503 19 559
+rect 80 503 145 559
+rect 9 498 145 503
+rect 1661 559 1742 564
+rect 1661 503 1671 559
+rect 1732 503 1742 559
+rect 1661 498 1742 503
+rect 3338 559 3419 564
+rect 3338 503 3348 559
+rect 3409 503 3419 559
+rect 3338 498 3419 503
+rect 5025 559 5106 564
+rect 5025 503 5035 559
+rect 5096 503 5106 559
+rect 5025 498 5106 503
+rect 6715 559 6796 564
+rect 6715 503 6725 559
+rect 6786 503 6796 559
+rect 6715 498 6796 503
+rect 8403 559 8484 564
+rect 8403 503 8413 559
+rect 8474 503 8484 559
+rect 8403 498 8484 503
+rect 10088 559 10169 564
+rect 10088 503 10098 559
+rect 10159 503 10169 559
+rect 10088 498 10169 503
+rect 85 112 145 498
+rect 10952 112 11012 687
+rect 11750 564 11810 871
+rect 11734 559 11815 564
+rect 11734 503 11744 559
+rect 11805 503 11815 559
+rect 11734 498 11815 503
+rect 85 52 11012 112
+use mux_2to1_logic  mux_2to1_logic_1 ~/sky130-mpw2-fulgor/mux_2to1_logic/mag
+timestamp 1624063007
+transform 1 0 1949 0 -1 1770
+box -475 -633 999 607
+use mux_2to1_logic  mux_2to1_logic_0
+timestamp 1624063007
+transform 1 0 475 0 -1 1770
+box -475 -633 999 607
+use buffer_no_inv_x05  buffer_no_inv_x05_0 ~/sky130-mpw2-fulgor/buffer_no_inv_x05/mag
+timestamp 1624038785
+transform 1 0 -10 0 1 -10
+box 10 10 854 1173
+use buffer_no_inv_x05  buffer_no_inv_x05_1
+timestamp 1624038785
+transform 1 0 834 0 1 -10
+box 10 10 854 1173
+use mux_2to1_logic  mux_2to1_logic_2
+timestamp 1624063007
+transform 1 0 3423 0 -1 1770
+box -475 -633 999 607
+use buffer_no_inv_x05  buffer_no_inv_x05_2
+timestamp 1624038785
+transform 1 0 1678 0 1 -10
+box 10 10 854 1173
+use buffer_no_inv_x05  buffer_no_inv_x05_3
+timestamp 1624038785
+transform 1 0 2522 0 1 -10
+box 10 10 854 1173
+use mux_2to1_logic  mux_2to1_logic_3
+timestamp 1624063007
+transform 1 0 4897 0 -1 1770
+box -475 -633 999 607
+use buffer_no_inv_x05  buffer_no_inv_x05_4
+timestamp 1624038785
+transform 1 0 3366 0 1 -10
+box 10 10 854 1173
+use buffer_no_inv_x05  buffer_no_inv_x05_5
+timestamp 1624038785
+transform 1 0 4210 0 1 -10
+box 10 10 854 1173
+use mux_2to1_logic  mux_2to1_logic_4
+timestamp 1624063007
+transform 1 0 6371 0 -1 1770
+box -475 -633 999 607
+use buffer_no_inv_x05  buffer_no_inv_x05_6
+timestamp 1624038785
+transform 1 0 5054 0 1 -10
+box 10 10 854 1173
+use mux_2to1_logic  mux_2to1_logic_5
+timestamp 1624063007
+transform 1 0 7845 0 -1 1770
+box -475 -633 999 607
+use buffer_no_inv_x05  buffer_no_inv_x05_7
+timestamp 1624038785
+transform 1 0 5898 0 1 -10
+box 10 10 854 1173
+use buffer_no_inv_x05  buffer_no_inv_x05_8
+timestamp 1624038785
+transform 1 0 6742 0 1 -10
+box 10 10 854 1173
+use mux_2to1_logic  mux_2to1_logic_6
+timestamp 1624063007
+transform 1 0 9319 0 -1 1770
+box -475 -633 999 607
+use buffer_no_inv_x05  buffer_no_inv_x05_9
+timestamp 1624038785
+transform 1 0 7586 0 1 -10
+box 10 10 854 1173
+use buffer_no_inv_x05  buffer_no_inv_x05_10
+timestamp 1624038785
+transform 1 0 8430 0 1 -10
+box 10 10 854 1173
+use buffer_no_inv_x05  buffer_no_inv_x05_11
+timestamp 1624038785
+transform 1 0 9274 0 1 -10
+box 10 10 854 1173
+use buffer_no_inv_x05  buffer_no_inv_x05_12
+timestamp 1624038785
+transform 1 0 10118 0 1 -10
+box 10 10 854 1173
+use buffer_no_inv_x05  buffer_no_inv_x05_13
+timestamp 1624038785
+transform 1 0 10962 0 1 -10
+box 10 10 854 1173
+use nand_logic  nand_logic_0 ~/sky130-mpw2-fulgor/nand_logic/mag
+timestamp 1623952422
+transform 1 0 10695 0 -1 1870
+box -219 -731 833 707
+<< labels >>
+rlabel via2 30 516 55 542 1 clk
+rlabel metal2 8893 2121 8918 2147 1 reg0
+rlabel metal3 6059 2508 6084 2534 1 reg1
+rlabel metal2 80 2501 105 2527 1 reg2
+rlabel metal1 11745 1919 11770 1945 1 clk_out
+rlabel metal1 271 2383 296 2409 1 avss1p8
+rlabel metal1 32 1194 57 1220 1 avdd1p8
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/inverter_cp_x1.mag b/mag/afernandez_residue_amplifier/inverter_cp_x1.mag
new file mode 100644
index 0000000..9863834
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/inverter_cp_x1.mag
@@ -0,0 +1,87 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623798692
+<< nwell >>
+rect 0 688 622 776
+<< pwell >>
+rect 2 -669 622 -626
+rect 0 -721 622 -669
+rect 2 -722 622 -721
+rect 0 -758 622 -722
+<< psubdiff >>
+rect 108 -722 132 -688
+rect 490 -722 514 -688
+<< nsubdiff >>
+rect 108 706 132 740
+rect 490 706 514 740
+<< psubdiffcont >>
+rect 132 -722 490 -688
+<< nsubdiffcont >>
+rect 132 706 490 740
+<< poly >>
+rect 278 33 344 188
+rect 210 17 344 33
+rect 210 -17 226 17
+rect 328 -17 344 17
+rect 210 -33 344 -17
+rect 278 -184 344 -33
+<< polycont >>
+rect 226 -17 328 17
+<< locali >>
+rect 210 -17 226 17
+rect 328 -17 344 17
+<< viali >>
+rect 36 706 132 740
+rect 132 706 490 740
+rect 490 706 586 740
+rect 36 618 586 652
+rect 226 -17 328 17
+rect 36 -634 586 -600
+rect 36 -722 132 -688
+rect 132 -722 490 -688
+rect 490 -722 586 -688
+<< metal1 >>
+rect 0 740 622 746
+rect 0 706 36 740
+rect 586 706 622 740
+rect 0 652 622 706
+rect 0 618 36 652
+rect 586 618 622 652
+rect 0 612 622 618
+rect 144 469 190 612
+rect 336 469 382 612
+rect 240 173 286 231
+rect 432 173 478 222
+rect 240 127 478 173
+rect 210 17 344 33
+rect 210 -17 226 17
+rect 328 -17 344 17
+rect 210 -33 344 -17
+rect 432 -118 478 127
+rect 240 -164 478 -118
+rect 240 -210 286 -164
+rect 432 -210 478 -164
+rect 144 -594 190 -455
+rect 336 -594 382 -451
+rect 0 -600 622 -594
+rect 0 -634 36 -600
+rect 586 -634 622 -600
+rect 0 -688 622 -634
+rect 0 -722 36 -688
+rect 586 -722 622 -688
+rect 0 -728 622 -722
+use sky130_fd_pr__pfet_01v8_7KT7MH  sky130_fd_pr__pfet_01v8_7KT7MH_0
+timestamp 1623610677
+transform 1 0 311 0 1 344
+box -311 -344 311 344
+use sky130_fd_pr__nfet_01v8_2BS6QM  sky130_fd_pr__nfet_01v8_2BS6QM_0
+timestamp 1623610677
+transform 1 0 311 0 1 -335
+box -311 -335 311 335
+<< labels >>
+rlabel metal1 0 652 622 706 1 vdd
+rlabel metal1 0 -688 622 -634 1 vss
+rlabel metal1 210 -33 226 33 1 in
+rlabel metal1 432 -210 478 222 1 out
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/inverter_min.mag b/mag/afernandez_residue_amplifier/inverter_min.mag
new file mode 100644
index 0000000..d901dd2
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/inverter_min.mag
@@ -0,0 +1,87 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624038681
+<< nwell >>
+rect -53 1083 369 1179
+<< pwell >>
+rect -53 112 355 124
+rect -53 16 369 112
+<< psubdiff >>
+rect 55 53 79 87
+rect 237 53 261 87
+<< nsubdiff >>
+rect 55 1109 79 1143
+rect 237 1109 261 1143
+<< psubdiffcont >>
+rect 79 53 237 87
+<< nsubdiffcont >>
+rect 79 1109 237 1143
+<< viali >>
+rect -20 1143 336 1146
+rect -20 1109 79 1143
+rect 79 1109 237 1143
+rect 237 1109 336 1143
+rect -20 1106 336 1109
+rect -20 1010 336 1050
+rect -20 138 336 178
+rect -20 87 336 90
+rect -20 53 79 87
+rect 79 53 237 87
+rect 237 53 336 87
+rect -20 50 336 53
+<< metal1 >>
+rect -53 1146 369 1152
+rect -53 1106 -20 1146
+rect 336 1106 369 1146
+rect -53 1050 369 1106
+rect -53 1010 -20 1050
+rect 336 1010 369 1050
+rect -53 1004 369 1010
+rect 91 918 137 1004
+rect 179 922 285 939
+rect 125 573 191 729
+rect 119 521 129 573
+rect 181 521 191 573
+rect 125 366 191 521
+rect 219 573 285 922
+rect 219 521 229 573
+rect 281 521 291 573
+rect 219 337 285 521
+rect 91 184 137 257
+rect 179 249 285 337
+rect -53 178 369 184
+rect -53 138 -20 178
+rect 336 138 369 178
+rect -53 90 369 138
+rect -53 50 -20 90
+rect 336 50 369 90
+rect -53 44 369 50
+<< via1 >>
+rect 129 521 181 573
+rect 229 521 281 573
+<< metal2 >>
+rect 129 573 181 583
+rect -53 521 129 573
+rect 129 511 181 521
+rect 229 573 281 583
+rect 281 521 369 573
+rect 229 511 281 521
+use sky130_fd_pr__nfet_01v8_L78GGD  XM1
+timestamp 1620330026
+transform 1 0 158 0 1 326
+box -211 -221 211 221
+use sky130_fd_pr__pfet_01v8_6RX2PQ  XM2
+timestamp 1623938174
+transform 1 0 158 0 1 815
+box -211 -268 211 268
+<< labels >>
+rlabel metal1 -53 1050 369 1106 1 vdd
+port 1 n
+rlabel metal2 -53 521 129 573 1 in
+port 3 n
+rlabel metal2 281 521 369 573 1 out
+port 2 n
+rlabel metal1 -53 90 369 138 1 vss
+port 4 n
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/inverter_min_x16.mag b/mag/afernandez_residue_amplifier/inverter_min_x16.mag
new file mode 100644
index 0000000..04c5dfd
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/inverter_min_x16.mag
@@ -0,0 +1,122 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624046389
+<< nwell >>
+rect -53 531 1817 643
+rect -31 -19 1817 531
+rect -31 -53 574 -19
+rect 1037 -53 1817 -19
+<< pwell >>
+rect -18 -610 1781 -576
+<< psubdiff >>
+rect -17 -610 79 -576
+rect 1685 -610 1781 -576
+<< nsubdiff >>
+rect -17 571 79 605
+rect 1685 571 1781 605
+<< psubdiffcont >>
+rect 79 -610 1685 -576
+<< nsubdiffcont >>
+rect 79 571 1685 605
+<< poly >>
+rect 147 83 465 140
+rect 147 81 300 83
+rect 147 10 930 81
+rect 147 -123 190 10
+rect 258 -123 930 10
+rect 147 -175 930 -123
+rect 147 -181 300 -175
+rect 147 -238 465 -181
+<< polycont >>
+rect 190 -123 258 10
+<< locali >>
+rect 174 10 274 26
+rect 174 -123 190 10
+rect 258 -123 274 10
+rect 174 -139 274 -123
+<< viali >>
+rect -17 571 79 605
+rect 79 571 1685 605
+rect 1685 571 1782 605
+rect -17 483 1782 517
+rect 190 -123 258 10
+rect -18 -521 1781 -487
+rect -18 -610 79 -576
+rect 79 -610 1685 -576
+rect 1685 -610 1781 -576
+<< metal1 >>
+rect 665 611 1817 612
+rect -53 605 1817 611
+rect -53 571 -17 605
+rect 1782 571 1817 605
+rect -53 517 1817 571
+rect -53 483 -17 517
+rect 1782 483 1817 517
+rect -53 477 1817 483
+rect 88 129 137 334
+rect 177 166 243 477
+rect 281 129 330 333
+rect 369 166 435 477
+rect 475 129 521 185
+rect 561 166 627 477
+rect 667 129 713 190
+rect 753 166 819 477
+rect 859 129 905 188
+rect 945 166 1011 477
+rect 1051 129 1097 187
+rect 1137 166 1203 477
+rect 1243 129 1289 187
+rect 1329 166 1395 477
+rect 1435 129 1481 188
+rect 1521 166 1587 477
+rect 1627 129 1673 184
+rect 88 59 1675 129
+rect 184 10 264 22
+rect 184 -13 190 10
+rect -53 -93 190 -13
+rect 184 -123 190 -93
+rect 258 -123 264 10
+rect 184 -135 264 -123
+rect 1034 -19 1675 59
+rect 1034 -97 1817 -19
+rect 1034 -165 1675 -97
+rect 90 -232 1675 -165
+rect 90 -347 139 -232
+rect 177 -481 243 -262
+rect 282 -348 331 -232
+rect 369 -481 435 -261
+rect 475 -283 521 -232
+rect 561 -481 627 -261
+rect 667 -276 713 -232
+rect 753 -481 819 -261
+rect 859 -272 905 -232
+rect 945 -481 1011 -263
+rect 1051 -273 1097 -232
+rect 1137 -481 1203 -263
+rect 1243 -273 1289 -232
+rect 1329 -481 1395 -263
+rect 1435 -271 1481 -232
+rect 1521 -481 1587 -263
+rect 1627 -270 1673 -232
+rect -53 -487 1817 -481
+rect -53 -521 -18 -487
+rect 1781 -521 1817 -487
+rect -53 -576 1817 -521
+rect -53 -610 -18 -576
+rect 1781 -610 1817 -576
+rect -53 -616 1817 -610
+use sky130_fd_pr__pfet_01v8_BDRUME  sky130_fd_pr__pfet_01v8_BDRUME_0
+timestamp 1624046389
+transform 1 0 882 0 1 250
+box -935 -303 935 303
+use sky130_fd_pr__nfet_01v8_QQE8KM  sky130_fd_pr__nfet_01v8_QQE8KM_0
+timestamp 1624046389
+transform 1 0 882 0 1 -305
+box -935 -252 935 252
+<< labels >>
+rlabel metal1 -53 -576 665 -521 1 vss
+rlabel metal1 -53 -93 190 -13 1 in
+rlabel metal1 -53 517 665 571 1 vdd
+rlabel metal1 1650 -97 1817 -19 1 out
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/inverter_min_x4.mag b/mag/afernandez_residue_amplifier/inverter_min_x4.mag
new file mode 100644
index 0000000..d177d97
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/inverter_min_x4.mag
@@ -0,0 +1,92 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623895985
+<< nwell >>
+rect -53 531 665 643
+<< psubdiff >>
+rect 55 -610 79 -576
+rect 533 -610 557 -576
+<< nsubdiff >>
+rect 55 571 79 605
+rect 533 571 557 605
+<< psubdiffcont >>
+rect 79 -610 533 -576
+<< nsubdiffcont >>
+rect 79 571 533 605
+<< poly >>
+rect 147 360 465 417
+rect 147 83 465 140
+rect 147 10 300 83
+rect 147 -123 190 10
+rect 258 -123 300 10
+rect 147 -181 300 -123
+rect 147 -238 465 -181
+rect 147 -430 465 -373
+<< polycont >>
+rect 190 -123 258 10
+<< locali >>
+rect 174 10 274 26
+rect 174 -123 190 10
+rect 258 -123 274 10
+rect 174 -139 274 -123
+<< viali >>
+rect -17 571 79 605
+rect 79 571 533 605
+rect 533 571 629 605
+rect -17 483 629 517
+rect 190 -123 258 10
+rect -18 -521 629 -487
+rect -18 -610 79 -576
+rect 79 -610 533 -576
+rect 533 -610 629 -576
+<< metal1 >>
+rect -53 605 665 611
+rect -53 571 -17 605
+rect 629 571 665 605
+rect -53 517 665 571
+rect -53 483 -17 517
+rect 629 483 665 517
+rect -53 477 665 483
+rect 88 129 137 334
+rect 172 165 248 477
+rect 281 129 330 333
+rect 364 165 440 477
+rect 498 129 574 334
+rect 88 59 574 129
+rect 184 10 264 22
+rect 184 -13 190 10
+rect -53 -93 190 -13
+rect 184 -123 190 -93
+rect 258 -123 264 10
+rect 184 -135 264 -123
+rect 498 -19 574 59
+rect 498 -97 665 -19
+rect 498 -165 574 -97
+rect 90 -232 574 -165
+rect 90 -347 139 -232
+rect 172 -481 248 -263
+rect 282 -348 331 -232
+rect 364 -481 440 -263
+rect 498 -347 574 -232
+rect -53 -487 665 -481
+rect -53 -521 -18 -487
+rect 629 -521 665 -487
+rect -53 -576 665 -521
+rect -53 -610 -18 -576
+rect 629 -610 665 -576
+rect -53 -616 665 -610
+use sky130_fd_pr__nfet_01v8_DXA56D  sky130_fd_pr__nfet_01v8_DXA56D_0
+timestamp 1623431064
+transform 1 0 306 0 1 -305
+box -359 -252 359 252
+use sky130_fd_pr__pfet_01v8_ZP3U9B  sky130_fd_pr__pfet_01v8_ZP3U9B_0
+timestamp 1623431064
+transform 1 0 306 0 1 250
+box -359 -303 359 303
+<< labels >>
+rlabel metal1 -53 -576 665 -521 1 vss
+rlabel metal1 -53 -93 190 -13 1 in
+rlabel metal1 498 -97 665 -19 1 out
+rlabel metal1 -53 517 665 571 1 vdd
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/iref_ctrl_res_amp.mag b/mag/afernandez_residue_amplifier/iref_ctrl_res_amp.mag
new file mode 100644
index 0000000..aa1322b
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/iref_ctrl_res_amp.mag
@@ -0,0 +1,420 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624113259
+<< nwell >>
+rect 291 1100 1333 1369
+<< pwell >>
+rect -576 -643 2878 -503
+<< psubdiff >>
+rect -468 -652 -444 -595
+rect 2746 -652 2770 -595
+<< nsubdiff >>
+rect 387 1217 423 1319
+rect 685 1217 843 1319
+rect 1201 1217 1231 1319
+<< psubdiffcont >>
+rect -444 -652 2746 -595
+<< nsubdiffcont >>
+rect 423 1217 685 1319
+rect 843 1217 1201 1319
+<< viali >>
+rect 387 1217 423 1319
+rect 423 1217 685 1319
+rect 685 1217 843 1319
+rect 843 1217 1201 1319
+rect 1201 1217 1231 1319
+rect 327 1129 1297 1163
+rect -540 -595 2842 -503
+rect -540 -652 -444 -595
+rect -444 -652 2746 -595
+rect 2746 -652 2842 -595
+<< metal1 >>
+rect 281 1359 1343 1369
+rect 281 1122 291 1359
+rect 1333 1122 1343 1359
+rect 511 801 521 980
+rect 587 801 597 980
+rect 835 801 845 980
+rect 911 801 921 980
+rect 951 977 997 1122
+rect 1027 801 1037 980
+rect 1103 801 1113 980
+rect 1143 978 1189 1122
+rect 435 749 481 791
+rect 627 749 673 791
+rect 435 739 673 749
+rect 435 682 473 739
+rect 635 682 673 739
+rect 435 670 673 682
+rect 1080 674 1090 739
+rect 1185 674 1195 739
+rect -432 389 2692 525
+rect -432 350 -386 389
+rect -240 351 -194 389
+rect -48 351 -2 389
+rect 128 383 2690 389
+rect 144 351 190 383
+rect -356 151 -346 351
+rect -280 151 -270 351
+rect -164 151 -154 351
+rect -88 151 -78 351
+rect 28 151 38 351
+rect 104 151 114 351
+rect 352 259 362 351
+rect 428 259 438 351
+rect 544 259 554 351
+rect 620 259 630 351
+rect 868 259 878 351
+rect 944 259 954 351
+rect 1060 259 1070 351
+rect 1136 259 1146 351
+rect 1288 259 1298 351
+rect 1364 259 1374 351
+rect 1480 259 1490 351
+rect 1556 259 1566 351
+rect 1672 259 1682 351
+rect 1748 259 1758 351
+rect 1900 259 1910 351
+rect 1976 259 1986 351
+rect 2092 259 2102 351
+rect 2168 259 2178 351
+rect 2284 259 2294 351
+rect 2360 259 2370 351
+rect 2476 259 2486 351
+rect 2552 259 2562 351
+rect 2668 259 2678 351
+rect 2744 259 2754 351
+rect 448 125 458 217
+rect 524 125 534 217
+rect 640 125 650 217
+rect 716 125 726 217
+rect 964 125 974 217
+rect 1040 125 1050 217
+rect 1384 125 1394 217
+rect 1460 125 1470 217
+rect 1576 125 1586 217
+rect 1652 125 1662 217
+rect 1996 125 2006 217
+rect 2072 125 2082 217
+rect 2188 125 2198 217
+rect 2264 125 2274 217
+rect 2380 125 2390 217
+rect 2456 125 2466 217
+rect 2572 125 2582 217
+rect 2648 125 2658 217
+rect 1185 -19 1195 72
+rect 1267 -19 1277 72
+rect 1809 68 1863 71
+rect 239 -85 249 -70
+rect 146 -131 249 -85
+rect 239 -147 249 -131
+rect 315 -85 325 -70
+rect 1198 -81 1252 -19
+rect 1789 -23 1799 68
+rect 1871 -23 1881 68
+rect 2788 -16 2798 75
+rect 2870 -16 2880 75
+rect 1809 -80 1863 -23
+rect 2806 -80 2860 -16
+rect 315 -131 426 -85
+rect 315 -147 325 -131
+rect 1067 -135 1252 -81
+rect 1682 -134 1863 -80
+rect 2658 -134 2860 -80
+rect -356 -363 -346 -163
+rect -280 -363 -270 -163
+rect -164 -363 -154 -163
+rect -88 -363 -78 -163
+rect 28 -363 38 -163
+rect 104 -363 114 -163
+rect -432 -497 -386 -363
+rect -240 -497 -194 -363
+rect -48 -497 -2 -363
+rect 144 -497 190 -363
+rect 372 -497 418 -357
+rect 448 -363 458 -163
+rect 524 -363 534 -163
+rect 564 -497 610 -357
+rect 640 -363 650 -163
+rect 716 -363 726 -163
+rect 888 -497 934 -359
+rect 964 -363 974 -163
+rect 1040 -363 1050 -163
+rect 1080 -497 1126 -359
+rect 1308 -497 1354 -357
+rect 1384 -363 1394 -163
+rect 1460 -363 1470 -163
+rect 1500 -497 1546 -358
+rect 1576 -363 1586 -163
+rect 1652 -363 1662 -163
+rect 1692 -497 1738 -358
+rect 1920 -497 1966 -360
+rect 1996 -363 2006 -163
+rect 2072 -363 2082 -163
+rect 2112 -497 2158 -358
+rect 2188 -363 2198 -163
+rect 2264 -363 2274 -163
+rect 2304 -497 2350 -356
+rect 2380 -363 2390 -163
+rect 2456 -363 2466 -163
+rect 2496 -497 2542 -358
+rect 2572 -363 2582 -163
+rect 2648 -363 2658 -163
+rect 2688 -497 2734 -355
+rect -586 -676 -576 -497
+rect 2878 -676 2888 -497
+<< via1 >>
+rect 291 1319 1333 1359
+rect 291 1217 387 1319
+rect 387 1217 1231 1319
+rect 1231 1217 1333 1319
+rect 291 1163 1333 1217
+rect 291 1129 327 1163
+rect 327 1129 1297 1163
+rect 1297 1129 1333 1163
+rect 291 1122 1333 1129
+rect 521 801 587 980
+rect 845 801 911 980
+rect 1037 801 1103 980
+rect 473 682 635 739
+rect 1090 674 1185 739
+rect -346 151 -280 351
+rect -154 151 -88 351
+rect 38 151 104 351
+rect 362 259 428 351
+rect 554 259 620 351
+rect 878 259 944 351
+rect 1070 259 1136 351
+rect 1298 259 1364 351
+rect 1490 259 1556 351
+rect 1682 259 1748 351
+rect 1910 259 1976 351
+rect 2102 259 2168 351
+rect 2294 259 2360 351
+rect 2486 259 2552 351
+rect 2678 259 2744 351
+rect 458 125 524 217
+rect 650 125 716 217
+rect 974 125 1040 217
+rect 1394 125 1460 217
+rect 1586 125 1652 217
+rect 2006 125 2072 217
+rect 2198 125 2264 217
+rect 2390 125 2456 217
+rect 2582 125 2648 217
+rect 1195 -19 1267 72
+rect 249 -147 315 -70
+rect 1799 -23 1871 68
+rect 2798 -16 2870 75
+rect -346 -363 -280 -163
+rect -154 -363 -88 -163
+rect 38 -363 104 -163
+rect 458 -363 524 -163
+rect 650 -363 716 -163
+rect 974 -363 1040 -163
+rect 1394 -363 1460 -163
+rect 1586 -363 1652 -163
+rect 2006 -363 2072 -163
+rect 2198 -363 2264 -163
+rect 2390 -363 2456 -163
+rect 2582 -363 2648 -163
+rect -576 -503 2878 -497
+rect -576 -652 -540 -503
+rect -540 -652 2842 -503
+rect 2842 -652 2878 -503
+rect -576 -676 2878 -652
+<< metal2 >>
+rect 249 1359 1333 1369
+rect 249 1122 291 1359
+rect 249 1112 1333 1122
+rect -346 351 -280 361
+rect -154 351 -88 361
+rect -280 167 -154 340
+rect -346 -163 -280 151
+rect 38 351 104 361
+rect -88 167 38 340
+rect -154 -163 -88 151
+rect -280 -354 -154 -181
+rect -346 -373 -280 -363
+rect 38 -163 104 151
+rect 249 -70 315 1112
+rect 521 980 587 990
+rect 845 980 911 990
+rect 1037 980 1103 990
+rect 587 801 845 980
+rect 911 801 1037 980
+rect 521 791 587 801
+rect 845 791 911 801
+rect 1037 791 1103 801
+rect 473 739 795 749
+rect 635 682 795 739
+rect 473 660 795 682
+rect 1090 739 1185 749
+rect 1090 664 1185 674
+rect 362 351 428 361
+rect 554 351 620 361
+rect 682 351 795 660
+rect 878 351 944 361
+rect 1070 351 1136 361
+rect 1298 351 1364 361
+rect 1490 351 1556 361
+rect 1682 351 1748 361
+rect 1910 351 1976 361
+rect 2102 351 2168 361
+rect 2294 351 2360 361
+rect 2486 351 2552 361
+rect 2678 351 2744 361
+rect 428 259 554 351
+rect 620 259 878 351
+rect 944 259 1070 351
+rect 1136 259 1298 351
+rect 1364 259 1490 351
+rect 1556 259 1682 351
+rect 1748 259 1910 351
+rect 1976 259 2102 351
+rect 2168 259 2294 351
+rect 2360 259 2486 351
+rect 2552 259 2678 351
+rect 362 249 428 259
+rect 554 249 620 259
+rect 878 249 944 259
+rect 1070 249 1136 259
+rect 1298 249 1364 259
+rect 1490 249 1556 259
+rect 1682 249 1748 259
+rect 1910 249 1976 259
+rect 2102 249 2168 259
+rect 2294 249 2360 259
+rect 2486 249 2552 259
+rect 2678 249 2744 259
+rect 249 -157 315 -147
+rect 458 217 524 227
+rect 650 217 716 227
+rect 524 154 650 199
+rect -88 -354 38 -181
+rect -154 -373 -88 -363
+rect 38 -373 104 -363
+rect 458 -163 524 125
+rect 650 -163 716 125
+rect 524 -363 650 -163
+rect 458 -373 524 -363
+rect 650 -373 716 -363
+rect 974 217 1040 227
+rect 974 -163 1040 125
+rect 1394 217 1460 227
+rect 1195 72 1267 82
+rect 1195 -29 1267 -19
+rect 974 -373 1040 -363
+rect 1394 -163 1460 125
+rect 1586 217 1652 227
+rect 1586 -163 1652 125
+rect 2006 217 2072 227
+rect 1799 68 1871 78
+rect 1799 -33 1871 -23
+rect 1460 -363 1586 -163
+rect 1394 -373 1460 -363
+rect 1586 -373 1652 -363
+rect 2006 -163 2072 125
+rect 2198 217 2264 227
+rect 2198 -163 2264 125
+rect 2390 217 2456 227
+rect 2390 -163 2456 125
+rect 2582 217 2648 227
+rect 2582 -163 2648 125
+rect 2798 75 2870 85
+rect 2798 -26 2870 -16
+rect 2072 -363 2198 -163
+rect 2264 -363 2390 -163
+rect 2456 -363 2582 -163
+rect 2006 -373 2072 -363
+rect 2198 -373 2264 -363
+rect 2390 -373 2456 -363
+rect 2582 -373 2648 -363
+rect -576 -497 2878 -487
+rect -576 -686 2878 -676
+<< via2 >>
+rect 1090 674 1185 739
+rect 1195 -19 1267 72
+rect 1799 -23 1871 68
+rect 2798 -16 2870 75
+rect 1168 -626 1263 -561
+<< metal3 >>
+rect 1024 739 1195 744
+rect 1024 674 1090 739
+rect 1185 674 1195 739
+rect 1024 669 1195 674
+rect 1024 -556 1114 669
+rect 1185 72 1279 82
+rect 1185 -19 1195 72
+rect 1267 -19 1279 72
+rect 1185 -24 1279 -19
+rect 1789 68 1883 78
+rect 1789 -23 1799 68
+rect 1871 -23 1883 68
+rect 2788 75 2882 85
+rect 2788 -16 2798 75
+rect 2870 -16 2882 75
+rect 2788 -21 2882 -16
+rect 1789 -28 1883 -23
+rect 1024 -561 1273 -556
+rect 1024 -626 1168 -561
+rect 1263 -626 1273 -561
+rect 1024 -631 1273 -626
+use sky130_fd_pr__nfet_01v8_lvt_9B2JY7  sky130_fd_pr__nfet_01v8_lvt_9B2JY7_0
+timestamp 1624020979
+transform 1 0 -121 0 1 251
+box -455 -310 455 310
+use sky130_fd_pr__nfet_01v8_lvt_9B2JY7  sky130_fd_pr__nfet_01v8_lvt_9B2JY7_1
+timestamp 1624020979
+transform 1 0 -121 0 1 -263
+box -455 -310 455 310
+use sky130_fd_pr__nfet_01v8_lvt_72JNYZ  sky130_fd_pr__nfet_01v8_lvt_72JNYZ_1
+timestamp 1624032293
+transform 1 0 539 0 1 -263
+box -311 -310 311 310
+use sky130_fd_pr__nfet_01v8_lvt_72JNYZ  sky130_fd_pr__nfet_01v8_lvt_72JNYZ_0
+timestamp 1624032293
+transform 1 0 539 0 1 251
+box -311 -310 311 310
+use sky130_fd_pr__nfet_01v8_lvt_B2JNY3  sky130_fd_pr__nfet_01v8_lvt_B2JNY3_1
+timestamp 1623958660
+transform 1 0 1523 0 1 -263
+box -359 -310 359 310
+use sky130_fd_pr__nfet_01v8_lvt_B2JNY3  sky130_fd_pr__nfet_01v8_lvt_B2JNY3_0
+timestamp 1623958660
+transform 1 0 1523 0 1 251
+box -359 -310 359 310
+use sky130_fd_pr__nfet_01v8_lvt_MVT43V  sky130_fd_pr__nfet_01v8_lvt_MVT43V_1
+timestamp 1623958102
+transform 1 0 1007 0 1 -263
+box -263 -310 263 310
+use sky130_fd_pr__nfet_01v8_lvt_MVT43V  sky130_fd_pr__nfet_01v8_lvt_MVT43V_0
+timestamp 1623958102
+transform 1 0 1007 0 1 251
+box -263 -310 263 310
+use sky130_fd_pr__nfet_01v8_lvt_NMSMYT  sky130_fd_pr__nfet_01v8_lvt_NMSMYT_1
+timestamp 1623958459
+transform 1 0 2327 0 1 -263
+box -551 -310 551 310
+use sky130_fd_pr__nfet_01v8_lvt_NMSMYT  sky130_fd_pr__nfet_01v8_lvt_NMSMYT_0
+timestamp 1623958459
+transform 1 0 2327 0 1 251
+box -551 -310 551 310
+use sky130_fd_pr__pfet_01v8_XACJHL  sky130_fd_pr__pfet_01v8_XACJHL_0
+timestamp 1624020979
+transform -1 0 554 0 1 880
+box -263 -319 263 319
+use sky130_fd_pr__pfet_01v8_XAYTAL  sky130_fd_pr__pfet_01v8_XAYTAL_0
+timestamp 1623959550
+transform -1 0 1022 0 1 880
+box -311 -319 311 319
+<< labels >>
+rlabel via1 1258 1198 1292 1233 1 avdd1p8
+rlabel via1 -540 -584 -506 -549 1 avss1p8
+rlabel metal1 168 388 202 423 1 iref
+rlabel metal2 739 441 773 476 1 vctrl
+rlabel metal3 1215 9 1249 44 1 reg0
+rlabel metal3 1819 10 1853 45 1 reg1
+rlabel metal3 2809 13 2843 48 1 reg2
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/latch_diff.mag b/mag/afernandez_residue_amplifier/latch_diff.mag
new file mode 100644
index 0000000..dc7c2ec
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/latch_diff.mag
@@ -0,0 +1,251 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623798783
+<< nwell >>
+rect -33 2264 526 2352
+rect -33 2261 525 2264
+rect -33 2137 307 2261
+rect -33 1900 340 2137
+rect -33 1576 526 1900
+rect -33 -1 526 60
+rect -33 -628 0 -1
+rect -33 -716 526 -628
+<< pwell >>
+rect -33 1030 0 1576
+rect -33 967 503 1030
+rect -33 669 526 967
+rect -33 668 503 669
+rect -33 60 0 668
+<< psubdiff >>
+rect 36 1506 434 1540
+rect 36 563 70 1027
+rect 453 1002 555 1036
+rect 424 600 633 634
+rect 36 130 70 182
+rect 36 96 613 130
+<< nsubdiff >>
+rect 107 2282 131 2316
+rect 393 2282 417 2316
+rect 108 -680 132 -646
+rect 394 -680 418 -646
+<< nsubdiffcont >>
+rect 131 2282 393 2316
+rect 132 -680 394 -646
+<< poly >>
+rect 99 1807 230 1824
+rect 99 1773 124 1807
+rect 192 1773 230 1807
+rect 99 1758 230 1773
+rect 296 -137 427 -122
+rect 296 -171 334 -137
+rect 402 -171 427 -137
+rect 296 -188 427 -171
+<< polycont >>
+rect 124 1773 192 1807
+rect 334 -171 402 -137
+<< locali >>
+rect 108 1773 124 1807
+rect 192 1773 208 1807
+rect 70 1506 434 1540
+rect 70 96 434 130
+rect 318 -171 334 -137
+rect 402 -171 418 -137
+<< viali >>
+rect 36 2282 131 2316
+rect 131 2282 393 2316
+rect 393 2282 490 2316
+rect 36 2194 490 2228
+rect 124 1773 192 1807
+rect 36 1036 70 1540
+rect 36 1002 555 1036
+rect 36 634 70 1002
+rect 36 600 1077 634
+rect 36 96 70 600
+rect 434 96 1112 130
+rect 334 -171 402 -137
+rect 36 -592 490 -558
+rect 36 -680 132 -646
+rect 132 -680 394 -646
+rect 394 -680 490 -646
+<< metal1 >>
+rect -33 2316 526 2322
+rect -33 2282 36 2316
+rect 490 2282 526 2316
+rect -33 2228 526 2282
+rect -33 2194 36 2228
+rect 490 2194 526 2228
+rect -33 2188 526 2194
+rect 144 2045 190 2188
+rect 336 2045 382 2188
+rect 102 1761 112 1813
+rect 194 1761 204 1813
+rect 240 1651 286 1901
+rect 30 1540 76 1552
+rect 227 1547 237 1651
+rect 289 1547 299 1651
+rect 30 982 36 1540
+rect 70 1042 76 1540
+rect 240 1366 286 1547
+rect 198 1104 328 1138
+rect 70 1036 567 1042
+rect 555 1002 567 1036
+rect -33 654 36 982
+rect 30 96 36 654
+rect 70 996 567 1002
+rect 70 640 76 996
+rect 70 634 1089 640
+rect 1077 600 1089 634
+rect 70 594 1089 600
+rect 70 96 76 594
+rect 198 498 328 532
+rect 766 519 812 594
+rect 958 519 1004 594
+rect 657 392 667 511
+rect 657 280 667 346
+rect 719 280 729 511
+rect 849 281 859 512
+rect 911 281 921 512
+rect 30 84 76 96
+rect 240 89 286 270
+rect 714 192 954 232
+rect 422 130 1124 136
+rect 422 96 434 130
+rect 1112 96 1124 130
+rect 422 90 1124 96
+rect 227 -15 237 89
+rect 289 -15 299 89
+rect 240 -219 286 -15
+rect 322 -177 332 -125
+rect 414 -177 424 -125
+rect 144 -552 190 -408
+rect 336 -552 382 -399
+rect -33 -558 526 -552
+rect -33 -592 36 -558
+rect 490 -592 526 -558
+rect -33 -646 526 -592
+rect -33 -680 36 -646
+rect 490 -680 526 -646
+rect -33 -686 526 -680
+<< via1 >>
+rect 112 1807 194 1813
+rect 112 1773 124 1807
+rect 124 1773 192 1807
+rect 192 1773 194 1807
+rect 112 1761 194 1773
+rect 237 1547 289 1651
+rect 667 280 719 511
+rect 859 281 911 512
+rect 237 -15 289 89
+rect 332 -137 414 -125
+rect 332 -171 334 -137
+rect 334 -171 402 -137
+rect 402 -171 414 -137
+rect 332 -177 414 -171
+<< metal2 >>
+rect 102 1814 214 1824
+rect 102 1748 214 1758
+rect 237 1651 289 1661
+rect 340 1653 396 1663
+rect 289 1570 340 1629
+rect 237 1537 289 1547
+rect 396 1570 398 1629
+rect 340 1532 396 1542
+rect 497 1323 553 1333
+rect 359 1239 497 1299
+rect 497 1201 553 1211
+rect 667 511 719 521
+rect 859 512 911 522
+rect 470 396 582 404
+rect 360 394 667 396
+rect 360 338 470 394
+rect 582 338 667 394
+rect 360 336 667 338
+rect 470 328 582 336
+rect 719 281 859 511
+rect 719 280 911 281
+rect 667 270 719 280
+rect 859 271 911 280
+rect 130 94 186 104
+rect 128 7 130 66
+rect 237 89 289 99
+rect 186 7 237 66
+rect 130 -26 186 -17
+rect 237 -25 289 -15
+rect 312 -122 424 -112
+rect 312 -188 424 -178
+<< via2 >>
+rect 102 1813 214 1814
+rect 102 1761 112 1813
+rect 112 1761 194 1813
+rect 194 1761 214 1813
+rect 102 1758 214 1761
+rect 340 1542 396 1653
+rect 497 1211 553 1323
+rect 470 338 582 394
+rect 130 -17 186 94
+rect 312 -125 424 -122
+rect 312 -177 332 -125
+rect 332 -177 414 -125
+rect 414 -177 424 -125
+rect 312 -178 424 -177
+<< metal3 >>
+rect 92 1814 224 1819
+rect 92 1758 102 1814
+rect 214 1758 224 1814
+rect 92 1753 224 1758
+rect 128 99 188 1753
+rect 330 1653 406 1658
+rect 330 1542 340 1653
+rect 396 1542 406 1653
+rect 330 1537 406 1542
+rect 120 94 196 99
+rect 120 -17 130 94
+rect 186 -17 196 94
+rect 120 -22 196 -17
+rect 338 -117 398 1537
+rect 495 1328 555 1333
+rect 487 1323 563 1328
+rect 487 1211 497 1323
+rect 553 1211 563 1323
+rect 487 1206 563 1211
+rect 495 399 555 1206
+rect 460 394 592 399
+rect 460 338 470 394
+rect 582 338 592 394
+rect 460 333 592 338
+rect 495 323 555 333
+rect 302 -122 434 -117
+rect 302 -178 312 -122
+rect 424 -178 434 -122
+rect 302 -183 434 -178
+use sky130_fd_pr__nfet_01v8_2BS854  sky130_fd_pr__nfet_01v8_2BS854_0
+timestamp 1623795754
+transform 1 0 836 0 1 395
+box -311 -335 311 335
+use sky130_fd_pr__pfet_01v8_MJG8BZ  sky130_fd_pr__pfet_01v8_MJG8BZ_0
+timestamp 1623610677
+transform 1 0 263 0 1 1950
+box -263 -314 263 314
+use sky130_fd_pr__pfet_01v8_MJG8BZ *sky130_fd_pr__pfet_01v8_MJG8BZ_1
+timestamp 1623610677
+transform -1 0 263 0 -1 -314
+box -263 -314 263 314
+use sky130_fd_pr__nfet_01v8_KU9PSX *sky130_fd_pr__nfet_01v8_KU9PSX_1
+timestamp 1623610677
+transform 1 0 263 0 1 1271
+box -263 -305 263 305
+use sky130_fd_pr__nfet_01v8_KU9PSX *sky130_fd_pr__nfet_01v8_KU9PSX_0
+timestamp 1623610677
+transform 1 0 263 0 -1 365
+box -263 -305 263 305
+<< labels >>
+rlabel metal1 -33 654 36 982 1 vss
+rlabel metal1 -33 2228 526 2282 1 vdd
+rlabel metal3 128 94 188 1758 1 Q
+rlabel metal3 338 -122 398 1542 1 nQ
+rlabel metal1 198 1104 328 1138 1 D
+rlabel metal1 198 498 328 532 1 nD
+rlabel metal1 -33 -646 526 -592 1 vdd
+rlabel metal1 714 192 954 232 1 CLK
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/mux_2to1_logic.mag b/mag/afernandez_residue_amplifier/mux_2to1_logic.mag
new file mode 100644
index 0000000..768486f
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/mux_2to1_logic.mag
@@ -0,0 +1,170 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624063007
+<< nwell >>
+rect -475 579 -53 607
+rect 64 537 341 571
+rect 509 537 963 571
+<< pwell >>
+rect -53 -584 -1 -53
+rect -475 -633 -1 -584
+rect 421 -633 525 -53
+rect 561 -597 911 -563
+rect 947 -633 999 -53
+<< psubdiff >>
+rect 109 -597 133 -563
+rect 291 -597 315 -563
+rect 561 -597 659 -563
+rect 817 -597 911 -563
+<< nsubdiff >>
+rect 509 537 590 571
+rect 867 537 963 571
+<< psubdiffcont >>
+rect 133 -597 291 -563
+rect 659 -597 817 -563
+<< nsubdiffcont >>
+rect 64 537 341 571
+rect 590 537 867 571
+<< poly >>
+rect 123 419 297 485
+rect 649 423 823 489
+<< viali >>
+rect -17 537 64 571
+rect 64 537 341 571
+rect 341 537 437 571
+rect 509 537 590 571
+rect 590 537 867 571
+rect 867 537 963 571
+rect 35 -597 133 -563
+rect 133 -597 291 -563
+rect 291 -597 385 -563
+rect 561 -597 659 -563
+rect 659 -597 817 -563
+rect 817 -597 911 -563
+<< metal1 >>
+rect -475 571 999 607
+rect -475 537 -17 571
+rect 437 537 509 571
+rect 963 537 999 571
+rect -57 531 999 537
+rect 138 425 148 477
+rect 268 425 278 477
+rect 388 425 823 477
+rect 171 178 181 242
+rect 239 178 249 242
+rect 94 170 137 178
+rect 91 129 137 170
+rect 283 129 329 166
+rect 91 86 329 129
+rect 143 -204 189 86
+rect 221 -277 231 -213
+rect 289 -277 299 -213
+rect 388 -453 440 425
+rect 649 423 823 425
+rect 697 178 707 242
+rect 765 178 775 242
+rect 620 170 663 178
+rect 617 129 663 170
+rect 809 129 855 166
+rect 617 86 855 129
+rect 669 -204 715 86
+rect 747 -277 757 -213
+rect 815 -277 825 -213
+rect 167 -505 177 -453
+rect 236 -505 440 -453
+rect 693 -504 703 -452
+rect 762 -504 772 -452
+rect -475 -557 -53 -554
+rect -475 -563 999 -557
+rect -475 -597 35 -563
+rect 385 -597 561 -563
+rect 911 -597 999 -563
+rect -475 -633 999 -597
+<< via1 >>
+rect 148 425 268 477
+rect 181 178 239 242
+rect 231 -277 289 -213
+rect 707 178 765 242
+rect 757 -277 815 -213
+rect 177 -505 236 -453
+rect 703 -504 762 -452
+<< metal2 >>
+rect 148 477 268 487
+rect -76 425 148 477
+rect 268 425 522 477
+rect -76 116 -24 425
+rect 148 415 268 425
+rect 181 242 239 252
+rect 181 168 239 178
+rect -294 64 -24 116
+rect 187 129 233 168
+rect 187 83 288 129
+rect -294 -27 -242 64
+rect -345 -79 -242 -27
+rect -105 -79 7 -27
+rect -45 -453 7 -79
+rect 242 -73 288 83
+rect 242 -83 303 -73
+rect 242 -165 303 -155
+rect 242 -203 288 -165
+rect 231 -213 289 -203
+rect 231 -287 289 -277
+rect 177 -453 236 -443
+rect -45 -505 177 -453
+rect 470 -450 522 425
+rect 707 242 765 252
+rect 707 168 765 178
+rect 713 129 759 168
+rect 713 83 814 129
+rect 768 -73 814 83
+rect 768 -83 829 -73
+rect 768 -165 829 -155
+rect 768 -203 814 -165
+rect 757 -213 815 -203
+rect 757 -287 815 -277
+rect 703 -450 762 -442
+rect 470 -452 762 -450
+rect 470 -502 703 -452
+rect 177 -515 236 -505
+rect 703 -514 762 -504
+<< via2 >>
+rect 242 -155 303 -83
+rect 768 -155 829 -83
+<< metal3 >>
+rect 232 -83 313 -78
+rect 758 -83 839 -78
+rect 232 -155 242 -83
+rect 303 -155 768 -83
+rect 829 -155 839 -83
+rect 232 -160 313 -155
+rect 758 -160 839 -155
+use sky130_fd_pr__pfet_01v8_XA7ZMQ  sky130_fd_pr__pfet_01v8_XA7ZMQ_0
+timestamp 1623900471
+transform 1 0 210 0 1 277
+box -263 -330 263 330
+use inverter_min  inverter_min_0 ~/sky130-mpw2-fulgor/inverter_min/mag
+timestamp 1624038681
+transform 1 0 -422 0 1 -600
+box -53 16 369 1179
+use sky130_fd_pr__nfet_01v8_HAN8QX  sky130_fd_pr__nfet_01v8_HAN8QX_1
+timestamp 1623900471
+transform 1 0 736 0 -1 -343
+box -211 -290 211 290
+use sky130_fd_pr__pfet_01v8_XA7ZMQ  sky130_fd_pr__pfet_01v8_XA7ZMQ_1
+timestamp 1623900471
+transform 1 0 736 0 1 277
+box -263 -330 263 330
+use sky130_fd_pr__nfet_01v8_HAN8QX  sky130_fd_pr__nfet_01v8_HAN8QX_0
+timestamp 1623900471
+transform 1 0 210 0 -1 -343
+box -211 -290 211 290
+<< labels >>
+rlabel metal2 -332 -64 -311 -46 1 sel
+rlabel metal2 -94 -63 -73 -45 1 sel_b
+rlabel metal1 152 -61 173 -43 1 DinA
+rlabel metal1 681 -62 702 -44 1 DinB
+rlabel via2 786 -132 807 -114 1 out
+rlabel metal1 -390 563 -366 588 1 avdd1p8
+rlabel metal1 -310 -612 -286 -587 1 avss1p8
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/nand_logic.mag b/mag/afernandez_residue_amplifier/nand_logic.mag
new file mode 100644
index 0000000..c125dc5
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/nand_logic.mag
@@ -0,0 +1,117 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623952422
+<< nwell >>
+rect -47 532 671 707
+<< pwell >>
+rect -219 -731 833 -583
+<< psubdiff >>
+rect -111 -716 -87 -682
+rect 175 -716 199 -682
+rect 415 -716 439 -682
+rect 701 -716 725 -682
+<< nsubdiff >>
+rect -11 632 85 666
+rect 539 632 635 666
+<< psubdiffcont >>
+rect -87 -716 175 -682
+rect 439 -716 701 -682
+<< nsubdiffcont >>
+rect 85 632 539 666
+<< poly >>
+rect 162 106 200 114
+rect 162 -54 260 106
+rect 162 -98 180 -54
+rect 246 -98 260 -54
+rect 162 -156 260 -98
+rect 61 -220 260 -156
+rect 125 -222 260 -220
+rect 361 55 459 105
+rect 361 10 377 55
+rect 443 10 459 55
+rect 361 -156 459 10
+rect 361 -158 497 -156
+rect 361 -222 553 -158
+<< polycont >>
+rect 180 -98 246 -54
+rect 377 10 443 55
+<< viali >>
+rect -11 632 85 666
+rect 85 632 539 666
+rect 539 632 635 666
+rect -11 538 635 572
+rect 361 55 459 71
+rect 361 10 377 55
+rect 377 10 443 55
+rect 443 10 459 55
+rect 361 -5 459 10
+rect 162 -54 260 -38
+rect 162 -98 180 -54
+rect 180 -98 246 -54
+rect 246 -98 260 -54
+rect 162 -114 260 -98
+rect -87 -622 701 -588
+rect -183 -716 -87 -682
+rect -87 -716 175 -682
+rect 175 -716 439 -682
+rect 439 -716 701 -682
+rect 701 -716 797 -682
+<< metal1 >>
+rect -47 666 671 680
+rect -47 632 -11 666
+rect 635 632 671 666
+rect -47 572 671 632
+rect -47 538 -11 572
+rect 635 538 671 572
+rect -47 532 671 538
+rect 97 362 143 532
+rect 289 371 335 532
+rect 481 373 527 532
+rect 193 153 239 195
+rect 385 153 431 198
+rect 193 152 431 153
+rect 193 107 590 152
+rect 349 71 471 77
+rect 349 -5 361 71
+rect 459 -5 471 71
+rect 349 -11 471 -5
+rect 150 -38 272 -32
+rect 150 -114 162 -38
+rect 260 -114 272 -38
+rect 150 -120 272 -114
+rect 21 -215 333 -169
+rect 21 -341 67 -215
+rect -75 -582 -29 -404
+rect 117 -582 163 -400
+rect 287 -497 333 -215
+rect 547 -310 590 107
+rect 451 -497 497 -375
+rect 643 -497 689 -407
+rect 287 -543 689 -497
+rect -219 -588 833 -582
+rect -219 -622 -87 -588
+rect 701 -622 833 -588
+rect -219 -682 833 -622
+rect -219 -716 -183 -682
+rect 797 -716 833 -682
+rect -219 -731 833 -716
+use sky130_fd_pr__nfet_01v8_XRJ78J  sky130_fd_pr__nfet_01v8_XRJ78J_1
+timestamp 1623948006
+transform -1 0 570 0 1 -346
+box -263 -312 263 312
+use sky130_fd_pr__nfet_01v8_XRJ78J  sky130_fd_pr__nfet_01v8_XRJ78J_0
+timestamp 1623948006
+transform 1 0 44 0 1 -346
+box -263 -312 263 312
+use sky130_fd_pr__pfet_01v8_75PKJG  sky130_fd_pr__pfet_01v8_75PKJG_0
+timestamp 1623948006
+transform 1 0 312 0 1 287
+box -359 -321 359 321
+<< labels >>
+rlabel viali 211 -101 242 -62 1 in1
+rlabel metal1 554 -86 585 -47 1 out
+rlabel metal1 255 -671 286 -632 1 avss1p8
+rlabel metal1 289 584 320 623 1 avdd1p8
+rlabel metal1 395 15 426 54 1 in2
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/res_amp_lin.mag b/mag/afernandez_residue_amplifier/res_amp_lin.mag
new file mode 100644
index 0000000..0514afd
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/res_amp_lin.mag
@@ -0,0 +1,1647 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624115960
+<< nwell >>
+rect 1572 6 4828 915
+rect 2386 -596 4828 6
+rect 1572 -1234 4828 -596
+<< pwell >>
+rect 3200 -1325 3622 -1321
+rect 1572 -2058 4828 -1325
+<< pmos >>
+rect 2586 -377 2616 -177
+rect 2682 -377 2712 -177
+rect 2778 -377 2808 -177
+rect 2874 -377 2904 -177
+rect 2970 -377 3000 -177
+rect 3400 -377 3430 -177
+rect 3496 -377 3526 -177
+rect 3592 -377 3622 -177
+rect 3688 -377 3718 -177
+rect 3784 -377 3814 -177
+rect 4214 -377 4244 -177
+rect 4310 -377 4340 -177
+rect 4406 -377 4436 -177
+rect 4502 -377 4532 -177
+rect 4598 -377 4628 -177
+rect 1772 -1015 1802 -815
+rect 1868 -1015 1898 -815
+rect 1964 -1015 1994 -815
+rect 2060 -1015 2090 -815
+rect 2156 -1015 2186 -815
+rect 2586 -1015 2616 -815
+rect 2682 -1015 2712 -815
+rect 2778 -1015 2808 -815
+rect 2874 -1015 2904 -815
+rect 2970 -1015 3000 -815
+rect 3400 -1015 3430 -815
+rect 3496 -1015 3526 -815
+rect 3592 -1015 3622 -815
+rect 3688 -1015 3718 -815
+rect 3784 -1015 3814 -815
+rect 4214 -1015 4244 -815
+rect 4310 -1015 4340 -815
+rect 4406 -1015 4436 -815
+rect 4502 -1015 4532 -815
+rect 4598 -1015 4628 -815
+<< nmoslvt >>
+rect 3396 -1631 3426 -1531
+<< ndiff >>
+rect 3338 -1543 3396 -1531
+rect 3338 -1619 3350 -1543
+rect 3384 -1619 3396 -1543
+rect 3338 -1631 3396 -1619
+rect 3426 -1543 3484 -1531
+rect 3426 -1619 3438 -1543
+rect 3472 -1619 3484 -1543
+rect 3426 -1631 3484 -1619
+<< pdiff >>
+rect 3747 449 3813 461
+rect 3747 273 3763 449
+rect 3797 273 3813 449
+rect 3747 261 3813 273
+rect 3939 449 4005 461
+rect 3939 273 3955 449
+rect 3989 273 4005 449
+rect 3939 261 4005 273
+rect 2524 -189 2586 -177
+rect 2524 -365 2536 -189
+rect 2570 -365 2586 -189
+rect 2524 -377 2586 -365
+rect 2616 -189 2682 -177
+rect 2616 -365 2632 -189
+rect 2666 -365 2682 -189
+rect 2616 -377 2682 -365
+rect 2712 -189 2778 -177
+rect 2712 -365 2728 -189
+rect 2762 -365 2778 -189
+rect 2712 -377 2778 -365
+rect 2808 -189 2874 -177
+rect 2808 -365 2824 -189
+rect 2858 -365 2874 -189
+rect 2808 -377 2874 -365
+rect 2904 -189 2970 -177
+rect 2904 -365 2920 -189
+rect 2954 -365 2970 -189
+rect 2904 -377 2970 -365
+rect 3000 -189 3062 -177
+rect 3000 -365 3016 -189
+rect 3050 -365 3062 -189
+rect 3000 -377 3062 -365
+rect 3338 -189 3400 -177
+rect 3338 -365 3350 -189
+rect 3384 -365 3400 -189
+rect 3338 -377 3400 -365
+rect 3430 -189 3496 -177
+rect 3430 -365 3446 -189
+rect 3480 -365 3496 -189
+rect 3430 -377 3496 -365
+rect 3526 -189 3592 -177
+rect 3526 -365 3542 -189
+rect 3576 -365 3592 -189
+rect 3526 -377 3592 -365
+rect 3622 -189 3688 -177
+rect 3622 -365 3638 -189
+rect 3672 -365 3688 -189
+rect 3622 -377 3688 -365
+rect 3718 -189 3784 -177
+rect 3718 -365 3734 -189
+rect 3768 -365 3784 -189
+rect 3718 -377 3784 -365
+rect 3814 -189 3876 -177
+rect 3814 -365 3830 -189
+rect 3864 -365 3876 -189
+rect 3814 -377 3876 -365
+rect 4152 -189 4214 -177
+rect 4152 -365 4164 -189
+rect 4198 -365 4214 -189
+rect 4152 -377 4214 -365
+rect 4244 -189 4310 -177
+rect 4244 -365 4260 -189
+rect 4294 -365 4310 -189
+rect 4244 -377 4310 -365
+rect 4340 -189 4406 -177
+rect 4340 -365 4356 -189
+rect 4390 -365 4406 -189
+rect 4340 -377 4406 -365
+rect 4436 -189 4502 -177
+rect 4436 -365 4452 -189
+rect 4486 -365 4502 -189
+rect 4436 -377 4502 -365
+rect 4532 -189 4598 -177
+rect 4532 -365 4548 -189
+rect 4582 -365 4598 -189
+rect 4532 -377 4598 -365
+rect 4628 -189 4690 -177
+rect 4628 -365 4644 -189
+rect 4678 -365 4690 -189
+rect 4628 -377 4690 -365
+rect 1710 -827 1772 -815
+rect 1710 -1003 1722 -827
+rect 1756 -1003 1772 -827
+rect 1710 -1015 1772 -1003
+rect 1802 -827 1868 -815
+rect 1802 -1003 1818 -827
+rect 1852 -1003 1868 -827
+rect 1802 -1015 1868 -1003
+rect 1898 -827 1964 -815
+rect 1898 -1003 1914 -827
+rect 1948 -1003 1964 -827
+rect 1898 -1015 1964 -1003
+rect 1994 -827 2060 -815
+rect 1994 -1003 2010 -827
+rect 2044 -1003 2060 -827
+rect 1994 -1015 2060 -1003
+rect 2090 -827 2156 -815
+rect 2090 -1003 2106 -827
+rect 2140 -1003 2156 -827
+rect 2090 -1015 2156 -1003
+rect 2186 -827 2248 -815
+rect 2186 -1003 2202 -827
+rect 2236 -1003 2248 -827
+rect 2186 -1015 2248 -1003
+rect 2524 -827 2586 -815
+rect 2524 -1003 2536 -827
+rect 2570 -1003 2586 -827
+rect 2524 -1015 2586 -1003
+rect 2616 -827 2682 -815
+rect 2616 -1003 2632 -827
+rect 2666 -1003 2682 -827
+rect 2616 -1015 2682 -1003
+rect 2712 -827 2778 -815
+rect 2712 -1003 2728 -827
+rect 2762 -1003 2778 -827
+rect 2712 -1015 2778 -1003
+rect 2808 -827 2874 -815
+rect 2808 -1003 2824 -827
+rect 2858 -1003 2874 -827
+rect 2808 -1015 2874 -1003
+rect 2904 -827 2970 -815
+rect 2904 -1003 2920 -827
+rect 2954 -1003 2970 -827
+rect 2904 -1015 2970 -1003
+rect 3000 -827 3062 -815
+rect 3000 -1003 3016 -827
+rect 3050 -1003 3062 -827
+rect 3000 -1015 3062 -1003
+rect 3338 -827 3400 -815
+rect 3338 -1003 3350 -827
+rect 3384 -1003 3400 -827
+rect 3338 -1015 3400 -1003
+rect 3430 -827 3496 -815
+rect 3430 -1003 3446 -827
+rect 3480 -1003 3496 -827
+rect 3430 -1015 3496 -1003
+rect 3526 -827 3592 -815
+rect 3526 -1003 3542 -827
+rect 3576 -1003 3592 -827
+rect 3526 -1015 3592 -1003
+rect 3622 -827 3688 -815
+rect 3622 -1003 3638 -827
+rect 3672 -1003 3688 -827
+rect 3622 -1015 3688 -1003
+rect 3718 -827 3784 -815
+rect 3718 -1003 3734 -827
+rect 3768 -1003 3784 -827
+rect 3718 -1015 3784 -1003
+rect 3814 -827 3876 -815
+rect 3814 -1003 3830 -827
+rect 3864 -1003 3876 -827
+rect 3814 -1015 3876 -1003
+rect 4152 -827 4214 -815
+rect 4152 -1003 4164 -827
+rect 4198 -1003 4214 -827
+rect 4152 -1015 4214 -1003
+rect 4244 -827 4310 -815
+rect 4244 -1003 4260 -827
+rect 4294 -1003 4310 -827
+rect 4244 -1015 4310 -1003
+rect 4340 -827 4406 -815
+rect 4340 -1003 4356 -827
+rect 4390 -1003 4406 -827
+rect 4340 -1015 4406 -1003
+rect 4436 -827 4502 -815
+rect 4436 -1003 4452 -827
+rect 4486 -1003 4502 -827
+rect 4436 -1015 4502 -1003
+rect 4532 -827 4598 -815
+rect 4532 -1003 4548 -827
+rect 4582 -1003 4598 -827
+rect 4532 -1015 4598 -1003
+rect 4628 -827 4690 -815
+rect 4628 -1003 4644 -827
+rect 4678 -1003 4690 -827
+rect 4628 -1015 4690 -1003
+<< ndiffc >>
+rect 3350 -1619 3384 -1543
+rect 3438 -1619 3472 -1543
+<< pdiffc >>
+rect 3763 273 3797 449
+rect 3955 273 3989 449
+rect 2536 -365 2570 -189
+rect 2632 -365 2666 -189
+rect 2728 -365 2762 -189
+rect 2824 -365 2858 -189
+rect 2920 -365 2954 -189
+rect 3016 -365 3050 -189
+rect 3350 -365 3384 -189
+rect 3446 -365 3480 -189
+rect 3542 -365 3576 -189
+rect 3638 -365 3672 -189
+rect 3734 -365 3768 -189
+rect 3830 -365 3864 -189
+rect 4164 -365 4198 -189
+rect 4260 -365 4294 -189
+rect 4356 -365 4390 -189
+rect 4452 -365 4486 -189
+rect 4548 -365 4582 -189
+rect 4644 -365 4678 -189
+rect 1722 -1003 1756 -827
+rect 1818 -1003 1852 -827
+rect 1914 -1003 1948 -827
+rect 2010 -1003 2044 -827
+rect 2106 -1003 2140 -827
+rect 2202 -1003 2236 -827
+rect 2536 -1003 2570 -827
+rect 2632 -1003 2666 -827
+rect 2728 -1003 2762 -827
+rect 2824 -1003 2858 -827
+rect 2920 -1003 2954 -827
+rect 3016 -1003 3050 -827
+rect 3350 -1003 3384 -827
+rect 3446 -1003 3480 -827
+rect 3542 -1003 3576 -827
+rect 3638 -1003 3672 -827
+rect 3734 -1003 3768 -827
+rect 3830 -1003 3864 -827
+rect 4164 -1003 4198 -827
+rect 4260 -1003 4294 -827
+rect 4356 -1003 4390 -827
+rect 4452 -1003 4486 -827
+rect 4548 -1003 4582 -827
+rect 4644 -1003 4678 -827
+<< psubdiff >>
+rect 3236 -1391 3332 -1357
+rect 3490 -1391 3586 -1357
+rect 3236 -1453 3270 -1391
+rect 3552 -1453 3586 -1391
+rect 3236 -1709 3270 -1647
+rect 3552 -1709 3586 -1647
+rect 2814 -1743 3332 -1709
+rect 3490 -1743 3586 -1709
+rect 2814 -1798 3586 -1743
+rect 1646 -2011 1670 -1798
+rect 4777 -2011 4801 -1798
+<< nsubdiff >>
+rect 1611 691 1635 879
+rect 4763 698 4787 879
+rect 4199 691 4787 698
+rect 2835 636 4787 691
+rect 1624 406 2741 424
+rect 1624 115 1662 406
+rect 2706 115 2741 406
+rect 1624 76 2741 115
+rect 4197 94 4787 636
+rect 2422 -28 2518 6
+rect 3068 -28 3164 6
+rect 2422 -90 2456 -28
+rect 3130 -90 3164 -28
+rect 2422 -526 2456 -464
+rect 3130 -526 3164 -464
+rect 2422 -560 2518 -526
+rect 3068 -560 3164 -526
+rect 3236 -28 3332 6
+rect 3882 -28 3978 6
+rect 3236 -90 3270 -28
+rect 3944 -90 3978 -28
+rect 3236 -526 3270 -464
+rect 3944 -526 3978 -464
+rect 3236 -560 3332 -526
+rect 3882 -560 3978 -526
+rect 4050 -28 4146 6
+rect 4696 -28 4792 6
+rect 4050 -90 4084 -28
+rect 4758 -90 4792 -28
+rect 4050 -526 4084 -464
+rect 4758 -526 4792 -464
+rect 4050 -560 4146 -526
+rect 4696 -560 4792 -526
+rect 1608 -666 1704 -632
+rect 2254 -666 2350 -632
+rect 1608 -728 1642 -666
+rect 2316 -728 2350 -666
+rect 1608 -1164 1642 -1102
+rect 2316 -1164 2350 -1102
+rect 1608 -1198 1704 -1164
+rect 2254 -1198 2350 -1164
+rect 2422 -666 2518 -632
+rect 3068 -666 3164 -632
+rect 2422 -728 2456 -666
+rect 3130 -728 3164 -666
+rect 2422 -1164 2456 -1102
+rect 3130 -1164 3164 -1102
+rect 2422 -1198 2518 -1164
+rect 3068 -1198 3164 -1164
+rect 3236 -666 3332 -632
+rect 3882 -666 3978 -632
+rect 3236 -728 3270 -666
+rect 3944 -728 3978 -666
+rect 3236 -1164 3270 -1102
+rect 3944 -1164 3978 -1102
+rect 3236 -1198 3332 -1164
+rect 3882 -1198 3978 -1164
+rect 4050 -666 4146 -632
+rect 4696 -666 4792 -632
+rect 4050 -728 4084 -666
+rect 4758 -728 4792 -666
+rect 4050 -1164 4084 -1102
+rect 4758 -1164 4792 -1102
+rect 4050 -1198 4146 -1164
+rect 4696 -1198 4792 -1164
+<< psubdiffcont >>
+rect 3332 -1391 3490 -1357
+rect 3236 -1647 3270 -1453
+rect 3552 -1647 3586 -1453
+rect 3332 -1743 3490 -1709
+rect 1670 -2011 4777 -1798
+<< nsubdiffcont >>
+rect 1635 698 4763 879
+rect 1635 691 4199 698
+rect 1662 115 2706 406
+rect 2518 -28 3068 6
+rect 2422 -464 2456 -90
+rect 3130 -464 3164 -90
+rect 2518 -560 3068 -526
+rect 3332 -28 3882 6
+rect 3236 -464 3270 -90
+rect 3944 -464 3978 -90
+rect 3332 -560 3882 -526
+rect 4146 -28 4696 6
+rect 4050 -464 4084 -90
+rect 4758 -464 4792 -90
+rect 4146 -560 4696 -526
+rect 1704 -666 2254 -632
+rect 1608 -1102 1642 -728
+rect 2316 -1102 2350 -728
+rect 1704 -1198 2254 -1164
+rect 2518 -666 3068 -632
+rect 2422 -1102 2456 -728
+rect 3130 -1102 3164 -728
+rect 2518 -1198 3068 -1164
+rect 3332 -666 3882 -632
+rect 3236 -1102 3270 -728
+rect 3944 -1102 3978 -728
+rect 3332 -1198 3882 -1164
+rect 4146 -666 4696 -632
+rect 4050 -1102 4084 -728
+rect 4758 -1102 4792 -728
+rect 4146 -1198 4696 -1164
+<< poly >>
+rect 2586 -177 2616 -151
+rect 2682 -177 2712 -151
+rect 2778 -177 2808 -151
+rect 2874 -177 2904 -151
+rect 2970 -177 3000 -151
+rect 2586 -408 2616 -377
+rect 2682 -408 2712 -377
+rect 2778 -408 2808 -377
+rect 2874 -408 2904 -377
+rect 2970 -408 3000 -377
+rect 2568 -424 3018 -408
+rect 2568 -458 2616 -424
+rect 2974 -458 3018 -424
+rect 2568 -474 3018 -458
+rect 3400 -177 3430 -151
+rect 3496 -177 3526 -151
+rect 3592 -177 3622 -151
+rect 3688 -177 3718 -151
+rect 3784 -177 3814 -151
+rect 3400 -408 3430 -377
+rect 3496 -408 3526 -377
+rect 3592 -408 3622 -377
+rect 3688 -408 3718 -377
+rect 3784 -408 3814 -377
+rect 3382 -424 3832 -408
+rect 3382 -458 3430 -424
+rect 3788 -458 3832 -424
+rect 3382 -474 3832 -458
+rect 4214 -177 4244 -151
+rect 4310 -177 4340 -151
+rect 4406 -177 4436 -151
+rect 4502 -177 4532 -151
+rect 4598 -177 4628 -151
+rect 4214 -408 4244 -377
+rect 4310 -408 4340 -377
+rect 4406 -408 4436 -377
+rect 4502 -408 4532 -377
+rect 4598 -408 4628 -377
+rect 4196 -424 4646 -408
+rect 4196 -458 4244 -424
+rect 4602 -458 4646 -424
+rect 4196 -474 4646 -458
+rect 1754 -734 2204 -718
+rect 1754 -768 1802 -734
+rect 2160 -768 2204 -734
+rect 1754 -784 2204 -768
+rect 1772 -815 1802 -784
+rect 1868 -815 1898 -784
+rect 1964 -815 1994 -784
+rect 2060 -815 2090 -784
+rect 2156 -815 2186 -784
+rect 1772 -1041 1802 -1015
+rect 1868 -1041 1898 -1015
+rect 1964 -1041 1994 -1015
+rect 2060 -1041 2090 -1015
+rect 2156 -1041 2186 -1015
+rect 2568 -734 3018 -718
+rect 2568 -768 2616 -734
+rect 2974 -768 3018 -734
+rect 2568 -784 3018 -768
+rect 2586 -815 2616 -784
+rect 2682 -815 2712 -784
+rect 2778 -815 2808 -784
+rect 2874 -815 2904 -784
+rect 2970 -815 3000 -784
+rect 2586 -1041 2616 -1015
+rect 2682 -1041 2712 -1015
+rect 2778 -1041 2808 -1015
+rect 2874 -1041 2904 -1015
+rect 2970 -1041 3000 -1015
+rect 3382 -734 3832 -718
+rect 3382 -768 3430 -734
+rect 3788 -768 3832 -734
+rect 3382 -784 3832 -768
+rect 3400 -815 3430 -784
+rect 3496 -815 3526 -784
+rect 3592 -815 3622 -784
+rect 3688 -815 3718 -784
+rect 3784 -815 3814 -784
+rect 3400 -1041 3430 -1015
+rect 3496 -1041 3526 -1015
+rect 3592 -1041 3622 -1015
+rect 3688 -1041 3718 -1015
+rect 3784 -1041 3814 -1015
+rect 4196 -734 4646 -718
+rect 4196 -768 4244 -734
+rect 4602 -768 4646 -734
+rect 4196 -784 4646 -768
+rect 4214 -815 4244 -784
+rect 4310 -815 4340 -784
+rect 4406 -815 4436 -784
+rect 4502 -815 4532 -784
+rect 4598 -815 4628 -784
+rect 4214 -1041 4244 -1015
+rect 4310 -1041 4340 -1015
+rect 4406 -1041 4436 -1015
+rect 4502 -1041 4532 -1015
+rect 4598 -1041 4628 -1015
+rect 2956 -1447 3022 -1421
+rect 3378 -1459 3444 -1421
+rect 3378 -1493 3394 -1459
+rect 3428 -1493 3444 -1459
+rect 3378 -1509 3444 -1493
+rect 3396 -1531 3426 -1509
+rect 3396 -1657 3426 -1631
+<< polycont >>
+rect 2616 -458 2974 -424
+rect 3430 -458 3788 -424
+rect 4244 -458 4602 -424
+rect 1802 -768 2160 -734
+rect 2616 -768 2974 -734
+rect 3430 -768 3788 -734
+rect 4244 -768 4602 -734
+rect 3394 -1493 3428 -1459
+<< locali >>
+rect 1619 691 1635 879
+rect 4763 691 4781 879
+rect 2835 636 4228 691
+rect 3763 449 3797 465
+rect 1624 406 2733 424
+rect 1624 115 1662 406
+rect 2706 115 2733 406
+rect 3763 257 3797 273
+rect 3955 449 3989 465
+rect 3955 257 3989 273
+rect 4199 145 4228 636
+rect 4741 145 4781 691
+rect 4199 132 4781 145
+rect 4195 116 4781 132
+rect 1624 76 2733 115
+rect 2422 -28 2518 6
+rect 3068 -28 3164 6
+rect 2422 -90 2456 -28
+rect 3130 -90 3164 -28
+rect 2536 -189 2570 -173
+rect 2536 -381 2570 -365
+rect 2632 -189 2666 -173
+rect 2632 -381 2666 -365
+rect 2728 -189 2762 -173
+rect 2728 -381 2762 -365
+rect 2824 -189 2858 -173
+rect 2824 -381 2858 -365
+rect 2920 -189 2954 -173
+rect 2920 -381 2954 -365
+rect 3016 -189 3050 -173
+rect 3016 -381 3050 -365
+rect 2587 -458 2616 -424
+rect 2974 -458 2999 -424
+rect 2422 -526 2456 -464
+rect 3130 -526 3164 -464
+rect 2422 -560 2518 -526
+rect 3068 -560 3164 -526
+rect 3236 -28 3332 6
+rect 3882 -28 3978 6
+rect 3236 -90 3270 -28
+rect 3944 -90 3978 -28
+rect 3350 -189 3384 -173
+rect 3350 -381 3384 -365
+rect 3446 -189 3480 -173
+rect 3446 -381 3480 -365
+rect 3542 -189 3576 -173
+rect 3542 -381 3576 -365
+rect 3638 -189 3672 -173
+rect 3638 -381 3672 -365
+rect 3734 -189 3768 -173
+rect 3734 -381 3768 -365
+rect 3830 -189 3864 -173
+rect 3830 -381 3864 -365
+rect 3401 -458 3430 -424
+rect 3788 -458 3813 -424
+rect 3236 -526 3270 -464
+rect 3944 -526 3978 -464
+rect 3236 -560 3332 -526
+rect 3882 -560 3978 -526
+rect 4050 -28 4146 6
+rect 4696 -28 4792 6
+rect 4050 -90 4084 -28
+rect 4758 -90 4792 -28
+rect 4164 -189 4198 -173
+rect 4164 -381 4198 -365
+rect 4260 -189 4294 -173
+rect 4260 -381 4294 -365
+rect 4356 -189 4390 -173
+rect 4356 -381 4390 -365
+rect 4452 -189 4486 -173
+rect 4452 -381 4486 -365
+rect 4548 -189 4582 -173
+rect 4548 -381 4582 -365
+rect 4644 -189 4678 -173
+rect 4644 -381 4678 -365
+rect 4215 -458 4244 -424
+rect 4602 -458 4627 -424
+rect 4050 -526 4084 -464
+rect 4758 -526 4792 -464
+rect 4050 -560 4146 -526
+rect 4696 -560 4792 -526
+rect 1608 -666 1704 -632
+rect 2254 -666 2350 -632
+rect 1608 -728 1642 -666
+rect 2316 -728 2350 -666
+rect 1773 -768 1802 -734
+rect 2160 -768 2185 -734
+rect 1722 -827 1756 -811
+rect 1722 -1019 1756 -1003
+rect 1818 -827 1852 -811
+rect 1818 -1019 1852 -1003
+rect 1914 -827 1948 -811
+rect 1914 -1019 1948 -1003
+rect 2010 -827 2044 -811
+rect 2010 -1019 2044 -1003
+rect 2106 -827 2140 -811
+rect 2106 -1019 2140 -1003
+rect 2202 -827 2236 -811
+rect 2202 -1019 2236 -1003
+rect 1608 -1164 1642 -1102
+rect 2316 -1164 2350 -1102
+rect 1608 -1198 1704 -1164
+rect 2254 -1198 2350 -1164
+rect 2422 -666 2518 -632
+rect 3068 -666 3164 -632
+rect 2422 -728 2456 -666
+rect 3130 -728 3164 -666
+rect 2587 -768 2616 -734
+rect 2974 -768 2999 -734
+rect 2536 -827 2570 -811
+rect 2536 -1019 2570 -1003
+rect 2632 -827 2666 -811
+rect 2632 -1019 2666 -1003
+rect 2728 -827 2762 -811
+rect 2728 -1019 2762 -1003
+rect 2824 -827 2858 -811
+rect 2824 -1019 2858 -1003
+rect 2920 -827 2954 -811
+rect 2920 -1019 2954 -1003
+rect 3016 -827 3050 -811
+rect 3016 -1019 3050 -1003
+rect 2422 -1164 2456 -1102
+rect 3130 -1164 3164 -1102
+rect 2422 -1198 2518 -1164
+rect 3068 -1198 3164 -1164
+rect 3236 -666 3332 -632
+rect 3882 -666 3978 -632
+rect 3236 -728 3270 -666
+rect 3944 -728 3978 -666
+rect 3401 -768 3430 -734
+rect 3788 -768 3813 -734
+rect 3350 -827 3384 -811
+rect 3350 -1019 3384 -1003
+rect 3446 -827 3480 -811
+rect 3446 -1019 3480 -1003
+rect 3542 -827 3576 -811
+rect 3542 -1019 3576 -1003
+rect 3638 -827 3672 -811
+rect 3638 -1019 3672 -1003
+rect 3734 -827 3768 -811
+rect 3734 -1019 3768 -1003
+rect 3830 -827 3864 -811
+rect 3830 -1019 3864 -1003
+rect 3236 -1164 3270 -1102
+rect 3944 -1164 3978 -1102
+rect 3236 -1198 3332 -1164
+rect 3882 -1198 3978 -1164
+rect 4050 -666 4146 -632
+rect 4696 -666 4792 -632
+rect 4050 -728 4084 -666
+rect 4758 -728 4792 -666
+rect 4215 -768 4244 -734
+rect 4602 -768 4627 -734
+rect 4164 -827 4198 -811
+rect 4164 -1019 4198 -1003
+rect 4260 -827 4294 -811
+rect 4260 -1019 4294 -1003
+rect 4356 -827 4390 -811
+rect 4356 -1019 4390 -1003
+rect 4452 -827 4486 -811
+rect 4452 -1019 4486 -1003
+rect 4548 -827 4582 -811
+rect 4548 -1019 4582 -1003
+rect 4644 -827 4678 -811
+rect 4644 -1019 4678 -1003
+rect 4050 -1164 4084 -1102
+rect 4758 -1164 4792 -1102
+rect 4050 -1198 4146 -1164
+rect 4696 -1198 4792 -1164
+rect 3236 -1391 3332 -1357
+rect 3490 -1391 3586 -1357
+rect 3236 -1453 3270 -1391
+rect 3552 -1453 3586 -1391
+rect 3378 -1493 3394 -1459
+rect 3428 -1493 3444 -1459
+rect 3350 -1543 3384 -1527
+rect 3350 -1635 3384 -1619
+rect 3438 -1543 3472 -1527
+rect 3438 -1635 3472 -1619
+rect 3236 -1709 3270 -1647
+rect 3552 -1709 3586 -1647
+rect 2814 -1743 3332 -1709
+rect 3490 -1743 3586 -1709
+rect 2814 -1798 3586 -1743
+rect 1654 -2011 1670 -1798
+rect 4777 -2011 4793 -1798
+<< viali >>
+rect 2592 698 4763 879
+rect 2592 691 4199 698
+rect 4199 691 4763 698
+rect 1662 115 2706 406
+rect 3763 273 3797 449
+rect 3955 273 3989 449
+rect 4228 145 4741 691
+rect 2536 -365 2570 -189
+rect 2632 -365 2666 -189
+rect 2728 -365 2762 -189
+rect 2824 -365 2858 -189
+rect 2920 -365 2954 -189
+rect 3016 -365 3050 -189
+rect 2616 -458 2974 -424
+rect 3350 -365 3384 -189
+rect 3446 -365 3480 -189
+rect 3542 -365 3576 -189
+rect 3638 -365 3672 -189
+rect 3734 -365 3768 -189
+rect 3830 -365 3864 -189
+rect 3430 -458 3788 -424
+rect 4164 -365 4198 -189
+rect 4260 -365 4294 -189
+rect 4356 -365 4390 -189
+rect 4452 -365 4486 -189
+rect 4548 -365 4582 -189
+rect 4644 -365 4678 -189
+rect 4244 -458 4602 -424
+rect 1802 -768 2160 -734
+rect 1722 -1003 1756 -827
+rect 1818 -1003 1852 -827
+rect 1914 -1003 1948 -827
+rect 2010 -1003 2044 -827
+rect 2106 -1003 2140 -827
+rect 2202 -1003 2236 -827
+rect 2616 -768 2974 -734
+rect 2536 -1003 2570 -827
+rect 2632 -1003 2666 -827
+rect 2728 -1003 2762 -827
+rect 2824 -1003 2858 -827
+rect 2920 -1003 2954 -827
+rect 3016 -1003 3050 -827
+rect 3430 -768 3788 -734
+rect 3350 -1003 3384 -827
+rect 3446 -1003 3480 -827
+rect 3542 -1003 3576 -827
+rect 3638 -1003 3672 -827
+rect 3734 -1003 3768 -827
+rect 3830 -1003 3864 -827
+rect 4244 -768 4602 -734
+rect 4164 -1003 4198 -827
+rect 4260 -1003 4294 -827
+rect 4356 -1003 4390 -827
+rect 4452 -1003 4486 -827
+rect 4548 -1003 4582 -827
+rect 4644 -1003 4678 -827
+rect 2972 -1493 3006 -1425
+rect 3394 -1459 3428 -1425
+rect 3394 -1493 3428 -1459
+rect 3350 -1619 3384 -1543
+rect 3438 -1619 3472 -1543
+rect 1670 -2011 4777 -1798
+<< metal1 >>
+rect 1623 879 4775 885
+rect 1623 691 1635 879
+rect 4763 691 4775 879
+rect 1623 685 4228 691
+rect 2996 515 3006 570
+rect 3405 515 3415 570
+rect 3795 514 3805 589
+rect 4054 514 4064 589
+rect 1650 406 2718 412
+rect 1650 115 1662 406
+rect 2706 115 2718 406
+rect 1650 109 2718 115
+rect 2943 42 2989 290
+rect 3026 261 3036 461
+rect 3088 261 3098 461
+rect 3135 42 3181 290
+rect 3218 261 3228 461
+rect 3280 261 3290 461
+rect 3327 42 3373 290
+rect 3410 261 3420 461
+rect 3472 261 3482 461
+rect 3744 261 3754 461
+rect 3806 261 3816 461
+rect 3853 216 3899 290
+rect 3936 261 3946 461
+rect 3998 261 4008 461
+rect 4045 216 4091 290
+rect 4217 216 4228 685
+rect 3853 170 4228 216
+rect 4217 145 4228 170
+rect 4741 685 4775 691
+rect 4741 145 4753 685
+rect 4875 627 4885 900
+rect 5111 627 5121 900
+rect 4885 568 5109 627
+rect 4875 503 4885 568
+rect 5108 503 5118 568
+rect 4217 133 4753 145
+rect 1706 36 2156 42
+rect 1706 -28 1716 36
+rect 2146 -28 2156 36
+rect 2520 36 3130 42
+rect 2520 -28 2530 36
+rect 1716 -206 1762 -34
+rect 1799 -377 1809 -177
+rect 1861 -377 1871 -177
+rect 1908 -206 1954 -34
+rect 1991 -377 2001 -177
+rect 2053 -377 2063 -177
+rect 2100 -206 2146 -34
+rect 2960 -17 3130 36
+rect 2960 -23 2989 -17
+rect 2960 -28 2970 -23
+rect 2183 -377 2193 -177
+rect 2245 -377 2255 -177
+rect 2530 -189 2576 -34
+rect 2530 -365 2536 -189
+rect 2570 -365 2576 -189
+rect 2530 -377 2576 -365
+rect 2613 -377 2623 -177
+rect 2675 -377 2685 -177
+rect 2722 -189 2768 -34
+rect 2722 -365 2728 -189
+rect 2762 -365 2768 -189
+rect 2722 -377 2768 -365
+rect 2805 -377 2815 -177
+rect 2867 -377 2877 -177
+rect 2914 -189 2960 -34
+rect 3120 -48 3130 -17
+rect 3270 36 3784 42
+rect 3270 -17 3344 36
+rect 3270 -48 3280 -17
+rect 3327 -28 3344 -17
+rect 3120 -54 3280 -48
+rect 3774 -28 3784 36
+rect 4148 36 4598 42
+rect 4148 -28 4158 36
+rect 2914 -365 2920 -189
+rect 2954 -365 2960 -189
+rect 2914 -377 2960 -365
+rect 2997 -377 3007 -177
+rect 3059 -377 3069 -177
+rect 1908 -424 1954 -418
+rect 2594 -424 2993 -418
+rect 1770 -476 1780 -424
+rect 2179 -476 2189 -424
+rect 2584 -476 2594 -424
+rect 2993 -476 3003 -424
+rect 1908 -716 1954 -476
+rect 2100 -716 2146 -476
+rect 2722 -716 2768 -476
+rect 2914 -716 2960 -476
+rect 1770 -734 2189 -716
+rect 1770 -768 1802 -734
+rect 2160 -768 2189 -734
+rect 2584 -734 3003 -716
+rect 2584 -768 2616 -734
+rect 2974 -768 3003 -734
+rect 1780 -774 2179 -768
+rect 2594 -774 2993 -768
+rect 1716 -827 1762 -815
+rect 1716 -1003 1722 -827
+rect 1756 -1003 1762 -827
+rect 1716 -1158 1762 -1003
+rect 1799 -1015 1809 -815
+rect 1861 -1015 1871 -815
+rect 1908 -827 1954 -815
+rect 1908 -1003 1914 -827
+rect 1948 -1003 1954 -827
+rect 1908 -1158 1954 -1003
+rect 1991 -1015 2001 -815
+rect 2053 -1015 2063 -815
+rect 2100 -827 2146 -815
+rect 2100 -1003 2106 -827
+rect 2140 -1003 2146 -827
+rect 2100 -1158 2146 -1003
+rect 2183 -1015 2193 -815
+rect 2245 -1015 2255 -815
+rect 2530 -827 2576 -815
+rect 2530 -1003 2536 -827
+rect 2570 -1003 2576 -827
+rect 1706 -1228 1716 -1164
+rect 2530 -1158 2576 -1003
+rect 2613 -1015 2623 -815
+rect 2675 -1015 2685 -815
+rect 2722 -827 2768 -815
+rect 2722 -1003 2728 -827
+rect 2762 -1003 2768 -827
+rect 2722 -1158 2768 -1003
+rect 2805 -1015 2815 -815
+rect 2867 -1015 2877 -815
+rect 2914 -827 2960 -815
+rect 2914 -1003 2920 -827
+rect 2954 -1003 2960 -827
+rect 2914 -1158 2960 -1003
+rect 2997 -1015 3007 -815
+rect 3059 -1015 3069 -815
+rect 3130 -1138 3270 -54
+rect 3344 -189 3390 -34
+rect 3344 -365 3350 -189
+rect 3384 -365 3390 -189
+rect 3344 -377 3390 -365
+rect 3427 -377 3437 -177
+rect 3489 -377 3499 -177
+rect 3536 -189 3582 -34
+rect 3536 -365 3542 -189
+rect 3576 -365 3582 -189
+rect 3536 -377 3582 -365
+rect 3619 -377 3629 -177
+rect 3681 -377 3691 -177
+rect 3728 -189 3774 -34
+rect 4588 -28 4598 36
+rect 3728 -365 3734 -189
+rect 3768 -365 3774 -189
+rect 3728 -377 3774 -365
+rect 3811 -377 3821 -177
+rect 3873 -377 3883 -177
+rect 4158 -189 4204 -34
+rect 4158 -365 4164 -189
+rect 4198 -365 4204 -189
+rect 4158 -377 4204 -365
+rect 4241 -377 4251 -177
+rect 4303 -377 4313 -177
+rect 4350 -189 4396 -34
+rect 4350 -365 4356 -189
+rect 4390 -365 4396 -189
+rect 4350 -377 4396 -365
+rect 4433 -377 4443 -177
+rect 4495 -377 4505 -177
+rect 4542 -189 4588 -34
+rect 4542 -365 4548 -189
+rect 4582 -365 4588 -189
+rect 4542 -377 4588 -365
+rect 4625 -377 4635 -177
+rect 4687 -377 4697 -177
+rect 3408 -424 3807 -418
+rect 4222 -424 4621 -418
+rect 3398 -458 3430 -424
+rect 3788 -458 3817 -424
+rect 3398 -476 3817 -458
+rect 4212 -458 4244 -424
+rect 4602 -458 4631 -424
+rect 4212 -476 4631 -458
+rect 3536 -716 3582 -476
+rect 3728 -716 3774 -476
+rect 4350 -716 4396 -476
+rect 4542 -716 4588 -476
+rect 3398 -768 3408 -716
+rect 3807 -768 3817 -716
+rect 4212 -768 4222 -716
+rect 4621 -768 4631 -716
+rect 3408 -774 3807 -768
+rect 4222 -774 4621 -768
+rect 3344 -827 3390 -815
+rect 3344 -1003 3350 -827
+rect 3384 -1003 3390 -827
+rect 2146 -1228 2156 -1164
+rect 1706 -1234 2156 -1228
+rect 2520 -1228 2530 -1164
+rect 3120 -1144 3280 -1138
+rect 2960 -1228 2970 -1164
+rect 2520 -1234 2970 -1228
+rect 3120 -1234 3130 -1144
+rect 3270 -1234 3280 -1144
+rect 3344 -1158 3390 -1003
+rect 3427 -1015 3437 -815
+rect 3489 -1015 3499 -815
+rect 3536 -827 3582 -815
+rect 3536 -1003 3542 -827
+rect 3576 -1003 3582 -827
+rect 3536 -1158 3582 -1003
+rect 3619 -1015 3629 -815
+rect 3681 -1015 3691 -815
+rect 3728 -827 3774 -815
+rect 3728 -1003 3734 -827
+rect 3768 -1003 3774 -827
+rect 3728 -1158 3774 -1003
+rect 3811 -1015 3821 -815
+rect 3873 -1015 3883 -815
+rect 4158 -827 4204 -815
+rect 4158 -1003 4164 -827
+rect 4198 -1003 4204 -827
+rect 3334 -1228 3344 -1164
+rect 4158 -1158 4204 -1003
+rect 4241 -1015 4251 -815
+rect 4303 -1015 4313 -815
+rect 4350 -827 4396 -815
+rect 4350 -1003 4356 -827
+rect 4390 -1003 4396 -827
+rect 4350 -1158 4396 -1003
+rect 4433 -1015 4443 -815
+rect 4495 -1015 4505 -815
+rect 4542 -827 4588 -815
+rect 4542 -1003 4548 -827
+rect 4582 -1003 4588 -827
+rect 4542 -1158 4588 -1003
+rect 4625 -1015 4635 -815
+rect 4687 -1015 4697 -815
+rect 3774 -1228 3784 -1164
+rect 3334 -1234 3784 -1228
+rect 4148 -1228 4158 -1164
+rect 4588 -1228 4598 -1164
+rect 4148 -1234 4598 -1228
+rect 4885 -1407 5109 503
+rect 2952 -1493 2962 -1417
+rect 3016 -1493 3026 -1417
+rect 3374 -1493 3384 -1417
+rect 3438 -1493 3448 -1417
+rect 4875 -1459 4885 -1407
+rect 5109 -1459 5119 -1407
+rect 3382 -1499 3440 -1493
+rect 2900 -1631 2910 -1531
+rect 3344 -1543 3390 -1531
+rect 3010 -1792 3056 -1602
+rect 3344 -1619 3350 -1543
+rect 3384 -1619 3390 -1543
+rect 3344 -1792 3390 -1619
+rect 3432 -1631 3438 -1531
+rect 3490 -1631 3500 -1531
+rect 1658 -1798 4789 -1792
+rect 1658 -2011 1670 -1798
+rect 4777 -2011 4789 -1798
+rect 1658 -2017 4789 -2011
+<< via1 >>
+rect 1635 691 2592 879
+rect 2592 691 4763 879
+rect 3006 515 3405 570
+rect 3805 514 4054 589
+rect 1662 115 2706 406
+rect 3036 261 3088 461
+rect 3228 261 3280 461
+rect 3420 261 3472 461
+rect 3754 449 3806 461
+rect 3754 273 3763 449
+rect 3763 273 3797 449
+rect 3797 273 3806 449
+rect 3754 261 3806 273
+rect 3946 449 3998 461
+rect 3946 273 3955 449
+rect 3955 273 3989 449
+rect 3989 273 3998 449
+rect 3946 261 3998 273
+rect 4885 627 5111 900
+rect 4885 503 5108 568
+rect 1716 -34 2146 36
+rect 1809 -377 1861 -177
+rect 2001 -377 2053 -177
+rect 2530 -34 2960 36
+rect 2193 -377 2245 -177
+rect 2623 -189 2675 -177
+rect 2623 -365 2632 -189
+rect 2632 -365 2666 -189
+rect 2666 -365 2675 -189
+rect 2623 -377 2675 -365
+rect 2815 -189 2867 -177
+rect 2815 -365 2824 -189
+rect 2824 -365 2858 -189
+rect 2858 -365 2867 -189
+rect 2815 -377 2867 -365
+rect 3130 -48 3270 42
+rect 3344 -34 3774 36
+rect 3007 -189 3059 -177
+rect 3007 -365 3016 -189
+rect 3016 -365 3050 -189
+rect 3050 -365 3059 -189
+rect 3007 -377 3059 -365
+rect 1780 -476 2179 -424
+rect 2594 -458 2616 -424
+rect 2616 -458 2974 -424
+rect 2974 -458 2993 -424
+rect 2594 -476 2993 -458
+rect 1809 -827 1861 -815
+rect 1809 -1003 1818 -827
+rect 1818 -1003 1852 -827
+rect 1852 -1003 1861 -827
+rect 1809 -1015 1861 -1003
+rect 2001 -827 2053 -815
+rect 2001 -1003 2010 -827
+rect 2010 -1003 2044 -827
+rect 2044 -1003 2053 -827
+rect 2001 -1015 2053 -1003
+rect 2193 -827 2245 -815
+rect 2193 -1003 2202 -827
+rect 2202 -1003 2236 -827
+rect 2236 -1003 2245 -827
+rect 2193 -1015 2245 -1003
+rect 1716 -1228 2146 -1158
+rect 2623 -827 2675 -815
+rect 2623 -1003 2632 -827
+rect 2632 -1003 2666 -827
+rect 2666 -1003 2675 -827
+rect 2623 -1015 2675 -1003
+rect 2815 -827 2867 -815
+rect 2815 -1003 2824 -827
+rect 2824 -1003 2858 -827
+rect 2858 -1003 2867 -827
+rect 2815 -1015 2867 -1003
+rect 3007 -827 3059 -815
+rect 3007 -1003 3016 -827
+rect 3016 -1003 3050 -827
+rect 3050 -1003 3059 -827
+rect 3007 -1015 3059 -1003
+rect 3437 -189 3489 -177
+rect 3437 -365 3446 -189
+rect 3446 -365 3480 -189
+rect 3480 -365 3489 -189
+rect 3437 -377 3489 -365
+rect 3629 -189 3681 -177
+rect 3629 -365 3638 -189
+rect 3638 -365 3672 -189
+rect 3672 -365 3681 -189
+rect 3629 -377 3681 -365
+rect 4158 -34 4588 36
+rect 3821 -189 3873 -177
+rect 3821 -365 3830 -189
+rect 3830 -365 3864 -189
+rect 3864 -365 3873 -189
+rect 3821 -377 3873 -365
+rect 4251 -189 4303 -177
+rect 4251 -365 4260 -189
+rect 4260 -365 4294 -189
+rect 4294 -365 4303 -189
+rect 4251 -377 4303 -365
+rect 4443 -189 4495 -177
+rect 4443 -365 4452 -189
+rect 4452 -365 4486 -189
+rect 4486 -365 4495 -189
+rect 4443 -377 4495 -365
+rect 4635 -189 4687 -177
+rect 4635 -365 4644 -189
+rect 4644 -365 4678 -189
+rect 4678 -365 4687 -189
+rect 4635 -377 4687 -365
+rect 3408 -734 3807 -716
+rect 3408 -768 3430 -734
+rect 3430 -768 3788 -734
+rect 3788 -768 3807 -734
+rect 4222 -734 4621 -716
+rect 4222 -768 4244 -734
+rect 4244 -768 4602 -734
+rect 4602 -768 4621 -734
+rect 2530 -1228 2960 -1158
+rect 3130 -1234 3270 -1144
+rect 3437 -827 3489 -815
+rect 3437 -1003 3446 -827
+rect 3446 -1003 3480 -827
+rect 3480 -1003 3489 -827
+rect 3437 -1015 3489 -1003
+rect 3629 -827 3681 -815
+rect 3629 -1003 3638 -827
+rect 3638 -1003 3672 -827
+rect 3672 -1003 3681 -827
+rect 3629 -1015 3681 -1003
+rect 3821 -827 3873 -815
+rect 3821 -1003 3830 -827
+rect 3830 -1003 3864 -827
+rect 3864 -1003 3873 -827
+rect 3821 -1015 3873 -1003
+rect 3344 -1228 3774 -1158
+rect 4251 -827 4303 -815
+rect 4251 -1003 4260 -827
+rect 4260 -1003 4294 -827
+rect 4294 -1003 4303 -827
+rect 4251 -1015 4303 -1003
+rect 4443 -827 4495 -815
+rect 4443 -1003 4452 -827
+rect 4452 -1003 4486 -827
+rect 4486 -1003 4495 -827
+rect 4443 -1015 4495 -1003
+rect 4635 -827 4687 -815
+rect 4635 -1003 4644 -827
+rect 4644 -1003 4678 -827
+rect 4678 -1003 4687 -827
+rect 4635 -1015 4687 -1003
+rect 4158 -1228 4588 -1158
+rect 2962 -1425 3016 -1417
+rect 2962 -1493 2972 -1425
+rect 2972 -1493 3006 -1425
+rect 3006 -1493 3016 -1425
+rect 3384 -1425 3438 -1417
+rect 3384 -1493 3394 -1425
+rect 3394 -1493 3428 -1425
+rect 3428 -1493 3438 -1425
+rect 4885 -1459 5109 -1407
+rect 2910 -1631 2962 -1531
+rect 3438 -1543 3490 -1531
+rect 3438 -1619 3472 -1543
+rect 3472 -1619 3490 -1543
+rect 3438 -1631 3490 -1619
+rect 1670 -2011 4777 -1798
+<< metal2 >>
+rect 4885 900 5111 910
+rect 1635 879 4763 889
+rect 1635 681 4763 691
+rect 4885 617 5111 627
+rect 3805 589 4054 599
+rect 2169 570 3405 580
+rect 2169 515 3006 570
+rect 2169 505 3405 515
+rect 3804 514 3805 568
+rect 4885 568 5108 578
+rect 4054 514 4885 568
+rect 3804 503 4885 514
+rect 4885 493 5108 503
+rect 3036 461 3088 471
+rect 1662 406 2706 416
+rect 3228 461 3280 471
+rect 3088 273 3228 449
+rect 3036 251 3088 261
+rect 3420 461 3472 471
+rect 3280 273 3420 449
+rect 3228 251 3280 261
+rect 3754 461 3806 471
+rect 3472 290 3754 430
+rect 3420 251 3472 261
+rect 3946 461 3998 471
+rect 3806 273 3946 449
+rect 3754 251 3806 261
+rect 3946 251 3998 261
+rect 1662 105 2706 115
+rect 1716 36 3130 42
+rect 2146 -34 2530 36
+rect 2960 -34 3130 36
+rect 1716 -40 3130 -34
+rect 3120 -48 3130 -40
+rect 3270 36 4588 42
+rect 3270 -34 3344 36
+rect 3774 -34 4158 36
+rect 3270 -40 4588 -34
+rect 3270 -48 3280 -40
+rect 3120 -54 3280 -48
+rect 1807 -177 1863 -167
+rect 1807 -387 1863 -377
+rect 1999 -177 2055 -167
+rect 1999 -387 2055 -377
+rect 2191 -177 2247 -167
+rect 2191 -387 2247 -377
+rect 2621 -177 2677 -167
+rect 2621 -387 2677 -377
+rect 2813 -177 2869 -167
+rect 2813 -387 2869 -377
+rect 3005 -177 3061 -167
+rect 3005 -387 3061 -377
+rect 3435 -177 3491 -167
+rect 3435 -387 3491 -377
+rect 3627 -177 3683 -167
+rect 3627 -387 3683 -377
+rect 3819 -177 3875 -167
+rect 3819 -387 3875 -377
+rect 4249 -177 4305 -167
+rect 4249 -387 4305 -377
+rect 4441 -177 4497 -167
+rect 4441 -387 4497 -377
+rect 4633 -177 4689 -167
+rect 4633 -387 4689 -377
+rect 1054 -424 4624 -418
+rect 1054 -476 1780 -424
+rect 2179 -476 2594 -424
+rect 2993 -476 4624 -424
+rect 1054 -486 4624 -476
+rect 1054 -716 4624 -706
+rect 1054 -768 3408 -716
+rect 3807 -768 4222 -716
+rect 4621 -768 4624 -716
+rect 1054 -774 4624 -768
+rect 1807 -815 1863 -805
+rect 1807 -1025 1863 -1015
+rect 1999 -815 2055 -805
+rect 1999 -1025 2055 -1015
+rect 2191 -815 2247 -805
+rect 2191 -1025 2247 -1015
+rect 2621 -815 2677 -805
+rect 2621 -1025 2677 -1015
+rect 2813 -815 2869 -805
+rect 2813 -1025 2869 -1015
+rect 3005 -815 3061 -805
+rect 3005 -1025 3061 -1015
+rect 3435 -815 3491 -805
+rect 3435 -1025 3491 -1015
+rect 3627 -815 3683 -805
+rect 3627 -1025 3683 -1015
+rect 3819 -815 3875 -805
+rect 3819 -1025 3875 -1015
+rect 4249 -815 4305 -805
+rect 4249 -1025 4305 -1015
+rect 4441 -815 4497 -805
+rect 4441 -1025 4497 -1015
+rect 4633 -815 4689 -805
+rect 4633 -1025 4689 -1015
+rect 3120 -1144 3280 -1138
+rect 3120 -1152 3130 -1144
+rect 1716 -1158 3130 -1152
+rect 2146 -1228 2530 -1158
+rect 2960 -1228 3130 -1158
+rect 1716 -1234 3130 -1228
+rect 3270 -1152 3280 -1144
+rect 3270 -1158 4588 -1152
+rect 3270 -1228 3344 -1158
+rect 3774 -1228 4158 -1158
+rect 3270 -1234 4588 -1228
+rect 4885 -1407 5109 -1397
+rect 2396 -1417 4885 -1407
+rect 2396 -1459 2962 -1417
+rect 3016 -1459 3384 -1417
+rect 2962 -1503 3016 -1493
+rect 3438 -1459 4885 -1417
+rect 4885 -1469 5109 -1459
+rect 3384 -1503 3438 -1493
+rect 2564 -1521 2640 -1511
+rect 3760 -1521 3836 -1511
+rect 2640 -1631 2910 -1531
+rect 2962 -1631 2968 -1531
+rect 2640 -1641 2968 -1631
+rect 3432 -1631 3438 -1531
+rect 3490 -1631 3760 -1531
+rect 3432 -1641 3760 -1631
+rect 2564 -1651 2640 -1641
+rect 3760 -1651 3836 -1641
+rect 1670 -1798 4777 -1788
+rect 1670 -2021 4777 -2011
+<< via2 >>
+rect 2592 691 4763 879
+rect 4885 627 5111 900
+rect 1662 115 2706 406
+rect 1807 -377 1809 -177
+rect 1809 -377 1861 -177
+rect 1861 -377 1863 -177
+rect 1999 -377 2001 -177
+rect 2001 -377 2053 -177
+rect 2053 -377 2055 -177
+rect 2191 -377 2193 -177
+rect 2193 -377 2245 -177
+rect 2245 -377 2247 -177
+rect 2621 -377 2623 -177
+rect 2623 -377 2675 -177
+rect 2675 -377 2677 -177
+rect 2813 -377 2815 -177
+rect 2815 -377 2867 -177
+rect 2867 -377 2869 -177
+rect 3005 -377 3007 -177
+rect 3007 -377 3059 -177
+rect 3059 -377 3061 -177
+rect 3435 -377 3437 -177
+rect 3437 -377 3489 -177
+rect 3489 -377 3491 -177
+rect 3627 -377 3629 -177
+rect 3629 -377 3681 -177
+rect 3681 -377 3683 -177
+rect 3819 -377 3821 -177
+rect 3821 -377 3873 -177
+rect 3873 -377 3875 -177
+rect 4249 -377 4251 -177
+rect 4251 -377 4303 -177
+rect 4303 -377 4305 -177
+rect 4441 -377 4443 -177
+rect 4443 -377 4495 -177
+rect 4495 -377 4497 -177
+rect 4633 -377 4635 -177
+rect 4635 -377 4687 -177
+rect 4687 -377 4689 -177
+rect 1807 -1015 1809 -815
+rect 1809 -1015 1861 -815
+rect 1861 -1015 1863 -815
+rect 1999 -1015 2001 -815
+rect 2001 -1015 2053 -815
+rect 2053 -1015 2055 -815
+rect 2191 -1015 2193 -815
+rect 2193 -1015 2245 -815
+rect 2245 -1015 2247 -815
+rect 2621 -1015 2623 -815
+rect 2623 -1015 2675 -815
+rect 2675 -1015 2677 -815
+rect 2813 -1015 2815 -815
+rect 2815 -1015 2867 -815
+rect 2867 -1015 2869 -815
+rect 3005 -1015 3007 -815
+rect 3007 -1015 3059 -815
+rect 3059 -1015 3061 -815
+rect 3435 -1015 3437 -815
+rect 3437 -1015 3489 -815
+rect 3489 -1015 3491 -815
+rect 3627 -1015 3629 -815
+rect 3629 -1015 3681 -815
+rect 3681 -1015 3683 -815
+rect 3819 -1015 3821 -815
+rect 3821 -1015 3873 -815
+rect 3873 -1015 3875 -815
+rect 4249 -1015 4251 -815
+rect 4251 -1015 4303 -815
+rect 4303 -1015 4305 -815
+rect 4441 -1015 4443 -815
+rect 4443 -1015 4495 -815
+rect 4495 -1015 4497 -815
+rect 4633 -1015 4635 -815
+rect 4635 -1015 4687 -815
+rect 4687 -1015 4689 -815
+rect 2564 -1641 2640 -1521
+rect 3760 -1641 3836 -1521
+rect 1670 -2011 4777 -1798
+<< metal3 >>
+rect 4875 900 5121 905
+rect 1625 879 4773 884
+rect 1625 691 2592 879
+rect 4763 691 4773 879
+rect 1625 686 4773 691
+rect 4875 627 4885 900
+rect 5111 627 5121 900
+rect 4875 622 5121 627
+rect 1652 406 2716 411
+rect 1652 115 1662 406
+rect 2706 115 2716 406
+rect 1652 110 2716 115
+rect 1797 -177 1873 -172
+rect 1989 -177 2065 -172
+rect 2181 -177 2257 -172
+rect 2611 -177 2687 -172
+rect 2803 -177 2879 -172
+rect 2995 -177 3071 -172
+rect 3425 -177 3501 -172
+rect 1793 -377 1803 -177
+rect 1867 -377 1877 -177
+rect 1985 -377 1995 -177
+rect 2059 -377 2069 -177
+rect 2177 -377 2187 -177
+rect 2251 -377 2261 -177
+rect 2607 -377 2617 -177
+rect 2681 -377 2691 -177
+rect 2799 -377 2809 -177
+rect 2873 -377 2883 -177
+rect 2991 -377 3001 -177
+rect 3065 -377 3075 -177
+rect 3425 -377 3435 -177
+rect 3491 -377 3501 -177
+rect 1797 -815 1873 -377
+rect 1797 -1015 1807 -815
+rect 1863 -1015 1873 -815
+rect 1797 -1122 1873 -1015
+rect 1989 -815 2065 -377
+rect 1989 -1015 1999 -815
+rect 2055 -1015 2065 -815
+rect 1989 -1122 2065 -1015
+rect 2181 -815 2257 -377
+rect 2181 -1015 2191 -815
+rect 2247 -1015 2257 -815
+rect 2181 -1122 2257 -1015
+rect 2611 -815 2687 -377
+rect 2611 -1015 2621 -815
+rect 2677 -1015 2687 -815
+rect 2611 -1122 2687 -1015
+rect 2803 -815 2879 -377
+rect 2803 -1015 2813 -815
+rect 2869 -1015 2879 -815
+rect 2803 -1122 2879 -1015
+rect 2995 -815 3071 -377
+rect 3425 -815 3501 -377
+rect 3617 -177 3693 -172
+rect 3617 -377 3627 -177
+rect 3683 -377 3693 -177
+rect 3617 -815 3693 -377
+rect 3809 -177 3885 -172
+rect 3809 -377 3819 -177
+rect 3875 -377 3885 -177
+rect 3809 -815 3885 -377
+rect 4239 -177 4315 -172
+rect 4239 -377 4249 -177
+rect 4305 -377 4315 -177
+rect 4239 -815 4315 -377
+rect 4431 -177 4507 -172
+rect 4431 -377 4441 -177
+rect 4497 -377 4507 -177
+rect 4431 -815 4507 -377
+rect 4623 -177 4699 -172
+rect 4623 -377 4633 -177
+rect 4689 -377 4699 -177
+rect 4623 -815 4699 -377
+rect 2995 -1015 3005 -815
+rect 3061 -1015 3071 -815
+rect 3421 -1015 3431 -815
+rect 3495 -1015 3505 -815
+rect 3613 -1015 3623 -815
+rect 3687 -1015 3697 -815
+rect 3805 -1015 3815 -815
+rect 3879 -1015 3889 -815
+rect 4235 -1015 4245 -815
+rect 4309 -1015 4319 -815
+rect 4427 -1015 4437 -815
+rect 4501 -1015 4511 -815
+rect 4619 -1015 4629 -815
+rect 4693 -1015 4703 -815
+rect 2995 -1122 3071 -1015
+rect 1797 -1198 3071 -1122
+rect 3425 -1122 3501 -1015
+rect 3617 -1122 3693 -1015
+rect 3809 -1122 3885 -1015
+rect 4239 -1122 4315 -1015
+rect 4431 -1122 4507 -1015
+rect 4623 -1122 4699 -1015
+rect 3425 -1198 4699 -1122
+rect 2564 -1516 2640 -1198
+rect 3760 -1516 3836 -1198
+rect 2554 -1521 2650 -1516
+rect 2554 -1641 2564 -1521
+rect 2640 -1641 2650 -1521
+rect 2554 -1646 2650 -1641
+rect 3750 -1521 3846 -1516
+rect 3750 -1641 3760 -1521
+rect 3836 -1641 3846 -1521
+rect 3750 -1646 3846 -1641
+rect 1660 -1798 4787 -1793
+rect 1660 -2011 1670 -1798
+rect 4777 -2011 4787 -1798
+rect 1660 -2016 4787 -2011
+<< via3 >>
+rect 2592 691 4763 879
+rect 4885 627 5111 900
+rect 1803 -377 1807 -177
+rect 1807 -377 1863 -177
+rect 1863 -377 1867 -177
+rect 1995 -377 1999 -177
+rect 1999 -377 2055 -177
+rect 2055 -377 2059 -177
+rect 2187 -377 2191 -177
+rect 2191 -377 2247 -177
+rect 2247 -377 2251 -177
+rect 2617 -377 2621 -177
+rect 2621 -377 2677 -177
+rect 2677 -377 2681 -177
+rect 2809 -377 2813 -177
+rect 2813 -377 2869 -177
+rect 2869 -377 2873 -177
+rect 3001 -377 3005 -177
+rect 3005 -377 3061 -177
+rect 3061 -377 3065 -177
+rect 3431 -1015 3435 -815
+rect 3435 -1015 3491 -815
+rect 3491 -1015 3495 -815
+rect 3623 -1015 3627 -815
+rect 3627 -1015 3683 -815
+rect 3683 -1015 3687 -815
+rect 3815 -1015 3819 -815
+rect 3819 -1015 3875 -815
+rect 3875 -1015 3879 -815
+rect 4245 -1015 4249 -815
+rect 4249 -1015 4305 -815
+rect 4305 -1015 4309 -815
+rect 4437 -1015 4441 -815
+rect 4441 -1015 4497 -815
+rect 4497 -1015 4501 -815
+rect 4629 -1015 4633 -815
+rect 4633 -1015 4689 -815
+rect 4689 -1015 4693 -815
+rect 1670 -2011 4777 -1798
+<< metal4 >>
+rect 4884 900 5112 901
+rect 2564 879 4764 880
+rect 2564 691 2592 879
+rect 4763 691 4764 879
+rect 2564 690 4764 691
+rect 4884 627 4885 900
+rect 5111 627 5112 900
+rect 4884 626 5112 627
+rect 1802 -177 5109 -176
+rect 1802 -377 1803 -177
+rect 1867 -377 1995 -177
+rect 2059 -377 2187 -177
+rect 2251 -377 2617 -177
+rect 2681 -377 2809 -177
+rect 2873 -377 3001 -177
+rect 3065 -377 5109 -177
+rect 1802 -378 5109 -377
+rect 1799 -815 5109 -814
+rect 1799 -1015 3431 -815
+rect 3495 -1015 3623 -815
+rect 3687 -1015 3815 -815
+rect 3879 -1015 4245 -815
+rect 4309 -1015 4437 -815
+rect 4501 -1015 4629 -815
+rect 4693 -1015 5109 -815
+rect 1799 -1016 5109 -1015
+rect 1669 -1798 4778 -1797
+rect 1669 -2011 1670 -1798
+rect 4777 -2011 4778 -1798
+rect 1669 -2012 4778 -2011
+use sky130_fd_pr__pfet_01v8_2XUYGK  sky130_fd_pr__pfet_01v8_2XUYGK_5
+timestamp 1623947381
+transform 1 0 1979 0 1 -915
+box -407 -319 407 319
+use sky130_fd_pr__pfet_01v8_2XUYGK  sky130_fd_pr__pfet_01v8_2XUYGK_6
+timestamp 1623947381
+transform 1 0 2793 0 1 -915
+box -407 -319 407 319
+use sky130_fd_pr__pfet_01v8_2XUYGK  sky130_fd_pr__pfet_01v8_2XUYGK_7
+timestamp 1623947381
+transform 1 0 3607 0 1 -915
+box -407 -319 407 319
+use sky130_fd_pr__nfet_01v8_lvt_2AP43D  sky130_fd_pr__nfet_01v8_lvt_2AP43D_1
+timestamp 1623961588
+transform -1 0 3411 0 1 -1550
+box -211 -229 211 229
+use sky130_fd_pr__nfet_01v8_lvt_2AP43D  sky130_fd_pr__nfet_01v8_lvt_2AP43D_0
+timestamp 1623961588
+transform 1 0 2989 0 1 -1550
+box -211 -229 211 229
+use sky130_fd_pr__pfet_01v8_2XUYGK  sky130_fd_pr__pfet_01v8_2XUYGK_8
+timestamp 1623947381
+transform 1 0 4421 0 1 -915
+box -407 -319 407 319
+use sky130_fd_pr__pfet_01v8_2XUYGK  sky130_fd_pr__pfet_01v8_2XUYGK_1
+timestamp 1623947381
+transform 1 0 1979 0 -1 -277
+box -407 -319 407 319
+use sky130_fd_pr__pfet_01v8_2XUYGK  sky130_fd_pr__pfet_01v8_2XUYGK_2
+timestamp 1623947381
+transform 1 0 2793 0 -1 -277
+box -407 -319 407 319
+use sky130_fd_pr__pfet_01v8_2XUYGK  sky130_fd_pr__pfet_01v8_2XUYGK_3
+timestamp 1623947381
+transform 1 0 3607 0 -1 -277
+box -407 -319 407 319
+use sky130_fd_pr__pfet_01v8_2XUYGK  sky130_fd_pr__pfet_01v8_2XUYGK_4
+timestamp 1623947381
+transform 1 0 4421 0 -1 -277
+box -407 -319 407 319
+use sky130_fd_pr__pfet_01v8_2XUYGK  sky130_fd_pr__pfet_01v8_2XUYGK_0
+timestamp 1623947381
+transform -1 0 3206 0 1 361
+box -407 -319 407 319
+use sky130_fd_pr__pfet_01v8_2XL9AN  sky130_fd_pr__pfet_01v8_2XL9AN_0
+timestamp 1623969232
+transform -1 0 3924 0 1 361
+box -311 -319 311 319
+<< labels >>
+rlabel metal4 4907 -378 5109 -176 1 outp
+rlabel metal4 4907 -1016 5109 -814 1 outn
+rlabel nwell 2821 696 3536 855 1 avdd1p8
+rlabel pwell 2830 -1989 3545 -1830 1 avss1p8
+rlabel metal4 4899 640 5089 877 1 clk
+rlabel metal1 3130 -1144 3270 -48 1 vp
+rlabel metal2 1054 -486 1180 -418 1 inn
+rlabel metal2 1054 -774 1180 -706 1 inp
+rlabel metal2 2177 505 2303 580 1 vctrl
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/res_amp_lin_prog.mag b/mag/afernandez_residue_amplifier/res_amp_lin_prog.mag
new file mode 100644
index 0000000..344b8cf
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/res_amp_lin_prog.mag
@@ -0,0 +1,514 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624119678
+<< nwell >>
+rect 19730 11269 20704 12071
+rect 19753 11233 20704 11269
+<< pwell >>
+rect 14821 9774 17989 9782
+rect 14905 9540 17989 9774
+rect 14749 9529 17989 9540
+rect 14749 9408 17871 9529
+rect 14749 9262 17989 9408
+<< ndiff >>
+rect 19945 12469 20007 12481
+rect 19945 12293 19957 12469
+rect 19991 12293 20007 12469
+rect 19945 12281 20007 12293
+rect 20037 12469 20103 12481
+rect 20037 12293 20053 12469
+rect 20087 12293 20103 12469
+rect 20037 12281 20103 12293
+rect 20133 12469 20199 12481
+rect 20133 12293 20149 12469
+rect 20183 12293 20199 12469
+rect 20133 12281 20199 12293
+rect 20229 12469 20295 12481
+rect 20229 12293 20245 12469
+rect 20279 12293 20295 12469
+rect 20229 12281 20295 12293
+rect 20325 12469 20391 12481
+rect 20325 12293 20341 12469
+rect 20375 12293 20391 12469
+rect 20325 12281 20391 12293
+rect 20421 12469 20483 12481
+rect 20421 12293 20437 12469
+rect 20471 12293 20483 12469
+rect 20421 12281 20483 12293
+<< pdiff >>
+rect 19868 11840 19926 11852
+rect 19868 11464 19880 11840
+rect 19914 11464 19926 11840
+rect 19868 11452 19926 11464
+rect 19996 11840 20054 11852
+rect 19996 11464 20008 11840
+rect 20042 11464 20054 11840
+rect 19996 11452 20054 11464
+rect 20124 11840 20182 11852
+rect 20124 11464 20136 11840
+rect 20170 11464 20182 11840
+rect 20124 11452 20182 11464
+rect 20252 11840 20310 11852
+rect 20252 11464 20264 11840
+rect 20298 11464 20310 11840
+rect 20252 11452 20310 11464
+rect 20380 11840 20438 11852
+rect 20380 11464 20392 11840
+rect 20426 11464 20438 11840
+rect 20380 11452 20438 11464
+rect 20508 11840 20566 11852
+rect 20508 11464 20520 11840
+rect 20554 11464 20566 11840
+rect 20508 11452 20566 11464
+<< ndiffc >>
+rect 19957 12293 19991 12469
+rect 20053 12293 20087 12469
+rect 20149 12293 20183 12469
+rect 20245 12293 20279 12469
+rect 20341 12293 20375 12469
+rect 20437 12293 20471 12469
+<< pdiffc >>
+rect 19880 11464 19914 11840
+rect 20008 11464 20042 11840
+rect 20136 11464 20170 11840
+rect 20264 11464 20298 11840
+rect 20392 11464 20426 11840
+rect 20520 11464 20554 11840
+<< locali >>
+rect 19957 12469 19991 12485
+rect 19957 12277 19991 12293
+rect 20053 12469 20087 12485
+rect 20053 12277 20087 12293
+rect 20149 12469 20183 12485
+rect 20149 12277 20183 12293
+rect 20245 12469 20279 12485
+rect 20245 12277 20279 12293
+rect 20341 12469 20375 12485
+rect 20341 12277 20375 12293
+rect 20437 12469 20471 12485
+rect 20437 12277 20471 12293
+rect 19880 11840 19914 11856
+rect 19880 11448 19914 11464
+rect 20008 11840 20042 11856
+rect 20008 11448 20042 11464
+rect 20136 11840 20170 11856
+rect 20136 11448 20170 11464
+rect 20264 11840 20298 11856
+rect 20264 11448 20298 11464
+rect 20392 11840 20426 11856
+rect 20392 11448 20426 11464
+rect 20520 11840 20554 11856
+rect 20520 11448 20554 11464
+<< viali >>
+rect 19920 12727 20470 12761
+rect 19843 12621 20585 12655
+rect 19957 12293 19991 12469
+rect 20053 12293 20087 12469
+rect 20149 12293 20183 12469
+rect 20245 12293 20279 12469
+rect 20341 12293 20375 12469
+rect 20437 12293 20471 12469
+rect 19880 11464 19914 11840
+rect 20008 11464 20042 11840
+rect 20136 11464 20170 11840
+rect 20264 11464 20298 11840
+rect 20392 11464 20426 11840
+rect 20520 11464 20554 11840
+rect 19766 11269 20668 11303
+rect 19766 11163 20668 11197
+rect 19843 9811 20585 9845
+rect 19920 9705 20470 9739
+<< metal1 >>
+rect 14820 14616 18723 14756
+rect 17273 13693 17300 13722
+rect 14788 12514 17954 12991
+rect 18583 12761 18723 14616
+rect 20067 13133 20325 13283
+rect 20028 12767 20074 12958
+rect 20104 12901 20114 13101
+rect 20180 12901 20190 13101
+rect 20220 12767 20266 12963
+rect 20296 12901 20306 13101
+rect 20372 12901 20382 13101
+rect 19908 12761 20482 12767
+rect 18583 12727 19920 12761
+rect 20470 12727 20585 12761
+rect 18583 12661 20585 12727
+rect 18583 12655 20597 12661
+rect 18583 12621 19843 12655
+rect 20585 12621 20597 12655
+rect 19831 12615 20597 12621
+rect 19099 12513 19105 12569
+rect 19164 12513 20439 12569
+rect 19951 12469 19997 12481
+rect 19951 12293 19957 12469
+rect 19991 12293 19997 12469
+rect 19951 12141 19997 12293
+rect 20027 12281 20037 12481
+rect 20103 12281 20113 12481
+rect 20143 12469 20189 12481
+rect 20143 12293 20149 12469
+rect 20183 12293 20189 12469
+rect 20143 12141 20189 12293
+rect 20219 12281 20229 12481
+rect 20295 12281 20305 12481
+rect 20335 12469 20381 12481
+rect 20335 12293 20341 12469
+rect 20375 12293 20381 12469
+rect 20335 12141 20381 12293
+rect 20411 12281 20421 12481
+rect 20487 12281 20497 12481
+rect 19273 12001 19283 12141
+rect 19485 12001 20487 12141
+rect 19874 11840 19920 12001
+rect 19874 11464 19880 11840
+rect 19914 11464 19920 11840
+rect 19874 11452 19920 11464
+rect 19986 11452 19996 11852
+rect 20054 11452 20064 11852
+rect 20130 11840 20176 12001
+rect 20130 11464 20136 11840
+rect 20170 11464 20176 11840
+rect 20130 11452 20176 11464
+rect 20242 11452 20252 11852
+rect 20310 11452 20320 11852
+rect 20386 11840 20432 12001
+rect 20386 11464 20392 11840
+rect 20426 11464 20432 11840
+rect 20386 11452 20432 11464
+rect 20498 11452 20508 11852
+rect 20566 11452 20576 11852
+rect 19605 11355 20508 11411
+rect 19605 11261 19661 11355
+rect 18246 11205 19661 11261
+rect 19605 11111 19661 11205
+rect 19754 11303 20680 11309
+rect 19754 11269 19766 11303
+rect 20668 11269 20680 11303
+rect 20760 11269 20770 11290
+rect 19754 11197 20770 11269
+rect 19754 11163 19766 11197
+rect 20668 11163 20680 11197
+rect 20760 11180 20770 11197
+rect 20864 11180 20874 11290
+rect 19754 11157 20680 11163
+rect 19605 11055 20508 11111
+rect 19874 10465 19920 10793
+rect 19986 10614 19996 11014
+rect 20054 10614 20064 11014
+rect 20130 10465 20176 10794
+rect 20242 10614 20252 11014
+rect 20310 10614 20320 11014
+rect 20386 10465 20432 10789
+rect 20498 10614 20508 11014
+rect 20566 10614 20576 11014
+rect 19273 10325 19283 10465
+rect 19485 10325 20487 10465
+rect 19951 10145 19997 10325
+rect 14821 9934 15053 10037
+rect 14821 9833 15499 9934
+rect 17913 9890 19023 10037
+rect 20027 9985 20037 10185
+rect 20103 9985 20113 10185
+rect 20143 10128 20189 10325
+rect 20219 9985 20229 10185
+rect 20295 9985 20305 10185
+rect 20335 10146 20381 10325
+rect 20411 9985 20421 10185
+rect 20487 9985 20497 10185
+rect 19099 9897 19105 9953
+rect 19164 9897 20439 9953
+rect 17883 9845 19023 9890
+rect 19831 9845 20597 9851
+rect 16103 9839 16629 9842
+rect 17883 9839 19843 9845
+rect 16103 9833 19843 9839
+rect 14821 9811 19843 9833
+rect 20585 9811 20597 9845
+rect 14821 9805 20597 9811
+rect 14821 9774 20585 9805
+rect 14905 9739 20585 9774
+rect 14905 9705 19920 9739
+rect 20470 9705 20585 9739
+rect 14905 9644 19023 9705
+rect 19908 9699 20482 9705
+rect 14905 9540 16629 9644
+rect 14036 9492 14176 9540
+rect 14598 9534 16629 9540
+rect 17883 9544 19023 9644
+rect 20028 9546 20074 9699
+rect 14598 9525 16269 9534
+rect 17883 9529 18500 9544
+rect 14598 9516 15499 9525
+rect 15037 9512 15499 9516
+rect 18314 9409 18500 9529
+rect 20104 9365 20114 9565
+rect 20180 9365 20190 9565
+rect 20220 9558 20266 9699
+rect 20296 9365 20306 9565
+rect 20372 9365 20382 9565
+rect 20067 9230 20325 9333
+rect 17987 9050 18611 9058
+rect 17987 8951 18047 9050
+rect 18271 8951 18611 9050
+rect 19066 8957 19076 9013
+rect 19135 8957 19145 9013
+rect 17987 8944 18611 8951
+rect 5835 8187 6293 8311
+rect 17989 8065 19076 8451
+<< via1 >>
+rect 20114 12901 20180 13101
+rect 20306 12901 20372 13101
+rect 19105 12513 19164 12569
+rect 20037 12469 20103 12481
+rect 20037 12293 20053 12469
+rect 20053 12293 20087 12469
+rect 20087 12293 20103 12469
+rect 20037 12281 20103 12293
+rect 20229 12469 20295 12481
+rect 20229 12293 20245 12469
+rect 20245 12293 20279 12469
+rect 20279 12293 20295 12469
+rect 20229 12281 20295 12293
+rect 20421 12469 20487 12481
+rect 20421 12293 20437 12469
+rect 20437 12293 20471 12469
+rect 20471 12293 20487 12469
+rect 20421 12281 20487 12293
+rect 19283 12001 19485 12141
+rect 19996 11840 20054 11852
+rect 19996 11464 20008 11840
+rect 20008 11464 20042 11840
+rect 20042 11464 20054 11840
+rect 19996 11452 20054 11464
+rect 20252 11840 20310 11852
+rect 20252 11464 20264 11840
+rect 20264 11464 20298 11840
+rect 20298 11464 20310 11840
+rect 20252 11452 20310 11464
+rect 20508 11840 20566 11852
+rect 20508 11464 20520 11840
+rect 20520 11464 20554 11840
+rect 20554 11464 20566 11840
+rect 20508 11452 20566 11464
+rect 20770 11180 20864 11290
+rect 19996 10614 20054 11014
+rect 20252 10614 20310 11014
+rect 20508 10614 20566 11014
+rect 19283 10325 19485 10465
+rect 20037 9985 20103 10185
+rect 20229 9985 20295 10185
+rect 20421 9985 20487 10185
+rect 19105 9897 19164 9953
+rect 20114 9365 20180 9565
+rect 20306 9365 20372 9565
+rect 18047 8951 18271 9050
+rect 19076 8957 19135 9013
+<< metal2 >>
+rect 14862 14658 14947 14708
+rect 16088 13664 16201 13674
+rect 16088 13529 16201 13539
+rect 20114 13101 20180 13111
+rect 20306 13101 20372 13111
+rect 14788 12514 17954 12991
+rect 20180 12901 20306 13101
+rect 20372 12901 21067 13101
+rect 20114 12891 20180 12901
+rect 20306 12891 20372 12901
+rect 19105 12569 19164 12579
+rect 19105 12503 19164 12513
+rect 15312 12422 15498 12432
+rect 15312 12317 15498 12327
+rect 14231 11343 14357 11411
+rect 14231 11055 14357 11123
+rect 6250 9575 6284 9607
+rect 15061 9475 15095 9507
+rect 18047 9050 18286 10381
+rect 19109 9963 19160 12503
+rect 20037 12481 20103 12491
+rect 20229 12481 20295 12491
+rect 20421 12481 20487 12491
+rect 20867 12481 21067 12901
+rect 20103 12281 20229 12481
+rect 20295 12281 20421 12481
+rect 20487 12281 21067 12481
+rect 20037 12271 20103 12281
+rect 20229 12271 20295 12281
+rect 20421 12271 20487 12281
+rect 19283 12141 19485 12151
+rect 19283 11991 19485 12001
+rect 19996 11852 20054 11862
+rect 20252 11852 20310 11862
+rect 20054 11568 20252 11768
+rect 19996 11442 20054 11452
+rect 20508 11852 20566 11862
+rect 20310 11568 20508 11768
+rect 20252 11442 20310 11452
+rect 20867 11768 21067 12281
+rect 20566 11568 21067 11768
+rect 20508 11442 20566 11452
+rect 20770 11293 20864 11300
+rect 20770 11290 21302 11293
+rect 20864 11182 21302 11290
+rect 20770 11170 20864 11180
+rect 19996 11014 20054 11024
+rect 20252 11014 20310 11024
+rect 20054 10698 20252 10898
+rect 19996 10604 20054 10614
+rect 20508 11014 20566 11024
+rect 20310 10698 20508 10898
+rect 20252 10604 20310 10614
+rect 20566 10698 21067 10898
+rect 20508 10604 20566 10614
+rect 19283 10465 19485 10475
+rect 19283 10315 19485 10325
+rect 20037 10185 20103 10195
+rect 20229 10185 20295 10195
+rect 20421 10185 20487 10195
+rect 20867 10185 21067 10698
+rect 20103 9985 20229 10185
+rect 20295 9985 20421 10185
+rect 20487 9985 21067 10185
+rect 20037 9975 20103 9985
+rect 20229 9975 20295 9985
+rect 20421 9975 20487 9985
+rect 19105 9953 19164 9963
+rect 19105 9887 19164 9897
+rect 18271 8951 18286 9050
+rect 19109 9023 19160 9887
+rect 20114 9565 20180 9575
+rect 20306 9565 20372 9575
+rect 20867 9565 21067 9985
+rect 20180 9365 20306 9565
+rect 20372 9365 21067 9565
+rect 20114 9355 20180 9365
+rect 20210 9356 20276 9365
+rect 20306 9355 20372 9365
+rect 18047 8941 18286 8951
+rect 19076 9013 19160 9023
+rect 19135 8957 19160 9013
+rect 19076 8947 19160 8957
+<< via2 >>
+rect 16088 13539 16201 13664
+rect 15312 12327 15498 12422
+rect 19283 12001 19485 12141
+rect 19283 10325 19485 10465
+<< metal3 >>
+rect 16613 14055 16659 14110
+rect 17217 14062 17263 14117
+rect 18216 14056 18262 14111
+rect 16082 13664 16211 13669
+rect 16082 13539 16088 13664
+rect 16201 13539 16211 13664
+rect 16082 13534 16211 13539
+rect 15303 12422 15508 12427
+rect 15272 12327 15282 12422
+rect 15498 12327 15508 12422
+rect 15284 12317 15508 12327
+rect 19273 12141 19495 12146
+rect 19273 12001 19283 12141
+rect 19485 12001 19495 12141
+rect 19273 11996 19495 12001
+rect 19273 10465 19495 10470
+rect 19273 10325 19283 10465
+rect 19485 10325 19495 10465
+rect 19273 10320 19495 10325
+rect 10019 9586 10053 9618
+rect 5864 7650 5993 7651
+rect 6199 7650 6259 7696
+rect 5864 7590 6259 7650
+rect 5864 7589 5993 7590
+<< via3 >>
+rect 16088 13539 16201 13664
+rect 15282 12327 15312 12422
+rect 15312 12327 15498 12422
+rect 19283 12001 19485 12141
+rect 19283 10325 19485 10465
+<< metal4 >>
+rect 15284 13665 16088 13669
+rect 15284 13664 16202 13665
+rect 15284 13539 16088 13664
+rect 16201 13539 16202 13664
+rect 15284 13538 16202 13539
+rect 15284 13534 16088 13538
+rect 15284 12423 15419 13534
+rect 15281 12422 15499 12423
+rect 15281 12327 15282 12422
+rect 15498 12327 15499 12422
+rect 15281 12326 15499 12327
+rect 19282 12141 19486 12142
+rect 19282 12001 19283 12141
+rect 19485 12001 19486 12141
+rect 19282 12000 19486 12001
+rect 19283 11653 19485 12000
+rect 18286 11451 19485 11653
+rect 18084 10813 19485 11015
+rect 19283 10466 19485 10813
+rect 19282 10465 19486 10466
+rect 19282 10325 19283 10465
+rect 19485 10325 19486 10465
+rect 19282 10324 19486 10325
+rect 19283 10315 19485 10324
+use res_amp_lin  res_amp_lin_0 ~/sky130-mpw2-fulgor/res_amp_lin/mag
+timestamp 1624115960
+transform 1 0 13177 0 1 11829
+box 1054 -2058 5121 915
+use delay_cell_buff  delay_cell_buff_0 ~/sky130-mpw2-fulgor/delay_cell_buff/mag
+timestamp 1624063007
+transform 1 0 6173 0 1 7077
+box -208 0 11816 2601
+use sky130_fd_pr__nfet_01v8_lvt_72JNYZ  sky130_fd_pr__nfet_01v8_lvt_72JNYZ_0 ~/sky130-mpw2-fulgor/iref_ctrl_res_amp/mag
+timestamp 1624032293
+transform 1 0 20195 0 -1 9465
+box -311 -310 311 310
+use sky130_fd_pr__nfet_01v8_lvt_595QY5  sky130_fd_pr__nfet_01v8_lvt_595QY5_0
+timestamp 1624030292
+transform 1 0 20214 0 1 10085
+box -407 -310 407 310
+use inverter_min_x4  inverter_min_x4_0 ~/sky130-mpw2-fulgor/inverter_min_x4/mag
+timestamp 1623895985
+transform 1 0 18411 0 -1 8928
+box -53 -616 665 643
+use sky130_fd_pr__nfet_01v8_lvt_72JNYZ  sky130_fd_pr__nfet_01v8_lvt_72JNYZ_1
+timestamp 1624032293
+transform 1 0 20195 0 1 13001
+box -311 -310 311 310
+use sky130_fd_pr__pfet_01v8_lvt_4L9VGG  sky130_fd_pr__pfet_01v8_lvt_4L9VGG_0
+timestamp 1624030292
+transform 1 0 20217 0 1 10814
+box -487 -419 487 419
+use sky130_fd_pr__pfet_01v8_lvt_4L9VGG  sky130_fd_pr__pfet_01v8_lvt_4L9VGG_1
+timestamp 1624030292
+transform 1 0 20217 0 -1 11652
+box -487 -419 487 419
+use sky130_fd_pr__nfet_01v8_lvt_595QY5  sky130_fd_pr__nfet_01v8_lvt_595QY5_1
+timestamp 1624030292
+transform 1 0 20214 0 -1 12381
+box -407 -310 407 310
+use iref_ctrl_res_amp  iref_ctrl_res_amp_0 ~/sky130-mpw2-fulgor/iref_ctrl_res_amp/mag
+timestamp 1624113259
+transform 1 0 15406 0 -1 14113
+box -586 -686 2888 1369
+<< labels >>
+rlabel metal2 14231 11343 14357 11411 1 inn
+rlabel metal2 14231 11055 14357 11123 1 inp
+rlabel metal3 16613 14055 16659 14110 1 iref_reg0
+rlabel metal3 17217 14062 17263 14117 1 iref_reg1
+rlabel metal3 18216 14056 18262 14111 1 iref_reg2
+rlabel metal1 17273 13693 17300 13722 1 iref
+rlabel metal3 5878 7605 5911 7634 1 clk
+rlabel metal2 6250 9575 6284 9607 1 delay_reg2
+rlabel metal3 10019 9586 10053 9618 1 delay_reg1
+rlabel metal2 15061 9475 15095 9507 1 delay_reg0
+rlabel metal2 20931 12329 20986 12376 1 outp_cap
+rlabel metal2 20931 10048 20986 10095 1 outn_cap
+rlabel metal4 18370 10891 18425 10938 1 outn
+rlabel metal4 18378 11537 18433 11584 1 outp
+rlabel metal2 14898 14658 14947 14708 1 avss1p8
+rlabel metal2 14841 12807 14890 12857 1 avdd1p8
+rlabel metal1 20157 9238 20193 9270 1 rst
+rlabel metal1 18156 8224 18193 8264 1 avdd1p8
+rlabel metal1 20155 13197 20192 13237 1 rst
+rlabel metal1 20715 11216 20746 11255 1 avdd1p8
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/res_amp_sync_v2.mag b/mag/afernandez_residue_amplifier/res_amp_sync_v2.mag
new file mode 100644
index 0000000..63b3724
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/res_amp_sync_v2.mag
@@ -0,0 +1,418 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624120204
+<< nwell >>
+rect 3636 6239 4733 7015
+rect 7538 6935 8332 7015
+rect 7509 6239 7622 6935
+rect 3666 4188 4554 4723
+rect 3666 4000 4596 4188
+rect 3666 3954 5436 4000
+rect 3666 3947 7206 3954
+rect 7538 3947 8332 4723
+rect 3666 3890 8332 3947
+rect 3666 3223 3976 3890
+rect 3665 3206 3976 3223
+rect 4556 3208 8332 3890
+rect 4553 3206 8332 3208
+rect 3665 3171 3959 3206
+rect 4553 3171 4718 3206
+rect 5436 3171 8332 3206
+rect 3638 966 4062 1655
+rect 6650 966 8332 1655
+rect 3638 877 8332 966
+rect 4054 871 8332 877
+rect 6650 103 8332 871
+rect 6650 -2189 8332 -1413
+<< pwell >>
+rect 3530 6050 4560 6239
+rect 3530 5585 4978 6050
+rect 3666 4723 4978 5585
+rect 7389 5565 8332 6239
+rect 7538 4723 8332 5565
+rect 6650 2748 8332 3171
+rect 3666 1655 8332 2748
+rect 6650 -1413 8332 103
+<< metal1 >>
+rect 682 6891 736 6945
+rect 3666 6851 4554 6985
+rect 7538 6851 8332 6985
+rect 3732 6209 3742 6289
+rect 3985 6209 3995 6289
+rect 4283 6195 4293 6273
+rect 4460 6195 4470 6273
+rect 7604 6199 7614 6284
+rect 7857 6199 7867 6284
+rect 8155 6195 8165 6273
+rect 8332 6195 8342 6273
+rect 2657 6067 2667 6121
+rect 2926 6067 2936 6121
+rect 6529 6074 6539 6130
+rect 6798 6074 6808 6130
+rect 3603 5645 4554 5813
+rect 3666 5317 4554 5645
+rect 7469 5317 8332 5813
+rect 2651 4842 2661 4895
+rect 2925 4842 2935 4895
+rect 6523 4838 6533 4891
+rect 6797 4838 6807 4891
+rect 682 3977 754 3983
+rect 3666 3981 4781 4111
+rect 7195 3981 8332 4111
+rect 3666 3977 8332 3981
+rect 682 3917 8332 3977
+rect 682 3911 754 3917
+rect 3661 3783 8332 3917
+rect 4556 3772 6572 3783
+rect 4556 3737 4734 3772
+rect 5432 3737 6572 3772
+rect 7195 3701 8332 3783
+rect 4025 3126 4035 3217
+rect 4141 3126 4151 3217
+rect 4236 3182 4246 3262
+rect 4348 3182 4358 3262
+rect 4436 3131 4798 3211
+rect 5358 3127 5419 3205
+rect 5556 3127 5927 3205
+rect 5994 3193 6004 3262
+rect 6126 3193 6136 3262
+rect 6217 3131 6568 3211
+rect 7130 3142 7183 3188
+rect 2657 2999 2667 3051
+rect 2926 2999 2936 3051
+rect 4718 2623 5436 2690
+rect 4718 2610 6488 2623
+rect 6650 2610 8332 2743
+rect 4718 2509 8332 2610
+rect 3666 2249 8332 2509
+rect 4062 2212 8332 2249
+rect 6650 2083 8332 2212
+rect 2656 1775 2666 1827
+rect 2925 1775 2935 1827
+rect 4780 1695 5017 1699
+rect 4103 1615 4113 1695
+rect 4230 1615 4240 1695
+rect 4688 1615 4801 1637
+rect 6509 1621 6519 1699
+rect 6641 1621 6651 1699
+rect 682 992 842 1003
+rect 3666 992 4073 1043
+rect 6650 992 8332 1126
+rect 682 847 8332 992
+rect 682 843 842 847
+rect 6650 715 8332 847
+rect 2656 -69 2666 -17
+rect 2925 -69 2935 -17
+rect 5641 -69 5651 -17
+rect 5910 -69 5920 -17
+rect 6650 -819 8332 -491
+rect 2657 -1293 2667 -1241
+rect 2926 -1293 2936 -1241
+rect 5640 -1293 5650 -1241
+rect 5909 -1293 5919 -1241
+rect 682 -2119 747 -2065
+rect 6650 -2159 8332 -2025
+<< via1 >>
+rect 3742 6209 3985 6289
+rect 4293 6195 4460 6273
+rect 7614 6199 7857 6284
+rect 8165 6195 8332 6273
+rect 2667 6067 2926 6121
+rect 6539 6074 6798 6130
+rect 2661 4842 2925 4895
+rect 6533 4838 6797 4891
+rect 4035 3126 4141 3217
+rect 4246 3182 4348 3262
+rect 5419 3127 5556 3205
+rect 6004 3193 6126 3262
+rect 2667 2999 2926 3051
+rect 2666 1775 2925 1827
+rect 4113 1615 4230 1695
+rect 6519 1621 6641 1699
+rect 2666 -69 2925 -17
+rect 5651 -69 5910 -17
+rect 2667 -1293 2926 -1241
+rect 5650 -1293 5909 -1241
+<< metal2 >>
+rect 4814 6749 8283 6821
+rect 924 6587 4397 6659
+rect 924 6247 996 6587
+rect 3742 6292 3985 6299
+rect 3405 6289 3985 6292
+rect 3405 6233 3742 6289
+rect 4325 6283 4397 6587
+rect 3742 6199 3985 6209
+rect 4293 6273 4460 6283
+rect 2667 6131 2926 6141
+rect 2667 6057 2926 6067
+rect 3866 6064 3925 6199
+rect 4814 6228 4886 6749
+rect 5429 6568 6704 6627
+rect 4293 6185 4460 6195
+rect 5429 6064 5488 6568
+rect 6645 6140 6704 6568
+rect 7614 6292 7857 6294
+rect 7282 6284 7857 6292
+rect 7282 6233 7614 6284
+rect 8211 6283 8283 6749
+rect 7614 6189 7857 6199
+rect 8165 6273 8332 6283
+rect 8165 6185 8332 6195
+rect 6539 6130 6798 6140
+rect 6539 6064 6798 6074
+rect 3866 6005 5488 6064
+rect 2661 4895 2925 4905
+rect 2661 4822 2925 4832
+rect 6533 4891 6797 4901
+rect 6533 4812 6797 4822
+rect 930 4250 1002 4726
+rect 514 4178 1002 4250
+rect 516 150 585 4178
+rect 7309 3757 7381 4512
+rect 1497 3685 7381 3757
+rect 1497 3474 1569 3685
+rect 926 3402 1569 3474
+rect 926 3178 998 3402
+rect 6004 3272 6126 3282
+rect 4246 3262 4348 3272
+rect 4035 3224 4141 3227
+rect 3402 3217 4145 3224
+rect 3402 3165 4035 3217
+rect 4141 3165 4145 3217
+rect 4246 3172 4348 3182
+rect 5419 3205 5556 3215
+rect 4035 3116 4141 3126
+rect 2667 3060 2926 3070
+rect 2667 2989 2926 2999
+rect 4260 2417 4319 3172
+rect 3713 2358 4319 2417
+rect 6004 3173 6126 3183
+rect 5419 3117 5556 3127
+rect 2666 1827 2925 1837
+rect 2666 1755 2925 1765
+rect 3713 350 3772 2358
+rect 5419 2265 5477 3117
+rect 3473 291 3772 350
+rect 3916 2207 5477 2265
+rect 3916 341 3974 2207
+rect 4113 1695 4230 1705
+rect 4113 1605 4230 1615
+rect 6519 1699 6641 1709
+rect 6519 1611 6641 1621
+rect 4115 1016 4173 1605
+rect 4115 958 6488 1016
+rect 516 81 1027 150
+rect 3916 118 3975 341
+rect 6430 138 6488 958
+rect 2666 -7 2925 3
+rect 2666 -79 2925 -69
+rect 5651 -7 5910 3
+rect 5651 -79 5910 -69
+rect 2667 -1241 2926 -1231
+rect 2667 -1313 2926 -1303
+rect 5650 -1241 5909 -1231
+rect 5650 -1313 5909 -1303
+<< via2 >>
+rect 2667 6121 2926 6131
+rect 2667 6067 2926 6121
+rect 4293 6195 4460 6273
+rect 8165 6195 8332 6273
+rect 2661 4842 2925 4895
+rect 2661 4832 2925 4842
+rect 6533 4838 6797 4891
+rect 6533 4822 6797 4838
+rect 6004 3262 6126 3272
+rect 2667 3051 2926 3060
+rect 2667 2999 2926 3051
+rect 6004 3193 6126 3262
+rect 6004 3183 6126 3193
+rect 2666 1775 2925 1827
+rect 2666 1765 2925 1775
+rect 2666 -17 2925 -7
+rect 2666 -69 2925 -17
+rect 5651 -17 5910 -7
+rect 5651 -69 5910 -17
+rect 2667 -1293 2926 -1241
+rect 2667 -1303 2926 -1293
+rect 5650 -1293 5909 -1241
+rect 5650 -1303 5909 -1293
+<< metal3 >>
+rect 4283 6273 4470 6278
+rect 4283 6195 4293 6273
+rect 4460 6195 4470 6273
+rect 4283 6190 4470 6195
+rect 8155 6273 8342 6278
+rect 8155 6195 8165 6273
+rect 8332 6195 8342 6273
+rect 8155 6190 8342 6195
+rect 2657 6067 2667 6141
+rect 2926 6067 2936 6141
+rect 2657 6062 2936 6067
+rect 2651 4895 2935 4900
+rect 118 4821 128 4895
+rect 228 4821 238 4895
+rect 2651 4822 2661 4895
+rect 2925 4822 2935 4895
+rect 127 1828 228 4821
+rect 4334 3898 4408 6190
+rect 6523 4891 6807 4896
+rect 6523 4822 6533 4891
+rect 6797 4822 6807 4891
+rect 6523 4817 6807 4822
+rect 6683 3898 6757 4817
+rect 4334 3824 6757 3898
+rect 5994 3272 6136 3277
+rect 5994 3183 6004 3272
+rect 6126 3183 6136 3272
+rect 5994 3178 6136 3183
+rect 2657 2999 2667 3070
+rect 2926 2999 2936 3070
+rect 2657 2994 2936 2999
+rect 118 1754 128 1828
+rect 228 1754 238 1828
+rect 2656 1827 2935 1832
+rect 2656 1755 2666 1827
+rect 2925 1755 2935 1827
+rect 127 4 228 1754
+rect 2684 1261 2758 1755
+rect 6028 1261 6102 3178
+rect 2684 1187 6102 1261
+rect 117 -70 127 4
+rect 228 -70 238 4
+rect 2656 -69 2666 3
+rect 2925 -69 2935 3
+rect 2656 -74 2935 -69
+rect 5641 -69 5651 3
+rect 5910 -69 5920 3
+rect 5641 -74 5920 -69
+rect 2657 -1241 2936 -1236
+rect 2657 -1313 2667 -1241
+rect 2926 -1313 2936 -1241
+rect 5640 -1241 5919 -1236
+rect 5640 -1313 5650 -1241
+rect 5909 -1313 5919 -1241
+<< via3 >>
+rect 2667 6131 2926 6141
+rect 2667 6067 2926 6131
+rect 128 4821 228 4895
+rect 2661 4832 2925 4895
+rect 2661 4822 2925 4832
+rect 2667 3060 2926 3070
+rect 2667 2999 2926 3060
+rect 128 1754 228 1828
+rect 2666 1765 2925 1827
+rect 2666 1755 2925 1765
+rect 127 -70 228 4
+rect 2666 -7 2925 3
+rect 2666 -69 2925 -7
+rect 5651 -7 5910 3
+rect 5651 -69 5910 -7
+rect 2667 -1303 2926 -1241
+rect 2667 -1313 2926 -1303
+rect 5650 -1303 5909 -1241
+rect 5650 -1313 5909 -1303
+<< metal4 >>
+rect 2666 6141 2927 6142
+rect 2666 6140 2667 6141
+rect -92 6067 2667 6140
+rect 2926 6067 2927 6141
+rect -92 6066 2927 6067
+rect -92 3072 -18 6066
+rect 127 4895 229 4896
+rect 2660 4895 2926 4896
+rect 127 4821 128 4895
+rect 228 4822 2661 4895
+rect 2925 4822 2926 4895
+rect 228 4821 2926 4822
+rect 127 4820 229 4821
+rect -92 3071 2772 3072
+rect -92 3070 2927 3071
+rect -92 2999 2667 3070
+rect 2926 2999 2927 3070
+rect -92 2998 2927 2999
+rect -92 -1240 -18 2998
+rect 127 1828 229 1829
+rect 127 1754 128 1828
+rect 228 1827 2926 1828
+rect 228 1755 2666 1827
+rect 2925 1755 2926 1827
+rect 228 1754 2926 1755
+rect 127 1753 229 1754
+rect 126 4 229 5
+rect 126 -70 127 4
+rect 228 3 5911 4
+rect 228 -69 2666 3
+rect 2925 -69 5651 3
+rect 5910 -69 5911 3
+rect 228 -70 5911 -69
+rect 126 -71 229 -70
+rect -92 -1241 5910 -1240
+rect -92 -1313 2667 -1241
+rect 2926 -1313 5650 -1241
+rect 5909 -1313 5910 -1241
+rect -92 -1314 5910 -1313
+use DFlipFlop  DFlipFlop_4 ~/sky130-mpw2-fulgor/DFlipFlop/mag
+timestamp 1623898709
+transform 1 0 4910 0 -1 879
+box -1244 0 1740 3068
+use DFlipFlop  DFlipFlop_3
+timestamp 1623898709
+transform 1 0 1926 0 -1 879
+box -1244 0 1740 3068
+use DFlipFlop  DFlipFlop_2
+timestamp 1623898709
+transform 1 0 1926 0 1 879
+box -1244 0 1740 3068
+use nand_logic  nand_logic_0 ~/sky130-mpw2-fulgor/nand_logic/mag
+timestamp 1623952422
+transform 1 0 3885 0 1 3205
+box -219 -731 833 707
+use DFlipFlop  DFlipFlop_0
+timestamp 1623898709
+transform 1 0 1926 0 1 3947
+box -1244 0 1740 3068
+use inverter_min_x4  inverter_min_x4_4 ~/sky130-mpw2-fulgor/inverter_min_x4/mag
+timestamp 1623895985
+transform 1 0 4115 0 -1 1602
+box -53 -616 665 643
+use inverter_min_x16  inverter_min_x16_0 ~/sky130-mpw2-fulgor/inverter_min_x16/mag
+timestamp 1624046389
+transform 1 0 4833 0 -1 1602
+box -53 -616 1817 643
+use inverter_min_x4  inverter_min_x4_3
+timestamp 1623895985
+transform 1 0 6541 0 1 3224
+box -53 -616 665 643
+use nand_logic  nand_logic_1
+timestamp 1623952422
+transform 1 0 5655 0 1 3205
+box -219 -731 833 707
+use inverter_min_x4  inverter_min_x4_1
+timestamp 1623895985
+transform 1 0 4771 0 1 3224
+box -53 -616 665 643
+use DFlipFlop  DFlipFlop_1
+timestamp 1623898709
+transform 1 0 5798 0 1 3947
+box -1244 0 1740 3068
+use inverter_min_x4  inverter_min_x4_0
+timestamp 1623895985
+transform 1 0 3795 0 1 6292
+box -53 -616 665 643
+use inverter_min_x4  inverter_min_x4_2
+timestamp 1623895985
+transform 1 0 7667 0 1 6292
+box -53 -616 665 643
+<< labels >>
+rlabel metal1 7614 5716 8332 5771 1 vss
+rlabel metal1 7469 5317 7538 5645 5 vss
+rlabel via1 6551 1642 6601 1680 1 clk_amp
+rlabel metal4 -64 -38 -36 -5 1 clkn
+rlabel metal1 702 6909 718 6931 1 avdd1p8
+rlabel metal1 7130 3142 7183 3188 1 rst
+rlabel metal3 159 4649 206 4710 1 clkp
+rlabel metal1 7948 5421 8048 5515 1 avss1p8
+rlabel metal1 7873 2391 7973 2485 1 avss1p8
+rlabel metal1 7805 -720 7905 -626 1 avss1p8
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/res_amp_top.mag b/mag/afernandez_residue_amplifier/res_amp_top.mag
new file mode 100644
index 0000000..a472b13
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/res_amp_top.mag
@@ -0,0 +1,927 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624371743
+<< nwell >>
+rect 18234 4138 21604 4172
+<< pwell >>
+rect 21025 7249 22667 7283
+rect 22739 7250 26841 7284
+rect 26993 4400 27027 7051
+rect 26993 1269 27027 3920
+rect 21025 1046 22667 1080
+rect 22739 1047 26841 1081
+<< psubdiff >>
+rect 21025 7249 21121 7283
+rect 21767 7249 21925 7283
+rect 22571 7249 22667 7283
+rect 22739 7250 22835 7284
+rect 26745 7250 26841 7284
+rect 18334 5923 18358 7205
+rect 20851 5923 20875 7205
+rect 26993 6989 27027 7051
+rect 26993 5221 27027 5379
+rect 26993 4400 27027 4447
+rect 26993 3873 27027 3920
+rect 26993 2941 27027 3099
+rect 18338 1138 18362 2420
+rect 20855 1138 20879 2420
+rect 26993 1269 27027 1331
+rect 21025 1046 21121 1080
+rect 21767 1046 21925 1080
+rect 22571 1046 22667 1080
+rect 22739 1047 22835 1081
+rect 26745 1047 26841 1081
+<< nsubdiff >>
+rect 18234 4138 18330 4172
+rect 19840 4138 19998 4172
+rect 21508 4138 21604 4172
+<< psubdiffcont >>
+rect 21121 7249 21767 7283
+rect 21925 7249 22571 7283
+rect 22835 7250 26745 7284
+rect 18358 5923 20851 7205
+rect 26993 5379 27027 6989
+rect 26993 4447 27027 5221
+rect 26993 3099 27027 3873
+rect 18362 1138 20855 2420
+rect 26993 1331 27027 2941
+rect 21121 1046 21767 1080
+rect 21925 1046 22571 1080
+rect 22835 1047 26745 1081
+<< nsubdiffcont >>
+rect 18330 4138 19840 4172
+rect 19998 4138 21508 4172
+<< locali >>
+rect 18342 5923 18358 7205
+rect 20851 5923 20867 7205
+rect 18346 1138 18362 2420
+rect 20855 1138 20871 2420
+<< viali >>
+rect 21025 7249 21121 7283
+rect 21121 7249 21767 7283
+rect 21767 7249 21925 7283
+rect 21925 7249 22571 7283
+rect 22571 7249 22667 7283
+rect 22739 7250 22835 7284
+rect 22835 7250 26745 7284
+rect 26745 7250 26841 7284
+rect 18358 5923 20851 7205
+rect 26993 6989 27027 7051
+rect 26993 5379 27027 6989
+rect 26993 5221 27027 5379
+rect 26993 4447 27027 5221
+rect 26993 4400 27027 4447
+rect 18234 4138 18330 4172
+rect 18330 4138 19840 4172
+rect 19840 4138 19998 4172
+rect 19998 4138 21508 4172
+rect 21508 4138 21604 4172
+rect 26993 3873 27027 3920
+rect 26993 3099 27027 3873
+rect 26993 2941 27027 3099
+rect 18362 1138 20855 2420
+rect 26993 1331 27027 2941
+rect 26993 1269 27027 1331
+rect 21025 1046 21121 1080
+rect 21121 1046 21767 1080
+rect 21767 1046 21925 1080
+rect 21925 1046 22571 1080
+rect 22571 1046 22667 1080
+rect 22739 1047 22835 1081
+rect 22835 1047 26745 1081
+rect 26745 1047 26841 1081
+<< metal1 >>
+rect -4991 11573 -4981 12019
+rect -4333 11856 -4323 12019
+rect -4333 11826 -198 11856
+rect -4333 11743 -4273 11826
+rect -301 11743 -198 11826
+rect -4333 11721 -198 11743
+rect 7413 11792 7708 11855
+rect -4333 11573 -4323 11721
+rect 7413 11692 7547 11792
+rect 7674 11692 7708 11792
+rect 7413 11639 7708 11692
+rect 7298 10621 18864 10683
+rect -3030 10141 -3020 10587
+rect -2372 10515 -2362 10587
+rect 7298 10552 9156 10621
+rect -2372 10466 -188 10515
+rect -2372 10231 -2293 10466
+rect -318 10231 -188 10466
+rect -2372 10187 -188 10231
+rect 7298 10286 7940 10552
+rect 8700 10289 9156 10552
+rect 18767 10289 18864 10621
+rect 8700 10286 18864 10289
+rect 7298 10187 18864 10286
+rect -2372 10141 -2362 10187
+rect 18368 10108 18864 10187
+rect -4967 8592 -4957 9038
+rect -4309 8981 -4299 9038
+rect -4309 8951 -210 8981
+rect -4309 8684 -4173 8951
+rect -505 8684 -210 8951
+rect -4309 8653 -210 8684
+rect 7023 8946 12143 8981
+rect 7023 8842 9064 8946
+rect 7023 8687 7537 8842
+rect 7688 8687 9064 8842
+rect -4309 8592 -4299 8653
+rect 7023 8624 9064 8687
+rect 11661 8910 12143 8946
+rect 11661 8624 11766 8910
+rect 12080 8624 12143 8910
+rect 7023 8571 12143 8624
+rect 6160 8096 14598 8113
+rect 6160 7969 9070 8096
+rect 14582 7969 14598 8096
+rect 6160 7958 14598 7969
+rect 14341 7920 14598 7958
+rect 6398 7533 9126 7712
+rect 6398 7531 9001 7533
+rect -3020 7076 -3010 7522
+rect -2362 7448 -2352 7522
+rect 6674 7471 9001 7531
+rect -2362 7399 -204 7448
+rect -2362 7167 -2268 7399
+rect -443 7167 -204 7399
+rect -2362 7119 -204 7167
+rect 6674 7205 7936 7471
+rect 8696 7205 9001 7471
+rect -2362 7076 -2352 7119
+rect 6674 6953 9001 7205
+rect 11249 6504 11259 6610
+rect 11353 6504 11363 6610
+rect -4970 5531 -4960 5977
+rect -4312 5913 -4302 5977
+rect -4312 5860 -89 5913
+rect -4312 5630 -4206 5860
+rect -493 5630 -89 5860
+rect -4312 5585 -89 5630
+rect 5819 5746 9205 5996
+rect -4312 5531 -4302 5585
+rect 5819 5562 7506 5746
+rect 7708 5562 9205 5746
+rect 5819 5437 9205 5562
+rect 12670 5544 13227 7679
+rect 14341 6257 14357 7920
+rect 14579 6436 14598 7920
+rect 18368 7462 18460 10108
+rect 18773 7462 18864 10108
+rect 18368 7211 18864 7462
+rect 21025 7283 22739 7284
+rect 22667 7282 22739 7283
+rect 18346 7205 20863 7211
+rect 14579 6341 15829 6436
+rect 14579 6313 14598 6341
+rect 14579 6257 14599 6313
+rect 14341 6109 14599 6257
+rect 14341 6056 14598 6109
+rect -3024 4004 -3014 4450
+rect -2366 4379 -2356 4450
+rect -2366 4334 -195 4379
+rect -2366 4102 -2293 4334
+rect -311 4102 -195 4334
+rect -2366 4051 -195 4102
+rect -2366 4004 -2356 4051
+rect -4955 1523 -4945 1969
+rect -4297 1911 -4287 1969
+rect -242 1911 170 2845
+rect 7412 2673 7422 2892
+rect 7737 2673 7747 2892
+rect 13666 2667 13676 2699
+rect -4297 1855 170 1911
+rect -4297 1620 -4217 1855
+rect -353 1620 170 1855
+rect -4297 1583 170 1620
+rect -4297 1523 -4287 1583
+rect -242 1366 170 1583
+rect -242 988 454 1366
+rect 13603 1118 13676 2667
+rect 13920 2667 13930 2699
+rect 13920 1118 14010 2667
+rect 14393 1971 14488 2240
+rect 15734 1971 15829 6341
+rect 18346 5923 18358 7205
+rect 20851 5923 20863 7205
+rect 21015 7093 21025 7282
+rect 26841 7093 26851 7282
+rect 21734 6516 21744 6620
+rect 21940 6516 21950 6620
+rect 18346 5917 20863 5923
+rect 18976 5035 18986 5130
+rect 19121 5035 19131 5130
+rect 28363 6957 28373 7403
+rect 29021 6957 29031 7403
+rect 18236 4172 18246 4216
+rect 18357 4172 18367 4216
+rect 18453 4172 18463 4344
+rect 18236 4105 18246 4138
+rect 18357 4105 18367 4138
+rect 18453 3979 18463 4138
+rect 21653 3979 21663 4344
+rect 21815 4035 21825 4290
+rect 26610 4035 26620 4290
+rect 26993 3920 27027 4400
+rect 18975 3197 18985 3292
+rect 19120 3197 19130 3292
+rect 14393 1876 15829 1971
+rect 18350 2420 20867 2426
+rect 13603 1094 14010 1118
+rect 18197 1463 18201 1512
+rect 18197 1094 18206 1463
+rect 18350 1138 18362 2420
+rect 20855 1138 20867 2420
+rect 21735 1699 21745 1803
+rect 21941 1699 21951 1803
+rect 27070 3749 27075 4585
+rect 18350 1132 20867 1138
+rect 12803 895 12813 1085
+rect 13201 895 13211 1085
+rect 13603 954 18979 1094
+rect 21015 1046 21025 1235
+rect 26841 1046 26851 1235
+rect 13603 168 13721 954
+rect 12256 132 13721 168
+rect 18694 132 18979 954
+rect 12256 28 18979 132
+<< via1 >>
+rect -4981 11573 -4333 12019
+rect -4273 11743 -301 11826
+rect 7547 11692 7674 11792
+rect -3020 10141 -2372 10587
+rect -2293 10231 -318 10466
+rect 7940 10286 8700 10552
+rect 9156 10289 18767 10621
+rect -4957 8592 -4309 9038
+rect -4173 8684 -505 8951
+rect 7537 8687 7688 8842
+rect 9064 8624 11661 8946
+rect 11766 8624 12080 8910
+rect 9070 7969 14582 8096
+rect -3010 7076 -2362 7522
+rect -2268 7167 -443 7399
+rect 7936 7205 8696 7471
+rect 11259 6504 11353 6610
+rect -4960 5531 -4312 5977
+rect -4206 5630 -493 5860
+rect 7506 5562 7708 5746
+rect 14357 6257 14579 7920
+rect 18460 7462 18773 10108
+rect -3014 4004 -2366 4450
+rect -2293 4102 -311 4334
+rect -4945 1523 -4297 1969
+rect 7422 2673 7737 2892
+rect -4217 1620 -353 1855
+rect 13676 1118 13920 2699
+rect 18358 5923 20851 7205
+rect 21025 7249 22667 7282
+rect 22667 7250 22739 7282
+rect 22739 7250 26841 7282
+rect 22667 7249 26841 7250
+rect 21025 7093 26841 7249
+rect 21744 6516 21940 6620
+rect 18986 5035 19121 5130
+rect 28373 6957 29021 7403
+rect 18246 4172 18357 4216
+rect 18463 4172 21653 4344
+rect 18246 4138 18357 4172
+rect 18463 4138 21604 4172
+rect 21604 4138 21653 4172
+rect 18246 4105 18357 4138
+rect 18463 3979 21653 4138
+rect 21825 4035 26610 4290
+rect 18985 3197 19120 3292
+rect 18362 1138 20855 2420
+rect 21745 1699 21941 1803
+rect 12813 895 13201 1085
+rect 21025 1081 26841 1235
+rect 21025 1080 22739 1081
+rect 21025 1046 22667 1080
+rect 22667 1047 22739 1080
+rect 22739 1047 26841 1081
+rect 22667 1046 26841 1047
+rect 13721 132 18694 954
+<< metal2 >>
+rect -4981 12019 -4333 12029
+rect -4333 11836 -4249 11840
+rect -4333 11826 -301 11836
+rect -4333 11743 -4273 11826
+rect -4333 11733 -301 11743
+rect 7547 11792 7674 11802
+rect -4333 11730 -4249 11733
+rect -4981 11563 -4333 11573
+rect 7547 11560 7674 11692
+rect -3020 10587 -2372 10597
+rect -2372 10476 -2217 10489
+rect -2372 10466 -318 10476
+rect -2372 10231 -2293 10466
+rect -2372 10221 -318 10231
+rect -2372 10200 -2217 10221
+rect -3020 10131 -2372 10141
+rect -4957 9038 -4309 9048
+rect -4309 8961 -4120 8962
+rect -4309 8951 -505 8961
+rect -4309 8684 -4173 8951
+rect 7548 8852 7672 11560
+rect 9156 10621 18767 10631
+rect 7940 10552 8700 10562
+rect 7940 10276 8700 10286
+rect 9156 10279 18767 10289
+rect 18460 10108 18773 10118
+rect 9064 8946 11661 8956
+rect -4309 8674 -505 8684
+rect 7537 8842 7688 8852
+rect 7537 8677 7688 8687
+rect -4309 8673 -4120 8674
+rect -4957 8582 -4309 8592
+rect -3010 7522 -2362 7532
+rect -2268 7399 -443 7409
+rect -2268 7157 -443 7167
+rect -3010 7066 -2362 7076
+rect 5620 6412 6084 6624
+rect -4960 5977 -4312 5987
+rect -4312 5870 -4159 5884
+rect -4312 5860 -493 5870
+rect -4312 5630 -4206 5860
+rect -4312 5620 -493 5630
+rect -4312 5595 -4159 5620
+rect -4960 5521 -4312 5531
+rect -3014 4450 -2366 4460
+rect -2366 4344 -2194 4361
+rect -2366 4334 -311 4344
+rect -2366 4102 -2293 4334
+rect -2366 4092 -311 4102
+rect -2366 4072 -2194 4092
+rect -3014 3994 -2366 4004
+rect 5873 3175 6083 6412
+rect 7548 5756 7672 8677
+rect 9064 8614 11661 8624
+rect 11766 8910 12080 8920
+rect 11766 8614 12080 8624
+rect 9070 8096 14582 8106
+rect 9070 7959 14582 7969
+rect 14357 7920 14579 7930
+rect 7936 7471 8696 7481
+rect 7936 7195 8696 7205
+rect 11259 6610 11353 6620
+rect 11259 6494 11353 6504
+rect 19819 8935 20467 8945
+rect 19819 8479 20467 8489
+rect 18460 7452 18773 7462
+rect 19830 7215 20449 8479
+rect 28373 7403 29021 7413
+rect 21025 7282 28373 7292
+rect 14357 6247 14579 6257
+rect 18358 7205 20851 7215
+rect 26841 7093 28373 7282
+rect 21025 7083 28373 7093
+rect 28373 6947 29021 6957
+rect 21744 6620 21940 6630
+rect 21744 6506 21940 6516
+rect 18358 5913 20851 5923
+rect 7506 5746 7708 5756
+rect 7506 5552 7708 5562
+rect -117 2965 6083 3175
+rect -4945 1969 -4297 1979
+rect -4297 1865 -4118 1889
+rect -4297 1855 -353 1865
+rect -4297 1620 -4217 1855
+rect -4297 1610 -353 1620
+rect -4297 1600 -4118 1610
+rect -4945 1513 -4297 1523
+rect -117 579 93 2965
+rect 7548 2902 7672 5552
+rect 27126 5401 27326 5411
+rect 15141 5204 15341 5214
+rect 26993 5194 27027 5401
+rect 27126 5184 27326 5194
+rect 18986 5130 19121 5140
+rect 18986 5025 19121 5035
+rect 15141 4681 15341 4691
+rect 30366 4380 31014 4390
+rect 18463 4344 21653 4354
+rect 8505 4334 8631 4344
+rect 8505 4256 8631 4266
+rect 18246 4216 18357 4226
+rect 15465 4105 18246 4216
+rect 18246 4095 18357 4105
+rect 8505 4046 8631 4056
+rect 8505 3968 8631 3978
+rect 21825 4290 30366 4300
+rect 26610 4035 30366 4290
+rect 21825 4025 30366 4035
+rect 26541 4021 30366 4025
+rect 18463 3969 21653 3979
+rect 30366 3924 31014 3934
+rect 15141 3621 15341 3631
+rect 18985 3292 19120 3302
+rect 18985 3187 19120 3197
+rect 27126 3126 27326 3136
+rect 15141 3098 15341 3108
+rect 26993 2919 27027 3126
+rect 27126 2909 27326 2919
+rect 7422 2892 7737 2902
+rect 7422 2663 7737 2673
+rect 13676 2699 13920 2709
+rect 2703 2553 2856 2563
+rect 2703 2463 2856 2473
+rect 9277 2471 9430 2481
+rect 9277 2381 9430 2391
+rect -117 500 -107 579
+rect 77 500 93 579
+rect -117 480 93 500
+rect 239 2280 380 2365
+rect 239 2270 409 2280
+rect -3006 419 -2358 429
+rect 239 341 380 2270
+rect 18362 2420 20855 2430
+rect 21745 1803 21941 1813
+rect 21745 1689 21941 1699
+rect 28405 1367 29053 1377
+rect 18362 1128 20855 1138
+rect 21025 1235 28405 1245
+rect 13676 1108 13920 1118
+rect 12813 1085 13201 1095
+rect 12813 885 13201 895
+rect 13721 954 18694 964
+rect -2358 65 380 341
+rect 13721 122 18694 132
+rect 239 40 380 65
+rect -3006 -37 -2358 -27
+rect 19830 -155 20449 1128
+rect 26841 1046 28405 1235
+rect 21025 1036 28405 1046
+rect 28405 911 29053 921
+rect 19819 -165 20467 -155
+rect 19819 -621 20467 -611
+<< via2 >>
+rect -4981 11573 -4333 12019
+rect -3020 10141 -2372 10587
+rect -4957 8592 -4309 9038
+rect 7940 10286 8700 10552
+rect -3010 7076 -2362 7522
+rect -4960 5531 -4312 5977
+rect -3014 4004 -2366 4450
+rect 11766 8624 12080 8910
+rect 7936 7205 8696 7471
+rect 11259 6504 11353 6610
+rect 19819 8489 20467 8935
+rect 28373 6957 29021 7403
+rect 21744 6516 21940 6620
+rect -4945 1523 -4297 1969
+rect 15141 4691 15341 5204
+rect 27126 5194 27326 5401
+rect 8505 4266 8631 4334
+rect 8505 3978 8631 4046
+rect 30366 3934 31014 4380
+rect 15141 3108 15341 3621
+rect 27126 2919 27326 3126
+rect 2703 2473 2856 2553
+rect 9277 2391 9430 2471
+rect -107 500 77 579
+rect -3006 -27 -2358 419
+rect 21745 1699 21941 1803
+rect 12813 895 13201 1085
+rect 15257 783 15796 949
+rect 28405 921 29053 1367
+rect 19819 -611 20467 -165
+<< metal3 >>
+rect -4991 12019 -4323 12024
+rect -4991 11573 -4981 12019
+rect -4333 11573 -4323 12019
+rect -4991 11568 -4323 11573
+rect -3030 10587 -2362 10592
+rect -3030 10141 -3020 10587
+rect -2372 10141 -2362 10587
+rect -3030 10136 -2362 10141
+rect 7797 10552 8854 12355
+rect 7797 10286 7940 10552
+rect 8700 10286 8854 10552
+rect -4967 9038 -4299 9043
+rect -4967 8592 -4957 9038
+rect -4309 8592 -4299 9038
+rect -4967 8587 -4299 8592
+rect -3020 7522 -2352 7527
+rect -3020 7076 -3010 7522
+rect -2362 7076 -2352 7522
+rect -3020 7071 -2352 7076
+rect -4970 5977 -4302 5982
+rect -4970 5531 -4960 5977
+rect -4312 5531 -4302 5977
+rect -4970 5526 -4302 5531
+rect -709 4799 -603 9765
+rect 7797 7471 8854 10286
+rect 19809 8935 20477 8940
+rect 11756 8910 12090 8915
+rect 11756 8624 11766 8910
+rect 12081 8625 12091 8910
+rect 12080 8624 12090 8625
+rect 11756 8619 12090 8624
+rect 11766 8605 12080 8619
+rect 19809 8489 19819 8935
+rect 20467 8489 20477 8935
+rect 19809 8484 20477 8489
+rect 7797 7205 7936 7471
+rect 8696 7205 8854 7471
+rect 7797 4525 8854 7205
+rect 28363 7403 29031 7408
+rect 10855 6954 10865 7060
+rect 10959 6954 10969 7060
+rect 11459 6958 11469 7064
+rect 11563 6958 11573 7064
+rect 12458 6951 12468 7057
+rect 12562 6951 12572 7057
+rect 28363 6957 28373 7403
+rect 29021 6957 29031 7403
+rect 28363 6952 29031 6957
+rect 21734 6620 21950 6625
+rect 11249 6610 11363 6615
+rect 11249 6504 11259 6610
+rect 11353 6504 11363 6610
+rect 11249 6499 11363 6504
+rect 14157 6163 15942 6545
+rect 21734 6516 21744 6620
+rect 21940 6516 21950 6620
+rect 21734 6511 21950 6516
+rect 15131 5204 15351 5209
+rect 15131 4691 15141 5204
+rect 15341 4691 15351 5204
+rect 15131 4686 15351 4691
+rect -3024 4450 -2356 4455
+rect -3024 4004 -3014 4450
+rect -2366 4004 -2356 4450
+rect 8495 4334 8641 4339
+rect 8495 4266 8505 4334
+rect 8631 4266 8641 4334
+rect 8495 4261 8641 4266
+rect -3024 3999 -2356 4004
+rect 8495 4046 8641 4051
+rect 8495 3978 8505 4046
+rect 8631 3978 8641 4046
+rect 8495 3973 8641 3978
+rect 15131 3621 15351 3626
+rect 15131 3108 15141 3621
+rect 15341 3108 15351 3621
+rect 15131 3103 15351 3108
+rect 2693 2553 2866 2558
+rect 2693 2473 2703 2553
+rect 2856 2473 2866 2553
+rect 6820 2486 6830 2566
+rect 6983 2486 6993 2566
+rect 2693 2468 2866 2473
+rect 9267 2471 9440 2476
+rect 9267 2391 9277 2471
+rect 9430 2391 9440 2471
+rect 9267 2386 9440 2391
+rect 15560 2115 15942 6163
+rect 17802 5620 17812 5768
+rect 18033 5620 18043 5768
+rect 27116 5401 27336 5406
+rect 27116 5194 27126 5401
+rect 27326 5194 27336 5401
+rect 27116 5189 27336 5194
+rect 30356 4380 31024 4385
+rect 30356 3934 30366 4380
+rect 31014 3934 31024 4380
+rect 30356 3929 31024 3934
+rect 27116 3126 27336 3131
+rect 27116 2919 27126 3126
+rect 27326 2919 27336 3126
+rect 27116 2914 27336 2919
+rect 17802 2552 17812 2700
+rect 18033 2552 18043 2700
+rect -4955 1969 -4287 1974
+rect -4955 1523 -4945 1969
+rect -4297 1523 -4287 1969
+rect 14152 1733 15942 2115
+rect 21735 1803 21951 1808
+rect -4955 1518 -4287 1523
+rect 12803 1085 13211 1090
+rect 12803 895 12813 1085
+rect 13201 895 13211 1085
+rect 15316 954 15765 1733
+rect 21735 1699 21745 1803
+rect 21941 1699 21951 1803
+rect 21735 1694 21951 1699
+rect 28395 1367 29063 1372
+rect 12803 890 13211 895
+rect 15247 949 15806 954
+rect 15247 783 15257 949
+rect 15796 783 15806 949
+rect 28395 921 28405 1367
+rect 29053 921 29063 1367
+rect 28395 916 29063 921
+rect 15247 778 15806 783
+rect -117 579 87 584
+rect -117 500 -107 579
+rect 77 574 87 579
+rect 77 512 200 574
+rect 77 500 87 512
+rect -117 495 87 500
+rect -3016 419 -2348 424
+rect -3016 -27 -3006 419
+rect -2358 -27 -2348 419
+rect -3016 -32 -2348 -27
+rect 19809 -165 20477 -160
+rect 19809 -611 19819 -165
+rect 20467 -611 20477 -165
+rect 19809 -616 20477 -611
+<< via3 >>
+rect -4981 11573 -4333 12019
+rect -3020 10141 -2372 10587
+rect -4957 8592 -4309 9038
+rect -3010 7076 -2362 7522
+rect -4960 5531 -4312 5977
+rect 11766 8625 12080 8910
+rect 12080 8625 12081 8910
+rect 19819 8489 20467 8935
+rect 10865 6954 10959 7060
+rect 11469 6958 11563 7064
+rect 12468 6951 12562 7057
+rect 28373 6957 29021 7403
+rect 11259 6504 11353 6610
+rect 15141 4691 15341 5204
+rect -3014 4004 -2366 4450
+rect 8505 4266 8631 4334
+rect 8505 3978 8631 4046
+rect 15141 3108 15341 3621
+rect 2703 2473 2856 2553
+rect 6830 2486 6983 2566
+rect 9277 2391 9430 2471
+rect 17812 5620 18033 5768
+rect 27126 5194 27326 5401
+rect 30366 3934 31014 4380
+rect 27126 2919 27326 3126
+rect 17812 2552 18033 2700
+rect -4945 1523 -4297 1969
+rect 12813 895 13201 1085
+rect 28405 921 29053 1367
+rect -3006 -27 -2358 419
+rect 19819 -611 20467 -165
+<< metal4 >>
+rect -4982 12019 -4332 12020
+rect -4982 11573 -4981 12019
+rect -4333 11573 -4332 12019
+rect -4982 11572 -4332 11573
+rect -3021 10587 -2371 10588
+rect -3021 10141 -3020 10587
+rect -2372 10141 -2371 10587
+rect -3021 10140 -2371 10141
+rect -4958 9038 -4308 9039
+rect -4958 8592 -4957 9038
+rect -4309 8592 -4308 9038
+rect -4958 8591 -4308 8592
+rect -3011 7522 -2361 7523
+rect -3011 7076 -3010 7522
+rect -2362 7076 -2361 7522
+rect -3011 7075 -2361 7076
+rect -4961 5977 -4311 5978
+rect -4961 5531 -4960 5977
+rect -4312 5531 -4311 5977
+rect -4961 5530 -4311 5531
+rect -3015 4450 -2365 4451
+rect -3015 4004 -3014 4450
+rect -2366 4004 -2365 4450
+rect -3015 4003 -2365 4004
+rect -1112 3556 -968 11010
+rect 8025 4047 8152 12638
+rect 8505 4339 8632 12638
+rect 11710 9974 30894 9975
+rect 11710 9532 30333 9974
+rect 11710 8910 12153 9532
+rect 11710 8625 11766 8910
+rect 12081 8625 12153 8910
+rect 11468 7064 11564 7065
+rect 10864 7060 10960 7061
+rect 10864 6954 10865 7060
+rect 10959 6954 10960 7060
+rect 11468 6958 11469 7064
+rect 11563 6958 11564 7064
+rect 11468 6957 11564 6958
+rect 10864 6953 10960 6954
+rect 11258 6610 11354 6611
+rect 11258 6504 11259 6610
+rect 11353 6504 11354 6610
+rect 11258 6503 11354 6504
+rect 11710 5473 12153 8625
+rect 19818 8935 20468 8936
+rect 19818 8489 19819 8935
+rect 20467 8489 20468 8935
+rect 19818 8488 20468 8489
+rect 28372 7403 29022 7404
+rect 12467 7057 12563 7058
+rect 12467 6951 12468 7057
+rect 12562 6951 12563 7057
+rect 28372 6957 28373 7403
+rect 29021 6957 29022 7403
+rect 28372 6956 29022 6957
+rect 12467 6950 12563 6951
+rect 17640 5768 18034 5769
+rect 17640 5620 17812 5768
+rect 18033 5620 18034 5768
+rect 17640 5619 18034 5620
+rect 17640 5205 17840 5619
+rect 15140 5204 17840 5205
+rect 15140 4691 15141 5204
+rect 15341 5005 17840 5204
+rect 27125 5401 27327 5402
+rect 27125 5194 27126 5401
+rect 27326 5194 27327 5401
+rect 27125 5193 27327 5194
+rect 15341 4691 15342 5005
+rect 15140 4690 15342 4691
+rect 8504 4334 8632 4339
+rect 8504 4266 8505 4334
+rect 8631 4266 8632 4334
+rect 8504 4265 8632 4266
+rect 30365 4380 31015 4381
+rect 8025 4046 8632 4047
+rect 8025 3978 8505 4046
+rect 8631 3978 8632 4046
+rect 8025 3977 8632 3978
+rect 30365 3934 30366 4380
+rect 31014 3934 31015 4380
+rect 30365 3933 31015 3934
+rect 15140 3621 15342 3622
+rect 15140 3108 15141 3621
+rect 15341 3307 15342 3621
+rect 15341 3108 17841 3307
+rect 15140 3107 17841 3108
+rect 17641 2701 17841 3107
+rect 27125 3126 27327 3127
+rect 27125 2919 27126 3126
+rect 27326 2919 27327 3126
+rect 27125 2918 27327 2919
+rect 17641 2700 18034 2701
+rect 6829 2566 6984 2567
+rect 2702 2553 2857 2554
+rect 2702 2473 2703 2553
+rect 2856 2473 2857 2553
+rect 6829 2486 6830 2566
+rect 6983 2486 6984 2566
+rect 17641 2552 17812 2700
+rect 18033 2552 18034 2700
+rect 17641 2551 18034 2552
+rect 6829 2485 6984 2486
+rect 2702 2472 2857 2473
+rect 9276 2471 9431 2472
+rect 9276 2391 9277 2471
+rect 9430 2391 9431 2471
+rect 9276 2390 9431 2391
+rect -4946 1969 -4296 1970
+rect -4946 1523 -4945 1969
+rect -4297 1523 -4296 1969
+rect -4946 1522 -4296 1523
+rect 28404 1367 29054 1368
+rect 12812 1085 13202 1086
+rect 12812 993 12813 1085
+rect 12799 895 12813 993
+rect 13201 993 13202 1085
+rect 13201 895 13242 993
+rect 28404 921 28405 1367
+rect 29053 921 29054 1367
+rect 28404 920 29054 921
+rect -3007 419 -2357 420
+rect -3007 -27 -3006 419
+rect -2358 -27 -2357 419
+rect -3007 -28 -2357 -27
+rect 12799 -503 13242 895
+rect -4286 -946 13242 -503
+rect 19818 -165 20468 -164
+rect 19818 -611 19819 -165
+rect 20467 -611 20468 -165
+rect 19818 -612 20468 -611
+<< via4 >>
+rect -4981 11573 -4333 12019
+rect -3020 10141 -2372 10587
+rect -4957 8592 -4309 9038
+rect -3010 7076 -2362 7522
+rect -4960 5531 -4312 5977
+rect -3014 4004 -2366 4450
+rect 30333 9528 30981 9974
+rect 19819 8489 20467 8935
+rect 28373 6957 29021 7403
+rect 30366 3934 31014 4380
+rect -4945 1523 -4297 1969
+rect 28405 921 29053 1367
+rect -3006 -27 -2358 419
+rect -4934 -948 -4286 -502
+rect 19819 -611 20467 -165
+<< metal5 >>
+rect -4855 12043 -4412 12726
+rect -5005 12019 -4309 12043
+rect -5005 11573 -4981 12019
+rect -4333 11573 -4309 12019
+rect -5005 11549 -4309 11573
+rect -4855 9062 -4412 11549
+rect -2910 10611 -2467 12726
+rect -3044 10587 -2348 10611
+rect -3044 10141 -3020 10587
+rect -2372 10141 -2348 10587
+rect -3044 10117 -2348 10141
+rect -4981 9038 -4285 9062
+rect -4981 8592 -4957 9038
+rect -4309 8592 -4285 9038
+rect -4981 8568 -4285 8592
+rect -4855 6001 -4412 8568
+rect -2910 7546 -2467 10117
+rect 19795 8935 20491 8959
+rect 19795 8489 19819 8935
+rect 20467 8929 20491 8935
+rect 28506 8929 28949 12726
+rect 30451 9998 30894 12726
+rect 30309 9974 31005 9998
+rect 30309 9528 30333 9974
+rect 30981 9528 31005 9974
+rect 30309 9504 31005 9528
+rect 20467 8489 28949 8929
+rect 19795 8486 28949 8489
+rect 19795 8465 20491 8486
+rect -3034 7522 -2338 7546
+rect -3034 7076 -3010 7522
+rect -2362 7076 -2338 7522
+rect 28506 7427 28949 8486
+rect -3034 7052 -2338 7076
+rect 28349 7403 29045 7427
+rect -4984 5977 -4288 6001
+rect -4984 5531 -4960 5977
+rect -4312 5531 -4288 5977
+rect -4984 5507 -4288 5531
+rect -4855 1993 -4412 5507
+rect -2910 4474 -2467 7052
+rect 28349 6957 28373 7403
+rect 29021 6957 29045 7403
+rect 28349 6933 29045 6957
+rect -3038 4450 -2342 4474
+rect -3038 4004 -3014 4450
+rect -2366 4004 -2342 4450
+rect -3038 3980 -2342 4004
+rect -4969 1969 -4273 1993
+rect -4969 1523 -4945 1969
+rect -4297 1523 -4273 1969
+rect -4969 1499 -4273 1523
+rect -4855 -478 -4412 1499
+rect -2910 443 -2467 3980
+rect 28506 1391 28949 6933
+rect 30451 4404 30894 9504
+rect 30342 4380 31038 4404
+rect 30342 3934 30366 4380
+rect 31014 3934 31038 4380
+rect 30342 3910 31038 3934
+rect 28381 1367 29077 1391
+rect 28381 921 28405 1367
+rect 29053 921 29077 1367
+rect 28381 897 29077 921
+rect -3030 419 -2334 443
+rect -3030 -27 -3006 419
+rect -2358 -27 -2334 419
+rect -3030 -51 -2334 -27
+rect -2910 -129 -2467 -51
+rect 19795 -162 20491 -141
+rect 28506 -162 28949 897
+rect 30451 -147 30894 3910
+rect 19795 -165 28949 -162
+rect -4958 -502 -4262 -478
+rect -4958 -948 -4934 -502
+rect -4286 -948 -4262 -502
+rect 19795 -611 19819 -165
+rect 20467 -605 28949 -165
+rect 20467 -611 20491 -605
+rect 19795 -635 20491 -611
+rect -4958 -972 -4262 -948
+use res_amp_lin_prog  res_amp_lin_prog_0 ~/sky130-mpw2-fulgor/res_amp_lin_prog/mag
+timestamp 1624314836
+transform 1 0 -5726 0 1 -7077
+box 5835 7077 21302 14799
+use res_amp_sync_v2  res_amp_sync_v2_0 ~/sky130-mpw2-fulgor/res_amp_sync_v2/mag
+timestamp 1624314836
+transform 1 0 -899 0 1 4870
+box -92 -2189 8342 7015
+use source_follower_buff_diff  source_follower_buff_diff_0 ~/sky130-mpw2-fulgor/source_follower_buff_diff/mag
+timestamp 1624113565
+transform 1 0 17170 0 1 1168
+box 863 -174 10692 6158
+<< labels >>
+rlabel metal4 8534 12559 8597 12604 1 inn
+rlabel metal4 8060 12573 8123 12618 1 inp
+rlabel metal4 -1064 10918 -1018 10975 1 clkn
+rlabel space -742 9560 -696 9617 1 clkp
+rlabel metal4 27211 5274 27257 5331 1 outp
+rlabel metal4 27221 2994 27267 3051 1 outn
+rlabel metal4 2733 2487 2790 2523 1 delay_reg2
+rlabel metal4 9327 2414 9384 2450 1 delay_reg0
+rlabel metal4 6829 2485 6886 2521 1 delay_reg1
+rlabel metal4 10864 6953 10960 7061 1 iref_reg0
+rlabel metal4 11468 6957 11564 7065 1 iref_reg1
+rlabel metal4 12467 6950 12563 7058 1 iref_reg2
+rlabel metal5 -2784 12535 -2629 12632 1 avss1p8
+rlabel metal5 -4717 12539 -4562 12636 1 avdd1p8
+rlabel metal4 11259 6504 11353 6610 1 iref0
+rlabel via1 18986 5035 19121 5130 1 iref1
+rlabel via1 18985 3197 19120 3292 1 iref3
+rlabel metal3 21744 6516 21940 6620 1 iref2
+rlabel metal3 21745 1699 21941 1803 1 iref4
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_283H86.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_283H86.mag
new file mode 100644
index 0000000..4c2c16d
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_283H86.mag
@@ -0,0 +1,304 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623943772
+<< error_p >>
+rect -173 385 -115 391
+rect 19 385 77 391
+rect -173 351 -161 385
+rect 19 351 31 385
+rect -173 345 -115 351
+rect 19 345 77 351
+rect -77 71 -19 77
+rect 115 71 173 77
+rect -77 37 -65 71
+rect 115 37 127 71
+rect -77 31 -19 37
+rect 115 31 173 37
+rect -77 -37 -19 -31
+rect 115 -37 173 -31
+rect -77 -71 -65 -37
+rect 115 -71 127 -37
+rect -77 -77 -19 -71
+rect 115 -77 173 -71
+rect -173 -351 -115 -345
+rect 19 -351 77 -345
+rect -173 -385 -161 -351
+rect 19 -385 31 -351
+rect -173 -391 -115 -385
+rect 19 -391 77 -385
+<< pwell >>
+rect -359 -523 359 523
+<< nmos >>
+rect -159 109 -129 313
+rect -63 109 -33 313
+rect 33 109 63 313
+rect 129 109 159 313
+rect -159 -313 -129 -109
+rect -63 -313 -33 -109
+rect 33 -313 63 -109
+rect 129 -313 159 -109
+<< ndiff >>
+rect -221 301 -159 313
+rect -221 121 -209 301
+rect -175 121 -159 301
+rect -221 109 -159 121
+rect -129 301 -63 313
+rect -129 121 -113 301
+rect -79 121 -63 301
+rect -129 109 -63 121
+rect -33 301 33 313
+rect -33 121 -17 301
+rect 17 121 33 301
+rect -33 109 33 121
+rect 63 301 129 313
+rect 63 121 79 301
+rect 113 121 129 301
+rect 63 109 129 121
+rect 159 301 221 313
+rect 159 121 175 301
+rect 209 121 221 301
+rect 159 109 221 121
+rect -221 -121 -159 -109
+rect -221 -301 -209 -121
+rect -175 -301 -159 -121
+rect -221 -313 -159 -301
+rect -129 -121 -63 -109
+rect -129 -301 -113 -121
+rect -79 -301 -63 -121
+rect -129 -313 -63 -301
+rect -33 -121 33 -109
+rect -33 -301 -17 -121
+rect 17 -301 33 -121
+rect -33 -313 33 -301
+rect 63 -121 129 -109
+rect 63 -301 79 -121
+rect 113 -301 129 -121
+rect 63 -313 129 -301
+rect 159 -121 221 -109
+rect 159 -301 175 -121
+rect 209 -301 221 -121
+rect 159 -313 221 -301
+<< ndiffc >>
+rect -209 121 -175 301
+rect -113 121 -79 301
+rect -17 121 17 301
+rect 79 121 113 301
+rect 175 121 209 301
+rect -209 -301 -175 -121
+rect -113 -301 -79 -121
+rect -17 -301 17 -121
+rect 79 -301 113 -121
+rect 175 -301 209 -121
+<< psubdiff >>
+rect -323 453 323 487
+rect -323 391 -289 453
+rect 289 391 323 453
+rect -323 -453 -289 -391
+rect 289 -453 323 -391
+rect -323 -487 -227 -453
+rect 227 -487 323 -453
+<< psubdiffcont >>
+rect -323 -391 -289 391
+rect 289 -391 323 391
+rect -227 -487 227 -453
+<< poly >>
+rect -177 385 -111 401
+rect -177 351 -161 385
+rect -127 351 -111 385
+rect -177 335 -111 351
+rect 15 385 81 401
+rect 15 351 31 385
+rect 65 351 81 385
+rect -159 313 -129 335
+rect -63 313 -33 339
+rect 15 335 81 351
+rect 33 313 63 335
+rect 129 313 159 339
+rect -159 83 -129 109
+rect -63 87 -33 109
+rect -81 71 -15 87
+rect 33 83 63 109
+rect 129 87 159 109
+rect -81 37 -65 71
+rect -31 37 -15 71
+rect -81 21 -15 37
+rect 111 71 177 87
+rect 111 37 127 71
+rect 161 37 177 71
+rect 111 21 177 37
+rect -81 -37 -15 -21
+rect -81 -71 -65 -37
+rect -31 -71 -15 -37
+rect -159 -109 -129 -83
+rect -81 -87 -15 -71
+rect 111 -37 177 -21
+rect 111 -71 127 -37
+rect 161 -71 177 -37
+rect -63 -109 -33 -87
+rect 33 -109 63 -83
+rect 111 -87 177 -71
+rect 129 -109 159 -87
+rect -159 -335 -129 -313
+rect -177 -351 -111 -335
+rect -63 -339 -33 -313
+rect 33 -335 63 -313
+rect -177 -385 -161 -351
+rect -127 -385 -111 -351
+rect -177 -401 -111 -385
+rect 15 -351 81 -335
+rect 129 -339 159 -313
+rect 15 -385 31 -351
+rect 65 -385 81 -351
+rect 15 -401 81 -385
+<< polycont >>
+rect -161 351 -127 385
+rect 31 351 65 385
+rect -65 37 -31 71
+rect 127 37 161 71
+rect -65 -71 -31 -37
+rect 127 -71 161 -37
+rect -161 -385 -127 -351
+rect 31 -385 65 -351
+<< locali >>
+rect -323 453 323 487
+rect -323 391 -289 453
+rect 289 391 323 453
+rect -177 351 -161 385
+rect -127 351 -111 385
+rect 15 351 31 385
+rect 65 351 81 385
+rect -209 301 -175 317
+rect -209 105 -175 121
+rect -113 301 -79 317
+rect -113 105 -79 121
+rect -17 301 17 317
+rect -17 105 17 121
+rect 79 301 113 317
+rect 79 105 113 121
+rect 175 301 209 317
+rect 175 105 209 121
+rect -81 37 -65 71
+rect -31 37 -15 71
+rect 111 37 127 71
+rect 161 37 177 71
+rect -81 -71 -65 -37
+rect -31 -71 -15 -37
+rect 111 -71 127 -37
+rect 161 -71 177 -37
+rect -209 -121 -175 -105
+rect -209 -317 -175 -301
+rect -113 -121 -79 -105
+rect -113 -317 -79 -301
+rect -17 -121 17 -105
+rect -17 -317 17 -301
+rect 79 -121 113 -105
+rect 79 -317 113 -301
+rect 175 -121 209 -105
+rect 175 -317 209 -301
+rect -177 -385 -161 -351
+rect -127 -385 -111 -351
+rect 15 -385 31 -351
+rect 65 -385 81 -351
+rect -323 -453 -289 -391
+rect 289 -453 323 -391
+rect -323 -487 -227 -453
+rect 227 -487 323 -453
+<< viali >>
+rect -161 351 -127 385
+rect 31 351 65 385
+rect -209 121 -175 301
+rect -113 121 -79 301
+rect -17 121 17 301
+rect 79 121 113 301
+rect 175 121 209 301
+rect -65 37 -31 71
+rect 127 37 161 71
+rect -65 -71 -31 -37
+rect 127 -71 161 -37
+rect -209 -301 -175 -121
+rect -113 -301 -79 -121
+rect -17 -301 17 -121
+rect 79 -301 113 -121
+rect 175 -301 209 -121
+rect -161 -385 -127 -351
+rect 31 -385 65 -351
+<< metal1 >>
+rect -173 385 -115 391
+rect -173 351 -161 385
+rect -127 351 -115 385
+rect -173 345 -115 351
+rect 19 385 77 391
+rect 19 351 31 385
+rect 65 351 77 385
+rect 19 345 77 351
+rect -215 301 -169 313
+rect -215 121 -209 301
+rect -175 121 -169 301
+rect -215 109 -169 121
+rect -119 301 -73 313
+rect -119 121 -113 301
+rect -79 121 -73 301
+rect -119 109 -73 121
+rect -23 301 23 313
+rect -23 121 -17 301
+rect 17 121 23 301
+rect -23 109 23 121
+rect 73 301 119 313
+rect 73 121 79 301
+rect 113 121 119 301
+rect 73 109 119 121
+rect 169 301 215 313
+rect 169 121 175 301
+rect 209 121 215 301
+rect 169 109 215 121
+rect -77 71 -19 77
+rect -77 37 -65 71
+rect -31 37 -19 71
+rect -77 31 -19 37
+rect 115 71 173 77
+rect 115 37 127 71
+rect 161 37 173 71
+rect 115 31 173 37
+rect -77 -37 -19 -31
+rect -77 -71 -65 -37
+rect -31 -71 -19 -37
+rect -77 -77 -19 -71
+rect 115 -37 173 -31
+rect 115 -71 127 -37
+rect 161 -71 173 -37
+rect 115 -77 173 -71
+rect -215 -121 -169 -109
+rect -215 -301 -209 -121
+rect -175 -301 -169 -121
+rect -215 -313 -169 -301
+rect -119 -121 -73 -109
+rect -119 -301 -113 -121
+rect -79 -301 -73 -121
+rect -119 -313 -73 -301
+rect -23 -121 23 -109
+rect -23 -301 -17 -121
+rect 17 -301 23 -121
+rect -23 -313 23 -301
+rect 73 -121 119 -109
+rect 73 -301 79 -121
+rect 113 -301 119 -121
+rect 73 -313 119 -301
+rect 169 -121 215 -109
+rect 169 -301 175 -121
+rect 209 -301 215 -121
+rect 169 -313 215 -301
+rect -173 -351 -115 -345
+rect -173 -385 -161 -351
+rect -127 -385 -115 -351
+rect -173 -391 -115 -385
+rect 19 -351 77 -345
+rect 19 -385 31 -351
+rect 65 -385 77 -351
+rect 19 -391 77 -385
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -306 -470 306 470
+string parameters w 1.02 l 0.150 m 2 nf 4 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 0 gbc 1 tbcov 100 rlcov 100 topc 1 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_2BS6QM.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_2BS6QM.mag
new file mode 100644
index 0000000..a815ca1
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_2BS6QM.mag
@@ -0,0 +1,94 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623610677
+<< pwell >>
+rect -311 -335 311 335
+<< nmos >>
+rect -111 -125 -81 125
+rect -15 -125 15 125
+rect 81 -125 111 125
+<< ndiff >>
+rect -173 113 -111 125
+rect -173 -113 -161 113
+rect -127 -113 -111 113
+rect -173 -125 -111 -113
+rect -81 113 -15 125
+rect -81 -113 -65 113
+rect -31 -113 -15 113
+rect -81 -125 -15 -113
+rect 15 113 81 125
+rect 15 -113 31 113
+rect 65 -113 81 113
+rect 15 -125 81 -113
+rect 111 113 173 125
+rect 111 -113 127 113
+rect 161 -113 173 113
+rect 111 -125 173 -113
+<< ndiffc >>
+rect -161 -113 -127 113
+rect -65 -113 -31 113
+rect 31 -113 65 113
+rect 127 -113 161 113
+<< psubdiff >>
+rect -275 203 -241 265
+rect 241 203 275 265
+rect -275 -265 -241 -203
+rect 241 -265 275 -203
+rect -275 -299 -179 -265
+rect 179 -299 275 -265
+<< psubdiffcont >>
+rect -275 -203 -241 203
+rect 241 -203 275 203
+rect -179 -299 179 -265
+<< poly >>
+rect -111 151 111 181
+rect -111 125 -81 151
+rect -15 125 15 151
+rect 81 125 111 151
+rect -111 -151 -81 -125
+rect -15 -151 15 -125
+rect 81 -151 111 -125
+<< locali >>
+rect -275 203 -241 265
+rect 241 203 275 265
+rect -161 113 -127 129
+rect -161 -129 -127 -113
+rect -65 113 -31 129
+rect -65 -129 -31 -113
+rect 31 113 65 129
+rect 31 -129 65 -113
+rect 127 113 161 129
+rect 127 -129 161 -113
+rect -275 -265 -241 -203
+rect 241 -265 275 -203
+rect -275 -299 -179 -265
+rect 179 -299 275 -265
+<< viali >>
+rect -161 -113 -127 113
+rect -65 -113 -31 113
+rect 31 -113 65 113
+rect 127 -113 161 113
+<< metal1 >>
+rect -167 113 -121 125
+rect -167 -113 -161 113
+rect -127 -113 -121 113
+rect -167 -125 -121 -113
+rect -71 113 -25 125
+rect -71 -113 -65 113
+rect -31 -113 -25 113
+rect -71 -125 -25 -113
+rect 25 113 71 125
+rect 25 -113 31 113
+rect 65 -113 71 113
+rect 25 -125 71 -113
+rect 121 113 167 125
+rect 121 -113 127 113
+rect 161 -113 167 113
+rect 121 -125 167 -113
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -258 -282 258 282
+string parameters w 1.25 l 0.150 m 1 nf 3 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 0 gbc 1 tbcov 100 rlcov 100 topc 1 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_2BS854.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_2BS854.mag
new file mode 100644
index 0000000..e3752b2
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_2BS854.mag
@@ -0,0 +1,103 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623795754
+<< pwell >>
+rect -311 -335 311 335
+<< nmos >>
+rect -111 -125 -81 125
+rect -15 -125 15 125
+rect 81 -125 111 125
+<< ndiff >>
+rect -173 113 -111 125
+rect -173 -113 -161 113
+rect -127 -113 -111 113
+rect -173 -125 -111 -113
+rect -81 113 -15 125
+rect -81 -113 -65 113
+rect -31 -113 -15 113
+rect -81 -125 -15 -113
+rect 15 113 81 125
+rect 15 -113 31 113
+rect 65 -113 81 113
+rect 15 -125 81 -113
+rect 111 113 173 125
+rect 111 -113 127 113
+rect 161 -113 173 113
+rect 111 -125 173 -113
+<< ndiffc >>
+rect -161 -113 -127 113
+rect -65 -113 -31 113
+rect 31 -113 65 113
+rect 127 -113 161 113
+<< psubdiff >>
+rect -241 205 -179 239
+rect 179 205 241 239
+rect -241 -299 -179 -265
+rect 179 -299 241 -265
+<< psubdiffcont >>
+rect -179 205 179 239
+rect -179 -299 179 -265
+<< poly >>
+rect -111 125 -81 151
+rect -15 125 15 151
+rect 81 125 111 151
+rect -111 -147 -81 -125
+rect -15 -147 15 -125
+rect 81 -147 111 -125
+rect -129 -166 129 -147
+rect -129 -200 -106 -166
+rect 102 -200 129 -166
+rect -129 -213 129 -200
+<< polycont >>
+rect -106 -200 102 -166
+<< locali >>
+rect -241 205 -179 239
+rect 179 205 241 239
+rect -161 113 -127 129
+rect -161 -129 -127 -113
+rect -65 113 -31 129
+rect -65 -129 -31 -113
+rect 31 113 65 129
+rect 31 -129 65 -113
+rect 127 113 161 129
+rect 127 -129 161 -113
+rect -241 -299 -179 -265
+rect 179 -299 241 -265
+<< viali >>
+rect -161 -113 -127 113
+rect -65 -113 -31 113
+rect 31 -113 65 113
+rect 127 -113 161 113
+rect -122 -166 118 -163
+rect -122 -200 -106 -166
+rect -106 -200 102 -166
+rect 102 -200 118 -166
+rect -122 -203 118 -200
+<< metal1 >>
+rect -167 113 -121 125
+rect -167 -113 -161 113
+rect -127 -113 -121 113
+rect -167 -125 -121 -113
+rect -71 113 -25 125
+rect -71 -113 -65 113
+rect -31 -113 -25 113
+rect -71 -125 -25 -113
+rect 25 113 71 125
+rect 25 -113 31 113
+rect 65 -113 71 113
+rect 25 -125 71 -113
+rect 121 113 167 125
+rect 121 -113 127 113
+rect 161 -113 167 113
+rect 121 -125 167 -113
+rect -134 -163 130 -157
+rect -134 -203 -122 -163
+rect 118 -203 130 -163
+rect -134 -209 130 -203
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -258 -282 258 282
+string parameters w 1.25 l 0.150 m 1 nf 3 diffcov 100 polycov 100 guard 1 glc 0 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_3H67RK.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_3H67RK.mag
new file mode 100644
index 0000000..381fce7
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_3H67RK.mag
@@ -0,0 +1,97 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623899171
+<< error_p >>
+rect -29 183 29 189
+rect -29 149 -17 183
+rect -29 143 29 149
+rect -29 -149 29 -143
+rect -29 -183 -17 -149
+rect -29 -189 29 -183
+<< pwell >>
+rect -211 -321 211 321
+<< nmos >>
+rect -15 -111 15 111
+<< ndiff >>
+rect -73 99 -15 111
+rect -73 -99 -61 99
+rect -27 -99 -15 99
+rect -73 -111 -15 -99
+rect 15 99 73 111
+rect 15 -99 27 99
+rect 61 -99 73 99
+rect 15 -111 73 -99
+<< ndiffc >>
+rect -61 -99 -27 99
+rect 27 -99 61 99
+<< psubdiff >>
+rect -175 251 175 285
+rect -175 189 -141 251
+rect 141 189 175 251
+rect -175 -251 -141 -189
+rect 141 -251 175 -189
+rect -175 -285 -79 -251
+rect 79 -285 175 -251
+<< psubdiffcont >>
+rect -175 -189 -141 189
+rect 141 -189 175 189
+rect -79 -285 79 -251
+<< poly >>
+rect -33 183 33 199
+rect -33 149 -17 183
+rect 17 149 33 183
+rect -33 133 33 149
+rect -15 111 15 133
+rect -15 -133 15 -111
+rect -33 -149 33 -133
+rect -33 -183 -17 -149
+rect 17 -183 33 -149
+rect -33 -199 33 -183
+<< polycont >>
+rect -17 149 17 183
+rect -17 -183 17 -149
+<< locali >>
+rect -175 251 175 285
+rect -175 189 -141 251
+rect 141 189 175 251
+rect -33 149 -17 183
+rect 17 149 33 183
+rect -61 99 -27 115
+rect -61 -115 -27 -99
+rect 27 99 61 115
+rect 27 -115 61 -99
+rect -33 -183 -17 -149
+rect 17 -183 33 -149
+rect -175 -251 -141 -189
+rect 141 -251 175 -189
+rect -175 -285 -79 -251
+rect 79 -285 175 -251
+<< viali >>
+rect -17 149 17 183
+rect -61 -99 -27 99
+rect 27 -99 61 99
+rect -17 -183 17 -149
+<< metal1 >>
+rect -29 183 29 189
+rect -29 149 -17 183
+rect 17 149 29 183
+rect -29 143 29 149
+rect -67 99 -21 111
+rect -67 -99 -61 99
+rect -27 -99 -21 99
+rect -67 -111 -21 -99
+rect 21 99 67 111
+rect 21 -99 27 99
+rect 61 -99 67 99
+rect 21 -111 67 -99
+rect -29 -149 29 -143
+rect -29 -183 -17 -149
+rect 17 -183 29 -149
+rect -29 -189 29 -183
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -158 -268 158 268
+string parameters w 1.11 l 0.150 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 0 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_72JNYZ.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_72JNYZ.mag
new file mode 100644
index 0000000..bcf7ce5
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_72JNYZ.mag
@@ -0,0 +1,127 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623958102
+<< pwell >>
+rect -311 132 311 310
+rect -311 131 -121 132
+rect -55 131 311 132
+rect -311 128 311 131
+rect -311 127 -127 128
+rect 127 127 311 128
+rect -311 -310 311 127
+<< nmos >>
+rect -111 -100 -81 100
+rect -15 -100 15 100
+rect 81 -100 111 100
+<< ndiff >>
+rect -173 88 -111 100
+rect -173 -88 -161 88
+rect -127 -88 -111 88
+rect -173 -100 -111 -88
+rect -81 88 -15 100
+rect -81 -88 -65 88
+rect -31 -88 -15 88
+rect -81 -100 -15 -88
+rect 15 88 81 100
+rect 15 -88 31 88
+rect 65 -88 81 88
+rect 15 -100 81 -88
+rect 111 88 173 100
+rect 111 -88 127 88
+rect 161 -88 173 88
+rect 111 -100 173 -88
+<< ndiffc >>
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+<< psubdiff >>
+rect -275 240 -179 274
+rect 179 240 275 274
+rect -275 178 -241 240
+rect 241 178 275 240
+rect -275 -240 -241 -178
+rect 241 -240 275 -178
+rect -275 -274 -179 -240
+rect 179 -274 275 -240
+<< psubdiffcont >>
+rect -179 240 179 274
+rect -275 -178 -241 178
+rect 241 -178 275 178
+rect -179 -274 179 -240
+<< poly >>
+rect -127 172 127 188
+rect -127 138 -105 172
+rect -71 138 -17 172
+rect 17 138 73 172
+rect 107 138 127 172
+rect -127 122 127 138
+rect -111 100 -81 122
+rect -15 100 15 122
+rect 81 100 111 122
+rect -111 -126 -81 -100
+rect -15 -126 15 -100
+rect 81 -126 111 -100
+<< polycont >>
+rect -105 138 -71 172
+rect -17 138 17 172
+rect 73 138 107 172
+<< locali >>
+rect -275 240 -179 274
+rect 179 240 275 274
+rect -275 178 -241 240
+rect 241 178 275 240
+rect -121 138 -114 172
+rect 114 138 123 172
+rect -161 88 -127 104
+rect -161 -104 -127 -88
+rect -65 88 -31 104
+rect -65 -104 -31 -88
+rect 31 88 65 104
+rect 31 -104 65 -88
+rect 127 88 161 104
+rect 127 -104 161 -88
+rect -275 -240 -241 -178
+rect 241 -240 275 -178
+rect -275 -274 -179 -240
+rect 179 -274 275 -240
+<< viali >>
+rect -114 138 -105 172
+rect -105 138 -71 172
+rect -71 138 -17 172
+rect -17 138 17 172
+rect 17 138 73 172
+rect 73 138 107 172
+rect 107 138 114 172
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+<< metal1 >>
+rect -127 172 127 183
+rect -127 138 -114 172
+rect 114 138 127 172
+rect -127 128 127 138
+rect -167 88 -121 100
+rect -167 -88 -161 88
+rect -127 -88 -121 88
+rect -167 -100 -121 -88
+rect -71 88 -25 100
+rect -71 -88 -65 88
+rect -31 -88 -25 88
+rect -71 -100 -25 -88
+rect 25 88 71 100
+rect 25 -88 31 88
+rect 65 -88 71 88
+rect 25 -100 71 -88
+rect 121 88 167 100
+rect 121 -88 127 88
+rect 161 -88 167 88
+rect 121 -100 167 -88
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -258 -257 258 257
+string parameters w 1 l 0.150 m 1 nf 3 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_8T23P9.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_8T23P9.mag
new file mode 100644
index 0000000..722cbbd
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_8T23P9.mag
@@ -0,0 +1,2455 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623985751
+<< error_p >>
+rect -3725 172 -3667 178
+rect -3533 172 -3475 178
+rect -3341 172 -3283 178
+rect -3149 172 -3091 178
+rect -2957 172 -2899 178
+rect -2765 172 -2707 178
+rect -2573 172 -2515 178
+rect -2381 172 -2323 178
+rect -2189 172 -2131 178
+rect -1997 172 -1939 178
+rect -1805 172 -1747 178
+rect -1613 172 -1555 178
+rect -1421 172 -1363 178
+rect -1229 172 -1171 178
+rect -1037 172 -979 178
+rect -845 172 -787 178
+rect -653 172 -595 178
+rect -461 172 -403 178
+rect -269 172 -211 178
+rect -77 172 -19 178
+rect 115 172 173 178
+rect 307 172 365 178
+rect 499 172 557 178
+rect 691 172 749 178
+rect 883 172 941 178
+rect 1075 172 1133 178
+rect 1267 172 1325 178
+rect 1459 172 1517 178
+rect 1651 172 1709 178
+rect 1843 172 1901 178
+rect 2035 172 2093 178
+rect 2227 172 2285 178
+rect 2419 172 2477 178
+rect 2611 172 2669 178
+rect 2803 172 2861 178
+rect 2995 172 3053 178
+rect 3187 172 3245 178
+rect 3379 172 3437 178
+rect 3571 172 3629 178
+rect 3763 172 3821 178
+rect -3725 138 -3713 172
+rect -3533 138 -3521 172
+rect -3341 138 -3329 172
+rect -3149 138 -3137 172
+rect -2957 138 -2945 172
+rect -2765 138 -2753 172
+rect -2573 138 -2561 172
+rect -2381 138 -2369 172
+rect -2189 138 -2177 172
+rect -1997 138 -1985 172
+rect -1805 138 -1793 172
+rect -1613 138 -1601 172
+rect -1421 138 -1409 172
+rect -1229 138 -1217 172
+rect -1037 138 -1025 172
+rect -845 138 -833 172
+rect -653 138 -641 172
+rect -461 138 -449 172
+rect -269 138 -257 172
+rect -77 138 -65 172
+rect 115 138 127 172
+rect 307 138 319 172
+rect 499 138 511 172
+rect 691 138 703 172
+rect 883 138 895 172
+rect 1075 138 1087 172
+rect 1267 138 1279 172
+rect 1459 138 1471 172
+rect 1651 138 1663 172
+rect 1843 138 1855 172
+rect 2035 138 2047 172
+rect 2227 138 2239 172
+rect 2419 138 2431 172
+rect 2611 138 2623 172
+rect 2803 138 2815 172
+rect 2995 138 3007 172
+rect 3187 138 3199 172
+rect 3379 138 3391 172
+rect 3571 138 3583 172
+rect 3763 138 3775 172
+rect -3725 132 -3667 138
+rect -3533 132 -3475 138
+rect -3341 132 -3283 138
+rect -3149 132 -3091 138
+rect -2957 132 -2899 138
+rect -2765 132 -2707 138
+rect -2573 132 -2515 138
+rect -2381 132 -2323 138
+rect -2189 132 -2131 138
+rect -1997 132 -1939 138
+rect -1805 132 -1747 138
+rect -1613 132 -1555 138
+rect -1421 132 -1363 138
+rect -1229 132 -1171 138
+rect -1037 132 -979 138
+rect -845 132 -787 138
+rect -653 132 -595 138
+rect -461 132 -403 138
+rect -269 132 -211 138
+rect -77 132 -19 138
+rect 115 132 173 138
+rect 307 132 365 138
+rect 499 132 557 138
+rect 691 132 749 138
+rect 883 132 941 138
+rect 1075 132 1133 138
+rect 1267 132 1325 138
+rect 1459 132 1517 138
+rect 1651 132 1709 138
+rect 1843 132 1901 138
+rect 2035 132 2093 138
+rect 2227 132 2285 138
+rect 2419 132 2477 138
+rect 2611 132 2669 138
+rect 2803 132 2861 138
+rect 2995 132 3053 138
+rect 3187 132 3245 138
+rect 3379 132 3437 138
+rect 3571 132 3629 138
+rect 3763 132 3821 138
+rect -3821 -138 -3763 -132
+rect -3629 -138 -3571 -132
+rect -3437 -138 -3379 -132
+rect -3245 -138 -3187 -132
+rect -3053 -138 -2995 -132
+rect -2861 -138 -2803 -132
+rect -2669 -138 -2611 -132
+rect -2477 -138 -2419 -132
+rect -2285 -138 -2227 -132
+rect -2093 -138 -2035 -132
+rect -1901 -138 -1843 -132
+rect -1709 -138 -1651 -132
+rect -1517 -138 -1459 -132
+rect -1325 -138 -1267 -132
+rect -1133 -138 -1075 -132
+rect -941 -138 -883 -132
+rect -749 -138 -691 -132
+rect -557 -138 -499 -132
+rect -365 -138 -307 -132
+rect -173 -138 -115 -132
+rect 19 -138 77 -132
+rect 211 -138 269 -132
+rect 403 -138 461 -132
+rect 595 -138 653 -132
+rect 787 -138 845 -132
+rect 979 -138 1037 -132
+rect 1171 -138 1229 -132
+rect 1363 -138 1421 -132
+rect 1555 -138 1613 -132
+rect 1747 -138 1805 -132
+rect 1939 -138 1997 -132
+rect 2131 -138 2189 -132
+rect 2323 -138 2381 -132
+rect 2515 -138 2573 -132
+rect 2707 -138 2765 -132
+rect 2899 -138 2957 -132
+rect 3091 -138 3149 -132
+rect 3283 -138 3341 -132
+rect 3475 -138 3533 -132
+rect 3667 -138 3725 -132
+rect -3821 -172 -3809 -138
+rect -3629 -172 -3617 -138
+rect -3437 -172 -3425 -138
+rect -3245 -172 -3233 -138
+rect -3053 -172 -3041 -138
+rect -2861 -172 -2849 -138
+rect -2669 -172 -2657 -138
+rect -2477 -172 -2465 -138
+rect -2285 -172 -2273 -138
+rect -2093 -172 -2081 -138
+rect -1901 -172 -1889 -138
+rect -1709 -172 -1697 -138
+rect -1517 -172 -1505 -138
+rect -1325 -172 -1313 -138
+rect -1133 -172 -1121 -138
+rect -941 -172 -929 -138
+rect -749 -172 -737 -138
+rect -557 -172 -545 -138
+rect -365 -172 -353 -138
+rect -173 -172 -161 -138
+rect 19 -172 31 -138
+rect 211 -172 223 -138
+rect 403 -172 415 -138
+rect 595 -172 607 -138
+rect 787 -172 799 -138
+rect 979 -172 991 -138
+rect 1171 -172 1183 -138
+rect 1363 -172 1375 -138
+rect 1555 -172 1567 -138
+rect 1747 -172 1759 -138
+rect 1939 -172 1951 -138
+rect 2131 -172 2143 -138
+rect 2323 -172 2335 -138
+rect 2515 -172 2527 -138
+rect 2707 -172 2719 -138
+rect 2899 -172 2911 -138
+rect 3091 -172 3103 -138
+rect 3283 -172 3295 -138
+rect 3475 -172 3487 -138
+rect 3667 -172 3679 -138
+rect -3821 -178 -3763 -172
+rect -3629 -178 -3571 -172
+rect -3437 -178 -3379 -172
+rect -3245 -178 -3187 -172
+rect -3053 -178 -2995 -172
+rect -2861 -178 -2803 -172
+rect -2669 -178 -2611 -172
+rect -2477 -178 -2419 -172
+rect -2285 -178 -2227 -172
+rect -2093 -178 -2035 -172
+rect -1901 -178 -1843 -172
+rect -1709 -178 -1651 -172
+rect -1517 -178 -1459 -172
+rect -1325 -178 -1267 -172
+rect -1133 -178 -1075 -172
+rect -941 -178 -883 -172
+rect -749 -178 -691 -172
+rect -557 -178 -499 -172
+rect -365 -178 -307 -172
+rect -173 -178 -115 -172
+rect 19 -178 77 -172
+rect 211 -178 269 -172
+rect 403 -178 461 -172
+rect 595 -178 653 -172
+rect 787 -178 845 -172
+rect 979 -178 1037 -172
+rect 1171 -178 1229 -172
+rect 1363 -178 1421 -172
+rect 1555 -178 1613 -172
+rect 1747 -178 1805 -172
+rect 1939 -178 1997 -172
+rect 2131 -178 2189 -172
+rect 2323 -178 2381 -172
+rect 2515 -178 2573 -172
+rect 2707 -178 2765 -172
+rect 2899 -178 2957 -172
+rect 3091 -178 3149 -172
+rect 3283 -178 3341 -172
+rect 3475 -178 3533 -172
+rect 3667 -178 3725 -172
+<< pwell >>
+rect -4007 -310 4007 310
+<< nmos >>
+rect -3807 -100 -3777 100
+rect -3711 -100 -3681 100
+rect -3615 -100 -3585 100
+rect -3519 -100 -3489 100
+rect -3423 -100 -3393 100
+rect -3327 -100 -3297 100
+rect -3231 -100 -3201 100
+rect -3135 -100 -3105 100
+rect -3039 -100 -3009 100
+rect -2943 -100 -2913 100
+rect -2847 -100 -2817 100
+rect -2751 -100 -2721 100
+rect -2655 -100 -2625 100
+rect -2559 -100 -2529 100
+rect -2463 -100 -2433 100
+rect -2367 -100 -2337 100
+rect -2271 -100 -2241 100
+rect -2175 -100 -2145 100
+rect -2079 -100 -2049 100
+rect -1983 -100 -1953 100
+rect -1887 -100 -1857 100
+rect -1791 -100 -1761 100
+rect -1695 -100 -1665 100
+rect -1599 -100 -1569 100
+rect -1503 -100 -1473 100
+rect -1407 -100 -1377 100
+rect -1311 -100 -1281 100
+rect -1215 -100 -1185 100
+rect -1119 -100 -1089 100
+rect -1023 -100 -993 100
+rect -927 -100 -897 100
+rect -831 -100 -801 100
+rect -735 -100 -705 100
+rect -639 -100 -609 100
+rect -543 -100 -513 100
+rect -447 -100 -417 100
+rect -351 -100 -321 100
+rect -255 -100 -225 100
+rect -159 -100 -129 100
+rect -63 -100 -33 100
+rect 33 -100 63 100
+rect 129 -100 159 100
+rect 225 -100 255 100
+rect 321 -100 351 100
+rect 417 -100 447 100
+rect 513 -100 543 100
+rect 609 -100 639 100
+rect 705 -100 735 100
+rect 801 -100 831 100
+rect 897 -100 927 100
+rect 993 -100 1023 100
+rect 1089 -100 1119 100
+rect 1185 -100 1215 100
+rect 1281 -100 1311 100
+rect 1377 -100 1407 100
+rect 1473 -100 1503 100
+rect 1569 -100 1599 100
+rect 1665 -100 1695 100
+rect 1761 -100 1791 100
+rect 1857 -100 1887 100
+rect 1953 -100 1983 100
+rect 2049 -100 2079 100
+rect 2145 -100 2175 100
+rect 2241 -100 2271 100
+rect 2337 -100 2367 100
+rect 2433 -100 2463 100
+rect 2529 -100 2559 100
+rect 2625 -100 2655 100
+rect 2721 -100 2751 100
+rect 2817 -100 2847 100
+rect 2913 -100 2943 100
+rect 3009 -100 3039 100
+rect 3105 -100 3135 100
+rect 3201 -100 3231 100
+rect 3297 -100 3327 100
+rect 3393 -100 3423 100
+rect 3489 -100 3519 100
+rect 3585 -100 3615 100
+rect 3681 -100 3711 100
+rect 3777 -100 3807 100
+<< ndiff >>
+rect -3869 88 -3807 100
+rect -3869 -88 -3857 88
+rect -3823 -88 -3807 88
+rect -3869 -100 -3807 -88
+rect -3777 88 -3711 100
+rect -3777 -88 -3761 88
+rect -3727 -88 -3711 88
+rect -3777 -100 -3711 -88
+rect -3681 88 -3615 100
+rect -3681 -88 -3665 88
+rect -3631 -88 -3615 88
+rect -3681 -100 -3615 -88
+rect -3585 88 -3519 100
+rect -3585 -88 -3569 88
+rect -3535 -88 -3519 88
+rect -3585 -100 -3519 -88
+rect -3489 88 -3423 100
+rect -3489 -88 -3473 88
+rect -3439 -88 -3423 88
+rect -3489 -100 -3423 -88
+rect -3393 88 -3327 100
+rect -3393 -88 -3377 88
+rect -3343 -88 -3327 88
+rect -3393 -100 -3327 -88
+rect -3297 88 -3231 100
+rect -3297 -88 -3281 88
+rect -3247 -88 -3231 88
+rect -3297 -100 -3231 -88
+rect -3201 88 -3135 100
+rect -3201 -88 -3185 88
+rect -3151 -88 -3135 88
+rect -3201 -100 -3135 -88
+rect -3105 88 -3039 100
+rect -3105 -88 -3089 88
+rect -3055 -88 -3039 88
+rect -3105 -100 -3039 -88
+rect -3009 88 -2943 100
+rect -3009 -88 -2993 88
+rect -2959 -88 -2943 88
+rect -3009 -100 -2943 -88
+rect -2913 88 -2847 100
+rect -2913 -88 -2897 88
+rect -2863 -88 -2847 88
+rect -2913 -100 -2847 -88
+rect -2817 88 -2751 100
+rect -2817 -88 -2801 88
+rect -2767 -88 -2751 88
+rect -2817 -100 -2751 -88
+rect -2721 88 -2655 100
+rect -2721 -88 -2705 88
+rect -2671 -88 -2655 88
+rect -2721 -100 -2655 -88
+rect -2625 88 -2559 100
+rect -2625 -88 -2609 88
+rect -2575 -88 -2559 88
+rect -2625 -100 -2559 -88
+rect -2529 88 -2463 100
+rect -2529 -88 -2513 88
+rect -2479 -88 -2463 88
+rect -2529 -100 -2463 -88
+rect -2433 88 -2367 100
+rect -2433 -88 -2417 88
+rect -2383 -88 -2367 88
+rect -2433 -100 -2367 -88
+rect -2337 88 -2271 100
+rect -2337 -88 -2321 88
+rect -2287 -88 -2271 88
+rect -2337 -100 -2271 -88
+rect -2241 88 -2175 100
+rect -2241 -88 -2225 88
+rect -2191 -88 -2175 88
+rect -2241 -100 -2175 -88
+rect -2145 88 -2079 100
+rect -2145 -88 -2129 88
+rect -2095 -88 -2079 88
+rect -2145 -100 -2079 -88
+rect -2049 88 -1983 100
+rect -2049 -88 -2033 88
+rect -1999 -88 -1983 88
+rect -2049 -100 -1983 -88
+rect -1953 88 -1887 100
+rect -1953 -88 -1937 88
+rect -1903 -88 -1887 88
+rect -1953 -100 -1887 -88
+rect -1857 88 -1791 100
+rect -1857 -88 -1841 88
+rect -1807 -88 -1791 88
+rect -1857 -100 -1791 -88
+rect -1761 88 -1695 100
+rect -1761 -88 -1745 88
+rect -1711 -88 -1695 88
+rect -1761 -100 -1695 -88
+rect -1665 88 -1599 100
+rect -1665 -88 -1649 88
+rect -1615 -88 -1599 88
+rect -1665 -100 -1599 -88
+rect -1569 88 -1503 100
+rect -1569 -88 -1553 88
+rect -1519 -88 -1503 88
+rect -1569 -100 -1503 -88
+rect -1473 88 -1407 100
+rect -1473 -88 -1457 88
+rect -1423 -88 -1407 88
+rect -1473 -100 -1407 -88
+rect -1377 88 -1311 100
+rect -1377 -88 -1361 88
+rect -1327 -88 -1311 88
+rect -1377 -100 -1311 -88
+rect -1281 88 -1215 100
+rect -1281 -88 -1265 88
+rect -1231 -88 -1215 88
+rect -1281 -100 -1215 -88
+rect -1185 88 -1119 100
+rect -1185 -88 -1169 88
+rect -1135 -88 -1119 88
+rect -1185 -100 -1119 -88
+rect -1089 88 -1023 100
+rect -1089 -88 -1073 88
+rect -1039 -88 -1023 88
+rect -1089 -100 -1023 -88
+rect -993 88 -927 100
+rect -993 -88 -977 88
+rect -943 -88 -927 88
+rect -993 -100 -927 -88
+rect -897 88 -831 100
+rect -897 -88 -881 88
+rect -847 -88 -831 88
+rect -897 -100 -831 -88
+rect -801 88 -735 100
+rect -801 -88 -785 88
+rect -751 -88 -735 88
+rect -801 -100 -735 -88
+rect -705 88 -639 100
+rect -705 -88 -689 88
+rect -655 -88 -639 88
+rect -705 -100 -639 -88
+rect -609 88 -543 100
+rect -609 -88 -593 88
+rect -559 -88 -543 88
+rect -609 -100 -543 -88
+rect -513 88 -447 100
+rect -513 -88 -497 88
+rect -463 -88 -447 88
+rect -513 -100 -447 -88
+rect -417 88 -351 100
+rect -417 -88 -401 88
+rect -367 -88 -351 88
+rect -417 -100 -351 -88
+rect -321 88 -255 100
+rect -321 -88 -305 88
+rect -271 -88 -255 88
+rect -321 -100 -255 -88
+rect -225 88 -159 100
+rect -225 -88 -209 88
+rect -175 -88 -159 88
+rect -225 -100 -159 -88
+rect -129 88 -63 100
+rect -129 -88 -113 88
+rect -79 -88 -63 88
+rect -129 -100 -63 -88
+rect -33 88 33 100
+rect -33 -88 -17 88
+rect 17 -88 33 88
+rect -33 -100 33 -88
+rect 63 88 129 100
+rect 63 -88 79 88
+rect 113 -88 129 88
+rect 63 -100 129 -88
+rect 159 88 225 100
+rect 159 -88 175 88
+rect 209 -88 225 88
+rect 159 -100 225 -88
+rect 255 88 321 100
+rect 255 -88 271 88
+rect 305 -88 321 88
+rect 255 -100 321 -88
+rect 351 88 417 100
+rect 351 -88 367 88
+rect 401 -88 417 88
+rect 351 -100 417 -88
+rect 447 88 513 100
+rect 447 -88 463 88
+rect 497 -88 513 88
+rect 447 -100 513 -88
+rect 543 88 609 100
+rect 543 -88 559 88
+rect 593 -88 609 88
+rect 543 -100 609 -88
+rect 639 88 705 100
+rect 639 -88 655 88
+rect 689 -88 705 88
+rect 639 -100 705 -88
+rect 735 88 801 100
+rect 735 -88 751 88
+rect 785 -88 801 88
+rect 735 -100 801 -88
+rect 831 88 897 100
+rect 831 -88 847 88
+rect 881 -88 897 88
+rect 831 -100 897 -88
+rect 927 88 993 100
+rect 927 -88 943 88
+rect 977 -88 993 88
+rect 927 -100 993 -88
+rect 1023 88 1089 100
+rect 1023 -88 1039 88
+rect 1073 -88 1089 88
+rect 1023 -100 1089 -88
+rect 1119 88 1185 100
+rect 1119 -88 1135 88
+rect 1169 -88 1185 88
+rect 1119 -100 1185 -88
+rect 1215 88 1281 100
+rect 1215 -88 1231 88
+rect 1265 -88 1281 88
+rect 1215 -100 1281 -88
+rect 1311 88 1377 100
+rect 1311 -88 1327 88
+rect 1361 -88 1377 88
+rect 1311 -100 1377 -88
+rect 1407 88 1473 100
+rect 1407 -88 1423 88
+rect 1457 -88 1473 88
+rect 1407 -100 1473 -88
+rect 1503 88 1569 100
+rect 1503 -88 1519 88
+rect 1553 -88 1569 88
+rect 1503 -100 1569 -88
+rect 1599 88 1665 100
+rect 1599 -88 1615 88
+rect 1649 -88 1665 88
+rect 1599 -100 1665 -88
+rect 1695 88 1761 100
+rect 1695 -88 1711 88
+rect 1745 -88 1761 88
+rect 1695 -100 1761 -88
+rect 1791 88 1857 100
+rect 1791 -88 1807 88
+rect 1841 -88 1857 88
+rect 1791 -100 1857 -88
+rect 1887 88 1953 100
+rect 1887 -88 1903 88
+rect 1937 -88 1953 88
+rect 1887 -100 1953 -88
+rect 1983 88 2049 100
+rect 1983 -88 1999 88
+rect 2033 -88 2049 88
+rect 1983 -100 2049 -88
+rect 2079 88 2145 100
+rect 2079 -88 2095 88
+rect 2129 -88 2145 88
+rect 2079 -100 2145 -88
+rect 2175 88 2241 100
+rect 2175 -88 2191 88
+rect 2225 -88 2241 88
+rect 2175 -100 2241 -88
+rect 2271 88 2337 100
+rect 2271 -88 2287 88
+rect 2321 -88 2337 88
+rect 2271 -100 2337 -88
+rect 2367 88 2433 100
+rect 2367 -88 2383 88
+rect 2417 -88 2433 88
+rect 2367 -100 2433 -88
+rect 2463 88 2529 100
+rect 2463 -88 2479 88
+rect 2513 -88 2529 88
+rect 2463 -100 2529 -88
+rect 2559 88 2625 100
+rect 2559 -88 2575 88
+rect 2609 -88 2625 88
+rect 2559 -100 2625 -88
+rect 2655 88 2721 100
+rect 2655 -88 2671 88
+rect 2705 -88 2721 88
+rect 2655 -100 2721 -88
+rect 2751 88 2817 100
+rect 2751 -88 2767 88
+rect 2801 -88 2817 88
+rect 2751 -100 2817 -88
+rect 2847 88 2913 100
+rect 2847 -88 2863 88
+rect 2897 -88 2913 88
+rect 2847 -100 2913 -88
+rect 2943 88 3009 100
+rect 2943 -88 2959 88
+rect 2993 -88 3009 88
+rect 2943 -100 3009 -88
+rect 3039 88 3105 100
+rect 3039 -88 3055 88
+rect 3089 -88 3105 88
+rect 3039 -100 3105 -88
+rect 3135 88 3201 100
+rect 3135 -88 3151 88
+rect 3185 -88 3201 88
+rect 3135 -100 3201 -88
+rect 3231 88 3297 100
+rect 3231 -88 3247 88
+rect 3281 -88 3297 88
+rect 3231 -100 3297 -88
+rect 3327 88 3393 100
+rect 3327 -88 3343 88
+rect 3377 -88 3393 88
+rect 3327 -100 3393 -88
+rect 3423 88 3489 100
+rect 3423 -88 3439 88
+rect 3473 -88 3489 88
+rect 3423 -100 3489 -88
+rect 3519 88 3585 100
+rect 3519 -88 3535 88
+rect 3569 -88 3585 88
+rect 3519 -100 3585 -88
+rect 3615 88 3681 100
+rect 3615 -88 3631 88
+rect 3665 -88 3681 88
+rect 3615 -100 3681 -88
+rect 3711 88 3777 100
+rect 3711 -88 3727 88
+rect 3761 -88 3777 88
+rect 3711 -100 3777 -88
+rect 3807 88 3869 100
+rect 3807 -88 3823 88
+rect 3857 -88 3869 88
+rect 3807 -100 3869 -88
+<< ndiffc >>
+rect -3857 -88 -3823 88
+rect -3761 -88 -3727 88
+rect -3665 -88 -3631 88
+rect -3569 -88 -3535 88
+rect -3473 -88 -3439 88
+rect -3377 -88 -3343 88
+rect -3281 -88 -3247 88
+rect -3185 -88 -3151 88
+rect -3089 -88 -3055 88
+rect -2993 -88 -2959 88
+rect -2897 -88 -2863 88
+rect -2801 -88 -2767 88
+rect -2705 -88 -2671 88
+rect -2609 -88 -2575 88
+rect -2513 -88 -2479 88
+rect -2417 -88 -2383 88
+rect -2321 -88 -2287 88
+rect -2225 -88 -2191 88
+rect -2129 -88 -2095 88
+rect -2033 -88 -1999 88
+rect -1937 -88 -1903 88
+rect -1841 -88 -1807 88
+rect -1745 -88 -1711 88
+rect -1649 -88 -1615 88
+rect -1553 -88 -1519 88
+rect -1457 -88 -1423 88
+rect -1361 -88 -1327 88
+rect -1265 -88 -1231 88
+rect -1169 -88 -1135 88
+rect -1073 -88 -1039 88
+rect -977 -88 -943 88
+rect -881 -88 -847 88
+rect -785 -88 -751 88
+rect -689 -88 -655 88
+rect -593 -88 -559 88
+rect -497 -88 -463 88
+rect -401 -88 -367 88
+rect -305 -88 -271 88
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+rect 271 -88 305 88
+rect 367 -88 401 88
+rect 463 -88 497 88
+rect 559 -88 593 88
+rect 655 -88 689 88
+rect 751 -88 785 88
+rect 847 -88 881 88
+rect 943 -88 977 88
+rect 1039 -88 1073 88
+rect 1135 -88 1169 88
+rect 1231 -88 1265 88
+rect 1327 -88 1361 88
+rect 1423 -88 1457 88
+rect 1519 -88 1553 88
+rect 1615 -88 1649 88
+rect 1711 -88 1745 88
+rect 1807 -88 1841 88
+rect 1903 -88 1937 88
+rect 1999 -88 2033 88
+rect 2095 -88 2129 88
+rect 2191 -88 2225 88
+rect 2287 -88 2321 88
+rect 2383 -88 2417 88
+rect 2479 -88 2513 88
+rect 2575 -88 2609 88
+rect 2671 -88 2705 88
+rect 2767 -88 2801 88
+rect 2863 -88 2897 88
+rect 2959 -88 2993 88
+rect 3055 -88 3089 88
+rect 3151 -88 3185 88
+rect 3247 -88 3281 88
+rect 3343 -88 3377 88
+rect 3439 -88 3473 88
+rect 3535 -88 3569 88
+rect 3631 -88 3665 88
+rect 3727 -88 3761 88
+rect 3823 -88 3857 88
+<< psubdiff >>
+rect -3971 240 -3875 274
+rect 3875 240 3971 274
+rect -3971 178 -3937 240
+rect 3937 178 3971 240
+rect -3971 -240 -3937 -178
+rect 3937 -240 3971 -178
+rect -3971 -274 -3875 -240
+rect 3875 -274 3971 -240
+<< psubdiffcont >>
+rect -3875 240 3875 274
+rect -3971 -178 -3937 178
+rect 3937 -178 3971 178
+rect -3875 -274 3875 -240
+<< poly >>
+rect -3729 172 -3663 188
+rect -3729 138 -3713 172
+rect -3679 138 -3663 172
+rect -3807 100 -3777 126
+rect -3729 122 -3663 138
+rect -3537 172 -3471 188
+rect -3537 138 -3521 172
+rect -3487 138 -3471 172
+rect -3711 100 -3681 122
+rect -3615 100 -3585 126
+rect -3537 122 -3471 138
+rect -3345 172 -3279 188
+rect -3345 138 -3329 172
+rect -3295 138 -3279 172
+rect -3519 100 -3489 122
+rect -3423 100 -3393 126
+rect -3345 122 -3279 138
+rect -3153 172 -3087 188
+rect -3153 138 -3137 172
+rect -3103 138 -3087 172
+rect -3327 100 -3297 122
+rect -3231 100 -3201 126
+rect -3153 122 -3087 138
+rect -2961 172 -2895 188
+rect -2961 138 -2945 172
+rect -2911 138 -2895 172
+rect -3135 100 -3105 122
+rect -3039 100 -3009 126
+rect -2961 122 -2895 138
+rect -2769 172 -2703 188
+rect -2769 138 -2753 172
+rect -2719 138 -2703 172
+rect -2943 100 -2913 122
+rect -2847 100 -2817 126
+rect -2769 122 -2703 138
+rect -2577 172 -2511 188
+rect -2577 138 -2561 172
+rect -2527 138 -2511 172
+rect -2751 100 -2721 122
+rect -2655 100 -2625 126
+rect -2577 122 -2511 138
+rect -2385 172 -2319 188
+rect -2385 138 -2369 172
+rect -2335 138 -2319 172
+rect -2559 100 -2529 122
+rect -2463 100 -2433 126
+rect -2385 122 -2319 138
+rect -2193 172 -2127 188
+rect -2193 138 -2177 172
+rect -2143 138 -2127 172
+rect -2367 100 -2337 122
+rect -2271 100 -2241 126
+rect -2193 122 -2127 138
+rect -2001 172 -1935 188
+rect -2001 138 -1985 172
+rect -1951 138 -1935 172
+rect -2175 100 -2145 122
+rect -2079 100 -2049 126
+rect -2001 122 -1935 138
+rect -1809 172 -1743 188
+rect -1809 138 -1793 172
+rect -1759 138 -1743 172
+rect -1983 100 -1953 122
+rect -1887 100 -1857 126
+rect -1809 122 -1743 138
+rect -1617 172 -1551 188
+rect -1617 138 -1601 172
+rect -1567 138 -1551 172
+rect -1791 100 -1761 122
+rect -1695 100 -1665 126
+rect -1617 122 -1551 138
+rect -1425 172 -1359 188
+rect -1425 138 -1409 172
+rect -1375 138 -1359 172
+rect -1599 100 -1569 122
+rect -1503 100 -1473 126
+rect -1425 122 -1359 138
+rect -1233 172 -1167 188
+rect -1233 138 -1217 172
+rect -1183 138 -1167 172
+rect -1407 100 -1377 122
+rect -1311 100 -1281 126
+rect -1233 122 -1167 138
+rect -1041 172 -975 188
+rect -1041 138 -1025 172
+rect -991 138 -975 172
+rect -1215 100 -1185 122
+rect -1119 100 -1089 126
+rect -1041 122 -975 138
+rect -849 172 -783 188
+rect -849 138 -833 172
+rect -799 138 -783 172
+rect -1023 100 -993 122
+rect -927 100 -897 126
+rect -849 122 -783 138
+rect -657 172 -591 188
+rect -657 138 -641 172
+rect -607 138 -591 172
+rect -831 100 -801 122
+rect -735 100 -705 126
+rect -657 122 -591 138
+rect -465 172 -399 188
+rect -465 138 -449 172
+rect -415 138 -399 172
+rect -639 100 -609 122
+rect -543 100 -513 126
+rect -465 122 -399 138
+rect -273 172 -207 188
+rect -273 138 -257 172
+rect -223 138 -207 172
+rect -447 100 -417 122
+rect -351 100 -321 126
+rect -273 122 -207 138
+rect -81 172 -15 188
+rect -81 138 -65 172
+rect -31 138 -15 172
+rect -255 100 -225 122
+rect -159 100 -129 126
+rect -81 122 -15 138
+rect 111 172 177 188
+rect 111 138 127 172
+rect 161 138 177 172
+rect -63 100 -33 122
+rect 33 100 63 126
+rect 111 122 177 138
+rect 303 172 369 188
+rect 303 138 319 172
+rect 353 138 369 172
+rect 129 100 159 122
+rect 225 100 255 126
+rect 303 122 369 138
+rect 495 172 561 188
+rect 495 138 511 172
+rect 545 138 561 172
+rect 321 100 351 122
+rect 417 100 447 126
+rect 495 122 561 138
+rect 687 172 753 188
+rect 687 138 703 172
+rect 737 138 753 172
+rect 513 100 543 122
+rect 609 100 639 126
+rect 687 122 753 138
+rect 879 172 945 188
+rect 879 138 895 172
+rect 929 138 945 172
+rect 705 100 735 122
+rect 801 100 831 126
+rect 879 122 945 138
+rect 1071 172 1137 188
+rect 1071 138 1087 172
+rect 1121 138 1137 172
+rect 897 100 927 122
+rect 993 100 1023 126
+rect 1071 122 1137 138
+rect 1263 172 1329 188
+rect 1263 138 1279 172
+rect 1313 138 1329 172
+rect 1089 100 1119 122
+rect 1185 100 1215 126
+rect 1263 122 1329 138
+rect 1455 172 1521 188
+rect 1455 138 1471 172
+rect 1505 138 1521 172
+rect 1281 100 1311 122
+rect 1377 100 1407 126
+rect 1455 122 1521 138
+rect 1647 172 1713 188
+rect 1647 138 1663 172
+rect 1697 138 1713 172
+rect 1473 100 1503 122
+rect 1569 100 1599 126
+rect 1647 122 1713 138
+rect 1839 172 1905 188
+rect 1839 138 1855 172
+rect 1889 138 1905 172
+rect 1665 100 1695 122
+rect 1761 100 1791 126
+rect 1839 122 1905 138
+rect 2031 172 2097 188
+rect 2031 138 2047 172
+rect 2081 138 2097 172
+rect 1857 100 1887 122
+rect 1953 100 1983 126
+rect 2031 122 2097 138
+rect 2223 172 2289 188
+rect 2223 138 2239 172
+rect 2273 138 2289 172
+rect 2049 100 2079 122
+rect 2145 100 2175 126
+rect 2223 122 2289 138
+rect 2415 172 2481 188
+rect 2415 138 2431 172
+rect 2465 138 2481 172
+rect 2241 100 2271 122
+rect 2337 100 2367 126
+rect 2415 122 2481 138
+rect 2607 172 2673 188
+rect 2607 138 2623 172
+rect 2657 138 2673 172
+rect 2433 100 2463 122
+rect 2529 100 2559 126
+rect 2607 122 2673 138
+rect 2799 172 2865 188
+rect 2799 138 2815 172
+rect 2849 138 2865 172
+rect 2625 100 2655 122
+rect 2721 100 2751 126
+rect 2799 122 2865 138
+rect 2991 172 3057 188
+rect 2991 138 3007 172
+rect 3041 138 3057 172
+rect 2817 100 2847 122
+rect 2913 100 2943 126
+rect 2991 122 3057 138
+rect 3183 172 3249 188
+rect 3183 138 3199 172
+rect 3233 138 3249 172
+rect 3009 100 3039 122
+rect 3105 100 3135 126
+rect 3183 122 3249 138
+rect 3375 172 3441 188
+rect 3375 138 3391 172
+rect 3425 138 3441 172
+rect 3201 100 3231 122
+rect 3297 100 3327 126
+rect 3375 122 3441 138
+rect 3567 172 3633 188
+rect 3567 138 3583 172
+rect 3617 138 3633 172
+rect 3393 100 3423 122
+rect 3489 100 3519 126
+rect 3567 122 3633 138
+rect 3759 172 3825 188
+rect 3759 138 3775 172
+rect 3809 138 3825 172
+rect 3585 100 3615 122
+rect 3681 100 3711 126
+rect 3759 122 3825 138
+rect 3777 100 3807 122
+rect -3807 -122 -3777 -100
+rect -3825 -138 -3759 -122
+rect -3711 -126 -3681 -100
+rect -3615 -122 -3585 -100
+rect -3825 -172 -3809 -138
+rect -3775 -172 -3759 -138
+rect -3825 -188 -3759 -172
+rect -3633 -138 -3567 -122
+rect -3519 -126 -3489 -100
+rect -3423 -122 -3393 -100
+rect -3633 -172 -3617 -138
+rect -3583 -172 -3567 -138
+rect -3633 -188 -3567 -172
+rect -3441 -138 -3375 -122
+rect -3327 -126 -3297 -100
+rect -3231 -122 -3201 -100
+rect -3441 -172 -3425 -138
+rect -3391 -172 -3375 -138
+rect -3441 -188 -3375 -172
+rect -3249 -138 -3183 -122
+rect -3135 -126 -3105 -100
+rect -3039 -122 -3009 -100
+rect -3249 -172 -3233 -138
+rect -3199 -172 -3183 -138
+rect -3249 -188 -3183 -172
+rect -3057 -138 -2991 -122
+rect -2943 -126 -2913 -100
+rect -2847 -122 -2817 -100
+rect -3057 -172 -3041 -138
+rect -3007 -172 -2991 -138
+rect -3057 -188 -2991 -172
+rect -2865 -138 -2799 -122
+rect -2751 -126 -2721 -100
+rect -2655 -122 -2625 -100
+rect -2865 -172 -2849 -138
+rect -2815 -172 -2799 -138
+rect -2865 -188 -2799 -172
+rect -2673 -138 -2607 -122
+rect -2559 -126 -2529 -100
+rect -2463 -122 -2433 -100
+rect -2673 -172 -2657 -138
+rect -2623 -172 -2607 -138
+rect -2673 -188 -2607 -172
+rect -2481 -138 -2415 -122
+rect -2367 -126 -2337 -100
+rect -2271 -122 -2241 -100
+rect -2481 -172 -2465 -138
+rect -2431 -172 -2415 -138
+rect -2481 -188 -2415 -172
+rect -2289 -138 -2223 -122
+rect -2175 -126 -2145 -100
+rect -2079 -122 -2049 -100
+rect -2289 -172 -2273 -138
+rect -2239 -172 -2223 -138
+rect -2289 -188 -2223 -172
+rect -2097 -138 -2031 -122
+rect -1983 -126 -1953 -100
+rect -1887 -122 -1857 -100
+rect -2097 -172 -2081 -138
+rect -2047 -172 -2031 -138
+rect -2097 -188 -2031 -172
+rect -1905 -138 -1839 -122
+rect -1791 -126 -1761 -100
+rect -1695 -122 -1665 -100
+rect -1905 -172 -1889 -138
+rect -1855 -172 -1839 -138
+rect -1905 -188 -1839 -172
+rect -1713 -138 -1647 -122
+rect -1599 -126 -1569 -100
+rect -1503 -122 -1473 -100
+rect -1713 -172 -1697 -138
+rect -1663 -172 -1647 -138
+rect -1713 -188 -1647 -172
+rect -1521 -138 -1455 -122
+rect -1407 -126 -1377 -100
+rect -1311 -122 -1281 -100
+rect -1521 -172 -1505 -138
+rect -1471 -172 -1455 -138
+rect -1521 -188 -1455 -172
+rect -1329 -138 -1263 -122
+rect -1215 -126 -1185 -100
+rect -1119 -122 -1089 -100
+rect -1329 -172 -1313 -138
+rect -1279 -172 -1263 -138
+rect -1329 -188 -1263 -172
+rect -1137 -138 -1071 -122
+rect -1023 -126 -993 -100
+rect -927 -122 -897 -100
+rect -1137 -172 -1121 -138
+rect -1087 -172 -1071 -138
+rect -1137 -188 -1071 -172
+rect -945 -138 -879 -122
+rect -831 -126 -801 -100
+rect -735 -122 -705 -100
+rect -945 -172 -929 -138
+rect -895 -172 -879 -138
+rect -945 -188 -879 -172
+rect -753 -138 -687 -122
+rect -639 -126 -609 -100
+rect -543 -122 -513 -100
+rect -753 -172 -737 -138
+rect -703 -172 -687 -138
+rect -753 -188 -687 -172
+rect -561 -138 -495 -122
+rect -447 -126 -417 -100
+rect -351 -122 -321 -100
+rect -561 -172 -545 -138
+rect -511 -172 -495 -138
+rect -561 -188 -495 -172
+rect -369 -138 -303 -122
+rect -255 -126 -225 -100
+rect -159 -122 -129 -100
+rect -369 -172 -353 -138
+rect -319 -172 -303 -138
+rect -369 -188 -303 -172
+rect -177 -138 -111 -122
+rect -63 -126 -33 -100
+rect 33 -122 63 -100
+rect -177 -172 -161 -138
+rect -127 -172 -111 -138
+rect -177 -188 -111 -172
+rect 15 -138 81 -122
+rect 129 -126 159 -100
+rect 225 -122 255 -100
+rect 15 -172 31 -138
+rect 65 -172 81 -138
+rect 15 -188 81 -172
+rect 207 -138 273 -122
+rect 321 -126 351 -100
+rect 417 -122 447 -100
+rect 207 -172 223 -138
+rect 257 -172 273 -138
+rect 207 -188 273 -172
+rect 399 -138 465 -122
+rect 513 -126 543 -100
+rect 609 -122 639 -100
+rect 399 -172 415 -138
+rect 449 -172 465 -138
+rect 399 -188 465 -172
+rect 591 -138 657 -122
+rect 705 -126 735 -100
+rect 801 -122 831 -100
+rect 591 -172 607 -138
+rect 641 -172 657 -138
+rect 591 -188 657 -172
+rect 783 -138 849 -122
+rect 897 -126 927 -100
+rect 993 -122 1023 -100
+rect 783 -172 799 -138
+rect 833 -172 849 -138
+rect 783 -188 849 -172
+rect 975 -138 1041 -122
+rect 1089 -126 1119 -100
+rect 1185 -122 1215 -100
+rect 975 -172 991 -138
+rect 1025 -172 1041 -138
+rect 975 -188 1041 -172
+rect 1167 -138 1233 -122
+rect 1281 -126 1311 -100
+rect 1377 -122 1407 -100
+rect 1167 -172 1183 -138
+rect 1217 -172 1233 -138
+rect 1167 -188 1233 -172
+rect 1359 -138 1425 -122
+rect 1473 -126 1503 -100
+rect 1569 -122 1599 -100
+rect 1359 -172 1375 -138
+rect 1409 -172 1425 -138
+rect 1359 -188 1425 -172
+rect 1551 -138 1617 -122
+rect 1665 -126 1695 -100
+rect 1761 -122 1791 -100
+rect 1551 -172 1567 -138
+rect 1601 -172 1617 -138
+rect 1551 -188 1617 -172
+rect 1743 -138 1809 -122
+rect 1857 -126 1887 -100
+rect 1953 -122 1983 -100
+rect 1743 -172 1759 -138
+rect 1793 -172 1809 -138
+rect 1743 -188 1809 -172
+rect 1935 -138 2001 -122
+rect 2049 -126 2079 -100
+rect 2145 -122 2175 -100
+rect 1935 -172 1951 -138
+rect 1985 -172 2001 -138
+rect 1935 -188 2001 -172
+rect 2127 -138 2193 -122
+rect 2241 -126 2271 -100
+rect 2337 -122 2367 -100
+rect 2127 -172 2143 -138
+rect 2177 -172 2193 -138
+rect 2127 -188 2193 -172
+rect 2319 -138 2385 -122
+rect 2433 -126 2463 -100
+rect 2529 -122 2559 -100
+rect 2319 -172 2335 -138
+rect 2369 -172 2385 -138
+rect 2319 -188 2385 -172
+rect 2511 -138 2577 -122
+rect 2625 -126 2655 -100
+rect 2721 -122 2751 -100
+rect 2511 -172 2527 -138
+rect 2561 -172 2577 -138
+rect 2511 -188 2577 -172
+rect 2703 -138 2769 -122
+rect 2817 -126 2847 -100
+rect 2913 -122 2943 -100
+rect 2703 -172 2719 -138
+rect 2753 -172 2769 -138
+rect 2703 -188 2769 -172
+rect 2895 -138 2961 -122
+rect 3009 -126 3039 -100
+rect 3105 -122 3135 -100
+rect 2895 -172 2911 -138
+rect 2945 -172 2961 -138
+rect 2895 -188 2961 -172
+rect 3087 -138 3153 -122
+rect 3201 -126 3231 -100
+rect 3297 -122 3327 -100
+rect 3087 -172 3103 -138
+rect 3137 -172 3153 -138
+rect 3087 -188 3153 -172
+rect 3279 -138 3345 -122
+rect 3393 -126 3423 -100
+rect 3489 -122 3519 -100
+rect 3279 -172 3295 -138
+rect 3329 -172 3345 -138
+rect 3279 -188 3345 -172
+rect 3471 -138 3537 -122
+rect 3585 -126 3615 -100
+rect 3681 -122 3711 -100
+rect 3471 -172 3487 -138
+rect 3521 -172 3537 -138
+rect 3471 -188 3537 -172
+rect 3663 -138 3729 -122
+rect 3777 -126 3807 -100
+rect 3663 -172 3679 -138
+rect 3713 -172 3729 -138
+rect 3663 -188 3729 -172
+<< polycont >>
+rect -3713 138 -3679 172
+rect -3521 138 -3487 172
+rect -3329 138 -3295 172
+rect -3137 138 -3103 172
+rect -2945 138 -2911 172
+rect -2753 138 -2719 172
+rect -2561 138 -2527 172
+rect -2369 138 -2335 172
+rect -2177 138 -2143 172
+rect -1985 138 -1951 172
+rect -1793 138 -1759 172
+rect -1601 138 -1567 172
+rect -1409 138 -1375 172
+rect -1217 138 -1183 172
+rect -1025 138 -991 172
+rect -833 138 -799 172
+rect -641 138 -607 172
+rect -449 138 -415 172
+rect -257 138 -223 172
+rect -65 138 -31 172
+rect 127 138 161 172
+rect 319 138 353 172
+rect 511 138 545 172
+rect 703 138 737 172
+rect 895 138 929 172
+rect 1087 138 1121 172
+rect 1279 138 1313 172
+rect 1471 138 1505 172
+rect 1663 138 1697 172
+rect 1855 138 1889 172
+rect 2047 138 2081 172
+rect 2239 138 2273 172
+rect 2431 138 2465 172
+rect 2623 138 2657 172
+rect 2815 138 2849 172
+rect 3007 138 3041 172
+rect 3199 138 3233 172
+rect 3391 138 3425 172
+rect 3583 138 3617 172
+rect 3775 138 3809 172
+rect -3809 -172 -3775 -138
+rect -3617 -172 -3583 -138
+rect -3425 -172 -3391 -138
+rect -3233 -172 -3199 -138
+rect -3041 -172 -3007 -138
+rect -2849 -172 -2815 -138
+rect -2657 -172 -2623 -138
+rect -2465 -172 -2431 -138
+rect -2273 -172 -2239 -138
+rect -2081 -172 -2047 -138
+rect -1889 -172 -1855 -138
+rect -1697 -172 -1663 -138
+rect -1505 -172 -1471 -138
+rect -1313 -172 -1279 -138
+rect -1121 -172 -1087 -138
+rect -929 -172 -895 -138
+rect -737 -172 -703 -138
+rect -545 -172 -511 -138
+rect -353 -172 -319 -138
+rect -161 -172 -127 -138
+rect 31 -172 65 -138
+rect 223 -172 257 -138
+rect 415 -172 449 -138
+rect 607 -172 641 -138
+rect 799 -172 833 -138
+rect 991 -172 1025 -138
+rect 1183 -172 1217 -138
+rect 1375 -172 1409 -138
+rect 1567 -172 1601 -138
+rect 1759 -172 1793 -138
+rect 1951 -172 1985 -138
+rect 2143 -172 2177 -138
+rect 2335 -172 2369 -138
+rect 2527 -172 2561 -138
+rect 2719 -172 2753 -138
+rect 2911 -172 2945 -138
+rect 3103 -172 3137 -138
+rect 3295 -172 3329 -138
+rect 3487 -172 3521 -138
+rect 3679 -172 3713 -138
+<< locali >>
+rect -3971 240 -3875 274
+rect 3875 240 3971 274
+rect -3971 178 -3937 240
+rect 3937 178 3971 240
+rect -3729 138 -3713 172
+rect -3679 138 -3663 172
+rect -3537 138 -3521 172
+rect -3487 138 -3471 172
+rect -3345 138 -3329 172
+rect -3295 138 -3279 172
+rect -3153 138 -3137 172
+rect -3103 138 -3087 172
+rect -2961 138 -2945 172
+rect -2911 138 -2895 172
+rect -2769 138 -2753 172
+rect -2719 138 -2703 172
+rect -2577 138 -2561 172
+rect -2527 138 -2511 172
+rect -2385 138 -2369 172
+rect -2335 138 -2319 172
+rect -2193 138 -2177 172
+rect -2143 138 -2127 172
+rect -2001 138 -1985 172
+rect -1951 138 -1935 172
+rect -1809 138 -1793 172
+rect -1759 138 -1743 172
+rect -1617 138 -1601 172
+rect -1567 138 -1551 172
+rect -1425 138 -1409 172
+rect -1375 138 -1359 172
+rect -1233 138 -1217 172
+rect -1183 138 -1167 172
+rect -1041 138 -1025 172
+rect -991 138 -975 172
+rect -849 138 -833 172
+rect -799 138 -783 172
+rect -657 138 -641 172
+rect -607 138 -591 172
+rect -465 138 -449 172
+rect -415 138 -399 172
+rect -273 138 -257 172
+rect -223 138 -207 172
+rect -81 138 -65 172
+rect -31 138 -15 172
+rect 111 138 127 172
+rect 161 138 177 172
+rect 303 138 319 172
+rect 353 138 369 172
+rect 495 138 511 172
+rect 545 138 561 172
+rect 687 138 703 172
+rect 737 138 753 172
+rect 879 138 895 172
+rect 929 138 945 172
+rect 1071 138 1087 172
+rect 1121 138 1137 172
+rect 1263 138 1279 172
+rect 1313 138 1329 172
+rect 1455 138 1471 172
+rect 1505 138 1521 172
+rect 1647 138 1663 172
+rect 1697 138 1713 172
+rect 1839 138 1855 172
+rect 1889 138 1905 172
+rect 2031 138 2047 172
+rect 2081 138 2097 172
+rect 2223 138 2239 172
+rect 2273 138 2289 172
+rect 2415 138 2431 172
+rect 2465 138 2481 172
+rect 2607 138 2623 172
+rect 2657 138 2673 172
+rect 2799 138 2815 172
+rect 2849 138 2865 172
+rect 2991 138 3007 172
+rect 3041 138 3057 172
+rect 3183 138 3199 172
+rect 3233 138 3249 172
+rect 3375 138 3391 172
+rect 3425 138 3441 172
+rect 3567 138 3583 172
+rect 3617 138 3633 172
+rect 3759 138 3775 172
+rect 3809 138 3825 172
+rect -3857 88 -3823 104
+rect -3857 -104 -3823 -88
+rect -3761 88 -3727 104
+rect -3761 -104 -3727 -88
+rect -3665 88 -3631 104
+rect -3665 -104 -3631 -88
+rect -3569 88 -3535 104
+rect -3569 -104 -3535 -88
+rect -3473 88 -3439 104
+rect -3473 -104 -3439 -88
+rect -3377 88 -3343 104
+rect -3377 -104 -3343 -88
+rect -3281 88 -3247 104
+rect -3281 -104 -3247 -88
+rect -3185 88 -3151 104
+rect -3185 -104 -3151 -88
+rect -3089 88 -3055 104
+rect -3089 -104 -3055 -88
+rect -2993 88 -2959 104
+rect -2993 -104 -2959 -88
+rect -2897 88 -2863 104
+rect -2897 -104 -2863 -88
+rect -2801 88 -2767 104
+rect -2801 -104 -2767 -88
+rect -2705 88 -2671 104
+rect -2705 -104 -2671 -88
+rect -2609 88 -2575 104
+rect -2609 -104 -2575 -88
+rect -2513 88 -2479 104
+rect -2513 -104 -2479 -88
+rect -2417 88 -2383 104
+rect -2417 -104 -2383 -88
+rect -2321 88 -2287 104
+rect -2321 -104 -2287 -88
+rect -2225 88 -2191 104
+rect -2225 -104 -2191 -88
+rect -2129 88 -2095 104
+rect -2129 -104 -2095 -88
+rect -2033 88 -1999 104
+rect -2033 -104 -1999 -88
+rect -1937 88 -1903 104
+rect -1937 -104 -1903 -88
+rect -1841 88 -1807 104
+rect -1841 -104 -1807 -88
+rect -1745 88 -1711 104
+rect -1745 -104 -1711 -88
+rect -1649 88 -1615 104
+rect -1649 -104 -1615 -88
+rect -1553 88 -1519 104
+rect -1553 -104 -1519 -88
+rect -1457 88 -1423 104
+rect -1457 -104 -1423 -88
+rect -1361 88 -1327 104
+rect -1361 -104 -1327 -88
+rect -1265 88 -1231 104
+rect -1265 -104 -1231 -88
+rect -1169 88 -1135 104
+rect -1169 -104 -1135 -88
+rect -1073 88 -1039 104
+rect -1073 -104 -1039 -88
+rect -977 88 -943 104
+rect -977 -104 -943 -88
+rect -881 88 -847 104
+rect -881 -104 -847 -88
+rect -785 88 -751 104
+rect -785 -104 -751 -88
+rect -689 88 -655 104
+rect -689 -104 -655 -88
+rect -593 88 -559 104
+rect -593 -104 -559 -88
+rect -497 88 -463 104
+rect -497 -104 -463 -88
+rect -401 88 -367 104
+rect -401 -104 -367 -88
+rect -305 88 -271 104
+rect -305 -104 -271 -88
+rect -209 88 -175 104
+rect -209 -104 -175 -88
+rect -113 88 -79 104
+rect -113 -104 -79 -88
+rect -17 88 17 104
+rect -17 -104 17 -88
+rect 79 88 113 104
+rect 79 -104 113 -88
+rect 175 88 209 104
+rect 175 -104 209 -88
+rect 271 88 305 104
+rect 271 -104 305 -88
+rect 367 88 401 104
+rect 367 -104 401 -88
+rect 463 88 497 104
+rect 463 -104 497 -88
+rect 559 88 593 104
+rect 559 -104 593 -88
+rect 655 88 689 104
+rect 655 -104 689 -88
+rect 751 88 785 104
+rect 751 -104 785 -88
+rect 847 88 881 104
+rect 847 -104 881 -88
+rect 943 88 977 104
+rect 943 -104 977 -88
+rect 1039 88 1073 104
+rect 1039 -104 1073 -88
+rect 1135 88 1169 104
+rect 1135 -104 1169 -88
+rect 1231 88 1265 104
+rect 1231 -104 1265 -88
+rect 1327 88 1361 104
+rect 1327 -104 1361 -88
+rect 1423 88 1457 104
+rect 1423 -104 1457 -88
+rect 1519 88 1553 104
+rect 1519 -104 1553 -88
+rect 1615 88 1649 104
+rect 1615 -104 1649 -88
+rect 1711 88 1745 104
+rect 1711 -104 1745 -88
+rect 1807 88 1841 104
+rect 1807 -104 1841 -88
+rect 1903 88 1937 104
+rect 1903 -104 1937 -88
+rect 1999 88 2033 104
+rect 1999 -104 2033 -88
+rect 2095 88 2129 104
+rect 2095 -104 2129 -88
+rect 2191 88 2225 104
+rect 2191 -104 2225 -88
+rect 2287 88 2321 104
+rect 2287 -104 2321 -88
+rect 2383 88 2417 104
+rect 2383 -104 2417 -88
+rect 2479 88 2513 104
+rect 2479 -104 2513 -88
+rect 2575 88 2609 104
+rect 2575 -104 2609 -88
+rect 2671 88 2705 104
+rect 2671 -104 2705 -88
+rect 2767 88 2801 104
+rect 2767 -104 2801 -88
+rect 2863 88 2897 104
+rect 2863 -104 2897 -88
+rect 2959 88 2993 104
+rect 2959 -104 2993 -88
+rect 3055 88 3089 104
+rect 3055 -104 3089 -88
+rect 3151 88 3185 104
+rect 3151 -104 3185 -88
+rect 3247 88 3281 104
+rect 3247 -104 3281 -88
+rect 3343 88 3377 104
+rect 3343 -104 3377 -88
+rect 3439 88 3473 104
+rect 3439 -104 3473 -88
+rect 3535 88 3569 104
+rect 3535 -104 3569 -88
+rect 3631 88 3665 104
+rect 3631 -104 3665 -88
+rect 3727 88 3761 104
+rect 3727 -104 3761 -88
+rect 3823 88 3857 104
+rect 3823 -104 3857 -88
+rect -3825 -172 -3809 -138
+rect -3775 -172 -3759 -138
+rect -3633 -172 -3617 -138
+rect -3583 -172 -3567 -138
+rect -3441 -172 -3425 -138
+rect -3391 -172 -3375 -138
+rect -3249 -172 -3233 -138
+rect -3199 -172 -3183 -138
+rect -3057 -172 -3041 -138
+rect -3007 -172 -2991 -138
+rect -2865 -172 -2849 -138
+rect -2815 -172 -2799 -138
+rect -2673 -172 -2657 -138
+rect -2623 -172 -2607 -138
+rect -2481 -172 -2465 -138
+rect -2431 -172 -2415 -138
+rect -2289 -172 -2273 -138
+rect -2239 -172 -2223 -138
+rect -2097 -172 -2081 -138
+rect -2047 -172 -2031 -138
+rect -1905 -172 -1889 -138
+rect -1855 -172 -1839 -138
+rect -1713 -172 -1697 -138
+rect -1663 -172 -1647 -138
+rect -1521 -172 -1505 -138
+rect -1471 -172 -1455 -138
+rect -1329 -172 -1313 -138
+rect -1279 -172 -1263 -138
+rect -1137 -172 -1121 -138
+rect -1087 -172 -1071 -138
+rect -945 -172 -929 -138
+rect -895 -172 -879 -138
+rect -753 -172 -737 -138
+rect -703 -172 -687 -138
+rect -561 -172 -545 -138
+rect -511 -172 -495 -138
+rect -369 -172 -353 -138
+rect -319 -172 -303 -138
+rect -177 -172 -161 -138
+rect -127 -172 -111 -138
+rect 15 -172 31 -138
+rect 65 -172 81 -138
+rect 207 -172 223 -138
+rect 257 -172 273 -138
+rect 399 -172 415 -138
+rect 449 -172 465 -138
+rect 591 -172 607 -138
+rect 641 -172 657 -138
+rect 783 -172 799 -138
+rect 833 -172 849 -138
+rect 975 -172 991 -138
+rect 1025 -172 1041 -138
+rect 1167 -172 1183 -138
+rect 1217 -172 1233 -138
+rect 1359 -172 1375 -138
+rect 1409 -172 1425 -138
+rect 1551 -172 1567 -138
+rect 1601 -172 1617 -138
+rect 1743 -172 1759 -138
+rect 1793 -172 1809 -138
+rect 1935 -172 1951 -138
+rect 1985 -172 2001 -138
+rect 2127 -172 2143 -138
+rect 2177 -172 2193 -138
+rect 2319 -172 2335 -138
+rect 2369 -172 2385 -138
+rect 2511 -172 2527 -138
+rect 2561 -172 2577 -138
+rect 2703 -172 2719 -138
+rect 2753 -172 2769 -138
+rect 2895 -172 2911 -138
+rect 2945 -172 2961 -138
+rect 3087 -172 3103 -138
+rect 3137 -172 3153 -138
+rect 3279 -172 3295 -138
+rect 3329 -172 3345 -138
+rect 3471 -172 3487 -138
+rect 3521 -172 3537 -138
+rect 3663 -172 3679 -138
+rect 3713 -172 3729 -138
+rect -3971 -240 -3937 -178
+rect 3937 -240 3971 -178
+rect -3971 -274 -3875 -240
+rect 3875 -274 3971 -240
+<< viali >>
+rect -3713 138 -3679 172
+rect -3521 138 -3487 172
+rect -3329 138 -3295 172
+rect -3137 138 -3103 172
+rect -2945 138 -2911 172
+rect -2753 138 -2719 172
+rect -2561 138 -2527 172
+rect -2369 138 -2335 172
+rect -2177 138 -2143 172
+rect -1985 138 -1951 172
+rect -1793 138 -1759 172
+rect -1601 138 -1567 172
+rect -1409 138 -1375 172
+rect -1217 138 -1183 172
+rect -1025 138 -991 172
+rect -833 138 -799 172
+rect -641 138 -607 172
+rect -449 138 -415 172
+rect -257 138 -223 172
+rect -65 138 -31 172
+rect 127 138 161 172
+rect 319 138 353 172
+rect 511 138 545 172
+rect 703 138 737 172
+rect 895 138 929 172
+rect 1087 138 1121 172
+rect 1279 138 1313 172
+rect 1471 138 1505 172
+rect 1663 138 1697 172
+rect 1855 138 1889 172
+rect 2047 138 2081 172
+rect 2239 138 2273 172
+rect 2431 138 2465 172
+rect 2623 138 2657 172
+rect 2815 138 2849 172
+rect 3007 138 3041 172
+rect 3199 138 3233 172
+rect 3391 138 3425 172
+rect 3583 138 3617 172
+rect 3775 138 3809 172
+rect -3857 -88 -3823 88
+rect -3761 -88 -3727 88
+rect -3665 -88 -3631 88
+rect -3569 -88 -3535 88
+rect -3473 -88 -3439 88
+rect -3377 -88 -3343 88
+rect -3281 -88 -3247 88
+rect -3185 -88 -3151 88
+rect -3089 -88 -3055 88
+rect -2993 -88 -2959 88
+rect -2897 -88 -2863 88
+rect -2801 -88 -2767 88
+rect -2705 -88 -2671 88
+rect -2609 -88 -2575 88
+rect -2513 -88 -2479 88
+rect -2417 -88 -2383 88
+rect -2321 -88 -2287 88
+rect -2225 -88 -2191 88
+rect -2129 -88 -2095 88
+rect -2033 -88 -1999 88
+rect -1937 -88 -1903 88
+rect -1841 -88 -1807 88
+rect -1745 -88 -1711 88
+rect -1649 -88 -1615 88
+rect -1553 -88 -1519 88
+rect -1457 -88 -1423 88
+rect -1361 -88 -1327 88
+rect -1265 -88 -1231 88
+rect -1169 -88 -1135 88
+rect -1073 -88 -1039 88
+rect -977 -88 -943 88
+rect -881 -88 -847 88
+rect -785 -88 -751 88
+rect -689 -88 -655 88
+rect -593 -88 -559 88
+rect -497 -88 -463 88
+rect -401 -88 -367 88
+rect -305 -88 -271 88
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+rect 271 -88 305 88
+rect 367 -88 401 88
+rect 463 -88 497 88
+rect 559 -88 593 88
+rect 655 -88 689 88
+rect 751 -88 785 88
+rect 847 -88 881 88
+rect 943 -88 977 88
+rect 1039 -88 1073 88
+rect 1135 -88 1169 88
+rect 1231 -88 1265 88
+rect 1327 -88 1361 88
+rect 1423 -88 1457 88
+rect 1519 -88 1553 88
+rect 1615 -88 1649 88
+rect 1711 -88 1745 88
+rect 1807 -88 1841 88
+rect 1903 -88 1937 88
+rect 1999 -88 2033 88
+rect 2095 -88 2129 88
+rect 2191 -88 2225 88
+rect 2287 -88 2321 88
+rect 2383 -88 2417 88
+rect 2479 -88 2513 88
+rect 2575 -88 2609 88
+rect 2671 -88 2705 88
+rect 2767 -88 2801 88
+rect 2863 -88 2897 88
+rect 2959 -88 2993 88
+rect 3055 -88 3089 88
+rect 3151 -88 3185 88
+rect 3247 -88 3281 88
+rect 3343 -88 3377 88
+rect 3439 -88 3473 88
+rect 3535 -88 3569 88
+rect 3631 -88 3665 88
+rect 3727 -88 3761 88
+rect 3823 -88 3857 88
+rect -3809 -172 -3775 -138
+rect -3617 -172 -3583 -138
+rect -3425 -172 -3391 -138
+rect -3233 -172 -3199 -138
+rect -3041 -172 -3007 -138
+rect -2849 -172 -2815 -138
+rect -2657 -172 -2623 -138
+rect -2465 -172 -2431 -138
+rect -2273 -172 -2239 -138
+rect -2081 -172 -2047 -138
+rect -1889 -172 -1855 -138
+rect -1697 -172 -1663 -138
+rect -1505 -172 -1471 -138
+rect -1313 -172 -1279 -138
+rect -1121 -172 -1087 -138
+rect -929 -172 -895 -138
+rect -737 -172 -703 -138
+rect -545 -172 -511 -138
+rect -353 -172 -319 -138
+rect -161 -172 -127 -138
+rect 31 -172 65 -138
+rect 223 -172 257 -138
+rect 415 -172 449 -138
+rect 607 -172 641 -138
+rect 799 -172 833 -138
+rect 991 -172 1025 -138
+rect 1183 -172 1217 -138
+rect 1375 -172 1409 -138
+rect 1567 -172 1601 -138
+rect 1759 -172 1793 -138
+rect 1951 -172 1985 -138
+rect 2143 -172 2177 -138
+rect 2335 -172 2369 -138
+rect 2527 -172 2561 -138
+rect 2719 -172 2753 -138
+rect 2911 -172 2945 -138
+rect 3103 -172 3137 -138
+rect 3295 -172 3329 -138
+rect 3487 -172 3521 -138
+rect 3679 -172 3713 -138
+<< metal1 >>
+rect -3725 172 -3667 178
+rect -3725 138 -3713 172
+rect -3679 138 -3667 172
+rect -3725 132 -3667 138
+rect -3533 172 -3475 178
+rect -3533 138 -3521 172
+rect -3487 138 -3475 172
+rect -3533 132 -3475 138
+rect -3341 172 -3283 178
+rect -3341 138 -3329 172
+rect -3295 138 -3283 172
+rect -3341 132 -3283 138
+rect -3149 172 -3091 178
+rect -3149 138 -3137 172
+rect -3103 138 -3091 172
+rect -3149 132 -3091 138
+rect -2957 172 -2899 178
+rect -2957 138 -2945 172
+rect -2911 138 -2899 172
+rect -2957 132 -2899 138
+rect -2765 172 -2707 178
+rect -2765 138 -2753 172
+rect -2719 138 -2707 172
+rect -2765 132 -2707 138
+rect -2573 172 -2515 178
+rect -2573 138 -2561 172
+rect -2527 138 -2515 172
+rect -2573 132 -2515 138
+rect -2381 172 -2323 178
+rect -2381 138 -2369 172
+rect -2335 138 -2323 172
+rect -2381 132 -2323 138
+rect -2189 172 -2131 178
+rect -2189 138 -2177 172
+rect -2143 138 -2131 172
+rect -2189 132 -2131 138
+rect -1997 172 -1939 178
+rect -1997 138 -1985 172
+rect -1951 138 -1939 172
+rect -1997 132 -1939 138
+rect -1805 172 -1747 178
+rect -1805 138 -1793 172
+rect -1759 138 -1747 172
+rect -1805 132 -1747 138
+rect -1613 172 -1555 178
+rect -1613 138 -1601 172
+rect -1567 138 -1555 172
+rect -1613 132 -1555 138
+rect -1421 172 -1363 178
+rect -1421 138 -1409 172
+rect -1375 138 -1363 172
+rect -1421 132 -1363 138
+rect -1229 172 -1171 178
+rect -1229 138 -1217 172
+rect -1183 138 -1171 172
+rect -1229 132 -1171 138
+rect -1037 172 -979 178
+rect -1037 138 -1025 172
+rect -991 138 -979 172
+rect -1037 132 -979 138
+rect -845 172 -787 178
+rect -845 138 -833 172
+rect -799 138 -787 172
+rect -845 132 -787 138
+rect -653 172 -595 178
+rect -653 138 -641 172
+rect -607 138 -595 172
+rect -653 132 -595 138
+rect -461 172 -403 178
+rect -461 138 -449 172
+rect -415 138 -403 172
+rect -461 132 -403 138
+rect -269 172 -211 178
+rect -269 138 -257 172
+rect -223 138 -211 172
+rect -269 132 -211 138
+rect -77 172 -19 178
+rect -77 138 -65 172
+rect -31 138 -19 172
+rect -77 132 -19 138
+rect 115 172 173 178
+rect 115 138 127 172
+rect 161 138 173 172
+rect 115 132 173 138
+rect 307 172 365 178
+rect 307 138 319 172
+rect 353 138 365 172
+rect 307 132 365 138
+rect 499 172 557 178
+rect 499 138 511 172
+rect 545 138 557 172
+rect 499 132 557 138
+rect 691 172 749 178
+rect 691 138 703 172
+rect 737 138 749 172
+rect 691 132 749 138
+rect 883 172 941 178
+rect 883 138 895 172
+rect 929 138 941 172
+rect 883 132 941 138
+rect 1075 172 1133 178
+rect 1075 138 1087 172
+rect 1121 138 1133 172
+rect 1075 132 1133 138
+rect 1267 172 1325 178
+rect 1267 138 1279 172
+rect 1313 138 1325 172
+rect 1267 132 1325 138
+rect 1459 172 1517 178
+rect 1459 138 1471 172
+rect 1505 138 1517 172
+rect 1459 132 1517 138
+rect 1651 172 1709 178
+rect 1651 138 1663 172
+rect 1697 138 1709 172
+rect 1651 132 1709 138
+rect 1843 172 1901 178
+rect 1843 138 1855 172
+rect 1889 138 1901 172
+rect 1843 132 1901 138
+rect 2035 172 2093 178
+rect 2035 138 2047 172
+rect 2081 138 2093 172
+rect 2035 132 2093 138
+rect 2227 172 2285 178
+rect 2227 138 2239 172
+rect 2273 138 2285 172
+rect 2227 132 2285 138
+rect 2419 172 2477 178
+rect 2419 138 2431 172
+rect 2465 138 2477 172
+rect 2419 132 2477 138
+rect 2611 172 2669 178
+rect 2611 138 2623 172
+rect 2657 138 2669 172
+rect 2611 132 2669 138
+rect 2803 172 2861 178
+rect 2803 138 2815 172
+rect 2849 138 2861 172
+rect 2803 132 2861 138
+rect 2995 172 3053 178
+rect 2995 138 3007 172
+rect 3041 138 3053 172
+rect 2995 132 3053 138
+rect 3187 172 3245 178
+rect 3187 138 3199 172
+rect 3233 138 3245 172
+rect 3187 132 3245 138
+rect 3379 172 3437 178
+rect 3379 138 3391 172
+rect 3425 138 3437 172
+rect 3379 132 3437 138
+rect 3571 172 3629 178
+rect 3571 138 3583 172
+rect 3617 138 3629 172
+rect 3571 132 3629 138
+rect 3763 172 3821 178
+rect 3763 138 3775 172
+rect 3809 138 3821 172
+rect 3763 132 3821 138
+rect -3863 88 -3817 100
+rect -3863 -88 -3857 88
+rect -3823 -88 -3817 88
+rect -3863 -100 -3817 -88
+rect -3767 88 -3721 100
+rect -3767 -88 -3761 88
+rect -3727 -88 -3721 88
+rect -3767 -100 -3721 -88
+rect -3671 88 -3625 100
+rect -3671 -88 -3665 88
+rect -3631 -88 -3625 88
+rect -3671 -100 -3625 -88
+rect -3575 88 -3529 100
+rect -3575 -88 -3569 88
+rect -3535 -88 -3529 88
+rect -3575 -100 -3529 -88
+rect -3479 88 -3433 100
+rect -3479 -88 -3473 88
+rect -3439 -88 -3433 88
+rect -3479 -100 -3433 -88
+rect -3383 88 -3337 100
+rect -3383 -88 -3377 88
+rect -3343 -88 -3337 88
+rect -3383 -100 -3337 -88
+rect -3287 88 -3241 100
+rect -3287 -88 -3281 88
+rect -3247 -88 -3241 88
+rect -3287 -100 -3241 -88
+rect -3191 88 -3145 100
+rect -3191 -88 -3185 88
+rect -3151 -88 -3145 88
+rect -3191 -100 -3145 -88
+rect -3095 88 -3049 100
+rect -3095 -88 -3089 88
+rect -3055 -88 -3049 88
+rect -3095 -100 -3049 -88
+rect -2999 88 -2953 100
+rect -2999 -88 -2993 88
+rect -2959 -88 -2953 88
+rect -2999 -100 -2953 -88
+rect -2903 88 -2857 100
+rect -2903 -88 -2897 88
+rect -2863 -88 -2857 88
+rect -2903 -100 -2857 -88
+rect -2807 88 -2761 100
+rect -2807 -88 -2801 88
+rect -2767 -88 -2761 88
+rect -2807 -100 -2761 -88
+rect -2711 88 -2665 100
+rect -2711 -88 -2705 88
+rect -2671 -88 -2665 88
+rect -2711 -100 -2665 -88
+rect -2615 88 -2569 100
+rect -2615 -88 -2609 88
+rect -2575 -88 -2569 88
+rect -2615 -100 -2569 -88
+rect -2519 88 -2473 100
+rect -2519 -88 -2513 88
+rect -2479 -88 -2473 88
+rect -2519 -100 -2473 -88
+rect -2423 88 -2377 100
+rect -2423 -88 -2417 88
+rect -2383 -88 -2377 88
+rect -2423 -100 -2377 -88
+rect -2327 88 -2281 100
+rect -2327 -88 -2321 88
+rect -2287 -88 -2281 88
+rect -2327 -100 -2281 -88
+rect -2231 88 -2185 100
+rect -2231 -88 -2225 88
+rect -2191 -88 -2185 88
+rect -2231 -100 -2185 -88
+rect -2135 88 -2089 100
+rect -2135 -88 -2129 88
+rect -2095 -88 -2089 88
+rect -2135 -100 -2089 -88
+rect -2039 88 -1993 100
+rect -2039 -88 -2033 88
+rect -1999 -88 -1993 88
+rect -2039 -100 -1993 -88
+rect -1943 88 -1897 100
+rect -1943 -88 -1937 88
+rect -1903 -88 -1897 88
+rect -1943 -100 -1897 -88
+rect -1847 88 -1801 100
+rect -1847 -88 -1841 88
+rect -1807 -88 -1801 88
+rect -1847 -100 -1801 -88
+rect -1751 88 -1705 100
+rect -1751 -88 -1745 88
+rect -1711 -88 -1705 88
+rect -1751 -100 -1705 -88
+rect -1655 88 -1609 100
+rect -1655 -88 -1649 88
+rect -1615 -88 -1609 88
+rect -1655 -100 -1609 -88
+rect -1559 88 -1513 100
+rect -1559 -88 -1553 88
+rect -1519 -88 -1513 88
+rect -1559 -100 -1513 -88
+rect -1463 88 -1417 100
+rect -1463 -88 -1457 88
+rect -1423 -88 -1417 88
+rect -1463 -100 -1417 -88
+rect -1367 88 -1321 100
+rect -1367 -88 -1361 88
+rect -1327 -88 -1321 88
+rect -1367 -100 -1321 -88
+rect -1271 88 -1225 100
+rect -1271 -88 -1265 88
+rect -1231 -88 -1225 88
+rect -1271 -100 -1225 -88
+rect -1175 88 -1129 100
+rect -1175 -88 -1169 88
+rect -1135 -88 -1129 88
+rect -1175 -100 -1129 -88
+rect -1079 88 -1033 100
+rect -1079 -88 -1073 88
+rect -1039 -88 -1033 88
+rect -1079 -100 -1033 -88
+rect -983 88 -937 100
+rect -983 -88 -977 88
+rect -943 -88 -937 88
+rect -983 -100 -937 -88
+rect -887 88 -841 100
+rect -887 -88 -881 88
+rect -847 -88 -841 88
+rect -887 -100 -841 -88
+rect -791 88 -745 100
+rect -791 -88 -785 88
+rect -751 -88 -745 88
+rect -791 -100 -745 -88
+rect -695 88 -649 100
+rect -695 -88 -689 88
+rect -655 -88 -649 88
+rect -695 -100 -649 -88
+rect -599 88 -553 100
+rect -599 -88 -593 88
+rect -559 -88 -553 88
+rect -599 -100 -553 -88
+rect -503 88 -457 100
+rect -503 -88 -497 88
+rect -463 -88 -457 88
+rect -503 -100 -457 -88
+rect -407 88 -361 100
+rect -407 -88 -401 88
+rect -367 -88 -361 88
+rect -407 -100 -361 -88
+rect -311 88 -265 100
+rect -311 -88 -305 88
+rect -271 -88 -265 88
+rect -311 -100 -265 -88
+rect -215 88 -169 100
+rect -215 -88 -209 88
+rect -175 -88 -169 88
+rect -215 -100 -169 -88
+rect -119 88 -73 100
+rect -119 -88 -113 88
+rect -79 -88 -73 88
+rect -119 -100 -73 -88
+rect -23 88 23 100
+rect -23 -88 -17 88
+rect 17 -88 23 88
+rect -23 -100 23 -88
+rect 73 88 119 100
+rect 73 -88 79 88
+rect 113 -88 119 88
+rect 73 -100 119 -88
+rect 169 88 215 100
+rect 169 -88 175 88
+rect 209 -88 215 88
+rect 169 -100 215 -88
+rect 265 88 311 100
+rect 265 -88 271 88
+rect 305 -88 311 88
+rect 265 -100 311 -88
+rect 361 88 407 100
+rect 361 -88 367 88
+rect 401 -88 407 88
+rect 361 -100 407 -88
+rect 457 88 503 100
+rect 457 -88 463 88
+rect 497 -88 503 88
+rect 457 -100 503 -88
+rect 553 88 599 100
+rect 553 -88 559 88
+rect 593 -88 599 88
+rect 553 -100 599 -88
+rect 649 88 695 100
+rect 649 -88 655 88
+rect 689 -88 695 88
+rect 649 -100 695 -88
+rect 745 88 791 100
+rect 745 -88 751 88
+rect 785 -88 791 88
+rect 745 -100 791 -88
+rect 841 88 887 100
+rect 841 -88 847 88
+rect 881 -88 887 88
+rect 841 -100 887 -88
+rect 937 88 983 100
+rect 937 -88 943 88
+rect 977 -88 983 88
+rect 937 -100 983 -88
+rect 1033 88 1079 100
+rect 1033 -88 1039 88
+rect 1073 -88 1079 88
+rect 1033 -100 1079 -88
+rect 1129 88 1175 100
+rect 1129 -88 1135 88
+rect 1169 -88 1175 88
+rect 1129 -100 1175 -88
+rect 1225 88 1271 100
+rect 1225 -88 1231 88
+rect 1265 -88 1271 88
+rect 1225 -100 1271 -88
+rect 1321 88 1367 100
+rect 1321 -88 1327 88
+rect 1361 -88 1367 88
+rect 1321 -100 1367 -88
+rect 1417 88 1463 100
+rect 1417 -88 1423 88
+rect 1457 -88 1463 88
+rect 1417 -100 1463 -88
+rect 1513 88 1559 100
+rect 1513 -88 1519 88
+rect 1553 -88 1559 88
+rect 1513 -100 1559 -88
+rect 1609 88 1655 100
+rect 1609 -88 1615 88
+rect 1649 -88 1655 88
+rect 1609 -100 1655 -88
+rect 1705 88 1751 100
+rect 1705 -88 1711 88
+rect 1745 -88 1751 88
+rect 1705 -100 1751 -88
+rect 1801 88 1847 100
+rect 1801 -88 1807 88
+rect 1841 -88 1847 88
+rect 1801 -100 1847 -88
+rect 1897 88 1943 100
+rect 1897 -88 1903 88
+rect 1937 -88 1943 88
+rect 1897 -100 1943 -88
+rect 1993 88 2039 100
+rect 1993 -88 1999 88
+rect 2033 -88 2039 88
+rect 1993 -100 2039 -88
+rect 2089 88 2135 100
+rect 2089 -88 2095 88
+rect 2129 -88 2135 88
+rect 2089 -100 2135 -88
+rect 2185 88 2231 100
+rect 2185 -88 2191 88
+rect 2225 -88 2231 88
+rect 2185 -100 2231 -88
+rect 2281 88 2327 100
+rect 2281 -88 2287 88
+rect 2321 -88 2327 88
+rect 2281 -100 2327 -88
+rect 2377 88 2423 100
+rect 2377 -88 2383 88
+rect 2417 -88 2423 88
+rect 2377 -100 2423 -88
+rect 2473 88 2519 100
+rect 2473 -88 2479 88
+rect 2513 -88 2519 88
+rect 2473 -100 2519 -88
+rect 2569 88 2615 100
+rect 2569 -88 2575 88
+rect 2609 -88 2615 88
+rect 2569 -100 2615 -88
+rect 2665 88 2711 100
+rect 2665 -88 2671 88
+rect 2705 -88 2711 88
+rect 2665 -100 2711 -88
+rect 2761 88 2807 100
+rect 2761 -88 2767 88
+rect 2801 -88 2807 88
+rect 2761 -100 2807 -88
+rect 2857 88 2903 100
+rect 2857 -88 2863 88
+rect 2897 -88 2903 88
+rect 2857 -100 2903 -88
+rect 2953 88 2999 100
+rect 2953 -88 2959 88
+rect 2993 -88 2999 88
+rect 2953 -100 2999 -88
+rect 3049 88 3095 100
+rect 3049 -88 3055 88
+rect 3089 -88 3095 88
+rect 3049 -100 3095 -88
+rect 3145 88 3191 100
+rect 3145 -88 3151 88
+rect 3185 -88 3191 88
+rect 3145 -100 3191 -88
+rect 3241 88 3287 100
+rect 3241 -88 3247 88
+rect 3281 -88 3287 88
+rect 3241 -100 3287 -88
+rect 3337 88 3383 100
+rect 3337 -88 3343 88
+rect 3377 -88 3383 88
+rect 3337 -100 3383 -88
+rect 3433 88 3479 100
+rect 3433 -88 3439 88
+rect 3473 -88 3479 88
+rect 3433 -100 3479 -88
+rect 3529 88 3575 100
+rect 3529 -88 3535 88
+rect 3569 -88 3575 88
+rect 3529 -100 3575 -88
+rect 3625 88 3671 100
+rect 3625 -88 3631 88
+rect 3665 -88 3671 88
+rect 3625 -100 3671 -88
+rect 3721 88 3767 100
+rect 3721 -88 3727 88
+rect 3761 -88 3767 88
+rect 3721 -100 3767 -88
+rect 3817 88 3863 100
+rect 3817 -88 3823 88
+rect 3857 -88 3863 88
+rect 3817 -100 3863 -88
+rect -3821 -138 -3763 -132
+rect -3821 -172 -3809 -138
+rect -3775 -172 -3763 -138
+rect -3821 -178 -3763 -172
+rect -3629 -138 -3571 -132
+rect -3629 -172 -3617 -138
+rect -3583 -172 -3571 -138
+rect -3629 -178 -3571 -172
+rect -3437 -138 -3379 -132
+rect -3437 -172 -3425 -138
+rect -3391 -172 -3379 -138
+rect -3437 -178 -3379 -172
+rect -3245 -138 -3187 -132
+rect -3245 -172 -3233 -138
+rect -3199 -172 -3187 -138
+rect -3245 -178 -3187 -172
+rect -3053 -138 -2995 -132
+rect -3053 -172 -3041 -138
+rect -3007 -172 -2995 -138
+rect -3053 -178 -2995 -172
+rect -2861 -138 -2803 -132
+rect -2861 -172 -2849 -138
+rect -2815 -172 -2803 -138
+rect -2861 -178 -2803 -172
+rect -2669 -138 -2611 -132
+rect -2669 -172 -2657 -138
+rect -2623 -172 -2611 -138
+rect -2669 -178 -2611 -172
+rect -2477 -138 -2419 -132
+rect -2477 -172 -2465 -138
+rect -2431 -172 -2419 -138
+rect -2477 -178 -2419 -172
+rect -2285 -138 -2227 -132
+rect -2285 -172 -2273 -138
+rect -2239 -172 -2227 -138
+rect -2285 -178 -2227 -172
+rect -2093 -138 -2035 -132
+rect -2093 -172 -2081 -138
+rect -2047 -172 -2035 -138
+rect -2093 -178 -2035 -172
+rect -1901 -138 -1843 -132
+rect -1901 -172 -1889 -138
+rect -1855 -172 -1843 -138
+rect -1901 -178 -1843 -172
+rect -1709 -138 -1651 -132
+rect -1709 -172 -1697 -138
+rect -1663 -172 -1651 -138
+rect -1709 -178 -1651 -172
+rect -1517 -138 -1459 -132
+rect -1517 -172 -1505 -138
+rect -1471 -172 -1459 -138
+rect -1517 -178 -1459 -172
+rect -1325 -138 -1267 -132
+rect -1325 -172 -1313 -138
+rect -1279 -172 -1267 -138
+rect -1325 -178 -1267 -172
+rect -1133 -138 -1075 -132
+rect -1133 -172 -1121 -138
+rect -1087 -172 -1075 -138
+rect -1133 -178 -1075 -172
+rect -941 -138 -883 -132
+rect -941 -172 -929 -138
+rect -895 -172 -883 -138
+rect -941 -178 -883 -172
+rect -749 -138 -691 -132
+rect -749 -172 -737 -138
+rect -703 -172 -691 -138
+rect -749 -178 -691 -172
+rect -557 -138 -499 -132
+rect -557 -172 -545 -138
+rect -511 -172 -499 -138
+rect -557 -178 -499 -172
+rect -365 -138 -307 -132
+rect -365 -172 -353 -138
+rect -319 -172 -307 -138
+rect -365 -178 -307 -172
+rect -173 -138 -115 -132
+rect -173 -172 -161 -138
+rect -127 -172 -115 -138
+rect -173 -178 -115 -172
+rect 19 -138 77 -132
+rect 19 -172 31 -138
+rect 65 -172 77 -138
+rect 19 -178 77 -172
+rect 211 -138 269 -132
+rect 211 -172 223 -138
+rect 257 -172 269 -138
+rect 211 -178 269 -172
+rect 403 -138 461 -132
+rect 403 -172 415 -138
+rect 449 -172 461 -138
+rect 403 -178 461 -172
+rect 595 -138 653 -132
+rect 595 -172 607 -138
+rect 641 -172 653 -138
+rect 595 -178 653 -172
+rect 787 -138 845 -132
+rect 787 -172 799 -138
+rect 833 -172 845 -138
+rect 787 -178 845 -172
+rect 979 -138 1037 -132
+rect 979 -172 991 -138
+rect 1025 -172 1037 -138
+rect 979 -178 1037 -172
+rect 1171 -138 1229 -132
+rect 1171 -172 1183 -138
+rect 1217 -172 1229 -138
+rect 1171 -178 1229 -172
+rect 1363 -138 1421 -132
+rect 1363 -172 1375 -138
+rect 1409 -172 1421 -138
+rect 1363 -178 1421 -172
+rect 1555 -138 1613 -132
+rect 1555 -172 1567 -138
+rect 1601 -172 1613 -138
+rect 1555 -178 1613 -172
+rect 1747 -138 1805 -132
+rect 1747 -172 1759 -138
+rect 1793 -172 1805 -138
+rect 1747 -178 1805 -172
+rect 1939 -138 1997 -132
+rect 1939 -172 1951 -138
+rect 1985 -172 1997 -138
+rect 1939 -178 1997 -172
+rect 2131 -138 2189 -132
+rect 2131 -172 2143 -138
+rect 2177 -172 2189 -138
+rect 2131 -178 2189 -172
+rect 2323 -138 2381 -132
+rect 2323 -172 2335 -138
+rect 2369 -172 2381 -138
+rect 2323 -178 2381 -172
+rect 2515 -138 2573 -132
+rect 2515 -172 2527 -138
+rect 2561 -172 2573 -138
+rect 2515 -178 2573 -172
+rect 2707 -138 2765 -132
+rect 2707 -172 2719 -138
+rect 2753 -172 2765 -138
+rect 2707 -178 2765 -172
+rect 2899 -138 2957 -132
+rect 2899 -172 2911 -138
+rect 2945 -172 2957 -138
+rect 2899 -178 2957 -172
+rect 3091 -138 3149 -132
+rect 3091 -172 3103 -138
+rect 3137 -172 3149 -138
+rect 3091 -178 3149 -172
+rect 3283 -138 3341 -132
+rect 3283 -172 3295 -138
+rect 3329 -172 3341 -138
+rect 3283 -178 3341 -172
+rect 3475 -138 3533 -132
+rect 3475 -172 3487 -138
+rect 3521 -172 3533 -138
+rect 3475 -178 3533 -172
+rect 3667 -138 3725 -132
+rect 3667 -172 3679 -138
+rect 3713 -172 3725 -138
+rect 3667 -178 3725 -172
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -3954 -257 3954 257
+string parameters w 1 l 0.150 m 1 nf 80 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_B2JNY3.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_B2JNY3.mag
new file mode 100644
index 0000000..09da4e6
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_B2JNY3.mag
@@ -0,0 +1,175 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623958102
+<< error_p >>
+rect -77 172 -19 178
+rect 115 172 173 178
+rect -77 138 -65 172
+rect 115 138 127 172
+rect -77 132 -19 138
+rect 115 132 173 138
+rect -173 -138 -115 -132
+rect 19 -138 77 -132
+rect -173 -172 -161 -138
+rect 19 -172 31 -138
+rect -173 -178 -115 -172
+rect 19 -178 77 -172
+<< pwell >>
+rect -359 -310 359 310
+<< nmos >>
+rect -159 -100 -129 100
+rect -63 -100 -33 100
+rect 33 -100 63 100
+rect 129 -100 159 100
+<< ndiff >>
+rect -221 88 -159 100
+rect -221 -88 -209 88
+rect -175 -88 -159 88
+rect -221 -100 -159 -88
+rect -129 88 -63 100
+rect -129 -88 -113 88
+rect -79 -88 -63 88
+rect -129 -100 -63 -88
+rect -33 88 33 100
+rect -33 -88 -17 88
+rect 17 -88 33 88
+rect -33 -100 33 -88
+rect 63 88 129 100
+rect 63 -88 79 88
+rect 113 -88 129 88
+rect 63 -100 129 -88
+rect 159 88 221 100
+rect 159 -88 175 88
+rect 209 -88 221 88
+rect 159 -100 221 -88
+<< ndiffc >>
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+<< psubdiff >>
+rect -323 240 -227 274
+rect 227 240 323 274
+rect -323 178 -289 240
+rect 289 178 323 240
+rect -323 -240 -289 -178
+rect 289 -240 323 -178
+rect -323 -274 -227 -240
+rect 227 -274 323 -240
+<< psubdiffcont >>
+rect -227 240 227 274
+rect -323 -178 -289 178
+rect 289 -178 323 178
+rect -227 -274 227 -240
+<< poly >>
+rect -81 172 -15 188
+rect -81 138 -65 172
+rect -31 138 -15 172
+rect -159 100 -129 126
+rect -81 122 -15 138
+rect 111 172 177 188
+rect 111 138 127 172
+rect 161 138 177 172
+rect -63 100 -33 122
+rect 33 100 63 126
+rect 111 122 177 138
+rect 129 100 159 122
+rect -159 -122 -129 -100
+rect -177 -138 -111 -122
+rect -63 -126 -33 -100
+rect 33 -122 63 -100
+rect -177 -172 -161 -138
+rect -127 -172 -111 -138
+rect -177 -188 -111 -172
+rect 15 -138 81 -122
+rect 129 -126 159 -100
+rect 15 -172 31 -138
+rect 65 -172 81 -138
+rect 15 -188 81 -172
+<< polycont >>
+rect -65 138 -31 172
+rect 127 138 161 172
+rect -161 -172 -127 -138
+rect 31 -172 65 -138
+<< locali >>
+rect -323 240 -227 274
+rect 227 240 323 274
+rect -323 178 -289 240
+rect 289 178 323 240
+rect -81 138 -65 172
+rect -31 138 -15 172
+rect 111 138 127 172
+rect 161 138 177 172
+rect -209 88 -175 104
+rect -209 -104 -175 -88
+rect -113 88 -79 104
+rect -113 -104 -79 -88
+rect -17 88 17 104
+rect -17 -104 17 -88
+rect 79 88 113 104
+rect 79 -104 113 -88
+rect 175 88 209 104
+rect 175 -104 209 -88
+rect -177 -172 -161 -138
+rect -127 -172 -111 -138
+rect 15 -172 31 -138
+rect 65 -172 81 -138
+rect -323 -240 -289 -178
+rect 289 -240 323 -178
+rect -323 -274 -227 -240
+rect 227 -274 323 -240
+<< viali >>
+rect -65 138 -31 172
+rect 127 138 161 172
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+rect -161 -172 -127 -138
+rect 31 -172 65 -138
+<< metal1 >>
+rect -77 172 -19 178
+rect -77 138 -65 172
+rect -31 138 -19 172
+rect -77 132 -19 138
+rect 115 172 173 178
+rect 115 138 127 172
+rect 161 138 173 172
+rect 115 132 173 138
+rect -215 88 -169 100
+rect -215 -88 -209 88
+rect -175 -88 -169 88
+rect -215 -100 -169 -88
+rect -119 88 -73 100
+rect -119 -88 -113 88
+rect -79 -88 -73 88
+rect -119 -100 -73 -88
+rect -23 88 23 100
+rect -23 -88 -17 88
+rect 17 -88 23 88
+rect -23 -100 23 -88
+rect 73 88 119 100
+rect 73 -88 79 88
+rect 113 -88 119 88
+rect 73 -100 119 -88
+rect 169 88 215 100
+rect 169 -88 175 88
+rect 209 -88 215 88
+rect 169 -100 215 -88
+rect -173 -138 -115 -132
+rect -173 -172 -161 -138
+rect -127 -172 -115 -138
+rect -173 -178 -115 -172
+rect 19 -138 77 -132
+rect 19 -172 31 -138
+rect 65 -172 77 -138
+rect 19 -178 77 -172
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -306 -257 306 257
+string parameters w 1 l 0.150 m 1 nf 4 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_BHR94T.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_BHR94T.mag
new file mode 100644
index 0000000..7a5d479
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_BHR94T.mag
@@ -0,0 +1,93 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623610677
+<< pwell >>
+rect -311 -335 311 335
+<< nmos >>
+rect -111 -125 -81 125
+rect -15 -125 15 125
+rect 81 -125 111 125
+<< ndiff >>
+rect -173 113 -111 125
+rect -173 -113 -161 113
+rect -127 -113 -111 113
+rect -173 -125 -111 -113
+rect -81 113 -15 125
+rect -81 -113 -65 113
+rect -31 -113 -15 113
+rect -81 -125 -15 -113
+rect 15 113 81 125
+rect 15 -113 31 113
+rect 65 -113 81 113
+rect 15 -125 81 -113
+rect 111 113 173 125
+rect 111 -113 127 113
+rect 161 -113 173 113
+rect 111 -125 173 -113
+<< ndiffc >>
+rect -161 -113 -127 113
+rect -65 -113 -31 113
+rect 31 -113 65 113
+rect 127 -113 161 113
+<< psubdiff >>
+rect -275 203 -241 265
+rect 241 203 275 265
+rect -275 -265 -241 -203
+rect 241 -265 275 -203
+rect -275 -299 -179 -265
+rect 179 -299 275 -265
+<< psubdiffcont >>
+rect -275 -203 -241 203
+rect 241 -203 275 203
+rect -179 -299 179 -265
+<< poly >>
+rect -111 125 -81 151
+rect -15 125 15 151
+rect 81 125 111 151
+rect -111 -151 -81 -125
+rect -15 -151 15 -125
+rect 81 -151 111 -125
+<< locali >>
+rect -275 203 -241 265
+rect 241 203 275 265
+rect -161 113 -127 129
+rect -161 -129 -127 -113
+rect -65 113 -31 129
+rect -65 -129 -31 -113
+rect 31 113 65 129
+rect 31 -129 65 -113
+rect 127 113 161 129
+rect 127 -129 161 -113
+rect -275 -265 -241 -203
+rect 241 -265 275 -203
+rect -275 -299 -179 -265
+rect 179 -299 275 -265
+<< viali >>
+rect -161 -113 -127 113
+rect -65 -113 -31 113
+rect 31 -113 65 113
+rect 127 -113 161 113
+<< metal1 >>
+rect -167 113 -121 125
+rect -167 -113 -161 113
+rect -127 -113 -121 113
+rect -167 -125 -121 -113
+rect -71 113 -25 125
+rect -71 -113 -65 113
+rect -31 -113 -25 113
+rect -71 -125 -25 -113
+rect 25 113 71 125
+rect 25 -113 31 113
+rect 65 -113 71 113
+rect 25 -125 71 -113
+rect 121 113 167 125
+rect 121 -113 127 113
+rect 161 -113 167 113
+rect 121 -125 167 -113
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -258 -282 258 282
+string parameters w 1.25 l 0.150 m 1 nf 3 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_C5D4P9.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_C5D4P9.mag
new file mode 100644
index 0000000..6cc15e6
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_C5D4P9.mag
@@ -0,0 +1,1600 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623985939
+<< pwell >>
+rect -2087 -519 2087 519
+<< nmos >>
+rect -1887 109 -1857 309
+rect -1791 109 -1761 309
+rect -1695 109 -1665 309
+rect -1599 109 -1569 309
+rect -1503 109 -1473 309
+rect -1407 109 -1377 309
+rect -1311 109 -1281 309
+rect -1215 109 -1185 309
+rect -1119 109 -1089 309
+rect -1023 109 -993 309
+rect -927 109 -897 309
+rect -831 109 -801 309
+rect -735 109 -705 309
+rect -639 109 -609 309
+rect -543 109 -513 309
+rect -447 109 -417 309
+rect -351 109 -321 309
+rect -255 109 -225 309
+rect -159 109 -129 309
+rect -63 109 -33 309
+rect 33 109 63 309
+rect 129 109 159 309
+rect 225 109 255 309
+rect 321 109 351 309
+rect 417 109 447 309
+rect 513 109 543 309
+rect 609 109 639 309
+rect 705 109 735 309
+rect 801 109 831 309
+rect 897 109 927 309
+rect 993 109 1023 309
+rect 1089 109 1119 309
+rect 1185 109 1215 309
+rect 1281 109 1311 309
+rect 1377 109 1407 309
+rect 1473 109 1503 309
+rect 1569 109 1599 309
+rect 1665 109 1695 309
+rect 1761 109 1791 309
+rect 1857 109 1887 309
+rect -1887 -309 -1857 -109
+rect -1791 -309 -1761 -109
+rect -1695 -309 -1665 -109
+rect -1599 -309 -1569 -109
+rect -1503 -309 -1473 -109
+rect -1407 -309 -1377 -109
+rect -1311 -309 -1281 -109
+rect -1215 -309 -1185 -109
+rect -1119 -309 -1089 -109
+rect -1023 -309 -993 -109
+rect -927 -309 -897 -109
+rect -831 -309 -801 -109
+rect -735 -309 -705 -109
+rect -639 -309 -609 -109
+rect -543 -309 -513 -109
+rect -447 -309 -417 -109
+rect -351 -309 -321 -109
+rect -255 -309 -225 -109
+rect -159 -309 -129 -109
+rect -63 -309 -33 -109
+rect 33 -309 63 -109
+rect 129 -309 159 -109
+rect 225 -309 255 -109
+rect 321 -309 351 -109
+rect 417 -309 447 -109
+rect 513 -309 543 -109
+rect 609 -309 639 -109
+rect 705 -309 735 -109
+rect 801 -309 831 -109
+rect 897 -309 927 -109
+rect 993 -309 1023 -109
+rect 1089 -309 1119 -109
+rect 1185 -309 1215 -109
+rect 1281 -309 1311 -109
+rect 1377 -309 1407 -109
+rect 1473 -309 1503 -109
+rect 1569 -309 1599 -109
+rect 1665 -309 1695 -109
+rect 1761 -309 1791 -109
+rect 1857 -309 1887 -109
+<< ndiff >>
+rect -1949 297 -1887 309
+rect -1949 121 -1937 297
+rect -1903 121 -1887 297
+rect -1949 109 -1887 121
+rect -1857 297 -1791 309
+rect -1857 121 -1841 297
+rect -1807 121 -1791 297
+rect -1857 109 -1791 121
+rect -1761 297 -1695 309
+rect -1761 121 -1745 297
+rect -1711 121 -1695 297
+rect -1761 109 -1695 121
+rect -1665 297 -1599 309
+rect -1665 121 -1649 297
+rect -1615 121 -1599 297
+rect -1665 109 -1599 121
+rect -1569 297 -1503 309
+rect -1569 121 -1553 297
+rect -1519 121 -1503 297
+rect -1569 109 -1503 121
+rect -1473 297 -1407 309
+rect -1473 121 -1457 297
+rect -1423 121 -1407 297
+rect -1473 109 -1407 121
+rect -1377 297 -1311 309
+rect -1377 121 -1361 297
+rect -1327 121 -1311 297
+rect -1377 109 -1311 121
+rect -1281 297 -1215 309
+rect -1281 121 -1265 297
+rect -1231 121 -1215 297
+rect -1281 109 -1215 121
+rect -1185 297 -1119 309
+rect -1185 121 -1169 297
+rect -1135 121 -1119 297
+rect -1185 109 -1119 121
+rect -1089 297 -1023 309
+rect -1089 121 -1073 297
+rect -1039 121 -1023 297
+rect -1089 109 -1023 121
+rect -993 297 -927 309
+rect -993 121 -977 297
+rect -943 121 -927 297
+rect -993 109 -927 121
+rect -897 297 -831 309
+rect -897 121 -881 297
+rect -847 121 -831 297
+rect -897 109 -831 121
+rect -801 297 -735 309
+rect -801 121 -785 297
+rect -751 121 -735 297
+rect -801 109 -735 121
+rect -705 297 -639 309
+rect -705 121 -689 297
+rect -655 121 -639 297
+rect -705 109 -639 121
+rect -609 297 -543 309
+rect -609 121 -593 297
+rect -559 121 -543 297
+rect -609 109 -543 121
+rect -513 297 -447 309
+rect -513 121 -497 297
+rect -463 121 -447 297
+rect -513 109 -447 121
+rect -417 297 -351 309
+rect -417 121 -401 297
+rect -367 121 -351 297
+rect -417 109 -351 121
+rect -321 297 -255 309
+rect -321 121 -305 297
+rect -271 121 -255 297
+rect -321 109 -255 121
+rect -225 297 -159 309
+rect -225 121 -209 297
+rect -175 121 -159 297
+rect -225 109 -159 121
+rect -129 297 -63 309
+rect -129 121 -113 297
+rect -79 121 -63 297
+rect -129 109 -63 121
+rect -33 297 33 309
+rect -33 121 -17 297
+rect 17 121 33 297
+rect -33 109 33 121
+rect 63 297 129 309
+rect 63 121 79 297
+rect 113 121 129 297
+rect 63 109 129 121
+rect 159 297 225 309
+rect 159 121 175 297
+rect 209 121 225 297
+rect 159 109 225 121
+rect 255 297 321 309
+rect 255 121 271 297
+rect 305 121 321 297
+rect 255 109 321 121
+rect 351 297 417 309
+rect 351 121 367 297
+rect 401 121 417 297
+rect 351 109 417 121
+rect 447 297 513 309
+rect 447 121 463 297
+rect 497 121 513 297
+rect 447 109 513 121
+rect 543 297 609 309
+rect 543 121 559 297
+rect 593 121 609 297
+rect 543 109 609 121
+rect 639 297 705 309
+rect 639 121 655 297
+rect 689 121 705 297
+rect 639 109 705 121
+rect 735 297 801 309
+rect 735 121 751 297
+rect 785 121 801 297
+rect 735 109 801 121
+rect 831 297 897 309
+rect 831 121 847 297
+rect 881 121 897 297
+rect 831 109 897 121
+rect 927 297 993 309
+rect 927 121 943 297
+rect 977 121 993 297
+rect 927 109 993 121
+rect 1023 297 1089 309
+rect 1023 121 1039 297
+rect 1073 121 1089 297
+rect 1023 109 1089 121
+rect 1119 297 1185 309
+rect 1119 121 1135 297
+rect 1169 121 1185 297
+rect 1119 109 1185 121
+rect 1215 297 1281 309
+rect 1215 121 1231 297
+rect 1265 121 1281 297
+rect 1215 109 1281 121
+rect 1311 297 1377 309
+rect 1311 121 1327 297
+rect 1361 121 1377 297
+rect 1311 109 1377 121
+rect 1407 297 1473 309
+rect 1407 121 1423 297
+rect 1457 121 1473 297
+rect 1407 109 1473 121
+rect 1503 297 1569 309
+rect 1503 121 1519 297
+rect 1553 121 1569 297
+rect 1503 109 1569 121
+rect 1599 297 1665 309
+rect 1599 121 1615 297
+rect 1649 121 1665 297
+rect 1599 109 1665 121
+rect 1695 297 1761 309
+rect 1695 121 1711 297
+rect 1745 121 1761 297
+rect 1695 109 1761 121
+rect 1791 297 1857 309
+rect 1791 121 1807 297
+rect 1841 121 1857 297
+rect 1791 109 1857 121
+rect 1887 297 1949 309
+rect 1887 121 1903 297
+rect 1937 121 1949 297
+rect 1887 109 1949 121
+rect -1949 -121 -1887 -109
+rect -1949 -297 -1937 -121
+rect -1903 -297 -1887 -121
+rect -1949 -309 -1887 -297
+rect -1857 -121 -1791 -109
+rect -1857 -297 -1841 -121
+rect -1807 -297 -1791 -121
+rect -1857 -309 -1791 -297
+rect -1761 -121 -1695 -109
+rect -1761 -297 -1745 -121
+rect -1711 -297 -1695 -121
+rect -1761 -309 -1695 -297
+rect -1665 -121 -1599 -109
+rect -1665 -297 -1649 -121
+rect -1615 -297 -1599 -121
+rect -1665 -309 -1599 -297
+rect -1569 -121 -1503 -109
+rect -1569 -297 -1553 -121
+rect -1519 -297 -1503 -121
+rect -1569 -309 -1503 -297
+rect -1473 -121 -1407 -109
+rect -1473 -297 -1457 -121
+rect -1423 -297 -1407 -121
+rect -1473 -309 -1407 -297
+rect -1377 -121 -1311 -109
+rect -1377 -297 -1361 -121
+rect -1327 -297 -1311 -121
+rect -1377 -309 -1311 -297
+rect -1281 -121 -1215 -109
+rect -1281 -297 -1265 -121
+rect -1231 -297 -1215 -121
+rect -1281 -309 -1215 -297
+rect -1185 -121 -1119 -109
+rect -1185 -297 -1169 -121
+rect -1135 -297 -1119 -121
+rect -1185 -309 -1119 -297
+rect -1089 -121 -1023 -109
+rect -1089 -297 -1073 -121
+rect -1039 -297 -1023 -121
+rect -1089 -309 -1023 -297
+rect -993 -121 -927 -109
+rect -993 -297 -977 -121
+rect -943 -297 -927 -121
+rect -993 -309 -927 -297
+rect -897 -121 -831 -109
+rect -897 -297 -881 -121
+rect -847 -297 -831 -121
+rect -897 -309 -831 -297
+rect -801 -121 -735 -109
+rect -801 -297 -785 -121
+rect -751 -297 -735 -121
+rect -801 -309 -735 -297
+rect -705 -121 -639 -109
+rect -705 -297 -689 -121
+rect -655 -297 -639 -121
+rect -705 -309 -639 -297
+rect -609 -121 -543 -109
+rect -609 -297 -593 -121
+rect -559 -297 -543 -121
+rect -609 -309 -543 -297
+rect -513 -121 -447 -109
+rect -513 -297 -497 -121
+rect -463 -297 -447 -121
+rect -513 -309 -447 -297
+rect -417 -121 -351 -109
+rect -417 -297 -401 -121
+rect -367 -297 -351 -121
+rect -417 -309 -351 -297
+rect -321 -121 -255 -109
+rect -321 -297 -305 -121
+rect -271 -297 -255 -121
+rect -321 -309 -255 -297
+rect -225 -121 -159 -109
+rect -225 -297 -209 -121
+rect -175 -297 -159 -121
+rect -225 -309 -159 -297
+rect -129 -121 -63 -109
+rect -129 -297 -113 -121
+rect -79 -297 -63 -121
+rect -129 -309 -63 -297
+rect -33 -121 33 -109
+rect -33 -297 -17 -121
+rect 17 -297 33 -121
+rect -33 -309 33 -297
+rect 63 -121 129 -109
+rect 63 -297 79 -121
+rect 113 -297 129 -121
+rect 63 -309 129 -297
+rect 159 -121 225 -109
+rect 159 -297 175 -121
+rect 209 -297 225 -121
+rect 159 -309 225 -297
+rect 255 -121 321 -109
+rect 255 -297 271 -121
+rect 305 -297 321 -121
+rect 255 -309 321 -297
+rect 351 -121 417 -109
+rect 351 -297 367 -121
+rect 401 -297 417 -121
+rect 351 -309 417 -297
+rect 447 -121 513 -109
+rect 447 -297 463 -121
+rect 497 -297 513 -121
+rect 447 -309 513 -297
+rect 543 -121 609 -109
+rect 543 -297 559 -121
+rect 593 -297 609 -121
+rect 543 -309 609 -297
+rect 639 -121 705 -109
+rect 639 -297 655 -121
+rect 689 -297 705 -121
+rect 639 -309 705 -297
+rect 735 -121 801 -109
+rect 735 -297 751 -121
+rect 785 -297 801 -121
+rect 735 -309 801 -297
+rect 831 -121 897 -109
+rect 831 -297 847 -121
+rect 881 -297 897 -121
+rect 831 -309 897 -297
+rect 927 -121 993 -109
+rect 927 -297 943 -121
+rect 977 -297 993 -121
+rect 927 -309 993 -297
+rect 1023 -121 1089 -109
+rect 1023 -297 1039 -121
+rect 1073 -297 1089 -121
+rect 1023 -309 1089 -297
+rect 1119 -121 1185 -109
+rect 1119 -297 1135 -121
+rect 1169 -297 1185 -121
+rect 1119 -309 1185 -297
+rect 1215 -121 1281 -109
+rect 1215 -297 1231 -121
+rect 1265 -297 1281 -121
+rect 1215 -309 1281 -297
+rect 1311 -121 1377 -109
+rect 1311 -297 1327 -121
+rect 1361 -297 1377 -121
+rect 1311 -309 1377 -297
+rect 1407 -121 1473 -109
+rect 1407 -297 1423 -121
+rect 1457 -297 1473 -121
+rect 1407 -309 1473 -297
+rect 1503 -121 1569 -109
+rect 1503 -297 1519 -121
+rect 1553 -297 1569 -121
+rect 1503 -309 1569 -297
+rect 1599 -121 1665 -109
+rect 1599 -297 1615 -121
+rect 1649 -297 1665 -121
+rect 1599 -309 1665 -297
+rect 1695 -121 1761 -109
+rect 1695 -297 1711 -121
+rect 1745 -297 1761 -121
+rect 1695 -309 1761 -297
+rect 1791 -121 1857 -109
+rect 1791 -297 1807 -121
+rect 1841 -297 1857 -121
+rect 1791 -309 1857 -297
+rect 1887 -121 1949 -109
+rect 1887 -297 1903 -121
+rect 1937 -297 1949 -121
+rect 1887 -309 1949 -297
+<< ndiffc >>
+rect -1937 121 -1903 297
+rect -1841 121 -1807 297
+rect -1745 121 -1711 297
+rect -1649 121 -1615 297
+rect -1553 121 -1519 297
+rect -1457 121 -1423 297
+rect -1361 121 -1327 297
+rect -1265 121 -1231 297
+rect -1169 121 -1135 297
+rect -1073 121 -1039 297
+rect -977 121 -943 297
+rect -881 121 -847 297
+rect -785 121 -751 297
+rect -689 121 -655 297
+rect -593 121 -559 297
+rect -497 121 -463 297
+rect -401 121 -367 297
+rect -305 121 -271 297
+rect -209 121 -175 297
+rect -113 121 -79 297
+rect -17 121 17 297
+rect 79 121 113 297
+rect 175 121 209 297
+rect 271 121 305 297
+rect 367 121 401 297
+rect 463 121 497 297
+rect 559 121 593 297
+rect 655 121 689 297
+rect 751 121 785 297
+rect 847 121 881 297
+rect 943 121 977 297
+rect 1039 121 1073 297
+rect 1135 121 1169 297
+rect 1231 121 1265 297
+rect 1327 121 1361 297
+rect 1423 121 1457 297
+rect 1519 121 1553 297
+rect 1615 121 1649 297
+rect 1711 121 1745 297
+rect 1807 121 1841 297
+rect 1903 121 1937 297
+rect -1937 -297 -1903 -121
+rect -1841 -297 -1807 -121
+rect -1745 -297 -1711 -121
+rect -1649 -297 -1615 -121
+rect -1553 -297 -1519 -121
+rect -1457 -297 -1423 -121
+rect -1361 -297 -1327 -121
+rect -1265 -297 -1231 -121
+rect -1169 -297 -1135 -121
+rect -1073 -297 -1039 -121
+rect -977 -297 -943 -121
+rect -881 -297 -847 -121
+rect -785 -297 -751 -121
+rect -689 -297 -655 -121
+rect -593 -297 -559 -121
+rect -497 -297 -463 -121
+rect -401 -297 -367 -121
+rect -305 -297 -271 -121
+rect -209 -297 -175 -121
+rect -113 -297 -79 -121
+rect -17 -297 17 -121
+rect 79 -297 113 -121
+rect 175 -297 209 -121
+rect 271 -297 305 -121
+rect 367 -297 401 -121
+rect 463 -297 497 -121
+rect 559 -297 593 -121
+rect 655 -297 689 -121
+rect 751 -297 785 -121
+rect 847 -297 881 -121
+rect 943 -297 977 -121
+rect 1039 -297 1073 -121
+rect 1135 -297 1169 -121
+rect 1231 -297 1265 -121
+rect 1327 -297 1361 -121
+rect 1423 -297 1457 -121
+rect 1519 -297 1553 -121
+rect 1615 -297 1649 -121
+rect 1711 -297 1745 -121
+rect 1807 -297 1841 -121
+rect 1903 -297 1937 -121
+<< psubdiff >>
+rect -2051 449 -1955 483
+rect 1955 449 2051 483
+rect -2051 387 -2017 449
+rect 2017 387 2051 449
+rect -2051 -449 -2017 -387
+rect 2017 -449 2051 -387
+rect -2051 -483 -1955 -449
+rect 1955 -483 2051 -449
+<< psubdiffcont >>
+rect -1955 449 1955 483
+rect -2051 -387 -2017 387
+rect 2017 -387 2051 387
+rect -1955 -483 1955 -449
+<< poly >>
+rect -1887 309 -1857 335
+rect -1791 309 -1761 335
+rect -1695 309 -1665 335
+rect -1599 309 -1569 335
+rect -1503 309 -1473 335
+rect -1407 309 -1377 335
+rect -1311 309 -1281 335
+rect -1215 309 -1185 335
+rect -1119 309 -1089 335
+rect -1023 309 -993 335
+rect -927 309 -897 335
+rect -831 309 -801 335
+rect -735 309 -705 335
+rect -639 309 -609 335
+rect -543 309 -513 335
+rect -447 309 -417 335
+rect -351 309 -321 335
+rect -255 309 -225 335
+rect -159 309 -129 335
+rect -63 309 -33 335
+rect 33 309 63 335
+rect 129 309 159 335
+rect 225 309 255 335
+rect 321 309 351 335
+rect 417 309 447 335
+rect 513 309 543 335
+rect 609 309 639 335
+rect 705 309 735 335
+rect 801 309 831 335
+rect 897 309 927 335
+rect 993 309 1023 335
+rect 1089 309 1119 335
+rect 1185 309 1215 335
+rect 1281 309 1311 335
+rect 1377 309 1407 335
+rect 1473 309 1503 335
+rect 1569 309 1599 335
+rect 1665 309 1695 335
+rect 1761 309 1791 335
+rect 1857 309 1887 335
+rect -1887 87 -1857 109
+rect -1791 87 -1761 109
+rect -1695 87 -1665 109
+rect -1599 87 -1569 109
+rect -1503 87 -1473 109
+rect -1407 87 -1377 109
+rect -1311 87 -1281 109
+rect -1215 87 -1185 109
+rect -1119 87 -1089 109
+rect -1023 87 -993 109
+rect -927 87 -897 109
+rect -831 87 -801 109
+rect -735 87 -705 109
+rect -639 87 -609 109
+rect -543 87 -513 109
+rect -447 87 -417 109
+rect -351 87 -321 109
+rect -255 87 -225 109
+rect -159 87 -129 109
+rect -63 87 -33 109
+rect 33 87 63 109
+rect 129 87 159 109
+rect 225 87 255 109
+rect 321 87 351 109
+rect 417 87 447 109
+rect 513 87 543 109
+rect 609 87 639 109
+rect 705 87 735 109
+rect 801 87 831 109
+rect 897 87 927 109
+rect 993 87 1023 109
+rect 1089 87 1119 109
+rect 1185 87 1215 109
+rect 1281 87 1311 109
+rect 1377 87 1407 109
+rect 1473 87 1503 109
+rect 1569 87 1599 109
+rect 1665 87 1695 109
+rect 1761 87 1791 109
+rect 1857 87 1887 109
+rect -1905 71 1905 87
+rect -1905 37 -1889 71
+rect -1855 37 -1793 71
+rect -1759 37 -1697 71
+rect -1663 37 -1601 71
+rect -1567 37 -1505 71
+rect -1471 37 -1409 71
+rect -1375 37 -1313 71
+rect -1279 37 -1217 71
+rect -1183 37 -1121 71
+rect -1087 37 -1025 71
+rect -991 37 -929 71
+rect -895 37 -833 71
+rect -799 37 -737 71
+rect -703 37 -641 71
+rect -607 37 -545 71
+rect -511 37 -449 71
+rect -415 37 -353 71
+rect -319 37 -257 71
+rect -223 37 -161 71
+rect -127 37 -65 71
+rect -31 37 31 71
+rect 65 37 127 71
+rect 161 37 223 71
+rect 257 37 319 71
+rect 353 37 415 71
+rect 449 37 511 71
+rect 545 37 607 71
+rect 641 37 703 71
+rect 737 37 799 71
+rect 833 37 895 71
+rect 929 37 991 71
+rect 1025 37 1087 71
+rect 1121 37 1183 71
+rect 1217 37 1279 71
+rect 1313 37 1375 71
+rect 1409 37 1471 71
+rect 1505 37 1567 71
+rect 1601 37 1663 71
+rect 1697 37 1759 71
+rect 1793 37 1855 71
+rect 1889 37 1905 71
+rect -1905 -37 1905 37
+rect -1905 -71 -1889 -37
+rect -1855 -71 -1793 -37
+rect -1759 -71 -1697 -37
+rect -1663 -71 -1601 -37
+rect -1567 -71 -1505 -37
+rect -1471 -71 -1409 -37
+rect -1375 -71 -1313 -37
+rect -1279 -71 -1217 -37
+rect -1183 -71 -1121 -37
+rect -1087 -71 -1025 -37
+rect -991 -71 -929 -37
+rect -895 -71 -833 -37
+rect -799 -71 -737 -37
+rect -703 -71 -641 -37
+rect -607 -71 -545 -37
+rect -511 -71 -449 -37
+rect -415 -71 -353 -37
+rect -319 -71 -257 -37
+rect -223 -71 -161 -37
+rect -127 -71 -65 -37
+rect -31 -71 31 -37
+rect 65 -71 127 -37
+rect 161 -71 223 -37
+rect 257 -71 319 -37
+rect 353 -71 415 -37
+rect 449 -71 511 -37
+rect 545 -71 607 -37
+rect 641 -71 703 -37
+rect 737 -71 799 -37
+rect 833 -71 895 -37
+rect 929 -71 991 -37
+rect 1025 -71 1087 -37
+rect 1121 -71 1183 -37
+rect 1217 -71 1279 -37
+rect 1313 -71 1375 -37
+rect 1409 -71 1471 -37
+rect 1505 -71 1567 -37
+rect 1601 -71 1663 -37
+rect 1697 -71 1759 -37
+rect 1793 -71 1855 -37
+rect 1889 -71 1905 -37
+rect -1905 -87 1905 -71
+rect -1887 -109 -1857 -87
+rect -1791 -109 -1761 -87
+rect -1695 -109 -1665 -87
+rect -1599 -109 -1569 -87
+rect -1503 -109 -1473 -87
+rect -1407 -109 -1377 -87
+rect -1311 -109 -1281 -87
+rect -1215 -109 -1185 -87
+rect -1119 -109 -1089 -87
+rect -1023 -109 -993 -87
+rect -927 -109 -897 -87
+rect -831 -109 -801 -87
+rect -735 -109 -705 -87
+rect -639 -109 -609 -87
+rect -543 -109 -513 -87
+rect -447 -109 -417 -87
+rect -351 -109 -321 -87
+rect -255 -109 -225 -87
+rect -159 -109 -129 -87
+rect -63 -109 -33 -87
+rect 33 -109 63 -87
+rect 129 -109 159 -87
+rect 225 -109 255 -87
+rect 321 -109 351 -87
+rect 417 -109 447 -87
+rect 513 -109 543 -87
+rect 609 -109 639 -87
+rect 705 -109 735 -87
+rect 801 -109 831 -87
+rect 897 -109 927 -87
+rect 993 -109 1023 -87
+rect 1089 -109 1119 -87
+rect 1185 -109 1215 -87
+rect 1281 -109 1311 -87
+rect 1377 -109 1407 -87
+rect 1473 -109 1503 -87
+rect 1569 -109 1599 -87
+rect 1665 -109 1695 -87
+rect 1761 -109 1791 -87
+rect 1857 -109 1887 -87
+rect -1887 -335 -1857 -309
+rect -1791 -335 -1761 -309
+rect -1695 -335 -1665 -309
+rect -1599 -335 -1569 -309
+rect -1503 -335 -1473 -309
+rect -1407 -335 -1377 -309
+rect -1311 -335 -1281 -309
+rect -1215 -335 -1185 -309
+rect -1119 -335 -1089 -309
+rect -1023 -335 -993 -309
+rect -927 -335 -897 -309
+rect -831 -335 -801 -309
+rect -735 -335 -705 -309
+rect -639 -335 -609 -309
+rect -543 -335 -513 -309
+rect -447 -335 -417 -309
+rect -351 -335 -321 -309
+rect -255 -335 -225 -309
+rect -159 -335 -129 -309
+rect -63 -335 -33 -309
+rect 33 -335 63 -309
+rect 129 -335 159 -309
+rect 225 -335 255 -309
+rect 321 -335 351 -309
+rect 417 -335 447 -309
+rect 513 -335 543 -309
+rect 609 -335 639 -309
+rect 705 -335 735 -309
+rect 801 -335 831 -309
+rect 897 -335 927 -309
+rect 993 -335 1023 -309
+rect 1089 -335 1119 -309
+rect 1185 -335 1215 -309
+rect 1281 -335 1311 -309
+rect 1377 -335 1407 -309
+rect 1473 -335 1503 -309
+rect 1569 -335 1599 -309
+rect 1665 -335 1695 -309
+rect 1761 -335 1791 -309
+rect 1857 -335 1887 -309
+<< polycont >>
+rect -1889 37 -1855 71
+rect -1793 37 -1759 71
+rect -1697 37 -1663 71
+rect -1601 37 -1567 71
+rect -1505 37 -1471 71
+rect -1409 37 -1375 71
+rect -1313 37 -1279 71
+rect -1217 37 -1183 71
+rect -1121 37 -1087 71
+rect -1025 37 -991 71
+rect -929 37 -895 71
+rect -833 37 -799 71
+rect -737 37 -703 71
+rect -641 37 -607 71
+rect -545 37 -511 71
+rect -449 37 -415 71
+rect -353 37 -319 71
+rect -257 37 -223 71
+rect -161 37 -127 71
+rect -65 37 -31 71
+rect 31 37 65 71
+rect 127 37 161 71
+rect 223 37 257 71
+rect 319 37 353 71
+rect 415 37 449 71
+rect 511 37 545 71
+rect 607 37 641 71
+rect 703 37 737 71
+rect 799 37 833 71
+rect 895 37 929 71
+rect 991 37 1025 71
+rect 1087 37 1121 71
+rect 1183 37 1217 71
+rect 1279 37 1313 71
+rect 1375 37 1409 71
+rect 1471 37 1505 71
+rect 1567 37 1601 71
+rect 1663 37 1697 71
+rect 1759 37 1793 71
+rect 1855 37 1889 71
+rect -1889 -71 -1855 -37
+rect -1793 -71 -1759 -37
+rect -1697 -71 -1663 -37
+rect -1601 -71 -1567 -37
+rect -1505 -71 -1471 -37
+rect -1409 -71 -1375 -37
+rect -1313 -71 -1279 -37
+rect -1217 -71 -1183 -37
+rect -1121 -71 -1087 -37
+rect -1025 -71 -991 -37
+rect -929 -71 -895 -37
+rect -833 -71 -799 -37
+rect -737 -71 -703 -37
+rect -641 -71 -607 -37
+rect -545 -71 -511 -37
+rect -449 -71 -415 -37
+rect -353 -71 -319 -37
+rect -257 -71 -223 -37
+rect -161 -71 -127 -37
+rect -65 -71 -31 -37
+rect 31 -71 65 -37
+rect 127 -71 161 -37
+rect 223 -71 257 -37
+rect 319 -71 353 -37
+rect 415 -71 449 -37
+rect 511 -71 545 -37
+rect 607 -71 641 -37
+rect 703 -71 737 -37
+rect 799 -71 833 -37
+rect 895 -71 929 -37
+rect 991 -71 1025 -37
+rect 1087 -71 1121 -37
+rect 1183 -71 1217 -37
+rect 1279 -71 1313 -37
+rect 1375 -71 1409 -37
+rect 1471 -71 1505 -37
+rect 1567 -71 1601 -37
+rect 1663 -71 1697 -37
+rect 1759 -71 1793 -37
+rect 1855 -71 1889 -37
+<< locali >>
+rect -2051 449 -1955 483
+rect 1955 449 2051 483
+rect -2051 387 -2017 449
+rect 2017 387 2051 449
+rect -1937 297 -1903 313
+rect -1937 105 -1903 121
+rect -1841 297 -1807 313
+rect -1841 105 -1807 121
+rect -1745 297 -1711 313
+rect -1745 105 -1711 121
+rect -1649 297 -1615 313
+rect -1649 105 -1615 121
+rect -1553 297 -1519 313
+rect -1553 105 -1519 121
+rect -1457 297 -1423 313
+rect -1457 105 -1423 121
+rect -1361 297 -1327 313
+rect -1361 105 -1327 121
+rect -1265 297 -1231 313
+rect -1265 105 -1231 121
+rect -1169 297 -1135 313
+rect -1169 105 -1135 121
+rect -1073 297 -1039 313
+rect -1073 105 -1039 121
+rect -977 297 -943 313
+rect -977 105 -943 121
+rect -881 297 -847 313
+rect -881 105 -847 121
+rect -785 297 -751 313
+rect -785 105 -751 121
+rect -689 297 -655 313
+rect -689 105 -655 121
+rect -593 297 -559 313
+rect -593 105 -559 121
+rect -497 297 -463 313
+rect -497 105 -463 121
+rect -401 297 -367 313
+rect -401 105 -367 121
+rect -305 297 -271 313
+rect -305 105 -271 121
+rect -209 297 -175 313
+rect -209 105 -175 121
+rect -113 297 -79 313
+rect -113 105 -79 121
+rect -17 297 17 313
+rect -17 105 17 121
+rect 79 297 113 313
+rect 79 105 113 121
+rect 175 297 209 313
+rect 175 105 209 121
+rect 271 297 305 313
+rect 271 105 305 121
+rect 367 297 401 313
+rect 367 105 401 121
+rect 463 297 497 313
+rect 463 105 497 121
+rect 559 297 593 313
+rect 559 105 593 121
+rect 655 297 689 313
+rect 655 105 689 121
+rect 751 297 785 313
+rect 751 105 785 121
+rect 847 297 881 313
+rect 847 105 881 121
+rect 943 297 977 313
+rect 943 105 977 121
+rect 1039 297 1073 313
+rect 1039 105 1073 121
+rect 1135 297 1169 313
+rect 1135 105 1169 121
+rect 1231 297 1265 313
+rect 1231 105 1265 121
+rect 1327 297 1361 313
+rect 1327 105 1361 121
+rect 1423 297 1457 313
+rect 1423 105 1457 121
+rect 1519 297 1553 313
+rect 1519 105 1553 121
+rect 1615 297 1649 313
+rect 1615 105 1649 121
+rect 1711 297 1745 313
+rect 1711 105 1745 121
+rect 1807 297 1841 313
+rect 1807 105 1841 121
+rect 1903 297 1937 313
+rect 1903 105 1937 121
+rect -1905 37 -1889 71
+rect 1889 37 1905 71
+rect -1905 -71 -1889 -37
+rect 1889 -71 1905 -37
+rect -1937 -121 -1903 -105
+rect -1937 -313 -1903 -297
+rect -1841 -121 -1807 -105
+rect -1841 -313 -1807 -297
+rect -1745 -121 -1711 -105
+rect -1745 -313 -1711 -297
+rect -1649 -121 -1615 -105
+rect -1649 -313 -1615 -297
+rect -1553 -121 -1519 -105
+rect -1553 -313 -1519 -297
+rect -1457 -121 -1423 -105
+rect -1457 -313 -1423 -297
+rect -1361 -121 -1327 -105
+rect -1361 -313 -1327 -297
+rect -1265 -121 -1231 -105
+rect -1265 -313 -1231 -297
+rect -1169 -121 -1135 -105
+rect -1169 -313 -1135 -297
+rect -1073 -121 -1039 -105
+rect -1073 -313 -1039 -297
+rect -977 -121 -943 -105
+rect -977 -313 -943 -297
+rect -881 -121 -847 -105
+rect -881 -313 -847 -297
+rect -785 -121 -751 -105
+rect -785 -313 -751 -297
+rect -689 -121 -655 -105
+rect -689 -313 -655 -297
+rect -593 -121 -559 -105
+rect -593 -313 -559 -297
+rect -497 -121 -463 -105
+rect -497 -313 -463 -297
+rect -401 -121 -367 -105
+rect -401 -313 -367 -297
+rect -305 -121 -271 -105
+rect -305 -313 -271 -297
+rect -209 -121 -175 -105
+rect -209 -313 -175 -297
+rect -113 -121 -79 -105
+rect -113 -313 -79 -297
+rect -17 -121 17 -105
+rect -17 -313 17 -297
+rect 79 -121 113 -105
+rect 79 -313 113 -297
+rect 175 -121 209 -105
+rect 175 -313 209 -297
+rect 271 -121 305 -105
+rect 271 -313 305 -297
+rect 367 -121 401 -105
+rect 367 -313 401 -297
+rect 463 -121 497 -105
+rect 463 -313 497 -297
+rect 559 -121 593 -105
+rect 559 -313 593 -297
+rect 655 -121 689 -105
+rect 655 -313 689 -297
+rect 751 -121 785 -105
+rect 751 -313 785 -297
+rect 847 -121 881 -105
+rect 847 -313 881 -297
+rect 943 -121 977 -105
+rect 943 -313 977 -297
+rect 1039 -121 1073 -105
+rect 1039 -313 1073 -297
+rect 1135 -121 1169 -105
+rect 1135 -313 1169 -297
+rect 1231 -121 1265 -105
+rect 1231 -313 1265 -297
+rect 1327 -121 1361 -105
+rect 1327 -313 1361 -297
+rect 1423 -121 1457 -105
+rect 1423 -313 1457 -297
+rect 1519 -121 1553 -105
+rect 1519 -313 1553 -297
+rect 1615 -121 1649 -105
+rect 1615 -313 1649 -297
+rect 1711 -121 1745 -105
+rect 1711 -313 1745 -297
+rect 1807 -121 1841 -105
+rect 1807 -313 1841 -297
+rect 1903 -121 1937 -105
+rect 1903 -313 1937 -297
+rect -2051 -449 -2017 -387
+rect 2017 -449 2051 -387
+rect -2051 -483 -1955 -449
+rect 1955 -483 2051 -449
+<< viali >>
+rect -1937 121 -1903 297
+rect -1841 121 -1807 297
+rect -1745 121 -1711 297
+rect -1649 121 -1615 297
+rect -1553 121 -1519 297
+rect -1457 121 -1423 297
+rect -1361 121 -1327 297
+rect -1265 121 -1231 297
+rect -1169 121 -1135 297
+rect -1073 121 -1039 297
+rect -977 121 -943 297
+rect -881 121 -847 297
+rect -785 121 -751 297
+rect -689 121 -655 297
+rect -593 121 -559 297
+rect -497 121 -463 297
+rect -401 121 -367 297
+rect -305 121 -271 297
+rect -209 121 -175 297
+rect -113 121 -79 297
+rect -17 121 17 297
+rect 79 121 113 297
+rect 175 121 209 297
+rect 271 121 305 297
+rect 367 121 401 297
+rect 463 121 497 297
+rect 559 121 593 297
+rect 655 121 689 297
+rect 751 121 785 297
+rect 847 121 881 297
+rect 943 121 977 297
+rect 1039 121 1073 297
+rect 1135 121 1169 297
+rect 1231 121 1265 297
+rect 1327 121 1361 297
+rect 1423 121 1457 297
+rect 1519 121 1553 297
+rect 1615 121 1649 297
+rect 1711 121 1745 297
+rect 1807 121 1841 297
+rect 1903 121 1937 297
+rect -1889 37 -1855 71
+rect -1855 37 -1793 71
+rect -1793 37 -1759 71
+rect -1759 37 -1697 71
+rect -1697 37 -1663 71
+rect -1663 37 -1601 71
+rect -1601 37 -1567 71
+rect -1567 37 -1505 71
+rect -1505 37 -1471 71
+rect -1471 37 -1409 71
+rect -1409 37 -1375 71
+rect -1375 37 -1313 71
+rect -1313 37 -1279 71
+rect -1279 37 -1217 71
+rect -1217 37 -1183 71
+rect -1183 37 -1121 71
+rect -1121 37 -1087 71
+rect -1087 37 -1025 71
+rect -1025 37 -991 71
+rect -991 37 -929 71
+rect -929 37 -895 71
+rect -895 37 -833 71
+rect -833 37 -799 71
+rect -799 37 -737 71
+rect -737 37 -703 71
+rect -703 37 -641 71
+rect -641 37 -607 71
+rect -607 37 -545 71
+rect -545 37 -511 71
+rect -511 37 -449 71
+rect -449 37 -415 71
+rect -415 37 -353 71
+rect -353 37 -319 71
+rect -319 37 -257 71
+rect -257 37 -223 71
+rect -223 37 -161 71
+rect -161 37 -127 71
+rect -127 37 -65 71
+rect -65 37 -31 71
+rect -31 37 31 71
+rect 31 37 65 71
+rect 65 37 127 71
+rect 127 37 161 71
+rect 161 37 223 71
+rect 223 37 257 71
+rect 257 37 319 71
+rect 319 37 353 71
+rect 353 37 415 71
+rect 415 37 449 71
+rect 449 37 511 71
+rect 511 37 545 71
+rect 545 37 607 71
+rect 607 37 641 71
+rect 641 37 703 71
+rect 703 37 737 71
+rect 737 37 799 71
+rect 799 37 833 71
+rect 833 37 895 71
+rect 895 37 929 71
+rect 929 37 991 71
+rect 991 37 1025 71
+rect 1025 37 1087 71
+rect 1087 37 1121 71
+rect 1121 37 1183 71
+rect 1183 37 1217 71
+rect 1217 37 1279 71
+rect 1279 37 1313 71
+rect 1313 37 1375 71
+rect 1375 37 1409 71
+rect 1409 37 1471 71
+rect 1471 37 1505 71
+rect 1505 37 1567 71
+rect 1567 37 1601 71
+rect 1601 37 1663 71
+rect 1663 37 1697 71
+rect 1697 37 1759 71
+rect 1759 37 1793 71
+rect 1793 37 1855 71
+rect 1855 37 1889 71
+rect -1889 -71 -1855 -37
+rect -1855 -71 -1793 -37
+rect -1793 -71 -1759 -37
+rect -1759 -71 -1697 -37
+rect -1697 -71 -1663 -37
+rect -1663 -71 -1601 -37
+rect -1601 -71 -1567 -37
+rect -1567 -71 -1505 -37
+rect -1505 -71 -1471 -37
+rect -1471 -71 -1409 -37
+rect -1409 -71 -1375 -37
+rect -1375 -71 -1313 -37
+rect -1313 -71 -1279 -37
+rect -1279 -71 -1217 -37
+rect -1217 -71 -1183 -37
+rect -1183 -71 -1121 -37
+rect -1121 -71 -1087 -37
+rect -1087 -71 -1025 -37
+rect -1025 -71 -991 -37
+rect -991 -71 -929 -37
+rect -929 -71 -895 -37
+rect -895 -71 -833 -37
+rect -833 -71 -799 -37
+rect -799 -71 -737 -37
+rect -737 -71 -703 -37
+rect -703 -71 -641 -37
+rect -641 -71 -607 -37
+rect -607 -71 -545 -37
+rect -545 -71 -511 -37
+rect -511 -71 -449 -37
+rect -449 -71 -415 -37
+rect -415 -71 -353 -37
+rect -353 -71 -319 -37
+rect -319 -71 -257 -37
+rect -257 -71 -223 -37
+rect -223 -71 -161 -37
+rect -161 -71 -127 -37
+rect -127 -71 -65 -37
+rect -65 -71 -31 -37
+rect -31 -71 31 -37
+rect 31 -71 65 -37
+rect 65 -71 127 -37
+rect 127 -71 161 -37
+rect 161 -71 223 -37
+rect 223 -71 257 -37
+rect 257 -71 319 -37
+rect 319 -71 353 -37
+rect 353 -71 415 -37
+rect 415 -71 449 -37
+rect 449 -71 511 -37
+rect 511 -71 545 -37
+rect 545 -71 607 -37
+rect 607 -71 641 -37
+rect 641 -71 703 -37
+rect 703 -71 737 -37
+rect 737 -71 799 -37
+rect 799 -71 833 -37
+rect 833 -71 895 -37
+rect 895 -71 929 -37
+rect 929 -71 991 -37
+rect 991 -71 1025 -37
+rect 1025 -71 1087 -37
+rect 1087 -71 1121 -37
+rect 1121 -71 1183 -37
+rect 1183 -71 1217 -37
+rect 1217 -71 1279 -37
+rect 1279 -71 1313 -37
+rect 1313 -71 1375 -37
+rect 1375 -71 1409 -37
+rect 1409 -71 1471 -37
+rect 1471 -71 1505 -37
+rect 1505 -71 1567 -37
+rect 1567 -71 1601 -37
+rect 1601 -71 1663 -37
+rect 1663 -71 1697 -37
+rect 1697 -71 1759 -37
+rect 1759 -71 1793 -37
+rect 1793 -71 1855 -37
+rect 1855 -71 1889 -37
+rect -1937 -297 -1903 -121
+rect -1841 -297 -1807 -121
+rect -1745 -297 -1711 -121
+rect -1649 -297 -1615 -121
+rect -1553 -297 -1519 -121
+rect -1457 -297 -1423 -121
+rect -1361 -297 -1327 -121
+rect -1265 -297 -1231 -121
+rect -1169 -297 -1135 -121
+rect -1073 -297 -1039 -121
+rect -977 -297 -943 -121
+rect -881 -297 -847 -121
+rect -785 -297 -751 -121
+rect -689 -297 -655 -121
+rect -593 -297 -559 -121
+rect -497 -297 -463 -121
+rect -401 -297 -367 -121
+rect -305 -297 -271 -121
+rect -209 -297 -175 -121
+rect -113 -297 -79 -121
+rect -17 -297 17 -121
+rect 79 -297 113 -121
+rect 175 -297 209 -121
+rect 271 -297 305 -121
+rect 367 -297 401 -121
+rect 463 -297 497 -121
+rect 559 -297 593 -121
+rect 655 -297 689 -121
+rect 751 -297 785 -121
+rect 847 -297 881 -121
+rect 943 -297 977 -121
+rect 1039 -297 1073 -121
+rect 1135 -297 1169 -121
+rect 1231 -297 1265 -121
+rect 1327 -297 1361 -121
+rect 1423 -297 1457 -121
+rect 1519 -297 1553 -121
+rect 1615 -297 1649 -121
+rect 1711 -297 1745 -121
+rect 1807 -297 1841 -121
+rect 1903 -297 1937 -121
+<< metal1 >>
+rect -1943 297 -1897 309
+rect -1943 121 -1937 297
+rect -1903 121 -1897 297
+rect -1943 109 -1897 121
+rect -1847 297 -1801 309
+rect -1847 121 -1841 297
+rect -1807 121 -1801 297
+rect -1847 109 -1801 121
+rect -1751 297 -1705 309
+rect -1751 121 -1745 297
+rect -1711 121 -1705 297
+rect -1751 109 -1705 121
+rect -1655 297 -1609 309
+rect -1655 121 -1649 297
+rect -1615 121 -1609 297
+rect -1655 109 -1609 121
+rect -1559 297 -1513 309
+rect -1559 121 -1553 297
+rect -1519 121 -1513 297
+rect -1559 109 -1513 121
+rect -1463 297 -1417 309
+rect -1463 121 -1457 297
+rect -1423 121 -1417 297
+rect -1463 109 -1417 121
+rect -1367 297 -1321 309
+rect -1367 121 -1361 297
+rect -1327 121 -1321 297
+rect -1367 109 -1321 121
+rect -1271 297 -1225 309
+rect -1271 121 -1265 297
+rect -1231 121 -1225 297
+rect -1271 109 -1225 121
+rect -1175 297 -1129 309
+rect -1175 121 -1169 297
+rect -1135 121 -1129 297
+rect -1175 109 -1129 121
+rect -1079 297 -1033 309
+rect -1079 121 -1073 297
+rect -1039 121 -1033 297
+rect -1079 109 -1033 121
+rect -983 297 -937 309
+rect -983 121 -977 297
+rect -943 121 -937 297
+rect -983 109 -937 121
+rect -887 297 -841 309
+rect -887 121 -881 297
+rect -847 121 -841 297
+rect -887 109 -841 121
+rect -791 297 -745 309
+rect -791 121 -785 297
+rect -751 121 -745 297
+rect -791 109 -745 121
+rect -695 297 -649 309
+rect -695 121 -689 297
+rect -655 121 -649 297
+rect -695 109 -649 121
+rect -599 297 -553 309
+rect -599 121 -593 297
+rect -559 121 -553 297
+rect -599 109 -553 121
+rect -503 297 -457 309
+rect -503 121 -497 297
+rect -463 121 -457 297
+rect -503 109 -457 121
+rect -407 297 -361 309
+rect -407 121 -401 297
+rect -367 121 -361 297
+rect -407 109 -361 121
+rect -311 297 -265 309
+rect -311 121 -305 297
+rect -271 121 -265 297
+rect -311 109 -265 121
+rect -215 297 -169 309
+rect -215 121 -209 297
+rect -175 121 -169 297
+rect -215 109 -169 121
+rect -119 297 -73 309
+rect -119 121 -113 297
+rect -79 121 -73 297
+rect -119 109 -73 121
+rect -23 297 23 309
+rect -23 121 -17 297
+rect 17 121 23 297
+rect -23 109 23 121
+rect 73 297 119 309
+rect 73 121 79 297
+rect 113 121 119 297
+rect 73 109 119 121
+rect 169 297 215 309
+rect 169 121 175 297
+rect 209 121 215 297
+rect 169 109 215 121
+rect 265 297 311 309
+rect 265 121 271 297
+rect 305 121 311 297
+rect 265 109 311 121
+rect 361 297 407 309
+rect 361 121 367 297
+rect 401 121 407 297
+rect 361 109 407 121
+rect 457 297 503 309
+rect 457 121 463 297
+rect 497 121 503 297
+rect 457 109 503 121
+rect 553 297 599 309
+rect 553 121 559 297
+rect 593 121 599 297
+rect 553 109 599 121
+rect 649 297 695 309
+rect 649 121 655 297
+rect 689 121 695 297
+rect 649 109 695 121
+rect 745 297 791 309
+rect 745 121 751 297
+rect 785 121 791 297
+rect 745 109 791 121
+rect 841 297 887 309
+rect 841 121 847 297
+rect 881 121 887 297
+rect 841 109 887 121
+rect 937 297 983 309
+rect 937 121 943 297
+rect 977 121 983 297
+rect 937 109 983 121
+rect 1033 297 1079 309
+rect 1033 121 1039 297
+rect 1073 121 1079 297
+rect 1033 109 1079 121
+rect 1129 297 1175 309
+rect 1129 121 1135 297
+rect 1169 121 1175 297
+rect 1129 109 1175 121
+rect 1225 297 1271 309
+rect 1225 121 1231 297
+rect 1265 121 1271 297
+rect 1225 109 1271 121
+rect 1321 297 1367 309
+rect 1321 121 1327 297
+rect 1361 121 1367 297
+rect 1321 109 1367 121
+rect 1417 297 1463 309
+rect 1417 121 1423 297
+rect 1457 121 1463 297
+rect 1417 109 1463 121
+rect 1513 297 1559 309
+rect 1513 121 1519 297
+rect 1553 121 1559 297
+rect 1513 109 1559 121
+rect 1609 297 1655 309
+rect 1609 121 1615 297
+rect 1649 121 1655 297
+rect 1609 109 1655 121
+rect 1705 297 1751 309
+rect 1705 121 1711 297
+rect 1745 121 1751 297
+rect 1705 109 1751 121
+rect 1801 297 1847 309
+rect 1801 121 1807 297
+rect 1841 121 1847 297
+rect 1801 109 1847 121
+rect 1897 297 1943 309
+rect 1897 121 1903 297
+rect 1937 121 1943 297
+rect 1897 109 1943 121
+rect -1901 71 1901 77
+rect -1901 37 -1889 71
+rect 1889 37 1901 71
+rect -1901 -37 1901 37
+rect -1901 -71 -1889 -37
+rect 1889 -71 1901 -37
+rect -1901 -77 1901 -71
+rect -1943 -121 -1897 -109
+rect -1943 -297 -1937 -121
+rect -1903 -297 -1897 -121
+rect -1943 -309 -1897 -297
+rect -1847 -121 -1801 -109
+rect -1847 -297 -1841 -121
+rect -1807 -297 -1801 -121
+rect -1847 -309 -1801 -297
+rect -1751 -121 -1705 -109
+rect -1751 -297 -1745 -121
+rect -1711 -297 -1705 -121
+rect -1751 -309 -1705 -297
+rect -1655 -121 -1609 -109
+rect -1655 -297 -1649 -121
+rect -1615 -297 -1609 -121
+rect -1655 -309 -1609 -297
+rect -1559 -121 -1513 -109
+rect -1559 -297 -1553 -121
+rect -1519 -297 -1513 -121
+rect -1559 -309 -1513 -297
+rect -1463 -121 -1417 -109
+rect -1463 -297 -1457 -121
+rect -1423 -297 -1417 -121
+rect -1463 -309 -1417 -297
+rect -1367 -121 -1321 -109
+rect -1367 -297 -1361 -121
+rect -1327 -297 -1321 -121
+rect -1367 -309 -1321 -297
+rect -1271 -121 -1225 -109
+rect -1271 -297 -1265 -121
+rect -1231 -297 -1225 -121
+rect -1271 -309 -1225 -297
+rect -1175 -121 -1129 -109
+rect -1175 -297 -1169 -121
+rect -1135 -297 -1129 -121
+rect -1175 -309 -1129 -297
+rect -1079 -121 -1033 -109
+rect -1079 -297 -1073 -121
+rect -1039 -297 -1033 -121
+rect -1079 -309 -1033 -297
+rect -983 -121 -937 -109
+rect -983 -297 -977 -121
+rect -943 -297 -937 -121
+rect -983 -309 -937 -297
+rect -887 -121 -841 -109
+rect -887 -297 -881 -121
+rect -847 -297 -841 -121
+rect -887 -309 -841 -297
+rect -791 -121 -745 -109
+rect -791 -297 -785 -121
+rect -751 -297 -745 -121
+rect -791 -309 -745 -297
+rect -695 -121 -649 -109
+rect -695 -297 -689 -121
+rect -655 -297 -649 -121
+rect -695 -309 -649 -297
+rect -599 -121 -553 -109
+rect -599 -297 -593 -121
+rect -559 -297 -553 -121
+rect -599 -309 -553 -297
+rect -503 -121 -457 -109
+rect -503 -297 -497 -121
+rect -463 -297 -457 -121
+rect -503 -309 -457 -297
+rect -407 -121 -361 -109
+rect -407 -297 -401 -121
+rect -367 -297 -361 -121
+rect -407 -309 -361 -297
+rect -311 -121 -265 -109
+rect -311 -297 -305 -121
+rect -271 -297 -265 -121
+rect -311 -309 -265 -297
+rect -215 -121 -169 -109
+rect -215 -297 -209 -121
+rect -175 -297 -169 -121
+rect -215 -309 -169 -297
+rect -119 -121 -73 -109
+rect -119 -297 -113 -121
+rect -79 -297 -73 -121
+rect -119 -309 -73 -297
+rect -23 -121 23 -109
+rect -23 -297 -17 -121
+rect 17 -297 23 -121
+rect -23 -309 23 -297
+rect 73 -121 119 -109
+rect 73 -297 79 -121
+rect 113 -297 119 -121
+rect 73 -309 119 -297
+rect 169 -121 215 -109
+rect 169 -297 175 -121
+rect 209 -297 215 -121
+rect 169 -309 215 -297
+rect 265 -121 311 -109
+rect 265 -297 271 -121
+rect 305 -297 311 -121
+rect 265 -309 311 -297
+rect 361 -121 407 -109
+rect 361 -297 367 -121
+rect 401 -297 407 -121
+rect 361 -309 407 -297
+rect 457 -121 503 -109
+rect 457 -297 463 -121
+rect 497 -297 503 -121
+rect 457 -309 503 -297
+rect 553 -121 599 -109
+rect 553 -297 559 -121
+rect 593 -297 599 -121
+rect 553 -309 599 -297
+rect 649 -121 695 -109
+rect 649 -297 655 -121
+rect 689 -297 695 -121
+rect 649 -309 695 -297
+rect 745 -121 791 -109
+rect 745 -297 751 -121
+rect 785 -297 791 -121
+rect 745 -309 791 -297
+rect 841 -121 887 -109
+rect 841 -297 847 -121
+rect 881 -297 887 -121
+rect 841 -309 887 -297
+rect 937 -121 983 -109
+rect 937 -297 943 -121
+rect 977 -297 983 -121
+rect 937 -309 983 -297
+rect 1033 -121 1079 -109
+rect 1033 -297 1039 -121
+rect 1073 -297 1079 -121
+rect 1033 -309 1079 -297
+rect 1129 -121 1175 -109
+rect 1129 -297 1135 -121
+rect 1169 -297 1175 -121
+rect 1129 -309 1175 -297
+rect 1225 -121 1271 -109
+rect 1225 -297 1231 -121
+rect 1265 -297 1271 -121
+rect 1225 -309 1271 -297
+rect 1321 -121 1367 -109
+rect 1321 -297 1327 -121
+rect 1361 -297 1367 -121
+rect 1321 -309 1367 -297
+rect 1417 -121 1463 -109
+rect 1417 -297 1423 -121
+rect 1457 -297 1463 -121
+rect 1417 -309 1463 -297
+rect 1513 -121 1559 -109
+rect 1513 -297 1519 -121
+rect 1553 -297 1559 -121
+rect 1513 -309 1559 -297
+rect 1609 -121 1655 -109
+rect 1609 -297 1615 -121
+rect 1649 -297 1655 -121
+rect 1609 -309 1655 -297
+rect 1705 -121 1751 -109
+rect 1705 -297 1711 -121
+rect 1745 -297 1751 -121
+rect 1705 -309 1751 -297
+rect 1801 -121 1847 -109
+rect 1801 -297 1807 -121
+rect 1841 -297 1847 -121
+rect 1801 -309 1847 -297
+rect 1897 -121 1943 -109
+rect 1897 -297 1903 -121
+rect 1937 -297 1943 -121
+rect 1897 -309 1943 -297
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -2034 -466 2034 466
+string parameters w 1 l 0.150 m 2 nf 40 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_CFLRKA.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_CFLRKA.mag
new file mode 100644
index 0000000..83d374f
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_CFLRKA.mag
@@ -0,0 +1,2467 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623985751
+<< error_p >>
+rect -1901 381 -1843 387
+rect -1709 381 -1651 387
+rect -1517 381 -1459 387
+rect -1325 381 -1267 387
+rect -1133 381 -1075 387
+rect -941 381 -883 387
+rect -749 381 -691 387
+rect -557 381 -499 387
+rect -365 381 -307 387
+rect -173 381 -115 387
+rect 19 381 77 387
+rect 211 381 269 387
+rect 403 381 461 387
+rect 595 381 653 387
+rect 787 381 845 387
+rect 979 381 1037 387
+rect 1171 381 1229 387
+rect 1363 381 1421 387
+rect 1555 381 1613 387
+rect 1747 381 1805 387
+rect -1901 347 -1889 381
+rect -1709 347 -1697 381
+rect -1517 347 -1505 381
+rect -1325 347 -1313 381
+rect -1133 347 -1121 381
+rect -941 347 -929 381
+rect -749 347 -737 381
+rect -557 347 -545 381
+rect -365 347 -353 381
+rect -173 347 -161 381
+rect 19 347 31 381
+rect 211 347 223 381
+rect 403 347 415 381
+rect 595 347 607 381
+rect 787 347 799 381
+rect 979 347 991 381
+rect 1171 347 1183 381
+rect 1363 347 1375 381
+rect 1555 347 1567 381
+rect 1747 347 1759 381
+rect -1901 341 -1843 347
+rect -1709 341 -1651 347
+rect -1517 341 -1459 347
+rect -1325 341 -1267 347
+rect -1133 341 -1075 347
+rect -941 341 -883 347
+rect -749 341 -691 347
+rect -557 341 -499 347
+rect -365 341 -307 347
+rect -173 341 -115 347
+rect 19 341 77 347
+rect 211 341 269 347
+rect 403 341 461 347
+rect 595 341 653 347
+rect 787 341 845 347
+rect 979 341 1037 347
+rect 1171 341 1229 347
+rect 1363 341 1421 347
+rect 1555 341 1613 347
+rect 1747 341 1805 347
+rect -1805 71 -1747 77
+rect -1613 71 -1555 77
+rect -1421 71 -1363 77
+rect -1229 71 -1171 77
+rect -1037 71 -979 77
+rect -845 71 -787 77
+rect -653 71 -595 77
+rect -461 71 -403 77
+rect -269 71 -211 77
+rect -77 71 -19 77
+rect 115 71 173 77
+rect 307 71 365 77
+rect 499 71 557 77
+rect 691 71 749 77
+rect 883 71 941 77
+rect 1075 71 1133 77
+rect 1267 71 1325 77
+rect 1459 71 1517 77
+rect 1651 71 1709 77
+rect 1843 71 1901 77
+rect -1805 37 -1793 71
+rect -1613 37 -1601 71
+rect -1421 37 -1409 71
+rect -1229 37 -1217 71
+rect -1037 37 -1025 71
+rect -845 37 -833 71
+rect -653 37 -641 71
+rect -461 37 -449 71
+rect -269 37 -257 71
+rect -77 37 -65 71
+rect 115 37 127 71
+rect 307 37 319 71
+rect 499 37 511 71
+rect 691 37 703 71
+rect 883 37 895 71
+rect 1075 37 1087 71
+rect 1267 37 1279 71
+rect 1459 37 1471 71
+rect 1651 37 1663 71
+rect 1843 37 1855 71
+rect -1805 31 -1747 37
+rect -1613 31 -1555 37
+rect -1421 31 -1363 37
+rect -1229 31 -1171 37
+rect -1037 31 -979 37
+rect -845 31 -787 37
+rect -653 31 -595 37
+rect -461 31 -403 37
+rect -269 31 -211 37
+rect -77 31 -19 37
+rect 115 31 173 37
+rect 307 31 365 37
+rect 499 31 557 37
+rect 691 31 749 37
+rect 883 31 941 37
+rect 1075 31 1133 37
+rect 1267 31 1325 37
+rect 1459 31 1517 37
+rect 1651 31 1709 37
+rect 1843 31 1901 37
+rect -1805 -37 -1747 -31
+rect -1613 -37 -1555 -31
+rect -1421 -37 -1363 -31
+rect -1229 -37 -1171 -31
+rect -1037 -37 -979 -31
+rect -845 -37 -787 -31
+rect -653 -37 -595 -31
+rect -461 -37 -403 -31
+rect -269 -37 -211 -31
+rect -77 -37 -19 -31
+rect 115 -37 173 -31
+rect 307 -37 365 -31
+rect 499 -37 557 -31
+rect 691 -37 749 -31
+rect 883 -37 941 -31
+rect 1075 -37 1133 -31
+rect 1267 -37 1325 -31
+rect 1459 -37 1517 -31
+rect 1651 -37 1709 -31
+rect 1843 -37 1901 -31
+rect -1805 -71 -1793 -37
+rect -1613 -71 -1601 -37
+rect -1421 -71 -1409 -37
+rect -1229 -71 -1217 -37
+rect -1037 -71 -1025 -37
+rect -845 -71 -833 -37
+rect -653 -71 -641 -37
+rect -461 -71 -449 -37
+rect -269 -71 -257 -37
+rect -77 -71 -65 -37
+rect 115 -71 127 -37
+rect 307 -71 319 -37
+rect 499 -71 511 -37
+rect 691 -71 703 -37
+rect 883 -71 895 -37
+rect 1075 -71 1087 -37
+rect 1267 -71 1279 -37
+rect 1459 -71 1471 -37
+rect 1651 -71 1663 -37
+rect 1843 -71 1855 -37
+rect -1805 -77 -1747 -71
+rect -1613 -77 -1555 -71
+rect -1421 -77 -1363 -71
+rect -1229 -77 -1171 -71
+rect -1037 -77 -979 -71
+rect -845 -77 -787 -71
+rect -653 -77 -595 -71
+rect -461 -77 -403 -71
+rect -269 -77 -211 -71
+rect -77 -77 -19 -71
+rect 115 -77 173 -71
+rect 307 -77 365 -71
+rect 499 -77 557 -71
+rect 691 -77 749 -71
+rect 883 -77 941 -71
+rect 1075 -77 1133 -71
+rect 1267 -77 1325 -71
+rect 1459 -77 1517 -71
+rect 1651 -77 1709 -71
+rect 1843 -77 1901 -71
+rect -1901 -347 -1843 -341
+rect -1709 -347 -1651 -341
+rect -1517 -347 -1459 -341
+rect -1325 -347 -1267 -341
+rect -1133 -347 -1075 -341
+rect -941 -347 -883 -341
+rect -749 -347 -691 -341
+rect -557 -347 -499 -341
+rect -365 -347 -307 -341
+rect -173 -347 -115 -341
+rect 19 -347 77 -341
+rect 211 -347 269 -341
+rect 403 -347 461 -341
+rect 595 -347 653 -341
+rect 787 -347 845 -341
+rect 979 -347 1037 -341
+rect 1171 -347 1229 -341
+rect 1363 -347 1421 -341
+rect 1555 -347 1613 -341
+rect 1747 -347 1805 -341
+rect -1901 -381 -1889 -347
+rect -1709 -381 -1697 -347
+rect -1517 -381 -1505 -347
+rect -1325 -381 -1313 -347
+rect -1133 -381 -1121 -347
+rect -941 -381 -929 -347
+rect -749 -381 -737 -347
+rect -557 -381 -545 -347
+rect -365 -381 -353 -347
+rect -173 -381 -161 -347
+rect 19 -381 31 -347
+rect 211 -381 223 -347
+rect 403 -381 415 -347
+rect 595 -381 607 -347
+rect 787 -381 799 -347
+rect 979 -381 991 -347
+rect 1171 -381 1183 -347
+rect 1363 -381 1375 -347
+rect 1555 -381 1567 -347
+rect 1747 -381 1759 -347
+rect -1901 -387 -1843 -381
+rect -1709 -387 -1651 -381
+rect -1517 -387 -1459 -381
+rect -1325 -387 -1267 -381
+rect -1133 -387 -1075 -381
+rect -941 -387 -883 -381
+rect -749 -387 -691 -381
+rect -557 -387 -499 -381
+rect -365 -387 -307 -381
+rect -173 -387 -115 -381
+rect 19 -387 77 -381
+rect 211 -387 269 -381
+rect 403 -387 461 -381
+rect 595 -387 653 -381
+rect 787 -387 845 -381
+rect 979 -387 1037 -381
+rect 1171 -387 1229 -381
+rect 1363 -387 1421 -381
+rect 1555 -387 1613 -381
+rect 1747 -387 1805 -381
+<< pwell >>
+rect -2087 -519 2087 519
+<< nmos >>
+rect -1887 109 -1857 309
+rect -1791 109 -1761 309
+rect -1695 109 -1665 309
+rect -1599 109 -1569 309
+rect -1503 109 -1473 309
+rect -1407 109 -1377 309
+rect -1311 109 -1281 309
+rect -1215 109 -1185 309
+rect -1119 109 -1089 309
+rect -1023 109 -993 309
+rect -927 109 -897 309
+rect -831 109 -801 309
+rect -735 109 -705 309
+rect -639 109 -609 309
+rect -543 109 -513 309
+rect -447 109 -417 309
+rect -351 109 -321 309
+rect -255 109 -225 309
+rect -159 109 -129 309
+rect -63 109 -33 309
+rect 33 109 63 309
+rect 129 109 159 309
+rect 225 109 255 309
+rect 321 109 351 309
+rect 417 109 447 309
+rect 513 109 543 309
+rect 609 109 639 309
+rect 705 109 735 309
+rect 801 109 831 309
+rect 897 109 927 309
+rect 993 109 1023 309
+rect 1089 109 1119 309
+rect 1185 109 1215 309
+rect 1281 109 1311 309
+rect 1377 109 1407 309
+rect 1473 109 1503 309
+rect 1569 109 1599 309
+rect 1665 109 1695 309
+rect 1761 109 1791 309
+rect 1857 109 1887 309
+rect -1887 -309 -1857 -109
+rect -1791 -309 -1761 -109
+rect -1695 -309 -1665 -109
+rect -1599 -309 -1569 -109
+rect -1503 -309 -1473 -109
+rect -1407 -309 -1377 -109
+rect -1311 -309 -1281 -109
+rect -1215 -309 -1185 -109
+rect -1119 -309 -1089 -109
+rect -1023 -309 -993 -109
+rect -927 -309 -897 -109
+rect -831 -309 -801 -109
+rect -735 -309 -705 -109
+rect -639 -309 -609 -109
+rect -543 -309 -513 -109
+rect -447 -309 -417 -109
+rect -351 -309 -321 -109
+rect -255 -309 -225 -109
+rect -159 -309 -129 -109
+rect -63 -309 -33 -109
+rect 33 -309 63 -109
+rect 129 -309 159 -109
+rect 225 -309 255 -109
+rect 321 -309 351 -109
+rect 417 -309 447 -109
+rect 513 -309 543 -109
+rect 609 -309 639 -109
+rect 705 -309 735 -109
+rect 801 -309 831 -109
+rect 897 -309 927 -109
+rect 993 -309 1023 -109
+rect 1089 -309 1119 -109
+rect 1185 -309 1215 -109
+rect 1281 -309 1311 -109
+rect 1377 -309 1407 -109
+rect 1473 -309 1503 -109
+rect 1569 -309 1599 -109
+rect 1665 -309 1695 -109
+rect 1761 -309 1791 -109
+rect 1857 -309 1887 -109
+<< ndiff >>
+rect -1949 297 -1887 309
+rect -1949 121 -1937 297
+rect -1903 121 -1887 297
+rect -1949 109 -1887 121
+rect -1857 297 -1791 309
+rect -1857 121 -1841 297
+rect -1807 121 -1791 297
+rect -1857 109 -1791 121
+rect -1761 297 -1695 309
+rect -1761 121 -1745 297
+rect -1711 121 -1695 297
+rect -1761 109 -1695 121
+rect -1665 297 -1599 309
+rect -1665 121 -1649 297
+rect -1615 121 -1599 297
+rect -1665 109 -1599 121
+rect -1569 297 -1503 309
+rect -1569 121 -1553 297
+rect -1519 121 -1503 297
+rect -1569 109 -1503 121
+rect -1473 297 -1407 309
+rect -1473 121 -1457 297
+rect -1423 121 -1407 297
+rect -1473 109 -1407 121
+rect -1377 297 -1311 309
+rect -1377 121 -1361 297
+rect -1327 121 -1311 297
+rect -1377 109 -1311 121
+rect -1281 297 -1215 309
+rect -1281 121 -1265 297
+rect -1231 121 -1215 297
+rect -1281 109 -1215 121
+rect -1185 297 -1119 309
+rect -1185 121 -1169 297
+rect -1135 121 -1119 297
+rect -1185 109 -1119 121
+rect -1089 297 -1023 309
+rect -1089 121 -1073 297
+rect -1039 121 -1023 297
+rect -1089 109 -1023 121
+rect -993 297 -927 309
+rect -993 121 -977 297
+rect -943 121 -927 297
+rect -993 109 -927 121
+rect -897 297 -831 309
+rect -897 121 -881 297
+rect -847 121 -831 297
+rect -897 109 -831 121
+rect -801 297 -735 309
+rect -801 121 -785 297
+rect -751 121 -735 297
+rect -801 109 -735 121
+rect -705 297 -639 309
+rect -705 121 -689 297
+rect -655 121 -639 297
+rect -705 109 -639 121
+rect -609 297 -543 309
+rect -609 121 -593 297
+rect -559 121 -543 297
+rect -609 109 -543 121
+rect -513 297 -447 309
+rect -513 121 -497 297
+rect -463 121 -447 297
+rect -513 109 -447 121
+rect -417 297 -351 309
+rect -417 121 -401 297
+rect -367 121 -351 297
+rect -417 109 -351 121
+rect -321 297 -255 309
+rect -321 121 -305 297
+rect -271 121 -255 297
+rect -321 109 -255 121
+rect -225 297 -159 309
+rect -225 121 -209 297
+rect -175 121 -159 297
+rect -225 109 -159 121
+rect -129 297 -63 309
+rect -129 121 -113 297
+rect -79 121 -63 297
+rect -129 109 -63 121
+rect -33 297 33 309
+rect -33 121 -17 297
+rect 17 121 33 297
+rect -33 109 33 121
+rect 63 297 129 309
+rect 63 121 79 297
+rect 113 121 129 297
+rect 63 109 129 121
+rect 159 297 225 309
+rect 159 121 175 297
+rect 209 121 225 297
+rect 159 109 225 121
+rect 255 297 321 309
+rect 255 121 271 297
+rect 305 121 321 297
+rect 255 109 321 121
+rect 351 297 417 309
+rect 351 121 367 297
+rect 401 121 417 297
+rect 351 109 417 121
+rect 447 297 513 309
+rect 447 121 463 297
+rect 497 121 513 297
+rect 447 109 513 121
+rect 543 297 609 309
+rect 543 121 559 297
+rect 593 121 609 297
+rect 543 109 609 121
+rect 639 297 705 309
+rect 639 121 655 297
+rect 689 121 705 297
+rect 639 109 705 121
+rect 735 297 801 309
+rect 735 121 751 297
+rect 785 121 801 297
+rect 735 109 801 121
+rect 831 297 897 309
+rect 831 121 847 297
+rect 881 121 897 297
+rect 831 109 897 121
+rect 927 297 993 309
+rect 927 121 943 297
+rect 977 121 993 297
+rect 927 109 993 121
+rect 1023 297 1089 309
+rect 1023 121 1039 297
+rect 1073 121 1089 297
+rect 1023 109 1089 121
+rect 1119 297 1185 309
+rect 1119 121 1135 297
+rect 1169 121 1185 297
+rect 1119 109 1185 121
+rect 1215 297 1281 309
+rect 1215 121 1231 297
+rect 1265 121 1281 297
+rect 1215 109 1281 121
+rect 1311 297 1377 309
+rect 1311 121 1327 297
+rect 1361 121 1377 297
+rect 1311 109 1377 121
+rect 1407 297 1473 309
+rect 1407 121 1423 297
+rect 1457 121 1473 297
+rect 1407 109 1473 121
+rect 1503 297 1569 309
+rect 1503 121 1519 297
+rect 1553 121 1569 297
+rect 1503 109 1569 121
+rect 1599 297 1665 309
+rect 1599 121 1615 297
+rect 1649 121 1665 297
+rect 1599 109 1665 121
+rect 1695 297 1761 309
+rect 1695 121 1711 297
+rect 1745 121 1761 297
+rect 1695 109 1761 121
+rect 1791 297 1857 309
+rect 1791 121 1807 297
+rect 1841 121 1857 297
+rect 1791 109 1857 121
+rect 1887 297 1949 309
+rect 1887 121 1903 297
+rect 1937 121 1949 297
+rect 1887 109 1949 121
+rect -1949 -121 -1887 -109
+rect -1949 -297 -1937 -121
+rect -1903 -297 -1887 -121
+rect -1949 -309 -1887 -297
+rect -1857 -121 -1791 -109
+rect -1857 -297 -1841 -121
+rect -1807 -297 -1791 -121
+rect -1857 -309 -1791 -297
+rect -1761 -121 -1695 -109
+rect -1761 -297 -1745 -121
+rect -1711 -297 -1695 -121
+rect -1761 -309 -1695 -297
+rect -1665 -121 -1599 -109
+rect -1665 -297 -1649 -121
+rect -1615 -297 -1599 -121
+rect -1665 -309 -1599 -297
+rect -1569 -121 -1503 -109
+rect -1569 -297 -1553 -121
+rect -1519 -297 -1503 -121
+rect -1569 -309 -1503 -297
+rect -1473 -121 -1407 -109
+rect -1473 -297 -1457 -121
+rect -1423 -297 -1407 -121
+rect -1473 -309 -1407 -297
+rect -1377 -121 -1311 -109
+rect -1377 -297 -1361 -121
+rect -1327 -297 -1311 -121
+rect -1377 -309 -1311 -297
+rect -1281 -121 -1215 -109
+rect -1281 -297 -1265 -121
+rect -1231 -297 -1215 -121
+rect -1281 -309 -1215 -297
+rect -1185 -121 -1119 -109
+rect -1185 -297 -1169 -121
+rect -1135 -297 -1119 -121
+rect -1185 -309 -1119 -297
+rect -1089 -121 -1023 -109
+rect -1089 -297 -1073 -121
+rect -1039 -297 -1023 -121
+rect -1089 -309 -1023 -297
+rect -993 -121 -927 -109
+rect -993 -297 -977 -121
+rect -943 -297 -927 -121
+rect -993 -309 -927 -297
+rect -897 -121 -831 -109
+rect -897 -297 -881 -121
+rect -847 -297 -831 -121
+rect -897 -309 -831 -297
+rect -801 -121 -735 -109
+rect -801 -297 -785 -121
+rect -751 -297 -735 -121
+rect -801 -309 -735 -297
+rect -705 -121 -639 -109
+rect -705 -297 -689 -121
+rect -655 -297 -639 -121
+rect -705 -309 -639 -297
+rect -609 -121 -543 -109
+rect -609 -297 -593 -121
+rect -559 -297 -543 -121
+rect -609 -309 -543 -297
+rect -513 -121 -447 -109
+rect -513 -297 -497 -121
+rect -463 -297 -447 -121
+rect -513 -309 -447 -297
+rect -417 -121 -351 -109
+rect -417 -297 -401 -121
+rect -367 -297 -351 -121
+rect -417 -309 -351 -297
+rect -321 -121 -255 -109
+rect -321 -297 -305 -121
+rect -271 -297 -255 -121
+rect -321 -309 -255 -297
+rect -225 -121 -159 -109
+rect -225 -297 -209 -121
+rect -175 -297 -159 -121
+rect -225 -309 -159 -297
+rect -129 -121 -63 -109
+rect -129 -297 -113 -121
+rect -79 -297 -63 -121
+rect -129 -309 -63 -297
+rect -33 -121 33 -109
+rect -33 -297 -17 -121
+rect 17 -297 33 -121
+rect -33 -309 33 -297
+rect 63 -121 129 -109
+rect 63 -297 79 -121
+rect 113 -297 129 -121
+rect 63 -309 129 -297
+rect 159 -121 225 -109
+rect 159 -297 175 -121
+rect 209 -297 225 -121
+rect 159 -309 225 -297
+rect 255 -121 321 -109
+rect 255 -297 271 -121
+rect 305 -297 321 -121
+rect 255 -309 321 -297
+rect 351 -121 417 -109
+rect 351 -297 367 -121
+rect 401 -297 417 -121
+rect 351 -309 417 -297
+rect 447 -121 513 -109
+rect 447 -297 463 -121
+rect 497 -297 513 -121
+rect 447 -309 513 -297
+rect 543 -121 609 -109
+rect 543 -297 559 -121
+rect 593 -297 609 -121
+rect 543 -309 609 -297
+rect 639 -121 705 -109
+rect 639 -297 655 -121
+rect 689 -297 705 -121
+rect 639 -309 705 -297
+rect 735 -121 801 -109
+rect 735 -297 751 -121
+rect 785 -297 801 -121
+rect 735 -309 801 -297
+rect 831 -121 897 -109
+rect 831 -297 847 -121
+rect 881 -297 897 -121
+rect 831 -309 897 -297
+rect 927 -121 993 -109
+rect 927 -297 943 -121
+rect 977 -297 993 -121
+rect 927 -309 993 -297
+rect 1023 -121 1089 -109
+rect 1023 -297 1039 -121
+rect 1073 -297 1089 -121
+rect 1023 -309 1089 -297
+rect 1119 -121 1185 -109
+rect 1119 -297 1135 -121
+rect 1169 -297 1185 -121
+rect 1119 -309 1185 -297
+rect 1215 -121 1281 -109
+rect 1215 -297 1231 -121
+rect 1265 -297 1281 -121
+rect 1215 -309 1281 -297
+rect 1311 -121 1377 -109
+rect 1311 -297 1327 -121
+rect 1361 -297 1377 -121
+rect 1311 -309 1377 -297
+rect 1407 -121 1473 -109
+rect 1407 -297 1423 -121
+rect 1457 -297 1473 -121
+rect 1407 -309 1473 -297
+rect 1503 -121 1569 -109
+rect 1503 -297 1519 -121
+rect 1553 -297 1569 -121
+rect 1503 -309 1569 -297
+rect 1599 -121 1665 -109
+rect 1599 -297 1615 -121
+rect 1649 -297 1665 -121
+rect 1599 -309 1665 -297
+rect 1695 -121 1761 -109
+rect 1695 -297 1711 -121
+rect 1745 -297 1761 -121
+rect 1695 -309 1761 -297
+rect 1791 -121 1857 -109
+rect 1791 -297 1807 -121
+rect 1841 -297 1857 -121
+rect 1791 -309 1857 -297
+rect 1887 -121 1949 -109
+rect 1887 -297 1903 -121
+rect 1937 -297 1949 -121
+rect 1887 -309 1949 -297
+<< ndiffc >>
+rect -1937 121 -1903 297
+rect -1841 121 -1807 297
+rect -1745 121 -1711 297
+rect -1649 121 -1615 297
+rect -1553 121 -1519 297
+rect -1457 121 -1423 297
+rect -1361 121 -1327 297
+rect -1265 121 -1231 297
+rect -1169 121 -1135 297
+rect -1073 121 -1039 297
+rect -977 121 -943 297
+rect -881 121 -847 297
+rect -785 121 -751 297
+rect -689 121 -655 297
+rect -593 121 -559 297
+rect -497 121 -463 297
+rect -401 121 -367 297
+rect -305 121 -271 297
+rect -209 121 -175 297
+rect -113 121 -79 297
+rect -17 121 17 297
+rect 79 121 113 297
+rect 175 121 209 297
+rect 271 121 305 297
+rect 367 121 401 297
+rect 463 121 497 297
+rect 559 121 593 297
+rect 655 121 689 297
+rect 751 121 785 297
+rect 847 121 881 297
+rect 943 121 977 297
+rect 1039 121 1073 297
+rect 1135 121 1169 297
+rect 1231 121 1265 297
+rect 1327 121 1361 297
+rect 1423 121 1457 297
+rect 1519 121 1553 297
+rect 1615 121 1649 297
+rect 1711 121 1745 297
+rect 1807 121 1841 297
+rect 1903 121 1937 297
+rect -1937 -297 -1903 -121
+rect -1841 -297 -1807 -121
+rect -1745 -297 -1711 -121
+rect -1649 -297 -1615 -121
+rect -1553 -297 -1519 -121
+rect -1457 -297 -1423 -121
+rect -1361 -297 -1327 -121
+rect -1265 -297 -1231 -121
+rect -1169 -297 -1135 -121
+rect -1073 -297 -1039 -121
+rect -977 -297 -943 -121
+rect -881 -297 -847 -121
+rect -785 -297 -751 -121
+rect -689 -297 -655 -121
+rect -593 -297 -559 -121
+rect -497 -297 -463 -121
+rect -401 -297 -367 -121
+rect -305 -297 -271 -121
+rect -209 -297 -175 -121
+rect -113 -297 -79 -121
+rect -17 -297 17 -121
+rect 79 -297 113 -121
+rect 175 -297 209 -121
+rect 271 -297 305 -121
+rect 367 -297 401 -121
+rect 463 -297 497 -121
+rect 559 -297 593 -121
+rect 655 -297 689 -121
+rect 751 -297 785 -121
+rect 847 -297 881 -121
+rect 943 -297 977 -121
+rect 1039 -297 1073 -121
+rect 1135 -297 1169 -121
+rect 1231 -297 1265 -121
+rect 1327 -297 1361 -121
+rect 1423 -297 1457 -121
+rect 1519 -297 1553 -121
+rect 1615 -297 1649 -121
+rect 1711 -297 1745 -121
+rect 1807 -297 1841 -121
+rect 1903 -297 1937 -121
+<< psubdiff >>
+rect -2051 449 -1955 483
+rect 1955 449 2051 483
+rect -2051 387 -2017 449
+rect 2017 387 2051 449
+rect -2051 -449 -2017 -387
+rect 2017 -449 2051 -387
+rect -2051 -483 -1955 -449
+rect 1955 -483 2051 -449
+<< psubdiffcont >>
+rect -1955 449 1955 483
+rect -2051 -387 -2017 387
+rect 2017 -387 2051 387
+rect -1955 -483 1955 -449
+<< poly >>
+rect -1905 381 -1839 397
+rect -1905 347 -1889 381
+rect -1855 347 -1839 381
+rect -1905 331 -1839 347
+rect -1713 381 -1647 397
+rect -1713 347 -1697 381
+rect -1663 347 -1647 381
+rect -1887 309 -1857 331
+rect -1791 309 -1761 335
+rect -1713 331 -1647 347
+rect -1521 381 -1455 397
+rect -1521 347 -1505 381
+rect -1471 347 -1455 381
+rect -1695 309 -1665 331
+rect -1599 309 -1569 335
+rect -1521 331 -1455 347
+rect -1329 381 -1263 397
+rect -1329 347 -1313 381
+rect -1279 347 -1263 381
+rect -1503 309 -1473 331
+rect -1407 309 -1377 335
+rect -1329 331 -1263 347
+rect -1137 381 -1071 397
+rect -1137 347 -1121 381
+rect -1087 347 -1071 381
+rect -1311 309 -1281 331
+rect -1215 309 -1185 335
+rect -1137 331 -1071 347
+rect -945 381 -879 397
+rect -945 347 -929 381
+rect -895 347 -879 381
+rect -1119 309 -1089 331
+rect -1023 309 -993 335
+rect -945 331 -879 347
+rect -753 381 -687 397
+rect -753 347 -737 381
+rect -703 347 -687 381
+rect -927 309 -897 331
+rect -831 309 -801 335
+rect -753 331 -687 347
+rect -561 381 -495 397
+rect -561 347 -545 381
+rect -511 347 -495 381
+rect -735 309 -705 331
+rect -639 309 -609 335
+rect -561 331 -495 347
+rect -369 381 -303 397
+rect -369 347 -353 381
+rect -319 347 -303 381
+rect -543 309 -513 331
+rect -447 309 -417 335
+rect -369 331 -303 347
+rect -177 381 -111 397
+rect -177 347 -161 381
+rect -127 347 -111 381
+rect -351 309 -321 331
+rect -255 309 -225 335
+rect -177 331 -111 347
+rect 15 381 81 397
+rect 15 347 31 381
+rect 65 347 81 381
+rect -159 309 -129 331
+rect -63 309 -33 335
+rect 15 331 81 347
+rect 207 381 273 397
+rect 207 347 223 381
+rect 257 347 273 381
+rect 33 309 63 331
+rect 129 309 159 335
+rect 207 331 273 347
+rect 399 381 465 397
+rect 399 347 415 381
+rect 449 347 465 381
+rect 225 309 255 331
+rect 321 309 351 335
+rect 399 331 465 347
+rect 591 381 657 397
+rect 591 347 607 381
+rect 641 347 657 381
+rect 417 309 447 331
+rect 513 309 543 335
+rect 591 331 657 347
+rect 783 381 849 397
+rect 783 347 799 381
+rect 833 347 849 381
+rect 609 309 639 331
+rect 705 309 735 335
+rect 783 331 849 347
+rect 975 381 1041 397
+rect 975 347 991 381
+rect 1025 347 1041 381
+rect 801 309 831 331
+rect 897 309 927 335
+rect 975 331 1041 347
+rect 1167 381 1233 397
+rect 1167 347 1183 381
+rect 1217 347 1233 381
+rect 993 309 1023 331
+rect 1089 309 1119 335
+rect 1167 331 1233 347
+rect 1359 381 1425 397
+rect 1359 347 1375 381
+rect 1409 347 1425 381
+rect 1185 309 1215 331
+rect 1281 309 1311 335
+rect 1359 331 1425 347
+rect 1551 381 1617 397
+rect 1551 347 1567 381
+rect 1601 347 1617 381
+rect 1377 309 1407 331
+rect 1473 309 1503 335
+rect 1551 331 1617 347
+rect 1743 381 1809 397
+rect 1743 347 1759 381
+rect 1793 347 1809 381
+rect 1569 309 1599 331
+rect 1665 309 1695 335
+rect 1743 331 1809 347
+rect 1761 309 1791 331
+rect 1857 309 1887 335
+rect -1887 83 -1857 109
+rect -1791 87 -1761 109
+rect -1809 71 -1743 87
+rect -1695 83 -1665 109
+rect -1599 87 -1569 109
+rect -1809 37 -1793 71
+rect -1759 37 -1743 71
+rect -1809 21 -1743 37
+rect -1617 71 -1551 87
+rect -1503 83 -1473 109
+rect -1407 87 -1377 109
+rect -1617 37 -1601 71
+rect -1567 37 -1551 71
+rect -1617 21 -1551 37
+rect -1425 71 -1359 87
+rect -1311 83 -1281 109
+rect -1215 87 -1185 109
+rect -1425 37 -1409 71
+rect -1375 37 -1359 71
+rect -1425 21 -1359 37
+rect -1233 71 -1167 87
+rect -1119 83 -1089 109
+rect -1023 87 -993 109
+rect -1233 37 -1217 71
+rect -1183 37 -1167 71
+rect -1233 21 -1167 37
+rect -1041 71 -975 87
+rect -927 83 -897 109
+rect -831 87 -801 109
+rect -1041 37 -1025 71
+rect -991 37 -975 71
+rect -1041 21 -975 37
+rect -849 71 -783 87
+rect -735 83 -705 109
+rect -639 87 -609 109
+rect -849 37 -833 71
+rect -799 37 -783 71
+rect -849 21 -783 37
+rect -657 71 -591 87
+rect -543 83 -513 109
+rect -447 87 -417 109
+rect -657 37 -641 71
+rect -607 37 -591 71
+rect -657 21 -591 37
+rect -465 71 -399 87
+rect -351 83 -321 109
+rect -255 87 -225 109
+rect -465 37 -449 71
+rect -415 37 -399 71
+rect -465 21 -399 37
+rect -273 71 -207 87
+rect -159 83 -129 109
+rect -63 87 -33 109
+rect -273 37 -257 71
+rect -223 37 -207 71
+rect -273 21 -207 37
+rect -81 71 -15 87
+rect 33 83 63 109
+rect 129 87 159 109
+rect -81 37 -65 71
+rect -31 37 -15 71
+rect -81 21 -15 37
+rect 111 71 177 87
+rect 225 83 255 109
+rect 321 87 351 109
+rect 111 37 127 71
+rect 161 37 177 71
+rect 111 21 177 37
+rect 303 71 369 87
+rect 417 83 447 109
+rect 513 87 543 109
+rect 303 37 319 71
+rect 353 37 369 71
+rect 303 21 369 37
+rect 495 71 561 87
+rect 609 83 639 109
+rect 705 87 735 109
+rect 495 37 511 71
+rect 545 37 561 71
+rect 495 21 561 37
+rect 687 71 753 87
+rect 801 83 831 109
+rect 897 87 927 109
+rect 687 37 703 71
+rect 737 37 753 71
+rect 687 21 753 37
+rect 879 71 945 87
+rect 993 83 1023 109
+rect 1089 87 1119 109
+rect 879 37 895 71
+rect 929 37 945 71
+rect 879 21 945 37
+rect 1071 71 1137 87
+rect 1185 83 1215 109
+rect 1281 87 1311 109
+rect 1071 37 1087 71
+rect 1121 37 1137 71
+rect 1071 21 1137 37
+rect 1263 71 1329 87
+rect 1377 83 1407 109
+rect 1473 87 1503 109
+rect 1263 37 1279 71
+rect 1313 37 1329 71
+rect 1263 21 1329 37
+rect 1455 71 1521 87
+rect 1569 83 1599 109
+rect 1665 87 1695 109
+rect 1455 37 1471 71
+rect 1505 37 1521 71
+rect 1455 21 1521 37
+rect 1647 71 1713 87
+rect 1761 83 1791 109
+rect 1857 87 1887 109
+rect 1647 37 1663 71
+rect 1697 37 1713 71
+rect 1647 21 1713 37
+rect 1839 71 1905 87
+rect 1839 37 1855 71
+rect 1889 37 1905 71
+rect 1839 21 1905 37
+rect -1809 -37 -1743 -21
+rect -1809 -71 -1793 -37
+rect -1759 -71 -1743 -37
+rect -1887 -109 -1857 -83
+rect -1809 -87 -1743 -71
+rect -1617 -37 -1551 -21
+rect -1617 -71 -1601 -37
+rect -1567 -71 -1551 -37
+rect -1791 -109 -1761 -87
+rect -1695 -109 -1665 -83
+rect -1617 -87 -1551 -71
+rect -1425 -37 -1359 -21
+rect -1425 -71 -1409 -37
+rect -1375 -71 -1359 -37
+rect -1599 -109 -1569 -87
+rect -1503 -109 -1473 -83
+rect -1425 -87 -1359 -71
+rect -1233 -37 -1167 -21
+rect -1233 -71 -1217 -37
+rect -1183 -71 -1167 -37
+rect -1407 -109 -1377 -87
+rect -1311 -109 -1281 -83
+rect -1233 -87 -1167 -71
+rect -1041 -37 -975 -21
+rect -1041 -71 -1025 -37
+rect -991 -71 -975 -37
+rect -1215 -109 -1185 -87
+rect -1119 -109 -1089 -83
+rect -1041 -87 -975 -71
+rect -849 -37 -783 -21
+rect -849 -71 -833 -37
+rect -799 -71 -783 -37
+rect -1023 -109 -993 -87
+rect -927 -109 -897 -83
+rect -849 -87 -783 -71
+rect -657 -37 -591 -21
+rect -657 -71 -641 -37
+rect -607 -71 -591 -37
+rect -831 -109 -801 -87
+rect -735 -109 -705 -83
+rect -657 -87 -591 -71
+rect -465 -37 -399 -21
+rect -465 -71 -449 -37
+rect -415 -71 -399 -37
+rect -639 -109 -609 -87
+rect -543 -109 -513 -83
+rect -465 -87 -399 -71
+rect -273 -37 -207 -21
+rect -273 -71 -257 -37
+rect -223 -71 -207 -37
+rect -447 -109 -417 -87
+rect -351 -109 -321 -83
+rect -273 -87 -207 -71
+rect -81 -37 -15 -21
+rect -81 -71 -65 -37
+rect -31 -71 -15 -37
+rect -255 -109 -225 -87
+rect -159 -109 -129 -83
+rect -81 -87 -15 -71
+rect 111 -37 177 -21
+rect 111 -71 127 -37
+rect 161 -71 177 -37
+rect -63 -109 -33 -87
+rect 33 -109 63 -83
+rect 111 -87 177 -71
+rect 303 -37 369 -21
+rect 303 -71 319 -37
+rect 353 -71 369 -37
+rect 129 -109 159 -87
+rect 225 -109 255 -83
+rect 303 -87 369 -71
+rect 495 -37 561 -21
+rect 495 -71 511 -37
+rect 545 -71 561 -37
+rect 321 -109 351 -87
+rect 417 -109 447 -83
+rect 495 -87 561 -71
+rect 687 -37 753 -21
+rect 687 -71 703 -37
+rect 737 -71 753 -37
+rect 513 -109 543 -87
+rect 609 -109 639 -83
+rect 687 -87 753 -71
+rect 879 -37 945 -21
+rect 879 -71 895 -37
+rect 929 -71 945 -37
+rect 705 -109 735 -87
+rect 801 -109 831 -83
+rect 879 -87 945 -71
+rect 1071 -37 1137 -21
+rect 1071 -71 1087 -37
+rect 1121 -71 1137 -37
+rect 897 -109 927 -87
+rect 993 -109 1023 -83
+rect 1071 -87 1137 -71
+rect 1263 -37 1329 -21
+rect 1263 -71 1279 -37
+rect 1313 -71 1329 -37
+rect 1089 -109 1119 -87
+rect 1185 -109 1215 -83
+rect 1263 -87 1329 -71
+rect 1455 -37 1521 -21
+rect 1455 -71 1471 -37
+rect 1505 -71 1521 -37
+rect 1281 -109 1311 -87
+rect 1377 -109 1407 -83
+rect 1455 -87 1521 -71
+rect 1647 -37 1713 -21
+rect 1647 -71 1663 -37
+rect 1697 -71 1713 -37
+rect 1473 -109 1503 -87
+rect 1569 -109 1599 -83
+rect 1647 -87 1713 -71
+rect 1839 -37 1905 -21
+rect 1839 -71 1855 -37
+rect 1889 -71 1905 -37
+rect 1665 -109 1695 -87
+rect 1761 -109 1791 -83
+rect 1839 -87 1905 -71
+rect 1857 -109 1887 -87
+rect -1887 -331 -1857 -309
+rect -1905 -347 -1839 -331
+rect -1791 -335 -1761 -309
+rect -1695 -331 -1665 -309
+rect -1905 -381 -1889 -347
+rect -1855 -381 -1839 -347
+rect -1905 -397 -1839 -381
+rect -1713 -347 -1647 -331
+rect -1599 -335 -1569 -309
+rect -1503 -331 -1473 -309
+rect -1713 -381 -1697 -347
+rect -1663 -381 -1647 -347
+rect -1713 -397 -1647 -381
+rect -1521 -347 -1455 -331
+rect -1407 -335 -1377 -309
+rect -1311 -331 -1281 -309
+rect -1521 -381 -1505 -347
+rect -1471 -381 -1455 -347
+rect -1521 -397 -1455 -381
+rect -1329 -347 -1263 -331
+rect -1215 -335 -1185 -309
+rect -1119 -331 -1089 -309
+rect -1329 -381 -1313 -347
+rect -1279 -381 -1263 -347
+rect -1329 -397 -1263 -381
+rect -1137 -347 -1071 -331
+rect -1023 -335 -993 -309
+rect -927 -331 -897 -309
+rect -1137 -381 -1121 -347
+rect -1087 -381 -1071 -347
+rect -1137 -397 -1071 -381
+rect -945 -347 -879 -331
+rect -831 -335 -801 -309
+rect -735 -331 -705 -309
+rect -945 -381 -929 -347
+rect -895 -381 -879 -347
+rect -945 -397 -879 -381
+rect -753 -347 -687 -331
+rect -639 -335 -609 -309
+rect -543 -331 -513 -309
+rect -753 -381 -737 -347
+rect -703 -381 -687 -347
+rect -753 -397 -687 -381
+rect -561 -347 -495 -331
+rect -447 -335 -417 -309
+rect -351 -331 -321 -309
+rect -561 -381 -545 -347
+rect -511 -381 -495 -347
+rect -561 -397 -495 -381
+rect -369 -347 -303 -331
+rect -255 -335 -225 -309
+rect -159 -331 -129 -309
+rect -369 -381 -353 -347
+rect -319 -381 -303 -347
+rect -369 -397 -303 -381
+rect -177 -347 -111 -331
+rect -63 -335 -33 -309
+rect 33 -331 63 -309
+rect -177 -381 -161 -347
+rect -127 -381 -111 -347
+rect -177 -397 -111 -381
+rect 15 -347 81 -331
+rect 129 -335 159 -309
+rect 225 -331 255 -309
+rect 15 -381 31 -347
+rect 65 -381 81 -347
+rect 15 -397 81 -381
+rect 207 -347 273 -331
+rect 321 -335 351 -309
+rect 417 -331 447 -309
+rect 207 -381 223 -347
+rect 257 -381 273 -347
+rect 207 -397 273 -381
+rect 399 -347 465 -331
+rect 513 -335 543 -309
+rect 609 -331 639 -309
+rect 399 -381 415 -347
+rect 449 -381 465 -347
+rect 399 -397 465 -381
+rect 591 -347 657 -331
+rect 705 -335 735 -309
+rect 801 -331 831 -309
+rect 591 -381 607 -347
+rect 641 -381 657 -347
+rect 591 -397 657 -381
+rect 783 -347 849 -331
+rect 897 -335 927 -309
+rect 993 -331 1023 -309
+rect 783 -381 799 -347
+rect 833 -381 849 -347
+rect 783 -397 849 -381
+rect 975 -347 1041 -331
+rect 1089 -335 1119 -309
+rect 1185 -331 1215 -309
+rect 975 -381 991 -347
+rect 1025 -381 1041 -347
+rect 975 -397 1041 -381
+rect 1167 -347 1233 -331
+rect 1281 -335 1311 -309
+rect 1377 -331 1407 -309
+rect 1167 -381 1183 -347
+rect 1217 -381 1233 -347
+rect 1167 -397 1233 -381
+rect 1359 -347 1425 -331
+rect 1473 -335 1503 -309
+rect 1569 -331 1599 -309
+rect 1359 -381 1375 -347
+rect 1409 -381 1425 -347
+rect 1359 -397 1425 -381
+rect 1551 -347 1617 -331
+rect 1665 -335 1695 -309
+rect 1761 -331 1791 -309
+rect 1551 -381 1567 -347
+rect 1601 -381 1617 -347
+rect 1551 -397 1617 -381
+rect 1743 -347 1809 -331
+rect 1857 -335 1887 -309
+rect 1743 -381 1759 -347
+rect 1793 -381 1809 -347
+rect 1743 -397 1809 -381
+<< polycont >>
+rect -1889 347 -1855 381
+rect -1697 347 -1663 381
+rect -1505 347 -1471 381
+rect -1313 347 -1279 381
+rect -1121 347 -1087 381
+rect -929 347 -895 381
+rect -737 347 -703 381
+rect -545 347 -511 381
+rect -353 347 -319 381
+rect -161 347 -127 381
+rect 31 347 65 381
+rect 223 347 257 381
+rect 415 347 449 381
+rect 607 347 641 381
+rect 799 347 833 381
+rect 991 347 1025 381
+rect 1183 347 1217 381
+rect 1375 347 1409 381
+rect 1567 347 1601 381
+rect 1759 347 1793 381
+rect -1793 37 -1759 71
+rect -1601 37 -1567 71
+rect -1409 37 -1375 71
+rect -1217 37 -1183 71
+rect -1025 37 -991 71
+rect -833 37 -799 71
+rect -641 37 -607 71
+rect -449 37 -415 71
+rect -257 37 -223 71
+rect -65 37 -31 71
+rect 127 37 161 71
+rect 319 37 353 71
+rect 511 37 545 71
+rect 703 37 737 71
+rect 895 37 929 71
+rect 1087 37 1121 71
+rect 1279 37 1313 71
+rect 1471 37 1505 71
+rect 1663 37 1697 71
+rect 1855 37 1889 71
+rect -1793 -71 -1759 -37
+rect -1601 -71 -1567 -37
+rect -1409 -71 -1375 -37
+rect -1217 -71 -1183 -37
+rect -1025 -71 -991 -37
+rect -833 -71 -799 -37
+rect -641 -71 -607 -37
+rect -449 -71 -415 -37
+rect -257 -71 -223 -37
+rect -65 -71 -31 -37
+rect 127 -71 161 -37
+rect 319 -71 353 -37
+rect 511 -71 545 -37
+rect 703 -71 737 -37
+rect 895 -71 929 -37
+rect 1087 -71 1121 -37
+rect 1279 -71 1313 -37
+rect 1471 -71 1505 -37
+rect 1663 -71 1697 -37
+rect 1855 -71 1889 -37
+rect -1889 -381 -1855 -347
+rect -1697 -381 -1663 -347
+rect -1505 -381 -1471 -347
+rect -1313 -381 -1279 -347
+rect -1121 -381 -1087 -347
+rect -929 -381 -895 -347
+rect -737 -381 -703 -347
+rect -545 -381 -511 -347
+rect -353 -381 -319 -347
+rect -161 -381 -127 -347
+rect 31 -381 65 -347
+rect 223 -381 257 -347
+rect 415 -381 449 -347
+rect 607 -381 641 -347
+rect 799 -381 833 -347
+rect 991 -381 1025 -347
+rect 1183 -381 1217 -347
+rect 1375 -381 1409 -347
+rect 1567 -381 1601 -347
+rect 1759 -381 1793 -347
+<< locali >>
+rect -2051 449 -1955 483
+rect 1955 449 2051 483
+rect -2051 387 -2017 449
+rect 2017 387 2051 449
+rect -1905 347 -1889 381
+rect -1855 347 -1839 381
+rect -1713 347 -1697 381
+rect -1663 347 -1647 381
+rect -1521 347 -1505 381
+rect -1471 347 -1455 381
+rect -1329 347 -1313 381
+rect -1279 347 -1263 381
+rect -1137 347 -1121 381
+rect -1087 347 -1071 381
+rect -945 347 -929 381
+rect -895 347 -879 381
+rect -753 347 -737 381
+rect -703 347 -687 381
+rect -561 347 -545 381
+rect -511 347 -495 381
+rect -369 347 -353 381
+rect -319 347 -303 381
+rect -177 347 -161 381
+rect -127 347 -111 381
+rect 15 347 31 381
+rect 65 347 81 381
+rect 207 347 223 381
+rect 257 347 273 381
+rect 399 347 415 381
+rect 449 347 465 381
+rect 591 347 607 381
+rect 641 347 657 381
+rect 783 347 799 381
+rect 833 347 849 381
+rect 975 347 991 381
+rect 1025 347 1041 381
+rect 1167 347 1183 381
+rect 1217 347 1233 381
+rect 1359 347 1375 381
+rect 1409 347 1425 381
+rect 1551 347 1567 381
+rect 1601 347 1617 381
+rect 1743 347 1759 381
+rect 1793 347 1809 381
+rect -1937 297 -1903 313
+rect -1937 105 -1903 121
+rect -1841 297 -1807 313
+rect -1841 105 -1807 121
+rect -1745 297 -1711 313
+rect -1745 105 -1711 121
+rect -1649 297 -1615 313
+rect -1649 105 -1615 121
+rect -1553 297 -1519 313
+rect -1553 105 -1519 121
+rect -1457 297 -1423 313
+rect -1457 105 -1423 121
+rect -1361 297 -1327 313
+rect -1361 105 -1327 121
+rect -1265 297 -1231 313
+rect -1265 105 -1231 121
+rect -1169 297 -1135 313
+rect -1169 105 -1135 121
+rect -1073 297 -1039 313
+rect -1073 105 -1039 121
+rect -977 297 -943 313
+rect -977 105 -943 121
+rect -881 297 -847 313
+rect -881 105 -847 121
+rect -785 297 -751 313
+rect -785 105 -751 121
+rect -689 297 -655 313
+rect -689 105 -655 121
+rect -593 297 -559 313
+rect -593 105 -559 121
+rect -497 297 -463 313
+rect -497 105 -463 121
+rect -401 297 -367 313
+rect -401 105 -367 121
+rect -305 297 -271 313
+rect -305 105 -271 121
+rect -209 297 -175 313
+rect -209 105 -175 121
+rect -113 297 -79 313
+rect -113 105 -79 121
+rect -17 297 17 313
+rect -17 105 17 121
+rect 79 297 113 313
+rect 79 105 113 121
+rect 175 297 209 313
+rect 175 105 209 121
+rect 271 297 305 313
+rect 271 105 305 121
+rect 367 297 401 313
+rect 367 105 401 121
+rect 463 297 497 313
+rect 463 105 497 121
+rect 559 297 593 313
+rect 559 105 593 121
+rect 655 297 689 313
+rect 655 105 689 121
+rect 751 297 785 313
+rect 751 105 785 121
+rect 847 297 881 313
+rect 847 105 881 121
+rect 943 297 977 313
+rect 943 105 977 121
+rect 1039 297 1073 313
+rect 1039 105 1073 121
+rect 1135 297 1169 313
+rect 1135 105 1169 121
+rect 1231 297 1265 313
+rect 1231 105 1265 121
+rect 1327 297 1361 313
+rect 1327 105 1361 121
+rect 1423 297 1457 313
+rect 1423 105 1457 121
+rect 1519 297 1553 313
+rect 1519 105 1553 121
+rect 1615 297 1649 313
+rect 1615 105 1649 121
+rect 1711 297 1745 313
+rect 1711 105 1745 121
+rect 1807 297 1841 313
+rect 1807 105 1841 121
+rect 1903 297 1937 313
+rect 1903 105 1937 121
+rect -1809 37 -1793 71
+rect -1759 37 -1743 71
+rect -1617 37 -1601 71
+rect -1567 37 -1551 71
+rect -1425 37 -1409 71
+rect -1375 37 -1359 71
+rect -1233 37 -1217 71
+rect -1183 37 -1167 71
+rect -1041 37 -1025 71
+rect -991 37 -975 71
+rect -849 37 -833 71
+rect -799 37 -783 71
+rect -657 37 -641 71
+rect -607 37 -591 71
+rect -465 37 -449 71
+rect -415 37 -399 71
+rect -273 37 -257 71
+rect -223 37 -207 71
+rect -81 37 -65 71
+rect -31 37 -15 71
+rect 111 37 127 71
+rect 161 37 177 71
+rect 303 37 319 71
+rect 353 37 369 71
+rect 495 37 511 71
+rect 545 37 561 71
+rect 687 37 703 71
+rect 737 37 753 71
+rect 879 37 895 71
+rect 929 37 945 71
+rect 1071 37 1087 71
+rect 1121 37 1137 71
+rect 1263 37 1279 71
+rect 1313 37 1329 71
+rect 1455 37 1471 71
+rect 1505 37 1521 71
+rect 1647 37 1663 71
+rect 1697 37 1713 71
+rect 1839 37 1855 71
+rect 1889 37 1905 71
+rect -1809 -71 -1793 -37
+rect -1759 -71 -1743 -37
+rect -1617 -71 -1601 -37
+rect -1567 -71 -1551 -37
+rect -1425 -71 -1409 -37
+rect -1375 -71 -1359 -37
+rect -1233 -71 -1217 -37
+rect -1183 -71 -1167 -37
+rect -1041 -71 -1025 -37
+rect -991 -71 -975 -37
+rect -849 -71 -833 -37
+rect -799 -71 -783 -37
+rect -657 -71 -641 -37
+rect -607 -71 -591 -37
+rect -465 -71 -449 -37
+rect -415 -71 -399 -37
+rect -273 -71 -257 -37
+rect -223 -71 -207 -37
+rect -81 -71 -65 -37
+rect -31 -71 -15 -37
+rect 111 -71 127 -37
+rect 161 -71 177 -37
+rect 303 -71 319 -37
+rect 353 -71 369 -37
+rect 495 -71 511 -37
+rect 545 -71 561 -37
+rect 687 -71 703 -37
+rect 737 -71 753 -37
+rect 879 -71 895 -37
+rect 929 -71 945 -37
+rect 1071 -71 1087 -37
+rect 1121 -71 1137 -37
+rect 1263 -71 1279 -37
+rect 1313 -71 1329 -37
+rect 1455 -71 1471 -37
+rect 1505 -71 1521 -37
+rect 1647 -71 1663 -37
+rect 1697 -71 1713 -37
+rect 1839 -71 1855 -37
+rect 1889 -71 1905 -37
+rect -1937 -121 -1903 -105
+rect -1937 -313 -1903 -297
+rect -1841 -121 -1807 -105
+rect -1841 -313 -1807 -297
+rect -1745 -121 -1711 -105
+rect -1745 -313 -1711 -297
+rect -1649 -121 -1615 -105
+rect -1649 -313 -1615 -297
+rect -1553 -121 -1519 -105
+rect -1553 -313 -1519 -297
+rect -1457 -121 -1423 -105
+rect -1457 -313 -1423 -297
+rect -1361 -121 -1327 -105
+rect -1361 -313 -1327 -297
+rect -1265 -121 -1231 -105
+rect -1265 -313 -1231 -297
+rect -1169 -121 -1135 -105
+rect -1169 -313 -1135 -297
+rect -1073 -121 -1039 -105
+rect -1073 -313 -1039 -297
+rect -977 -121 -943 -105
+rect -977 -313 -943 -297
+rect -881 -121 -847 -105
+rect -881 -313 -847 -297
+rect -785 -121 -751 -105
+rect -785 -313 -751 -297
+rect -689 -121 -655 -105
+rect -689 -313 -655 -297
+rect -593 -121 -559 -105
+rect -593 -313 -559 -297
+rect -497 -121 -463 -105
+rect -497 -313 -463 -297
+rect -401 -121 -367 -105
+rect -401 -313 -367 -297
+rect -305 -121 -271 -105
+rect -305 -313 -271 -297
+rect -209 -121 -175 -105
+rect -209 -313 -175 -297
+rect -113 -121 -79 -105
+rect -113 -313 -79 -297
+rect -17 -121 17 -105
+rect -17 -313 17 -297
+rect 79 -121 113 -105
+rect 79 -313 113 -297
+rect 175 -121 209 -105
+rect 175 -313 209 -297
+rect 271 -121 305 -105
+rect 271 -313 305 -297
+rect 367 -121 401 -105
+rect 367 -313 401 -297
+rect 463 -121 497 -105
+rect 463 -313 497 -297
+rect 559 -121 593 -105
+rect 559 -313 593 -297
+rect 655 -121 689 -105
+rect 655 -313 689 -297
+rect 751 -121 785 -105
+rect 751 -313 785 -297
+rect 847 -121 881 -105
+rect 847 -313 881 -297
+rect 943 -121 977 -105
+rect 943 -313 977 -297
+rect 1039 -121 1073 -105
+rect 1039 -313 1073 -297
+rect 1135 -121 1169 -105
+rect 1135 -313 1169 -297
+rect 1231 -121 1265 -105
+rect 1231 -313 1265 -297
+rect 1327 -121 1361 -105
+rect 1327 -313 1361 -297
+rect 1423 -121 1457 -105
+rect 1423 -313 1457 -297
+rect 1519 -121 1553 -105
+rect 1519 -313 1553 -297
+rect 1615 -121 1649 -105
+rect 1615 -313 1649 -297
+rect 1711 -121 1745 -105
+rect 1711 -313 1745 -297
+rect 1807 -121 1841 -105
+rect 1807 -313 1841 -297
+rect 1903 -121 1937 -105
+rect 1903 -313 1937 -297
+rect -1905 -381 -1889 -347
+rect -1855 -381 -1839 -347
+rect -1713 -381 -1697 -347
+rect -1663 -381 -1647 -347
+rect -1521 -381 -1505 -347
+rect -1471 -381 -1455 -347
+rect -1329 -381 -1313 -347
+rect -1279 -381 -1263 -347
+rect -1137 -381 -1121 -347
+rect -1087 -381 -1071 -347
+rect -945 -381 -929 -347
+rect -895 -381 -879 -347
+rect -753 -381 -737 -347
+rect -703 -381 -687 -347
+rect -561 -381 -545 -347
+rect -511 -381 -495 -347
+rect -369 -381 -353 -347
+rect -319 -381 -303 -347
+rect -177 -381 -161 -347
+rect -127 -381 -111 -347
+rect 15 -381 31 -347
+rect 65 -381 81 -347
+rect 207 -381 223 -347
+rect 257 -381 273 -347
+rect 399 -381 415 -347
+rect 449 -381 465 -347
+rect 591 -381 607 -347
+rect 641 -381 657 -347
+rect 783 -381 799 -347
+rect 833 -381 849 -347
+rect 975 -381 991 -347
+rect 1025 -381 1041 -347
+rect 1167 -381 1183 -347
+rect 1217 -381 1233 -347
+rect 1359 -381 1375 -347
+rect 1409 -381 1425 -347
+rect 1551 -381 1567 -347
+rect 1601 -381 1617 -347
+rect 1743 -381 1759 -347
+rect 1793 -381 1809 -347
+rect -2051 -449 -2017 -387
+rect 2017 -449 2051 -387
+rect -2051 -483 -1955 -449
+rect 1955 -483 2051 -449
+<< viali >>
+rect -1889 347 -1855 381
+rect -1697 347 -1663 381
+rect -1505 347 -1471 381
+rect -1313 347 -1279 381
+rect -1121 347 -1087 381
+rect -929 347 -895 381
+rect -737 347 -703 381
+rect -545 347 -511 381
+rect -353 347 -319 381
+rect -161 347 -127 381
+rect 31 347 65 381
+rect 223 347 257 381
+rect 415 347 449 381
+rect 607 347 641 381
+rect 799 347 833 381
+rect 991 347 1025 381
+rect 1183 347 1217 381
+rect 1375 347 1409 381
+rect 1567 347 1601 381
+rect 1759 347 1793 381
+rect -1937 121 -1903 297
+rect -1841 121 -1807 297
+rect -1745 121 -1711 297
+rect -1649 121 -1615 297
+rect -1553 121 -1519 297
+rect -1457 121 -1423 297
+rect -1361 121 -1327 297
+rect -1265 121 -1231 297
+rect -1169 121 -1135 297
+rect -1073 121 -1039 297
+rect -977 121 -943 297
+rect -881 121 -847 297
+rect -785 121 -751 297
+rect -689 121 -655 297
+rect -593 121 -559 297
+rect -497 121 -463 297
+rect -401 121 -367 297
+rect -305 121 -271 297
+rect -209 121 -175 297
+rect -113 121 -79 297
+rect -17 121 17 297
+rect 79 121 113 297
+rect 175 121 209 297
+rect 271 121 305 297
+rect 367 121 401 297
+rect 463 121 497 297
+rect 559 121 593 297
+rect 655 121 689 297
+rect 751 121 785 297
+rect 847 121 881 297
+rect 943 121 977 297
+rect 1039 121 1073 297
+rect 1135 121 1169 297
+rect 1231 121 1265 297
+rect 1327 121 1361 297
+rect 1423 121 1457 297
+rect 1519 121 1553 297
+rect 1615 121 1649 297
+rect 1711 121 1745 297
+rect 1807 121 1841 297
+rect 1903 121 1937 297
+rect -1793 37 -1759 71
+rect -1601 37 -1567 71
+rect -1409 37 -1375 71
+rect -1217 37 -1183 71
+rect -1025 37 -991 71
+rect -833 37 -799 71
+rect -641 37 -607 71
+rect -449 37 -415 71
+rect -257 37 -223 71
+rect -65 37 -31 71
+rect 127 37 161 71
+rect 319 37 353 71
+rect 511 37 545 71
+rect 703 37 737 71
+rect 895 37 929 71
+rect 1087 37 1121 71
+rect 1279 37 1313 71
+rect 1471 37 1505 71
+rect 1663 37 1697 71
+rect 1855 37 1889 71
+rect -1793 -71 -1759 -37
+rect -1601 -71 -1567 -37
+rect -1409 -71 -1375 -37
+rect -1217 -71 -1183 -37
+rect -1025 -71 -991 -37
+rect -833 -71 -799 -37
+rect -641 -71 -607 -37
+rect -449 -71 -415 -37
+rect -257 -71 -223 -37
+rect -65 -71 -31 -37
+rect 127 -71 161 -37
+rect 319 -71 353 -37
+rect 511 -71 545 -37
+rect 703 -71 737 -37
+rect 895 -71 929 -37
+rect 1087 -71 1121 -37
+rect 1279 -71 1313 -37
+rect 1471 -71 1505 -37
+rect 1663 -71 1697 -37
+rect 1855 -71 1889 -37
+rect -1937 -297 -1903 -121
+rect -1841 -297 -1807 -121
+rect -1745 -297 -1711 -121
+rect -1649 -297 -1615 -121
+rect -1553 -297 -1519 -121
+rect -1457 -297 -1423 -121
+rect -1361 -297 -1327 -121
+rect -1265 -297 -1231 -121
+rect -1169 -297 -1135 -121
+rect -1073 -297 -1039 -121
+rect -977 -297 -943 -121
+rect -881 -297 -847 -121
+rect -785 -297 -751 -121
+rect -689 -297 -655 -121
+rect -593 -297 -559 -121
+rect -497 -297 -463 -121
+rect -401 -297 -367 -121
+rect -305 -297 -271 -121
+rect -209 -297 -175 -121
+rect -113 -297 -79 -121
+rect -17 -297 17 -121
+rect 79 -297 113 -121
+rect 175 -297 209 -121
+rect 271 -297 305 -121
+rect 367 -297 401 -121
+rect 463 -297 497 -121
+rect 559 -297 593 -121
+rect 655 -297 689 -121
+rect 751 -297 785 -121
+rect 847 -297 881 -121
+rect 943 -297 977 -121
+rect 1039 -297 1073 -121
+rect 1135 -297 1169 -121
+rect 1231 -297 1265 -121
+rect 1327 -297 1361 -121
+rect 1423 -297 1457 -121
+rect 1519 -297 1553 -121
+rect 1615 -297 1649 -121
+rect 1711 -297 1745 -121
+rect 1807 -297 1841 -121
+rect 1903 -297 1937 -121
+rect -1889 -381 -1855 -347
+rect -1697 -381 -1663 -347
+rect -1505 -381 -1471 -347
+rect -1313 -381 -1279 -347
+rect -1121 -381 -1087 -347
+rect -929 -381 -895 -347
+rect -737 -381 -703 -347
+rect -545 -381 -511 -347
+rect -353 -381 -319 -347
+rect -161 -381 -127 -347
+rect 31 -381 65 -347
+rect 223 -381 257 -347
+rect 415 -381 449 -347
+rect 607 -381 641 -347
+rect 799 -381 833 -347
+rect 991 -381 1025 -347
+rect 1183 -381 1217 -347
+rect 1375 -381 1409 -347
+rect 1567 -381 1601 -347
+rect 1759 -381 1793 -347
+<< metal1 >>
+rect -1901 381 -1843 387
+rect -1901 347 -1889 381
+rect -1855 347 -1843 381
+rect -1901 341 -1843 347
+rect -1709 381 -1651 387
+rect -1709 347 -1697 381
+rect -1663 347 -1651 381
+rect -1709 341 -1651 347
+rect -1517 381 -1459 387
+rect -1517 347 -1505 381
+rect -1471 347 -1459 381
+rect -1517 341 -1459 347
+rect -1325 381 -1267 387
+rect -1325 347 -1313 381
+rect -1279 347 -1267 381
+rect -1325 341 -1267 347
+rect -1133 381 -1075 387
+rect -1133 347 -1121 381
+rect -1087 347 -1075 381
+rect -1133 341 -1075 347
+rect -941 381 -883 387
+rect -941 347 -929 381
+rect -895 347 -883 381
+rect -941 341 -883 347
+rect -749 381 -691 387
+rect -749 347 -737 381
+rect -703 347 -691 381
+rect -749 341 -691 347
+rect -557 381 -499 387
+rect -557 347 -545 381
+rect -511 347 -499 381
+rect -557 341 -499 347
+rect -365 381 -307 387
+rect -365 347 -353 381
+rect -319 347 -307 381
+rect -365 341 -307 347
+rect -173 381 -115 387
+rect -173 347 -161 381
+rect -127 347 -115 381
+rect -173 341 -115 347
+rect 19 381 77 387
+rect 19 347 31 381
+rect 65 347 77 381
+rect 19 341 77 347
+rect 211 381 269 387
+rect 211 347 223 381
+rect 257 347 269 381
+rect 211 341 269 347
+rect 403 381 461 387
+rect 403 347 415 381
+rect 449 347 461 381
+rect 403 341 461 347
+rect 595 381 653 387
+rect 595 347 607 381
+rect 641 347 653 381
+rect 595 341 653 347
+rect 787 381 845 387
+rect 787 347 799 381
+rect 833 347 845 381
+rect 787 341 845 347
+rect 979 381 1037 387
+rect 979 347 991 381
+rect 1025 347 1037 381
+rect 979 341 1037 347
+rect 1171 381 1229 387
+rect 1171 347 1183 381
+rect 1217 347 1229 381
+rect 1171 341 1229 347
+rect 1363 381 1421 387
+rect 1363 347 1375 381
+rect 1409 347 1421 381
+rect 1363 341 1421 347
+rect 1555 381 1613 387
+rect 1555 347 1567 381
+rect 1601 347 1613 381
+rect 1555 341 1613 347
+rect 1747 381 1805 387
+rect 1747 347 1759 381
+rect 1793 347 1805 381
+rect 1747 341 1805 347
+rect -1943 297 -1897 309
+rect -1943 121 -1937 297
+rect -1903 121 -1897 297
+rect -1943 109 -1897 121
+rect -1847 297 -1801 309
+rect -1847 121 -1841 297
+rect -1807 121 -1801 297
+rect -1847 109 -1801 121
+rect -1751 297 -1705 309
+rect -1751 121 -1745 297
+rect -1711 121 -1705 297
+rect -1751 109 -1705 121
+rect -1655 297 -1609 309
+rect -1655 121 -1649 297
+rect -1615 121 -1609 297
+rect -1655 109 -1609 121
+rect -1559 297 -1513 309
+rect -1559 121 -1553 297
+rect -1519 121 -1513 297
+rect -1559 109 -1513 121
+rect -1463 297 -1417 309
+rect -1463 121 -1457 297
+rect -1423 121 -1417 297
+rect -1463 109 -1417 121
+rect -1367 297 -1321 309
+rect -1367 121 -1361 297
+rect -1327 121 -1321 297
+rect -1367 109 -1321 121
+rect -1271 297 -1225 309
+rect -1271 121 -1265 297
+rect -1231 121 -1225 297
+rect -1271 109 -1225 121
+rect -1175 297 -1129 309
+rect -1175 121 -1169 297
+rect -1135 121 -1129 297
+rect -1175 109 -1129 121
+rect -1079 297 -1033 309
+rect -1079 121 -1073 297
+rect -1039 121 -1033 297
+rect -1079 109 -1033 121
+rect -983 297 -937 309
+rect -983 121 -977 297
+rect -943 121 -937 297
+rect -983 109 -937 121
+rect -887 297 -841 309
+rect -887 121 -881 297
+rect -847 121 -841 297
+rect -887 109 -841 121
+rect -791 297 -745 309
+rect -791 121 -785 297
+rect -751 121 -745 297
+rect -791 109 -745 121
+rect -695 297 -649 309
+rect -695 121 -689 297
+rect -655 121 -649 297
+rect -695 109 -649 121
+rect -599 297 -553 309
+rect -599 121 -593 297
+rect -559 121 -553 297
+rect -599 109 -553 121
+rect -503 297 -457 309
+rect -503 121 -497 297
+rect -463 121 -457 297
+rect -503 109 -457 121
+rect -407 297 -361 309
+rect -407 121 -401 297
+rect -367 121 -361 297
+rect -407 109 -361 121
+rect -311 297 -265 309
+rect -311 121 -305 297
+rect -271 121 -265 297
+rect -311 109 -265 121
+rect -215 297 -169 309
+rect -215 121 -209 297
+rect -175 121 -169 297
+rect -215 109 -169 121
+rect -119 297 -73 309
+rect -119 121 -113 297
+rect -79 121 -73 297
+rect -119 109 -73 121
+rect -23 297 23 309
+rect -23 121 -17 297
+rect 17 121 23 297
+rect -23 109 23 121
+rect 73 297 119 309
+rect 73 121 79 297
+rect 113 121 119 297
+rect 73 109 119 121
+rect 169 297 215 309
+rect 169 121 175 297
+rect 209 121 215 297
+rect 169 109 215 121
+rect 265 297 311 309
+rect 265 121 271 297
+rect 305 121 311 297
+rect 265 109 311 121
+rect 361 297 407 309
+rect 361 121 367 297
+rect 401 121 407 297
+rect 361 109 407 121
+rect 457 297 503 309
+rect 457 121 463 297
+rect 497 121 503 297
+rect 457 109 503 121
+rect 553 297 599 309
+rect 553 121 559 297
+rect 593 121 599 297
+rect 553 109 599 121
+rect 649 297 695 309
+rect 649 121 655 297
+rect 689 121 695 297
+rect 649 109 695 121
+rect 745 297 791 309
+rect 745 121 751 297
+rect 785 121 791 297
+rect 745 109 791 121
+rect 841 297 887 309
+rect 841 121 847 297
+rect 881 121 887 297
+rect 841 109 887 121
+rect 937 297 983 309
+rect 937 121 943 297
+rect 977 121 983 297
+rect 937 109 983 121
+rect 1033 297 1079 309
+rect 1033 121 1039 297
+rect 1073 121 1079 297
+rect 1033 109 1079 121
+rect 1129 297 1175 309
+rect 1129 121 1135 297
+rect 1169 121 1175 297
+rect 1129 109 1175 121
+rect 1225 297 1271 309
+rect 1225 121 1231 297
+rect 1265 121 1271 297
+rect 1225 109 1271 121
+rect 1321 297 1367 309
+rect 1321 121 1327 297
+rect 1361 121 1367 297
+rect 1321 109 1367 121
+rect 1417 297 1463 309
+rect 1417 121 1423 297
+rect 1457 121 1463 297
+rect 1417 109 1463 121
+rect 1513 297 1559 309
+rect 1513 121 1519 297
+rect 1553 121 1559 297
+rect 1513 109 1559 121
+rect 1609 297 1655 309
+rect 1609 121 1615 297
+rect 1649 121 1655 297
+rect 1609 109 1655 121
+rect 1705 297 1751 309
+rect 1705 121 1711 297
+rect 1745 121 1751 297
+rect 1705 109 1751 121
+rect 1801 297 1847 309
+rect 1801 121 1807 297
+rect 1841 121 1847 297
+rect 1801 109 1847 121
+rect 1897 297 1943 309
+rect 1897 121 1903 297
+rect 1937 121 1943 297
+rect 1897 109 1943 121
+rect -1805 71 -1747 77
+rect -1805 37 -1793 71
+rect -1759 37 -1747 71
+rect -1805 31 -1747 37
+rect -1613 71 -1555 77
+rect -1613 37 -1601 71
+rect -1567 37 -1555 71
+rect -1613 31 -1555 37
+rect -1421 71 -1363 77
+rect -1421 37 -1409 71
+rect -1375 37 -1363 71
+rect -1421 31 -1363 37
+rect -1229 71 -1171 77
+rect -1229 37 -1217 71
+rect -1183 37 -1171 71
+rect -1229 31 -1171 37
+rect -1037 71 -979 77
+rect -1037 37 -1025 71
+rect -991 37 -979 71
+rect -1037 31 -979 37
+rect -845 71 -787 77
+rect -845 37 -833 71
+rect -799 37 -787 71
+rect -845 31 -787 37
+rect -653 71 -595 77
+rect -653 37 -641 71
+rect -607 37 -595 71
+rect -653 31 -595 37
+rect -461 71 -403 77
+rect -461 37 -449 71
+rect -415 37 -403 71
+rect -461 31 -403 37
+rect -269 71 -211 77
+rect -269 37 -257 71
+rect -223 37 -211 71
+rect -269 31 -211 37
+rect -77 71 -19 77
+rect -77 37 -65 71
+rect -31 37 -19 71
+rect -77 31 -19 37
+rect 115 71 173 77
+rect 115 37 127 71
+rect 161 37 173 71
+rect 115 31 173 37
+rect 307 71 365 77
+rect 307 37 319 71
+rect 353 37 365 71
+rect 307 31 365 37
+rect 499 71 557 77
+rect 499 37 511 71
+rect 545 37 557 71
+rect 499 31 557 37
+rect 691 71 749 77
+rect 691 37 703 71
+rect 737 37 749 71
+rect 691 31 749 37
+rect 883 71 941 77
+rect 883 37 895 71
+rect 929 37 941 71
+rect 883 31 941 37
+rect 1075 71 1133 77
+rect 1075 37 1087 71
+rect 1121 37 1133 71
+rect 1075 31 1133 37
+rect 1267 71 1325 77
+rect 1267 37 1279 71
+rect 1313 37 1325 71
+rect 1267 31 1325 37
+rect 1459 71 1517 77
+rect 1459 37 1471 71
+rect 1505 37 1517 71
+rect 1459 31 1517 37
+rect 1651 71 1709 77
+rect 1651 37 1663 71
+rect 1697 37 1709 71
+rect 1651 31 1709 37
+rect 1843 71 1901 77
+rect 1843 37 1855 71
+rect 1889 37 1901 71
+rect 1843 31 1901 37
+rect -1805 -37 -1747 -31
+rect -1805 -71 -1793 -37
+rect -1759 -71 -1747 -37
+rect -1805 -77 -1747 -71
+rect -1613 -37 -1555 -31
+rect -1613 -71 -1601 -37
+rect -1567 -71 -1555 -37
+rect -1613 -77 -1555 -71
+rect -1421 -37 -1363 -31
+rect -1421 -71 -1409 -37
+rect -1375 -71 -1363 -37
+rect -1421 -77 -1363 -71
+rect -1229 -37 -1171 -31
+rect -1229 -71 -1217 -37
+rect -1183 -71 -1171 -37
+rect -1229 -77 -1171 -71
+rect -1037 -37 -979 -31
+rect -1037 -71 -1025 -37
+rect -991 -71 -979 -37
+rect -1037 -77 -979 -71
+rect -845 -37 -787 -31
+rect -845 -71 -833 -37
+rect -799 -71 -787 -37
+rect -845 -77 -787 -71
+rect -653 -37 -595 -31
+rect -653 -71 -641 -37
+rect -607 -71 -595 -37
+rect -653 -77 -595 -71
+rect -461 -37 -403 -31
+rect -461 -71 -449 -37
+rect -415 -71 -403 -37
+rect -461 -77 -403 -71
+rect -269 -37 -211 -31
+rect -269 -71 -257 -37
+rect -223 -71 -211 -37
+rect -269 -77 -211 -71
+rect -77 -37 -19 -31
+rect -77 -71 -65 -37
+rect -31 -71 -19 -37
+rect -77 -77 -19 -71
+rect 115 -37 173 -31
+rect 115 -71 127 -37
+rect 161 -71 173 -37
+rect 115 -77 173 -71
+rect 307 -37 365 -31
+rect 307 -71 319 -37
+rect 353 -71 365 -37
+rect 307 -77 365 -71
+rect 499 -37 557 -31
+rect 499 -71 511 -37
+rect 545 -71 557 -37
+rect 499 -77 557 -71
+rect 691 -37 749 -31
+rect 691 -71 703 -37
+rect 737 -71 749 -37
+rect 691 -77 749 -71
+rect 883 -37 941 -31
+rect 883 -71 895 -37
+rect 929 -71 941 -37
+rect 883 -77 941 -71
+rect 1075 -37 1133 -31
+rect 1075 -71 1087 -37
+rect 1121 -71 1133 -37
+rect 1075 -77 1133 -71
+rect 1267 -37 1325 -31
+rect 1267 -71 1279 -37
+rect 1313 -71 1325 -37
+rect 1267 -77 1325 -71
+rect 1459 -37 1517 -31
+rect 1459 -71 1471 -37
+rect 1505 -71 1517 -37
+rect 1459 -77 1517 -71
+rect 1651 -37 1709 -31
+rect 1651 -71 1663 -37
+rect 1697 -71 1709 -37
+rect 1651 -77 1709 -71
+rect 1843 -37 1901 -31
+rect 1843 -71 1855 -37
+rect 1889 -71 1901 -37
+rect 1843 -77 1901 -71
+rect -1943 -121 -1897 -109
+rect -1943 -297 -1937 -121
+rect -1903 -297 -1897 -121
+rect -1943 -309 -1897 -297
+rect -1847 -121 -1801 -109
+rect -1847 -297 -1841 -121
+rect -1807 -297 -1801 -121
+rect -1847 -309 -1801 -297
+rect -1751 -121 -1705 -109
+rect -1751 -297 -1745 -121
+rect -1711 -297 -1705 -121
+rect -1751 -309 -1705 -297
+rect -1655 -121 -1609 -109
+rect -1655 -297 -1649 -121
+rect -1615 -297 -1609 -121
+rect -1655 -309 -1609 -297
+rect -1559 -121 -1513 -109
+rect -1559 -297 -1553 -121
+rect -1519 -297 -1513 -121
+rect -1559 -309 -1513 -297
+rect -1463 -121 -1417 -109
+rect -1463 -297 -1457 -121
+rect -1423 -297 -1417 -121
+rect -1463 -309 -1417 -297
+rect -1367 -121 -1321 -109
+rect -1367 -297 -1361 -121
+rect -1327 -297 -1321 -121
+rect -1367 -309 -1321 -297
+rect -1271 -121 -1225 -109
+rect -1271 -297 -1265 -121
+rect -1231 -297 -1225 -121
+rect -1271 -309 -1225 -297
+rect -1175 -121 -1129 -109
+rect -1175 -297 -1169 -121
+rect -1135 -297 -1129 -121
+rect -1175 -309 -1129 -297
+rect -1079 -121 -1033 -109
+rect -1079 -297 -1073 -121
+rect -1039 -297 -1033 -121
+rect -1079 -309 -1033 -297
+rect -983 -121 -937 -109
+rect -983 -297 -977 -121
+rect -943 -297 -937 -121
+rect -983 -309 -937 -297
+rect -887 -121 -841 -109
+rect -887 -297 -881 -121
+rect -847 -297 -841 -121
+rect -887 -309 -841 -297
+rect -791 -121 -745 -109
+rect -791 -297 -785 -121
+rect -751 -297 -745 -121
+rect -791 -309 -745 -297
+rect -695 -121 -649 -109
+rect -695 -297 -689 -121
+rect -655 -297 -649 -121
+rect -695 -309 -649 -297
+rect -599 -121 -553 -109
+rect -599 -297 -593 -121
+rect -559 -297 -553 -121
+rect -599 -309 -553 -297
+rect -503 -121 -457 -109
+rect -503 -297 -497 -121
+rect -463 -297 -457 -121
+rect -503 -309 -457 -297
+rect -407 -121 -361 -109
+rect -407 -297 -401 -121
+rect -367 -297 -361 -121
+rect -407 -309 -361 -297
+rect -311 -121 -265 -109
+rect -311 -297 -305 -121
+rect -271 -297 -265 -121
+rect -311 -309 -265 -297
+rect -215 -121 -169 -109
+rect -215 -297 -209 -121
+rect -175 -297 -169 -121
+rect -215 -309 -169 -297
+rect -119 -121 -73 -109
+rect -119 -297 -113 -121
+rect -79 -297 -73 -121
+rect -119 -309 -73 -297
+rect -23 -121 23 -109
+rect -23 -297 -17 -121
+rect 17 -297 23 -121
+rect -23 -309 23 -297
+rect 73 -121 119 -109
+rect 73 -297 79 -121
+rect 113 -297 119 -121
+rect 73 -309 119 -297
+rect 169 -121 215 -109
+rect 169 -297 175 -121
+rect 209 -297 215 -121
+rect 169 -309 215 -297
+rect 265 -121 311 -109
+rect 265 -297 271 -121
+rect 305 -297 311 -121
+rect 265 -309 311 -297
+rect 361 -121 407 -109
+rect 361 -297 367 -121
+rect 401 -297 407 -121
+rect 361 -309 407 -297
+rect 457 -121 503 -109
+rect 457 -297 463 -121
+rect 497 -297 503 -121
+rect 457 -309 503 -297
+rect 553 -121 599 -109
+rect 553 -297 559 -121
+rect 593 -297 599 -121
+rect 553 -309 599 -297
+rect 649 -121 695 -109
+rect 649 -297 655 -121
+rect 689 -297 695 -121
+rect 649 -309 695 -297
+rect 745 -121 791 -109
+rect 745 -297 751 -121
+rect 785 -297 791 -121
+rect 745 -309 791 -297
+rect 841 -121 887 -109
+rect 841 -297 847 -121
+rect 881 -297 887 -121
+rect 841 -309 887 -297
+rect 937 -121 983 -109
+rect 937 -297 943 -121
+rect 977 -297 983 -121
+rect 937 -309 983 -297
+rect 1033 -121 1079 -109
+rect 1033 -297 1039 -121
+rect 1073 -297 1079 -121
+rect 1033 -309 1079 -297
+rect 1129 -121 1175 -109
+rect 1129 -297 1135 -121
+rect 1169 -297 1175 -121
+rect 1129 -309 1175 -297
+rect 1225 -121 1271 -109
+rect 1225 -297 1231 -121
+rect 1265 -297 1271 -121
+rect 1225 -309 1271 -297
+rect 1321 -121 1367 -109
+rect 1321 -297 1327 -121
+rect 1361 -297 1367 -121
+rect 1321 -309 1367 -297
+rect 1417 -121 1463 -109
+rect 1417 -297 1423 -121
+rect 1457 -297 1463 -121
+rect 1417 -309 1463 -297
+rect 1513 -121 1559 -109
+rect 1513 -297 1519 -121
+rect 1553 -297 1559 -121
+rect 1513 -309 1559 -297
+rect 1609 -121 1655 -109
+rect 1609 -297 1615 -121
+rect 1649 -297 1655 -121
+rect 1609 -309 1655 -297
+rect 1705 -121 1751 -109
+rect 1705 -297 1711 -121
+rect 1745 -297 1751 -121
+rect 1705 -309 1751 -297
+rect 1801 -121 1847 -109
+rect 1801 -297 1807 -121
+rect 1841 -297 1847 -121
+rect 1801 -309 1847 -297
+rect 1897 -121 1943 -109
+rect 1897 -297 1903 -121
+rect 1937 -297 1943 -121
+rect 1897 -309 1943 -297
+rect -1901 -347 -1843 -341
+rect -1901 -381 -1889 -347
+rect -1855 -381 -1843 -347
+rect -1901 -387 -1843 -381
+rect -1709 -347 -1651 -341
+rect -1709 -381 -1697 -347
+rect -1663 -381 -1651 -347
+rect -1709 -387 -1651 -381
+rect -1517 -347 -1459 -341
+rect -1517 -381 -1505 -347
+rect -1471 -381 -1459 -347
+rect -1517 -387 -1459 -381
+rect -1325 -347 -1267 -341
+rect -1325 -381 -1313 -347
+rect -1279 -381 -1267 -347
+rect -1325 -387 -1267 -381
+rect -1133 -347 -1075 -341
+rect -1133 -381 -1121 -347
+rect -1087 -381 -1075 -347
+rect -1133 -387 -1075 -381
+rect -941 -347 -883 -341
+rect -941 -381 -929 -347
+rect -895 -381 -883 -347
+rect -941 -387 -883 -381
+rect -749 -347 -691 -341
+rect -749 -381 -737 -347
+rect -703 -381 -691 -347
+rect -749 -387 -691 -381
+rect -557 -347 -499 -341
+rect -557 -381 -545 -347
+rect -511 -381 -499 -347
+rect -557 -387 -499 -381
+rect -365 -347 -307 -341
+rect -365 -381 -353 -347
+rect -319 -381 -307 -347
+rect -365 -387 -307 -381
+rect -173 -347 -115 -341
+rect -173 -381 -161 -347
+rect -127 -381 -115 -347
+rect -173 -387 -115 -381
+rect 19 -347 77 -341
+rect 19 -381 31 -347
+rect 65 -381 77 -347
+rect 19 -387 77 -381
+rect 211 -347 269 -341
+rect 211 -381 223 -347
+rect 257 -381 269 -347
+rect 211 -387 269 -381
+rect 403 -347 461 -341
+rect 403 -381 415 -347
+rect 449 -381 461 -347
+rect 403 -387 461 -381
+rect 595 -347 653 -341
+rect 595 -381 607 -347
+rect 641 -381 653 -347
+rect 595 -387 653 -381
+rect 787 -347 845 -341
+rect 787 -381 799 -347
+rect 833 -381 845 -347
+rect 787 -387 845 -381
+rect 979 -347 1037 -341
+rect 979 -381 991 -347
+rect 1025 -381 1037 -347
+rect 979 -387 1037 -381
+rect 1171 -347 1229 -341
+rect 1171 -381 1183 -347
+rect 1217 -381 1229 -347
+rect 1171 -387 1229 -381
+rect 1363 -347 1421 -341
+rect 1363 -381 1375 -347
+rect 1409 -381 1421 -347
+rect 1363 -387 1421 -381
+rect 1555 -347 1613 -341
+rect 1555 -381 1567 -347
+rect 1601 -381 1613 -347
+rect 1555 -387 1613 -381
+rect 1747 -347 1805 -341
+rect 1747 -381 1759 -347
+rect 1793 -381 1805 -347
+rect 1747 -387 1805 -381
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -2034 -466 2034 466
+string parameters w 1 l 0.150 m 2 nf 40 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 1 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_DXA56D.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_DXA56D.mag
new file mode 100644
index 0000000..f257bf6
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_DXA56D.mag
@@ -0,0 +1,108 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623431064
+<< pwell >>
+rect -359 -252 359 252
+<< nmos >>
+rect -159 -42 -129 42
+rect -63 -42 -33 42
+rect 33 -42 63 42
+rect 129 -42 159 42
+<< ndiff >>
+rect -221 30 -159 42
+rect -221 -30 -209 30
+rect -175 -30 -159 30
+rect -221 -42 -159 -30
+rect -129 30 -63 42
+rect -129 -30 -113 30
+rect -79 -30 -63 30
+rect -129 -42 -63 -30
+rect -33 30 33 42
+rect -33 -30 -17 30
+rect 17 -30 33 30
+rect -33 -42 33 -30
+rect 63 30 129 42
+rect 63 -30 79 30
+rect 113 -30 129 30
+rect 63 -42 129 -30
+rect 159 30 221 42
+rect 159 -30 175 30
+rect 209 -30 221 30
+rect 159 -42 221 -30
+<< ndiffc >>
+rect -209 -30 -175 30
+rect -113 -30 -79 30
+rect -17 -30 17 30
+rect 79 -30 113 30
+rect 175 -30 209 30
+<< psubdiff >>
+rect -323 120 -289 182
+rect 289 120 323 182
+rect -323 -182 -289 -120
+rect 289 -182 323 -120
+rect -323 -216 -227 -182
+rect 227 -216 323 -182
+<< psubdiffcont >>
+rect -323 -120 -289 120
+rect 289 -120 323 120
+rect -227 -216 227 -182
+<< poly >>
+rect -159 42 -129 68
+rect -63 42 -33 68
+rect 33 42 63 68
+rect 129 42 159 68
+rect -159 -68 -129 -42
+rect -63 -68 -33 -42
+rect 33 -68 63 -42
+rect 129 -68 159 -42
+<< locali >>
+rect -323 120 -289 182
+rect 289 120 323 182
+rect -209 30 -175 46
+rect -209 -46 -175 -30
+rect -113 30 -79 46
+rect -113 -46 -79 -30
+rect -17 30 17 46
+rect -17 -46 17 -30
+rect 79 30 113 46
+rect 79 -46 113 -30
+rect 175 30 209 46
+rect 175 -46 209 -30
+rect -323 -182 -289 -120
+rect 289 -182 323 -120
+rect -323 -216 -227 -182
+rect 227 -216 323 -182
+<< viali >>
+rect -209 -30 -175 30
+rect -113 -30 -79 30
+rect -17 -30 17 30
+rect 79 -30 113 30
+rect 175 -30 209 30
+<< metal1 >>
+rect -215 30 -169 42
+rect -215 -30 -209 30
+rect -175 -30 -169 30
+rect -215 -42 -169 -30
+rect -119 30 -73 42
+rect -119 -30 -113 30
+rect -79 -30 -73 30
+rect -119 -42 -73 -30
+rect -23 30 23 42
+rect -23 -30 -17 30
+rect 17 -30 23 30
+rect -23 -42 23 -30
+rect 73 30 119 42
+rect 73 -30 79 30
+rect 113 -30 119 30
+rect 73 -42 119 -30
+rect 169 30 215 42
+rect 169 -30 175 30
+rect 209 -30 215 30
+rect 169 -42 215 -30
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -306 -199 306 199
+string parameters w 0.420 l 0.150 m 1 nf 4 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 0 gbc 1 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_HAN8QX.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_HAN8QX.mag
new file mode 100644
index 0000000..2eb0a16
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_HAN8QX.mag
@@ -0,0 +1,78 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623900471
+<< pwell >>
+rect -211 -290 211 290
+<< nmos >>
+rect -15 -142 15 80
+<< ndiff >>
+rect -73 68 -15 80
+rect -73 -130 -61 68
+rect -27 -130 -15 68
+rect -73 -142 -15 -130
+rect 15 68 73 80
+rect 15 -130 27 68
+rect 61 -130 73 68
+rect 15 -142 73 -130
+<< ndiffc >>
+rect -61 -130 -27 68
+rect 27 -130 61 68
+<< psubdiff >>
+rect -175 220 175 254
+rect -175 158 -141 220
+rect 141 158 175 220
+rect -175 -220 -141 -158
+rect 141 -220 175 -158
+rect -175 -254 -79 -220
+rect 79 -254 175 -220
+<< psubdiffcont >>
+rect -175 -158 -141 158
+rect 141 -158 175 158
+rect -79 -254 79 -220
+<< poly >>
+rect -33 152 33 168
+rect -33 118 -17 152
+rect 17 118 33 152
+rect -33 102 33 118
+rect -15 80 15 102
+rect -15 -168 15 -142
+<< polycont >>
+rect -17 118 17 152
+<< locali >>
+rect -175 220 175 254
+rect -175 158 -141 220
+rect 141 158 175 220
+rect -33 118 -17 152
+rect 17 118 33 152
+rect -61 68 -27 84
+rect -61 -146 -27 -130
+rect 27 68 61 84
+rect 27 -146 61 -130
+rect -175 -220 -141 -158
+rect 141 -220 175 -158
+rect -175 -254 -79 -220
+rect 79 -254 175 -220
+<< viali >>
+rect -17 118 17 152
+rect -61 -130 -27 68
+rect 27 -130 61 68
+<< metal1 >>
+rect -33 152 33 162
+rect -33 118 -17 152
+rect 17 118 33 152
+rect -33 108 33 118
+rect -67 68 -21 80
+rect -67 -130 -61 68
+rect -27 -130 -21 68
+rect -67 -142 -21 -130
+rect 21 68 67 80
+rect 21 -130 27 68
+rect 61 -130 67 68
+rect 21 -142 67 -130
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -158 -237 158 237
+string parameters w 1.11 l 0.150 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 0 gbc 1 tbcov 100 rlcov 100 topc 1 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_KU9PSX.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_KU9PSX.mag
new file mode 100644
index 0000000..45ca065
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_KU9PSX.mag
@@ -0,0 +1,103 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623610677
+<< pwell >>
+rect -263 -305 263 305
+<< nmos >>
+rect -63 -95 -33 95
+rect 33 -95 63 95
+<< ndiff >>
+rect -125 83 -63 95
+rect -125 -83 -113 83
+rect -79 -83 -63 83
+rect -125 -95 -63 -83
+rect -33 83 33 95
+rect -33 -83 -17 83
+rect 17 -83 33 83
+rect -33 -95 33 -83
+rect 63 83 125 95
+rect 63 -83 79 83
+rect 113 -83 125 83
+rect 63 -95 125 -83
+<< ndiffc >>
+rect -113 -83 -79 83
+rect -17 -83 17 83
+rect 79 -83 113 83
+<< psubdiff >>
+rect -227 173 -193 235
+rect -227 -235 -193 -173
+rect -227 -269 -168 -235
+rect 178 -269 227 -235
+<< psubdiffcont >>
+rect -227 -173 -193 173
+rect -168 -269 178 -235
+<< poly >>
+rect -63 95 -33 121
+rect 33 95 63 121
+rect -63 -117 -33 -95
+rect 33 -117 63 -95
+rect -81 -133 81 -117
+rect -81 -167 -65 -133
+rect 65 -167 81 -133
+rect -81 -183 81 -167
+<< polycont >>
+rect -65 -167 65 -133
+<< locali >>
+rect -227 173 -193 235
+rect -113 83 -79 99
+rect -113 -99 -79 -83
+rect -17 83 17 99
+rect -17 -99 17 -83
+rect 79 83 113 99
+rect 79 -99 113 -83
+rect -81 -167 -65 -133
+rect 65 -167 81 -133
+rect -227 -235 -193 -173
+rect -227 -269 -168 -235
+rect 178 -269 227 -235
+<< viali >>
+rect -113 -83 -79 83
+rect -17 -83 17 83
+rect 79 -83 113 83
+rect -65 -167 65 -133
+<< metal1 >>
+rect -119 84 -73 95
+rect -132 -85 -122 84
+rect -70 -85 -60 84
+rect -23 83 23 95
+rect 73 84 119 95
+rect -23 -83 -17 83
+rect 17 -83 23 83
+rect -119 -95 -73 -85
+rect -23 -95 23 -83
+rect 60 -85 70 84
+rect 122 -85 132 84
+rect 73 -95 119 -85
+rect -77 -133 77 -127
+rect -77 -167 -65 -133
+rect 65 -167 77 -133
+rect -77 -173 77 -167
+<< via1 >>
+rect -122 83 -70 84
+rect -122 -83 -113 83
+rect -113 -83 -79 83
+rect -79 -83 -70 83
+rect -122 -85 -70 -83
+rect 70 83 122 84
+rect 70 -83 79 83
+rect 79 -83 113 83
+rect 113 -83 122 83
+rect 70 -85 122 -83
+<< metal2 >>
+rect -122 84 -70 94
+rect 70 84 122 94
+rect -70 -85 70 84
+rect -122 -95 -70 -85
+rect 70 -95 122 -85
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -210 -252 210 252
+string parameters w 0.95 l 0.150 m 1 nf 2 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_L78GGD.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_L78GGD.mag
new file mode 100644
index 0000000..9d64ed3
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_L78GGD.mag
@@ -0,0 +1,82 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1620330026
+<< error_p >>
+rect -29 83 29 89
+rect -29 49 -17 83
+rect -29 43 29 49
+<< pwell >>
+rect -211 -221 211 221
+<< nmos >>
+rect -15 -73 15 11
+<< ndiff >>
+rect -73 -1 -15 11
+rect -73 -61 -61 -1
+rect -27 -61 -15 -1
+rect -73 -73 -15 -61
+rect 15 -1 73 11
+rect 15 -61 27 -1
+rect 61 -61 73 -1
+rect 15 -73 73 -61
+<< ndiffc >>
+rect -61 -61 -27 -1
+rect 27 -61 61 -1
+<< psubdiff >>
+rect -175 151 175 185
+rect -175 89 -141 151
+rect 141 89 175 151
+rect -175 -151 -141 -89
+rect 141 -151 175 -89
+rect -175 -185 -79 -151
+rect 79 -185 175 -151
+<< psubdiffcont >>
+rect -175 -89 -141 89
+rect 141 -89 175 89
+rect -79 -185 79 -151
+<< poly >>
+rect -33 83 33 99
+rect -33 49 -17 83
+rect 17 49 33 83
+rect -33 33 33 49
+rect -15 11 15 33
+rect -15 -99 15 -73
+<< polycont >>
+rect -17 49 17 83
+<< locali >>
+rect -175 151 175 185
+rect -175 89 -141 151
+rect 141 89 175 151
+rect -33 49 -17 83
+rect 17 49 33 83
+rect -61 -1 -27 15
+rect -61 -77 -27 -61
+rect 27 -1 61 15
+rect 27 -77 61 -61
+rect -175 -151 -141 -89
+rect 141 -151 175 -89
+rect -175 -185 -79 -151
+rect 79 -185 175 -151
+<< viali >>
+rect -17 49 17 83
+rect -61 -61 -27 -1
+rect 27 -61 61 -1
+<< metal1 >>
+rect -29 83 29 89
+rect -29 49 -17 83
+rect 17 49 29 83
+rect -29 43 29 49
+rect -67 -1 -21 11
+rect -67 -61 -61 -1
+rect -27 -61 -21 -1
+rect -67 -73 -21 -61
+rect 21 -1 67 11
+rect 21 -61 27 -1
+rect 61 -61 67 -1
+rect 21 -73 67 -61
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -158 -168 158 168
+string parameters w 0.42 l 0.15 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 0 gbc 1 tbcov 100 rlcov 100 topc 1 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_QQE8KM.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_QQE8KM.mag
new file mode 100644
index 0000000..ada88f4
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_QQE8KM.mag
@@ -0,0 +1,290 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624046389
+<< pwell >>
+rect -935 -252 935 252
+<< nmos >>
+rect -735 -42 -705 42
+rect -639 -42 -609 42
+rect -543 -42 -513 42
+rect -447 -42 -417 42
+rect -351 -42 -321 42
+rect -255 -42 -225 42
+rect -159 -42 -129 42
+rect -63 -42 -33 42
+rect 33 -42 63 42
+rect 129 -42 159 42
+rect 225 -42 255 42
+rect 321 -42 351 42
+rect 417 -42 447 42
+rect 513 -42 543 42
+rect 609 -42 639 42
+rect 705 -42 735 42
+<< ndiff >>
+rect -797 30 -735 42
+rect -797 -30 -785 30
+rect -751 -30 -735 30
+rect -797 -42 -735 -30
+rect -705 30 -639 42
+rect -705 -30 -689 30
+rect -655 -30 -639 30
+rect -705 -42 -639 -30
+rect -609 30 -543 42
+rect -609 -30 -593 30
+rect -559 -30 -543 30
+rect -609 -42 -543 -30
+rect -513 30 -447 42
+rect -513 -30 -497 30
+rect -463 -30 -447 30
+rect -513 -42 -447 -30
+rect -417 30 -351 42
+rect -417 -30 -401 30
+rect -367 -30 -351 30
+rect -417 -42 -351 -30
+rect -321 30 -255 42
+rect -321 -30 -305 30
+rect -271 -30 -255 30
+rect -321 -42 -255 -30
+rect -225 30 -159 42
+rect -225 -30 -209 30
+rect -175 -30 -159 30
+rect -225 -42 -159 -30
+rect -129 30 -63 42
+rect -129 -30 -113 30
+rect -79 -30 -63 30
+rect -129 -42 -63 -30
+rect -33 30 33 42
+rect -33 -30 -17 30
+rect 17 -30 33 30
+rect -33 -42 33 -30
+rect 63 30 129 42
+rect 63 -30 79 30
+rect 113 -30 129 30
+rect 63 -42 129 -30
+rect 159 30 225 42
+rect 159 -30 175 30
+rect 209 -30 225 30
+rect 159 -42 225 -30
+rect 255 30 321 42
+rect 255 -30 271 30
+rect 305 -30 321 30
+rect 255 -42 321 -30
+rect 351 30 417 42
+rect 351 -30 367 30
+rect 401 -30 417 30
+rect 351 -42 417 -30
+rect 447 30 513 42
+rect 447 -30 463 30
+rect 497 -30 513 30
+rect 447 -42 513 -30
+rect 543 30 609 42
+rect 543 -30 559 30
+rect 593 -30 609 30
+rect 543 -42 609 -30
+rect 639 30 705 42
+rect 639 -30 655 30
+rect 689 -30 705 30
+rect 639 -42 705 -30
+rect 735 30 797 42
+rect 735 -30 751 30
+rect 785 -30 797 30
+rect 735 -42 797 -30
+<< ndiffc >>
+rect -785 -30 -751 30
+rect -689 -30 -655 30
+rect -593 -30 -559 30
+rect -497 -30 -463 30
+rect -401 -30 -367 30
+rect -305 -30 -271 30
+rect -209 -30 -175 30
+rect -113 -30 -79 30
+rect -17 -30 17 30
+rect 79 -30 113 30
+rect 175 -30 209 30
+rect 271 -30 305 30
+rect 367 -30 401 30
+rect 463 -30 497 30
+rect 559 -30 593 30
+rect 655 -30 689 30
+rect 751 -30 785 30
+<< psubdiff >>
+rect -899 120 -865 216
+rect 865 120 899 216
+rect -899 -182 -865 -120
+rect 865 -182 899 -120
+rect -899 -216 -803 -182
+rect 803 -216 899 -182
+<< psubdiffcont >>
+rect -899 -120 -865 120
+rect 865 -120 899 120
+rect -803 -216 803 -182
+<< poly >>
+rect -757 64 753 130
+rect -735 42 -705 64
+rect -639 42 -609 64
+rect -543 42 -513 64
+rect -447 42 -417 64
+rect -351 42 -321 64
+rect -255 42 -225 64
+rect -159 42 -129 64
+rect -63 42 -33 64
+rect 33 42 63 64
+rect 129 42 159 64
+rect 225 42 255 64
+rect 321 42 351 64
+rect 417 42 447 64
+rect 513 42 543 64
+rect 609 42 639 64
+rect 705 42 735 64
+rect -735 -64 -705 -42
+rect -639 -64 -609 -42
+rect -543 -64 -513 -42
+rect -447 -64 -417 -42
+rect -351 -64 -321 -42
+rect -255 -64 -225 -42
+rect -159 -64 -129 -42
+rect -63 -64 -33 -42
+rect 33 -64 63 -42
+rect 129 -64 159 -42
+rect 225 -64 255 -42
+rect 321 -64 351 -42
+rect 417 -64 447 -42
+rect 513 -64 543 -42
+rect 609 -64 639 -42
+rect 705 -64 735 -42
+rect -753 -130 757 -64
+<< locali >>
+rect -899 120 -865 216
+rect 865 120 899 216
+rect -785 30 -751 46
+rect -785 -46 -751 -30
+rect -689 30 -655 46
+rect -689 -46 -655 -30
+rect -593 30 -559 46
+rect -593 -46 -559 -30
+rect -497 30 -463 46
+rect -497 -46 -463 -30
+rect -401 30 -367 46
+rect -401 -46 -367 -30
+rect -305 30 -271 46
+rect -305 -46 -271 -30
+rect -209 30 -175 46
+rect -209 -46 -175 -30
+rect -113 30 -79 46
+rect -113 -46 -79 -30
+rect -17 30 17 46
+rect -17 -46 17 -30
+rect 79 30 113 46
+rect 79 -46 113 -30
+rect 175 30 209 46
+rect 175 -46 209 -30
+rect 271 30 305 46
+rect 271 -46 305 -30
+rect 367 30 401 46
+rect 367 -46 401 -30
+rect 463 30 497 46
+rect 463 -46 497 -30
+rect 559 30 593 46
+rect 559 -46 593 -30
+rect 655 30 689 46
+rect 655 -46 689 -30
+rect 751 30 785 46
+rect 751 -46 785 -30
+rect -899 -182 -865 -120
+rect 865 -182 899 -120
+rect -899 -216 -803 -182
+rect 803 -216 899 -182
+<< viali >>
+rect -785 -30 -751 30
+rect -689 -30 -655 30
+rect -593 -30 -559 30
+rect -497 -30 -463 30
+rect -401 -30 -367 30
+rect -305 -30 -271 30
+rect -209 -30 -175 30
+rect -113 -30 -79 30
+rect -17 -30 17 30
+rect 79 -30 113 30
+rect 175 -30 209 30
+rect 271 -30 305 30
+rect 367 -30 401 30
+rect 463 -30 497 30
+rect 559 -30 593 30
+rect 655 -30 689 30
+rect 751 -30 785 30
+<< metal1 >>
+rect -791 30 -745 42
+rect -791 -30 -785 30
+rect -751 -30 -745 30
+rect -791 -42 -745 -30
+rect -695 30 -649 42
+rect -695 -30 -689 30
+rect -655 -30 -649 30
+rect -695 -42 -649 -30
+rect -599 30 -553 42
+rect -599 -30 -593 30
+rect -559 -30 -553 30
+rect -599 -42 -553 -30
+rect -503 30 -457 42
+rect -503 -30 -497 30
+rect -463 -30 -457 30
+rect -503 -42 -457 -30
+rect -407 30 -361 42
+rect -407 -30 -401 30
+rect -367 -30 -361 30
+rect -407 -42 -361 -30
+rect -311 30 -265 42
+rect -311 -30 -305 30
+rect -271 -30 -265 30
+rect -311 -42 -265 -30
+rect -215 30 -169 42
+rect -215 -30 -209 30
+rect -175 -30 -169 30
+rect -215 -42 -169 -30
+rect -119 30 -73 42
+rect -119 -30 -113 30
+rect -79 -30 -73 30
+rect -119 -42 -73 -30
+rect -23 30 23 42
+rect -23 -30 -17 30
+rect 17 -30 23 30
+rect -23 -42 23 -30
+rect 73 30 119 42
+rect 73 -30 79 30
+rect 113 -30 119 30
+rect 73 -42 119 -30
+rect 169 30 215 42
+rect 169 -30 175 30
+rect 209 -30 215 30
+rect 169 -42 215 -30
+rect 265 30 311 42
+rect 265 -30 271 30
+rect 305 -30 311 30
+rect 265 -42 311 -30
+rect 361 30 407 42
+rect 361 -30 367 30
+rect 401 -30 407 30
+rect 361 -42 407 -30
+rect 457 30 503 42
+rect 457 -30 463 30
+rect 497 -30 503 30
+rect 457 -42 503 -30
+rect 553 30 599 42
+rect 553 -30 559 30
+rect 593 -30 599 30
+rect 553 -42 599 -30
+rect 649 30 695 42
+rect 649 -30 655 30
+rect 689 -30 695 30
+rect 649 -42 695 -30
+rect 745 30 791 42
+rect 745 -30 751 30
+rect 785 -30 791 30
+rect 745 -42 791 -30
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -882 -199 882 199
+string parameters w 0.420 l 0.150 m 1 nf 16 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 0 gbc 1 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_XRJ78J.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_XRJ78J.mag
new file mode 100644
index 0000000..455b180
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_XRJ78J.mag
@@ -0,0 +1,74 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623948006
+<< pwell >>
+rect -263 -312 263 312
+<< nmos >>
+rect -63 -102 -33 102
+rect 33 -102 63 102
+<< ndiff >>
+rect -125 90 -63 102
+rect -125 -90 -113 90
+rect -79 -90 -63 90
+rect -125 -102 -63 -90
+rect -33 90 33 102
+rect -33 -90 -17 90
+rect 17 -90 33 90
+rect -33 -102 33 -90
+rect 63 90 125 102
+rect 63 -90 79 90
+rect 113 -90 125 90
+rect 63 -102 125 -90
+<< ndiffc >>
+rect -113 -90 -79 90
+rect -17 -90 17 90
+rect 79 -90 113 90
+<< psubdiff >>
+rect -227 180 -193 276
+rect -227 -242 -193 -180
+rect -227 -276 -131 -242
+rect 131 -276 263 -242
+<< psubdiffcont >>
+rect -227 -180 -193 180
+rect -131 -276 131 -242
+<< poly >>
+rect -81 124 81 190
+rect -63 102 -33 124
+rect 33 102 63 124
+rect -63 -128 -33 -102
+rect 33 -128 63 -102
+<< locali >>
+rect -227 180 -193 276
+rect -113 90 -79 106
+rect -113 -106 -79 -90
+rect -17 90 17 106
+rect -17 -106 17 -90
+rect 79 90 113 106
+rect 79 -106 113 -90
+rect -227 -242 -193 -180
+rect -227 -276 -131 -242
+rect 131 -276 263 -242
+<< viali >>
+rect -113 -90 -79 90
+rect -17 -90 17 90
+rect 79 -90 113 90
+<< metal1 >>
+rect -119 90 -73 102
+rect -119 -90 -113 90
+rect -79 -90 -73 90
+rect -119 -102 -73 -90
+rect -23 90 23 102
+rect -23 -90 -17 90
+rect 17 -90 23 90
+rect -23 -102 23 -90
+rect 73 90 119 102
+rect 73 -90 79 90
+rect 113 -90 119 90
+rect 73 -102 119 -90
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8
+string FIXED_BBOX -210 -259 210 259
+string parameters w 1.02 l 0.150 m 1 nf 2 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 0 gbc 1 tbcov 100 rlcov 100 topc 1 botc 0 poverlap 1 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_2AA63D.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_2AA63D.mag
new file mode 100644
index 0000000..12c5656
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_2AA63D.mag
@@ -0,0 +1,100 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623961588
+<< error_p >>
+rect -29 122 29 128
+rect -29 88 -17 122
+rect -29 82 29 88
+rect -29 -88 29 -82
+rect -29 -122 -17 -88
+rect -29 -128 29 -122
+<< pwell >>
+rect -211 -260 211 260
+<< nmoslvt >>
+rect -15 -50 15 50
+<< ndiff >>
+rect -73 38 -15 50
+rect -73 -38 -61 38
+rect -27 -38 -15 38
+rect -73 -50 -15 -38
+rect 15 38 73 50
+rect 15 -38 27 38
+rect 61 -38 73 38
+rect 15 -50 73 -38
+<< ndiffc >>
+rect -61 -38 -27 38
+rect 27 -38 61 38
+<< psubdiff >>
+rect -175 190 -79 224
+rect 79 190 175 224
+rect -175 128 -141 190
+rect 141 128 175 190
+rect -175 -190 -141 -128
+rect 141 -190 175 -128
+rect -175 -224 -79 -190
+rect 79 -224 175 -190
+<< psubdiffcont >>
+rect -79 190 79 224
+rect -175 -128 -141 128
+rect 141 -128 175 128
+rect -79 -224 79 -190
+<< poly >>
+rect -33 122 33 138
+rect -33 88 -17 122
+rect 17 88 33 122
+rect -33 72 33 88
+rect -15 50 15 72
+rect -15 -72 15 -50
+rect -33 -88 33 -72
+rect -33 -122 -17 -88
+rect 17 -122 33 -88
+rect -33 -138 33 -122
+<< polycont >>
+rect -17 88 17 122
+rect -17 -122 17 -88
+<< locali >>
+rect -175 190 -79 224
+rect 79 190 175 224
+rect -175 128 -141 190
+rect 141 128 175 190
+rect -33 88 -17 122
+rect 17 88 33 122
+rect -61 38 -27 54
+rect -61 -54 -27 -38
+rect 27 38 61 54
+rect 27 -54 61 -38
+rect -33 -122 -17 -88
+rect 17 -122 33 -88
+rect -175 -190 -141 -128
+rect 141 -190 175 -128
+rect -175 -224 -79 -190
+rect 79 -224 175 -190
+<< viali >>
+rect -17 88 17 122
+rect -61 -38 -27 38
+rect 27 -38 61 38
+rect -17 -122 17 -88
+<< metal1 >>
+rect -29 122 29 128
+rect -29 88 -17 122
+rect 17 88 29 122
+rect -29 82 29 88
+rect -67 38 -21 50
+rect -67 -38 -61 38
+rect -27 -38 -21 38
+rect -67 -50 -21 -38
+rect 21 38 67 50
+rect 21 -38 27 38
+rect 61 -38 67 38
+rect 21 -50 67 -38
+rect -29 -88 29 -82
+rect -29 -122 -17 -88
+rect 17 -122 29 -88
+rect -29 -128 29 -122
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string FIXED_BBOX -158 -207 158 207
+string parameters w 0.5 l 0.150 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_2AP43D.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_2AP43D.mag
new file mode 100644
index 0000000..94610b8
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_2AP43D.mag
@@ -0,0 +1,85 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623961588
+<< error_p >>
+rect -29 91 29 97
+rect -29 57 -17 91
+rect -29 51 29 57
+<< pwell >>
+rect -211 -229 211 229
+<< nmoslvt >>
+rect -15 -81 15 19
+<< ndiff >>
+rect -73 7 -15 19
+rect -73 -69 -61 7
+rect -27 -69 -15 7
+rect -73 -81 -15 -69
+rect 15 7 73 19
+rect 15 -69 27 7
+rect 61 -69 73 7
+rect 15 -81 73 -69
+<< ndiffc >>
+rect -61 -69 -27 7
+rect 27 -69 61 7
+<< psubdiff >>
+rect -175 159 -79 193
+rect 79 159 175 193
+rect -175 97 -141 159
+rect 141 97 175 159
+rect -175 -159 -141 -97
+rect 141 -159 175 -97
+rect -175 -193 -79 -159
+rect 79 -193 175 -159
+<< psubdiffcont >>
+rect -79 159 79 193
+rect -175 -97 -141 97
+rect 141 -97 175 97
+rect -79 -193 79 -159
+<< poly >>
+rect -33 91 33 107
+rect -33 57 -17 91
+rect 17 57 33 91
+rect -33 41 33 57
+rect -15 19 15 41
+rect -15 -107 15 -81
+<< polycont >>
+rect -17 57 17 91
+<< locali >>
+rect -175 159 -79 193
+rect 79 159 175 193
+rect -175 97 -141 159
+rect 141 97 175 159
+rect -33 57 -17 91
+rect 17 57 33 91
+rect -61 7 -27 23
+rect -61 -85 -27 -69
+rect 27 7 61 23
+rect 27 -85 61 -69
+rect -175 -159 -141 -97
+rect 141 -159 175 -97
+rect -175 -193 -79 -159
+rect 79 -193 175 -159
+<< viali >>
+rect -17 57 17 91
+rect -61 -69 -27 7
+rect 27 -69 61 7
+<< metal1 >>
+rect -29 91 29 97
+rect -29 57 -17 91
+rect 17 57 29 91
+rect -29 51 29 57
+rect -67 7 -21 19
+rect -67 -69 -61 7
+rect -27 -69 -21 7
+rect -67 -81 -21 -69
+rect 21 7 67 19
+rect 21 -69 27 7
+rect 61 -69 67 7
+rect 21 -81 67 -69
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string FIXED_BBOX -158 -176 158 176
+string parameters w 0.5 l 0.150 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_595QY5.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_595QY5.mag
new file mode 100644
index 0000000..94cc2aa
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_595QY5.mag
@@ -0,0 +1,157 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624030292
+<< pwell >>
+rect -407 -310 407 310
+<< nmoslvt >>
+rect -207 -100 -177 100
+rect -111 -100 -81 100
+rect -15 -100 15 100
+rect 81 -100 111 100
+rect 177 -100 207 100
+<< ndiff >>
+rect -269 88 -207 100
+rect -269 -88 -257 88
+rect -223 -88 -207 88
+rect -269 -100 -207 -88
+rect -177 88 -111 100
+rect -177 -88 -161 88
+rect -127 -88 -111 88
+rect -177 -100 -111 -88
+rect -81 88 -15 100
+rect -81 -88 -65 88
+rect -31 -88 -15 88
+rect -81 -100 -15 -88
+rect 15 88 81 100
+rect 15 -88 31 88
+rect 65 -88 81 88
+rect 15 -100 81 -88
+rect 111 88 177 100
+rect 111 -88 127 88
+rect 161 -88 177 88
+rect 111 -100 177 -88
+rect 207 88 269 100
+rect 207 -88 223 88
+rect 257 -88 269 88
+rect 207 -100 269 -88
+<< ndiffc >>
+rect -257 -88 -223 88
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+rect 223 -88 257 88
+<< psubdiff >>
+rect -371 240 -275 274
+rect 275 240 371 274
+rect -371 178 -337 240
+rect 337 178 371 240
+rect -371 -240 -337 -178
+rect 337 -240 371 -178
+rect -371 -274 -275 -240
+rect 275 -274 371 -240
+<< psubdiffcont >>
+rect -275 240 275 274
+rect -371 -178 -337 178
+rect 337 -178 371 178
+rect -275 -274 275 -240
+<< poly >>
+rect -207 100 -177 126
+rect -111 100 -81 126
+rect -15 100 15 126
+rect 81 100 111 126
+rect 177 100 207 126
+rect -207 -122 -177 -100
+rect -111 -122 -81 -100
+rect -15 -122 15 -100
+rect 81 -122 111 -100
+rect 177 -122 207 -100
+rect -225 -138 225 -122
+rect -225 -172 -209 -138
+rect -175 -172 -113 -138
+rect -79 -172 -17 -138
+rect 17 -172 79 -138
+rect 113 -172 175 -138
+rect 209 -172 225 -138
+rect -225 -188 225 -172
+<< polycont >>
+rect -209 -172 -175 -138
+rect -113 -172 -79 -138
+rect -17 -172 17 -138
+rect 79 -172 113 -138
+rect 175 -172 209 -138
+<< locali >>
+rect -371 240 -275 274
+rect 275 240 371 274
+rect -371 178 -337 240
+rect 337 178 371 240
+rect -257 88 -223 104
+rect -257 -104 -223 -88
+rect -161 88 -127 104
+rect -161 -104 -127 -88
+rect -65 88 -31 104
+rect -65 -104 -31 -88
+rect 31 88 65 104
+rect 31 -104 65 -88
+rect 127 88 161 104
+rect 127 -104 161 -88
+rect 223 88 257 104
+rect 223 -104 257 -88
+rect -225 -172 -209 -138
+rect 209 -172 225 -138
+rect -371 -240 -337 -178
+rect 337 -240 371 -178
+rect -371 -274 -275 -240
+rect 275 -274 371 -240
+<< viali >>
+rect -257 -88 -223 88
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+rect 223 -88 257 88
+rect -209 -172 -175 -138
+rect -175 -172 -113 -138
+rect -113 -172 -79 -138
+rect -79 -172 -17 -138
+rect -17 -172 17 -138
+rect 17 -172 79 -138
+rect 79 -172 113 -138
+rect 113 -172 175 -138
+rect 175 -172 209 -138
+<< metal1 >>
+rect -263 88 -217 100
+rect -263 -88 -257 88
+rect -223 -88 -217 88
+rect -263 -100 -217 -88
+rect -167 88 -121 100
+rect -167 -88 -161 88
+rect -127 -88 -121 88
+rect -167 -100 -121 -88
+rect -71 88 -25 100
+rect -71 -88 -65 88
+rect -31 -88 -25 88
+rect -71 -100 -25 -88
+rect 25 88 71 100
+rect 25 -88 31 88
+rect 65 -88 71 88
+rect 25 -100 71 -88
+rect 121 88 167 100
+rect 121 -88 127 88
+rect 161 -88 167 88
+rect 121 -100 167 -88
+rect 217 88 263 100
+rect 217 -88 223 88
+rect 257 -88 263 88
+rect 217 -100 263 -88
+rect -221 -138 221 -132
+rect -221 -172 -209 -138
+rect 209 -172 221 -138
+rect -221 -178 221 -172
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string FIXED_BBOX -354 -257 354 257
+string parameters w 1 l 0.150 m 1 nf 5 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_72JNYZ.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_72JNYZ.mag
new file mode 100644
index 0000000..943a5fc
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_72JNYZ.mag
@@ -0,0 +1,119 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624032293
+<< pwell >>
+rect -311 -310 311 310
+<< nmoslvt >>
+rect -111 -100 -81 100
+rect -15 -100 15 100
+rect 81 -100 111 100
+<< ndiff >>
+rect -173 88 -111 100
+rect -173 -88 -161 88
+rect -127 -88 -111 88
+rect -173 -100 -111 -88
+rect -81 88 -15 100
+rect -81 -88 -65 88
+rect -31 -88 -15 88
+rect -81 -100 -15 -88
+rect 15 88 81 100
+rect 15 -88 31 88
+rect 65 -88 81 88
+rect 15 -100 81 -88
+rect 111 88 173 100
+rect 111 -88 127 88
+rect 161 -88 173 88
+rect 111 -100 173 -88
+<< ndiffc >>
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+<< psubdiff >>
+rect -275 240 -179 274
+rect 179 240 275 274
+rect -275 178 -241 240
+rect 241 178 275 240
+rect -275 -240 -241 -178
+rect 241 -240 275 -178
+rect -275 -274 -179 -240
+rect 179 -274 275 -240
+<< psubdiffcont >>
+rect -179 240 179 274
+rect -275 -178 -241 178
+rect 241 -178 275 178
+rect -179 -274 179 -240
+<< poly >>
+rect -128 172 130 188
+rect -128 138 -112 172
+rect -78 138 -17 172
+rect 17 138 80 172
+rect 114 138 130 172
+rect -128 122 130 138
+rect -111 100 -81 122
+rect -15 100 15 122
+rect 81 100 111 122
+rect -111 -126 -81 -100
+rect -15 -126 15 -100
+rect 81 -126 111 -100
+<< polycont >>
+rect -112 138 -78 172
+rect -17 138 17 172
+rect 80 138 114 172
+<< locali >>
+rect -275 240 -179 274
+rect 179 240 275 274
+rect -275 178 -241 240
+rect 241 178 275 240
+rect -128 138 -112 172
+rect 114 138 130 172
+rect -161 88 -127 104
+rect -161 -104 -127 -88
+rect -65 88 -31 104
+rect -65 -104 -31 -88
+rect 31 88 65 104
+rect 31 -104 65 -88
+rect 127 88 161 104
+rect 127 -104 161 -88
+rect -275 -240 -241 -178
+rect 241 -240 275 -178
+rect -275 -274 -179 -240
+rect 179 -274 275 -240
+<< viali >>
+rect -112 138 -78 172
+rect -78 138 -17 172
+rect -17 138 17 172
+rect 17 138 80 172
+rect 80 138 114 172
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+<< metal1 >>
+rect -124 172 126 178
+rect -124 138 -112 172
+rect 114 138 126 172
+rect -124 132 126 138
+rect -167 88 -121 100
+rect -167 -88 -161 88
+rect -127 -88 -121 88
+rect -167 -100 -121 -88
+rect -71 88 -25 100
+rect -71 -88 -65 88
+rect -31 -88 -25 88
+rect -71 -100 -25 -88
+rect 25 88 71 100
+rect 25 -88 31 88
+rect 65 -88 71 88
+rect 25 -100 71 -88
+rect 121 88 167 100
+rect 121 -88 127 88
+rect 161 -88 167 88
+rect 121 -100 167 -88
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string FIXED_BBOX -258 -257 258 257
+string parameters w 1 l 0.150 m 1 nf 3 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_7BR53M.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_7BR53M.mag
new file mode 100644
index 0000000..c259292
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_7BR53M.mag
@@ -0,0 +1,158 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623956551
+<< pwell >>
+rect -455 -310 455 310
+<< nmoslvt >>
+rect -255 -100 -225 100
+rect -159 -100 -129 100
+rect -63 -100 -33 100
+rect 33 -100 63 100
+rect 129 -100 159 100
+rect 225 -100 255 100
+<< ndiff >>
+rect -317 88 -255 100
+rect -317 -88 -305 88
+rect -271 -88 -255 88
+rect -317 -100 -255 -88
+rect -225 88 -159 100
+rect -225 -88 -209 88
+rect -175 -88 -159 88
+rect -225 -100 -159 -88
+rect -129 88 -63 100
+rect -129 -88 -113 88
+rect -79 -88 -63 88
+rect -129 -100 -63 -88
+rect -33 88 33 100
+rect -33 -88 -17 88
+rect 17 -88 33 88
+rect -33 -100 33 -88
+rect 63 88 129 100
+rect 63 -88 79 88
+rect 113 -88 129 88
+rect 63 -100 129 -88
+rect 159 88 225 100
+rect 159 -88 175 88
+rect 209 -88 225 88
+rect 159 -100 225 -88
+rect 255 88 317 100
+rect 255 -88 271 88
+rect 305 -88 317 88
+rect 255 -100 317 -88
+<< ndiffc >>
+rect -305 -88 -271 88
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+rect 271 -88 305 88
+<< psubdiff >>
+rect -419 240 -323 274
+rect 323 240 419 274
+rect -419 178 -385 240
+rect 385 178 419 240
+rect -419 -240 -385 -178
+rect 385 -240 419 -178
+rect -419 -274 -323 -240
+rect 323 -274 419 -240
+<< psubdiffcont >>
+rect -323 240 323 274
+rect -419 -178 -385 178
+rect 385 -178 419 178
+rect -323 -274 323 -240
+<< poly >>
+rect -269 178 273 188
+rect -269 144 -242 178
+rect 242 144 273 178
+rect -269 122 273 144
+rect -255 100 -225 122
+rect -159 100 -129 122
+rect -63 100 -33 122
+rect 33 100 63 122
+rect 129 100 159 122
+rect 225 100 255 122
+rect -255 -126 -225 -100
+rect -159 -126 -129 -100
+rect -63 -126 -33 -100
+rect 33 -126 63 -100
+rect 129 -126 159 -100
+rect 225 -126 255 -100
+<< polycont >>
+rect -242 144 242 178
+<< locali >>
+rect -419 240 -323 274
+rect 323 240 419 274
+rect -419 178 -385 240
+rect 385 178 419 240
+rect -305 88 -271 104
+rect -305 -104 -271 -88
+rect -209 88 -175 104
+rect -209 -104 -175 -88
+rect -113 88 -79 104
+rect -113 -104 -79 -88
+rect -17 88 17 104
+rect -17 -104 17 -88
+rect 79 88 113 104
+rect 79 -104 113 -88
+rect 175 88 209 104
+rect 175 -104 209 -88
+rect 271 88 305 104
+rect 271 -104 305 -88
+rect -419 -240 -385 -178
+rect 385 -240 419 -178
+rect -419 -274 -323 -240
+rect 323 -274 419 -240
+<< viali >>
+rect -260 178 261 188
+rect -260 144 -242 178
+rect -242 144 242 178
+rect 242 144 261 178
+rect -260 139 261 144
+rect -305 -88 -271 88
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+rect 271 -88 305 88
+<< metal1 >>
+rect -272 188 273 194
+rect -272 139 -260 188
+rect 261 139 273 188
+rect -272 133 273 139
+rect -311 88 -265 100
+rect -311 -88 -305 88
+rect -271 -88 -265 88
+rect -311 -100 -265 -88
+rect -215 88 -169 100
+rect -215 -88 -209 88
+rect -175 -88 -169 88
+rect -215 -100 -169 -88
+rect -119 88 -73 100
+rect -119 -88 -113 88
+rect -79 -88 -73 88
+rect -119 -100 -73 -88
+rect -23 88 23 100
+rect -23 -88 -17 88
+rect 17 -88 23 88
+rect -23 -100 23 -88
+rect 73 88 119 100
+rect 73 -88 79 88
+rect 113 -88 119 88
+rect 73 -100 119 -88
+rect 169 88 215 100
+rect 169 -88 175 88
+rect 209 -88 215 88
+rect 169 -100 215 -88
+rect 265 88 311 100
+rect 265 -88 271 88
+rect 305 -88 311 88
+rect 265 -100 311 -88
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string FIXED_BBOX -402 -257 402 257
+string parameters w 1 l 0.150 m 1 nf 6 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_9B2JY7.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_9B2JY7.mag
new file mode 100644
index 0000000..0c7c631
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_9B2JY7.mag
@@ -0,0 +1,181 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624020979
+<< pwell >>
+rect -455 188 455 310
+rect -455 122 -205 188
+rect -203 122 -15 188
+rect -12 122 178 188
+rect 180 122 455 188
+rect -455 -310 455 122
+<< nmoslvt >>
+rect -255 -100 -225 100
+rect -159 -100 -129 100
+rect -63 -100 -33 100
+rect 33 -100 63 100
+rect 129 -100 159 100
+rect 225 -100 255 100
+<< ndiff >>
+rect -317 88 -255 100
+rect -317 -88 -305 88
+rect -271 -88 -255 88
+rect -317 -100 -255 -88
+rect -225 88 -159 100
+rect -225 -88 -209 88
+rect -175 -88 -159 88
+rect -225 -100 -159 -88
+rect -129 88 -63 100
+rect -129 -88 -113 88
+rect -79 -88 -63 88
+rect -129 -100 -63 -88
+rect -33 88 33 100
+rect -33 -88 -17 88
+rect 17 -88 33 88
+rect -33 -100 33 -88
+rect 63 88 129 100
+rect 63 -88 79 88
+rect 113 -88 129 88
+rect 63 -100 129 -88
+rect 159 88 225 100
+rect 159 -88 175 88
+rect 209 -88 225 88
+rect 159 -100 225 -88
+rect 255 88 317 100
+rect 255 -88 271 88
+rect 305 -88 317 88
+rect 255 -100 317 -88
+<< ndiffc >>
+rect -305 -88 -271 88
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+rect 271 -88 305 88
+<< psubdiff >>
+rect -419 240 -323 274
+rect 323 240 419 274
+rect -419 178 -385 240
+rect 385 178 419 240
+rect -419 -240 -385 -178
+rect 385 -240 419 -178
+rect -419 -274 -323 -240
+rect 323 -274 419 -240
+<< psubdiffcont >>
+rect -323 240 323 274
+rect -419 -178 -385 178
+rect 385 -178 419 178
+rect -323 -274 323 -240
+<< poly >>
+rect -271 172 273 188
+rect -271 138 -255 172
+rect -221 138 -161 172
+rect -127 138 -65 172
+rect -31 138 31 172
+rect 65 138 128 172
+rect 162 138 223 172
+rect 257 138 273 172
+rect -271 122 273 138
+rect -255 100 -225 122
+rect -159 100 -129 122
+rect -63 100 -33 122
+rect 33 100 63 122
+rect 129 100 159 122
+rect 225 100 255 122
+rect -255 -126 -225 -100
+rect -159 -126 -129 -100
+rect -63 -126 -33 -100
+rect 33 -126 63 -100
+rect 129 -126 159 -100
+rect 225 -126 255 -100
+<< polycont >>
+rect -255 138 -221 172
+rect -161 138 -127 172
+rect -65 138 -31 172
+rect 31 138 65 172
+rect 128 138 162 172
+rect 223 138 257 172
+<< locali >>
+rect -419 240 -323 274
+rect 323 240 419 274
+rect -419 178 -385 240
+rect 385 178 419 240
+rect -271 138 -255 172
+rect 257 138 273 172
+rect -305 88 -271 104
+rect -305 -104 -271 -88
+rect -209 88 -175 104
+rect -209 -104 -175 -88
+rect -113 88 -79 104
+rect -113 -104 -79 -88
+rect -17 88 17 104
+rect -17 -104 17 -88
+rect 79 88 113 104
+rect 79 -104 113 -88
+rect 175 88 209 104
+rect 175 -104 209 -88
+rect 271 88 305 104
+rect 271 -104 305 -88
+rect -419 -240 -385 -178
+rect 385 -240 419 -178
+rect -419 -274 -323 -240
+rect 323 -274 419 -240
+<< viali >>
+rect -255 138 -221 172
+rect -221 138 -161 172
+rect -161 138 -127 172
+rect -127 138 -65 172
+rect -65 138 -31 172
+rect -31 138 31 172
+rect 31 138 65 172
+rect 65 138 128 172
+rect 128 138 162 172
+rect 162 138 223 172
+rect 223 138 257 172
+rect -305 -88 -271 88
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+rect 271 -88 305 88
+<< metal1 >>
+rect -267 172 269 178
+rect -267 138 -255 172
+rect 257 138 269 172
+rect -267 132 269 138
+rect -311 88 -265 100
+rect -311 -88 -305 88
+rect -271 -88 -265 88
+rect -311 -100 -265 -88
+rect -215 88 -169 100
+rect -215 -88 -209 88
+rect -175 -88 -169 88
+rect -215 -100 -169 -88
+rect -119 88 -73 100
+rect -119 -88 -113 88
+rect -79 -88 -73 88
+rect -119 -100 -73 -88
+rect -23 88 23 100
+rect -23 -88 -17 88
+rect 17 -88 23 88
+rect -23 -100 23 -88
+rect 73 88 119 100
+rect 73 -88 79 88
+rect 113 -88 119 88
+rect 73 -100 119 -88
+rect 169 88 215 100
+rect 169 -88 175 88
+rect 209 -88 215 88
+rect 169 -100 215 -88
+rect 265 88 311 100
+rect 265 -88 271 88
+rect 305 -88 311 88
+rect 265 -100 311 -88
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string FIXED_BBOX -402 -257 402 257
+string parameters w 1 l 0.150 m 1 nf 6 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_B2JNY3.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_B2JNY3.mag
new file mode 100644
index 0000000..f031d83
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_B2JNY3.mag
@@ -0,0 +1,142 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623958660
+<< pwell >>
+rect -359 188 359 310
+rect -359 122 -110 188
+rect -105 122 81 188
+rect 83 122 359 188
+rect -359 -310 359 122
+<< nmoslvt >>
+rect -159 -100 -129 100
+rect -63 -100 -33 100
+rect 33 -100 63 100
+rect 129 -100 159 100
+<< ndiff >>
+rect -221 88 -159 100
+rect -221 -88 -209 88
+rect -175 -88 -159 88
+rect -221 -100 -159 -88
+rect -129 88 -63 100
+rect -129 -88 -113 88
+rect -79 -88 -63 88
+rect -129 -100 -63 -88
+rect -33 88 33 100
+rect -33 -88 -17 88
+rect 17 -88 33 88
+rect -33 -100 33 -88
+rect 63 88 129 100
+rect 63 -88 79 88
+rect 113 -88 129 88
+rect 63 -100 129 -88
+rect 159 88 221 100
+rect 159 -88 175 88
+rect 209 -88 221 88
+rect 159 -100 221 -88
+<< ndiffc >>
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+<< psubdiff >>
+rect -323 240 -227 274
+rect 227 240 323 274
+rect -323 178 -289 240
+rect 289 178 323 240
+rect -323 -240 -289 -178
+rect 289 -240 323 -178
+rect -323 -274 -227 -240
+rect 227 -274 323 -240
+<< psubdiffcont >>
+rect -227 240 227 274
+rect -323 -178 -289 178
+rect 289 -178 323 178
+rect -227 -274 227 -240
+<< poly >>
+rect -176 172 177 188
+rect -176 138 -160 172
+rect -126 138 -65 172
+rect -31 138 31 172
+rect 65 138 127 172
+rect 161 138 177 172
+rect -176 122 177 138
+rect -159 100 -129 122
+rect -63 100 -33 122
+rect 33 100 63 122
+rect 129 100 159 122
+rect -159 -126 -129 -100
+rect -63 -126 -33 -100
+rect 33 -126 63 -100
+rect 129 -126 159 -100
+<< polycont >>
+rect -160 138 -126 172
+rect -65 138 -31 172
+rect 31 138 65 172
+rect 127 138 161 172
+<< locali >>
+rect -323 240 -227 274
+rect 227 240 323 274
+rect -323 178 -289 240
+rect 289 178 323 240
+rect -176 138 -160 172
+rect 161 138 177 172
+rect -209 88 -175 104
+rect -209 -104 -175 -88
+rect -113 88 -79 104
+rect -113 -104 -79 -88
+rect -17 88 17 104
+rect -17 -104 17 -88
+rect 79 88 113 104
+rect 79 -104 113 -88
+rect 175 88 209 104
+rect 175 -104 209 -88
+rect -323 -240 -289 -178
+rect 289 -240 323 -178
+rect -323 -274 -227 -240
+rect 227 -274 323 -240
+<< viali >>
+rect -160 138 -126 172
+rect -126 138 -65 172
+rect -65 138 -31 172
+rect -31 138 31 172
+rect 31 138 65 172
+rect 65 138 127 172
+rect 127 138 161 172
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+<< metal1 >>
+rect -172 172 173 178
+rect -172 138 -160 172
+rect 161 138 173 172
+rect -172 132 173 138
+rect -215 88 -169 100
+rect -215 -88 -209 88
+rect -175 -88 -169 88
+rect -215 -100 -169 -88
+rect -119 88 -73 100
+rect -119 -88 -113 88
+rect -79 -88 -73 88
+rect -119 -100 -73 -88
+rect -23 88 23 100
+rect -23 -88 -17 88
+rect 17 -88 23 88
+rect -23 -100 23 -88
+rect 73 88 119 100
+rect 73 -88 79 88
+rect 113 -88 119 88
+rect 73 -100 119 -88
+rect 169 88 215 100
+rect 169 -88 175 88
+rect 209 -88 215 88
+rect 169 -100 215 -88
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string FIXED_BBOX -306 -257 306 257
+string parameters w 1 l 0.150 m 1 nf 4 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_CAF2P9.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_CAF2P9.mag
new file mode 100644
index 0000000..5217154
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_CAF2P9.mag
@@ -0,0 +1,2566 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623991863
+<< error_p >>
+rect -1887 749 -1857 753
+rect -1791 749 -1761 753
+rect -1695 749 -1665 753
+rect -1599 749 -1569 753
+rect -1503 749 -1473 753
+rect -1407 749 -1377 753
+rect -1311 749 -1281 753
+rect -1215 749 -1185 753
+rect -1119 749 -1089 753
+rect -1023 749 -993 753
+rect -927 749 -897 753
+rect -831 749 -801 753
+rect -735 749 -705 753
+rect -639 749 -609 753
+rect -543 749 -513 753
+rect -447 749 -417 753
+rect -351 749 -321 753
+rect -255 749 -225 753
+rect -159 749 -129 753
+rect -63 749 -33 753
+rect 33 749 63 753
+rect 129 749 159 753
+rect 225 749 255 753
+rect 321 749 351 753
+rect 417 749 447 753
+rect 513 749 543 753
+rect 609 749 639 753
+rect 705 749 735 753
+rect 801 749 831 753
+rect 897 749 927 753
+rect 993 749 1023 753
+rect 1089 749 1119 753
+rect 1185 749 1215 753
+rect 1281 749 1311 753
+rect 1377 749 1407 753
+rect 1473 749 1503 753
+rect 1569 749 1599 753
+rect 1665 749 1695 753
+rect 1761 749 1791 753
+rect -1887 -753 -1857 -749
+rect -1791 -753 -1761 -749
+rect -1695 -753 -1665 -749
+rect -1599 -753 -1569 -749
+rect -1503 -753 -1473 -749
+rect -1407 -753 -1377 -749
+rect -1311 -753 -1281 -749
+rect -1215 -753 -1185 -749
+rect -1119 -753 -1089 -749
+rect -1023 -753 -993 -749
+rect -927 -753 -897 -749
+rect -831 -753 -801 -749
+rect -735 -753 -705 -749
+rect -639 -753 -609 -749
+rect -543 -753 -513 -749
+rect -447 -753 -417 -749
+rect -351 -753 -321 -749
+rect -255 -753 -225 -749
+rect -159 -753 -129 -749
+rect -63 -753 -33 -749
+rect 33 -753 63 -749
+rect 129 -753 159 -749
+rect 225 -753 255 -749
+rect 321 -753 351 -749
+rect 417 -753 447 -749
+rect 513 -753 543 -749
+rect 609 -753 639 -749
+rect 705 -753 735 -749
+rect 801 -753 831 -749
+rect 897 -753 927 -749
+rect 993 -753 1023 -749
+rect 1089 -753 1119 -749
+rect 1185 -753 1215 -749
+rect 1281 -753 1311 -749
+rect 1377 -753 1407 -749
+rect 1473 -753 1503 -749
+rect 1569 -753 1599 -749
+rect 1665 -753 1695 -749
+rect 1761 -753 1791 -749
+<< pwell >>
+rect -2087 -937 2087 937
+<< nmoslvt >>
+rect -1887 527 -1857 727
+rect -1791 527 -1761 727
+rect -1695 527 -1665 727
+rect -1599 527 -1569 727
+rect -1503 527 -1473 727
+rect -1407 527 -1377 727
+rect -1311 527 -1281 727
+rect -1215 527 -1185 727
+rect -1119 527 -1089 727
+rect -1023 527 -993 727
+rect -927 527 -897 727
+rect -831 527 -801 727
+rect -735 527 -705 727
+rect -639 527 -609 727
+rect -543 527 -513 727
+rect -447 527 -417 727
+rect -351 527 -321 727
+rect -255 527 -225 727
+rect -159 527 -129 727
+rect -63 527 -33 727
+rect 33 527 63 727
+rect 129 527 159 727
+rect 225 527 255 727
+rect 321 527 351 727
+rect 417 527 447 727
+rect 513 527 543 727
+rect 609 527 639 727
+rect 705 527 735 727
+rect 801 527 831 727
+rect 897 527 927 727
+rect 993 527 1023 727
+rect 1089 527 1119 727
+rect 1185 527 1215 727
+rect 1281 527 1311 727
+rect 1377 527 1407 727
+rect 1473 527 1503 727
+rect 1569 527 1599 727
+rect 1665 527 1695 727
+rect 1761 527 1791 727
+rect 1857 527 1887 727
+rect -1887 109 -1857 309
+rect -1791 109 -1761 309
+rect -1695 109 -1665 309
+rect -1599 109 -1569 309
+rect -1503 109 -1473 309
+rect -1407 109 -1377 309
+rect -1311 109 -1281 309
+rect -1215 109 -1185 309
+rect -1119 109 -1089 309
+rect -1023 109 -993 309
+rect -927 109 -897 309
+rect -831 109 -801 309
+rect -735 109 -705 309
+rect -639 109 -609 309
+rect -543 109 -513 309
+rect -447 109 -417 309
+rect -351 109 -321 309
+rect -255 109 -225 309
+rect -159 109 -129 309
+rect -63 109 -33 309
+rect 33 109 63 309
+rect 129 109 159 309
+rect 225 109 255 309
+rect 321 109 351 309
+rect 417 109 447 309
+rect 513 109 543 309
+rect 609 109 639 309
+rect 705 109 735 309
+rect 801 109 831 309
+rect 897 109 927 309
+rect 993 109 1023 309
+rect 1089 109 1119 309
+rect 1185 109 1215 309
+rect 1281 109 1311 309
+rect 1377 109 1407 309
+rect 1473 109 1503 309
+rect 1569 109 1599 309
+rect 1665 109 1695 309
+rect 1761 109 1791 309
+rect 1857 109 1887 309
+rect -1887 -309 -1857 -109
+rect -1791 -309 -1761 -109
+rect -1695 -309 -1665 -109
+rect -1599 -309 -1569 -109
+rect -1503 -309 -1473 -109
+rect -1407 -309 -1377 -109
+rect -1311 -309 -1281 -109
+rect -1215 -309 -1185 -109
+rect -1119 -309 -1089 -109
+rect -1023 -309 -993 -109
+rect -927 -309 -897 -109
+rect -831 -309 -801 -109
+rect -735 -309 -705 -109
+rect -639 -309 -609 -109
+rect -543 -309 -513 -109
+rect -447 -309 -417 -109
+rect -351 -309 -321 -109
+rect -255 -309 -225 -109
+rect -159 -309 -129 -109
+rect -63 -309 -33 -109
+rect 33 -309 63 -109
+rect 129 -309 159 -109
+rect 225 -309 255 -109
+rect 321 -309 351 -109
+rect 417 -309 447 -109
+rect 513 -309 543 -109
+rect 609 -309 639 -109
+rect 705 -309 735 -109
+rect 801 -309 831 -109
+rect 897 -309 927 -109
+rect 993 -309 1023 -109
+rect 1089 -309 1119 -109
+rect 1185 -309 1215 -109
+rect 1281 -309 1311 -109
+rect 1377 -309 1407 -109
+rect 1473 -309 1503 -109
+rect 1569 -309 1599 -109
+rect 1665 -309 1695 -109
+rect 1761 -309 1791 -109
+rect 1857 -309 1887 -109
+rect -1887 -727 -1857 -527
+rect -1791 -727 -1761 -527
+rect -1695 -727 -1665 -527
+rect -1599 -727 -1569 -527
+rect -1503 -727 -1473 -527
+rect -1407 -727 -1377 -527
+rect -1311 -727 -1281 -527
+rect -1215 -727 -1185 -527
+rect -1119 -727 -1089 -527
+rect -1023 -727 -993 -527
+rect -927 -727 -897 -527
+rect -831 -727 -801 -527
+rect -735 -727 -705 -527
+rect -639 -727 -609 -527
+rect -543 -727 -513 -527
+rect -447 -727 -417 -527
+rect -351 -727 -321 -527
+rect -255 -727 -225 -527
+rect -159 -727 -129 -527
+rect -63 -727 -33 -527
+rect 33 -727 63 -527
+rect 129 -727 159 -527
+rect 225 -727 255 -527
+rect 321 -727 351 -527
+rect 417 -727 447 -527
+rect 513 -727 543 -527
+rect 609 -727 639 -527
+rect 705 -727 735 -527
+rect 801 -727 831 -527
+rect 897 -727 927 -527
+rect 993 -727 1023 -527
+rect 1089 -727 1119 -527
+rect 1185 -727 1215 -527
+rect 1281 -727 1311 -527
+rect 1377 -727 1407 -527
+rect 1473 -727 1503 -527
+rect 1569 -727 1599 -527
+rect 1665 -727 1695 -527
+rect 1761 -727 1791 -527
+rect 1857 -727 1887 -527
+<< ndiff >>
+rect -1949 715 -1887 727
+rect -1949 539 -1937 715
+rect -1903 539 -1887 715
+rect -1949 527 -1887 539
+rect -1857 715 -1791 727
+rect -1857 539 -1841 715
+rect -1807 539 -1791 715
+rect -1857 527 -1791 539
+rect -1761 715 -1695 727
+rect -1761 539 -1745 715
+rect -1711 539 -1695 715
+rect -1761 527 -1695 539
+rect -1665 715 -1599 727
+rect -1665 539 -1649 715
+rect -1615 539 -1599 715
+rect -1665 527 -1599 539
+rect -1569 715 -1503 727
+rect -1569 539 -1553 715
+rect -1519 539 -1503 715
+rect -1569 527 -1503 539
+rect -1473 715 -1407 727
+rect -1473 539 -1457 715
+rect -1423 539 -1407 715
+rect -1473 527 -1407 539
+rect -1377 715 -1311 727
+rect -1377 539 -1361 715
+rect -1327 539 -1311 715
+rect -1377 527 -1311 539
+rect -1281 715 -1215 727
+rect -1281 539 -1265 715
+rect -1231 539 -1215 715
+rect -1281 527 -1215 539
+rect -1185 715 -1119 727
+rect -1185 539 -1169 715
+rect -1135 539 -1119 715
+rect -1185 527 -1119 539
+rect -1089 715 -1023 727
+rect -1089 539 -1073 715
+rect -1039 539 -1023 715
+rect -1089 527 -1023 539
+rect -993 715 -927 727
+rect -993 539 -977 715
+rect -943 539 -927 715
+rect -993 527 -927 539
+rect -897 715 -831 727
+rect -897 539 -881 715
+rect -847 539 -831 715
+rect -897 527 -831 539
+rect -801 715 -735 727
+rect -801 539 -785 715
+rect -751 539 -735 715
+rect -801 527 -735 539
+rect -705 715 -639 727
+rect -705 539 -689 715
+rect -655 539 -639 715
+rect -705 527 -639 539
+rect -609 715 -543 727
+rect -609 539 -593 715
+rect -559 539 -543 715
+rect -609 527 -543 539
+rect -513 715 -447 727
+rect -513 539 -497 715
+rect -463 539 -447 715
+rect -513 527 -447 539
+rect -417 715 -351 727
+rect -417 539 -401 715
+rect -367 539 -351 715
+rect -417 527 -351 539
+rect -321 715 -255 727
+rect -321 539 -305 715
+rect -271 539 -255 715
+rect -321 527 -255 539
+rect -225 715 -159 727
+rect -225 539 -209 715
+rect -175 539 -159 715
+rect -225 527 -159 539
+rect -129 715 -63 727
+rect -129 539 -113 715
+rect -79 539 -63 715
+rect -129 527 -63 539
+rect -33 715 33 727
+rect -33 539 -17 715
+rect 17 539 33 715
+rect -33 527 33 539
+rect 63 715 129 727
+rect 63 539 79 715
+rect 113 539 129 715
+rect 63 527 129 539
+rect 159 715 225 727
+rect 159 539 175 715
+rect 209 539 225 715
+rect 159 527 225 539
+rect 255 715 321 727
+rect 255 539 271 715
+rect 305 539 321 715
+rect 255 527 321 539
+rect 351 715 417 727
+rect 351 539 367 715
+rect 401 539 417 715
+rect 351 527 417 539
+rect 447 715 513 727
+rect 447 539 463 715
+rect 497 539 513 715
+rect 447 527 513 539
+rect 543 715 609 727
+rect 543 539 559 715
+rect 593 539 609 715
+rect 543 527 609 539
+rect 639 715 705 727
+rect 639 539 655 715
+rect 689 539 705 715
+rect 639 527 705 539
+rect 735 715 801 727
+rect 735 539 751 715
+rect 785 539 801 715
+rect 735 527 801 539
+rect 831 715 897 727
+rect 831 539 847 715
+rect 881 539 897 715
+rect 831 527 897 539
+rect 927 715 993 727
+rect 927 539 943 715
+rect 977 539 993 715
+rect 927 527 993 539
+rect 1023 715 1089 727
+rect 1023 539 1039 715
+rect 1073 539 1089 715
+rect 1023 527 1089 539
+rect 1119 715 1185 727
+rect 1119 539 1135 715
+rect 1169 539 1185 715
+rect 1119 527 1185 539
+rect 1215 715 1281 727
+rect 1215 539 1231 715
+rect 1265 539 1281 715
+rect 1215 527 1281 539
+rect 1311 715 1377 727
+rect 1311 539 1327 715
+rect 1361 539 1377 715
+rect 1311 527 1377 539
+rect 1407 715 1473 727
+rect 1407 539 1423 715
+rect 1457 539 1473 715
+rect 1407 527 1473 539
+rect 1503 715 1569 727
+rect 1503 539 1519 715
+rect 1553 539 1569 715
+rect 1503 527 1569 539
+rect 1599 715 1665 727
+rect 1599 539 1615 715
+rect 1649 539 1665 715
+rect 1599 527 1665 539
+rect 1695 715 1761 727
+rect 1695 539 1711 715
+rect 1745 539 1761 715
+rect 1695 527 1761 539
+rect 1791 715 1857 727
+rect 1791 539 1807 715
+rect 1841 539 1857 715
+rect 1791 527 1857 539
+rect 1887 715 1949 727
+rect 1887 539 1903 715
+rect 1937 539 1949 715
+rect 1887 527 1949 539
+rect -1949 297 -1887 309
+rect -1949 121 -1937 297
+rect -1903 121 -1887 297
+rect -1949 109 -1887 121
+rect -1857 297 -1791 309
+rect -1857 121 -1841 297
+rect -1807 121 -1791 297
+rect -1857 109 -1791 121
+rect -1761 297 -1695 309
+rect -1761 121 -1745 297
+rect -1711 121 -1695 297
+rect -1761 109 -1695 121
+rect -1665 297 -1599 309
+rect -1665 121 -1649 297
+rect -1615 121 -1599 297
+rect -1665 109 -1599 121
+rect -1569 297 -1503 309
+rect -1569 121 -1553 297
+rect -1519 121 -1503 297
+rect -1569 109 -1503 121
+rect -1473 297 -1407 309
+rect -1473 121 -1457 297
+rect -1423 121 -1407 297
+rect -1473 109 -1407 121
+rect -1377 297 -1311 309
+rect -1377 121 -1361 297
+rect -1327 121 -1311 297
+rect -1377 109 -1311 121
+rect -1281 297 -1215 309
+rect -1281 121 -1265 297
+rect -1231 121 -1215 297
+rect -1281 109 -1215 121
+rect -1185 297 -1119 309
+rect -1185 121 -1169 297
+rect -1135 121 -1119 297
+rect -1185 109 -1119 121
+rect -1089 297 -1023 309
+rect -1089 121 -1073 297
+rect -1039 121 -1023 297
+rect -1089 109 -1023 121
+rect -993 297 -927 309
+rect -993 121 -977 297
+rect -943 121 -927 297
+rect -993 109 -927 121
+rect -897 297 -831 309
+rect -897 121 -881 297
+rect -847 121 -831 297
+rect -897 109 -831 121
+rect -801 297 -735 309
+rect -801 121 -785 297
+rect -751 121 -735 297
+rect -801 109 -735 121
+rect -705 297 -639 309
+rect -705 121 -689 297
+rect -655 121 -639 297
+rect -705 109 -639 121
+rect -609 297 -543 309
+rect -609 121 -593 297
+rect -559 121 -543 297
+rect -609 109 -543 121
+rect -513 297 -447 309
+rect -513 121 -497 297
+rect -463 121 -447 297
+rect -513 109 -447 121
+rect -417 297 -351 309
+rect -417 121 -401 297
+rect -367 121 -351 297
+rect -417 109 -351 121
+rect -321 297 -255 309
+rect -321 121 -305 297
+rect -271 121 -255 297
+rect -321 109 -255 121
+rect -225 297 -159 309
+rect -225 121 -209 297
+rect -175 121 -159 297
+rect -225 109 -159 121
+rect -129 297 -63 309
+rect -129 121 -113 297
+rect -79 121 -63 297
+rect -129 109 -63 121
+rect -33 297 33 309
+rect -33 121 -17 297
+rect 17 121 33 297
+rect -33 109 33 121
+rect 63 297 129 309
+rect 63 121 79 297
+rect 113 121 129 297
+rect 63 109 129 121
+rect 159 297 225 309
+rect 159 121 175 297
+rect 209 121 225 297
+rect 159 109 225 121
+rect 255 297 321 309
+rect 255 121 271 297
+rect 305 121 321 297
+rect 255 109 321 121
+rect 351 297 417 309
+rect 351 121 367 297
+rect 401 121 417 297
+rect 351 109 417 121
+rect 447 297 513 309
+rect 447 121 463 297
+rect 497 121 513 297
+rect 447 109 513 121
+rect 543 297 609 309
+rect 543 121 559 297
+rect 593 121 609 297
+rect 543 109 609 121
+rect 639 297 705 309
+rect 639 121 655 297
+rect 689 121 705 297
+rect 639 109 705 121
+rect 735 297 801 309
+rect 735 121 751 297
+rect 785 121 801 297
+rect 735 109 801 121
+rect 831 297 897 309
+rect 831 121 847 297
+rect 881 121 897 297
+rect 831 109 897 121
+rect 927 297 993 309
+rect 927 121 943 297
+rect 977 121 993 297
+rect 927 109 993 121
+rect 1023 297 1089 309
+rect 1023 121 1039 297
+rect 1073 121 1089 297
+rect 1023 109 1089 121
+rect 1119 297 1185 309
+rect 1119 121 1135 297
+rect 1169 121 1185 297
+rect 1119 109 1185 121
+rect 1215 297 1281 309
+rect 1215 121 1231 297
+rect 1265 121 1281 297
+rect 1215 109 1281 121
+rect 1311 297 1377 309
+rect 1311 121 1327 297
+rect 1361 121 1377 297
+rect 1311 109 1377 121
+rect 1407 297 1473 309
+rect 1407 121 1423 297
+rect 1457 121 1473 297
+rect 1407 109 1473 121
+rect 1503 297 1569 309
+rect 1503 121 1519 297
+rect 1553 121 1569 297
+rect 1503 109 1569 121
+rect 1599 297 1665 309
+rect 1599 121 1615 297
+rect 1649 121 1665 297
+rect 1599 109 1665 121
+rect 1695 297 1761 309
+rect 1695 121 1711 297
+rect 1745 121 1761 297
+rect 1695 109 1761 121
+rect 1791 297 1857 309
+rect 1791 121 1807 297
+rect 1841 121 1857 297
+rect 1791 109 1857 121
+rect 1887 297 1949 309
+rect 1887 121 1903 297
+rect 1937 121 1949 297
+rect 1887 109 1949 121
+rect -1949 -121 -1887 -109
+rect -1949 -297 -1937 -121
+rect -1903 -297 -1887 -121
+rect -1949 -309 -1887 -297
+rect -1857 -121 -1791 -109
+rect -1857 -297 -1841 -121
+rect -1807 -297 -1791 -121
+rect -1857 -309 -1791 -297
+rect -1761 -121 -1695 -109
+rect -1761 -297 -1745 -121
+rect -1711 -297 -1695 -121
+rect -1761 -309 -1695 -297
+rect -1665 -121 -1599 -109
+rect -1665 -297 -1649 -121
+rect -1615 -297 -1599 -121
+rect -1665 -309 -1599 -297
+rect -1569 -121 -1503 -109
+rect -1569 -297 -1553 -121
+rect -1519 -297 -1503 -121
+rect -1569 -309 -1503 -297
+rect -1473 -121 -1407 -109
+rect -1473 -297 -1457 -121
+rect -1423 -297 -1407 -121
+rect -1473 -309 -1407 -297
+rect -1377 -121 -1311 -109
+rect -1377 -297 -1361 -121
+rect -1327 -297 -1311 -121
+rect -1377 -309 -1311 -297
+rect -1281 -121 -1215 -109
+rect -1281 -297 -1265 -121
+rect -1231 -297 -1215 -121
+rect -1281 -309 -1215 -297
+rect -1185 -121 -1119 -109
+rect -1185 -297 -1169 -121
+rect -1135 -297 -1119 -121
+rect -1185 -309 -1119 -297
+rect -1089 -121 -1023 -109
+rect -1089 -297 -1073 -121
+rect -1039 -297 -1023 -121
+rect -1089 -309 -1023 -297
+rect -993 -121 -927 -109
+rect -993 -297 -977 -121
+rect -943 -297 -927 -121
+rect -993 -309 -927 -297
+rect -897 -121 -831 -109
+rect -897 -297 -881 -121
+rect -847 -297 -831 -121
+rect -897 -309 -831 -297
+rect -801 -121 -735 -109
+rect -801 -297 -785 -121
+rect -751 -297 -735 -121
+rect -801 -309 -735 -297
+rect -705 -121 -639 -109
+rect -705 -297 -689 -121
+rect -655 -297 -639 -121
+rect -705 -309 -639 -297
+rect -609 -121 -543 -109
+rect -609 -297 -593 -121
+rect -559 -297 -543 -121
+rect -609 -309 -543 -297
+rect -513 -121 -447 -109
+rect -513 -297 -497 -121
+rect -463 -297 -447 -121
+rect -513 -309 -447 -297
+rect -417 -121 -351 -109
+rect -417 -297 -401 -121
+rect -367 -297 -351 -121
+rect -417 -309 -351 -297
+rect -321 -121 -255 -109
+rect -321 -297 -305 -121
+rect -271 -297 -255 -121
+rect -321 -309 -255 -297
+rect -225 -121 -159 -109
+rect -225 -297 -209 -121
+rect -175 -297 -159 -121
+rect -225 -309 -159 -297
+rect -129 -121 -63 -109
+rect -129 -297 -113 -121
+rect -79 -297 -63 -121
+rect -129 -309 -63 -297
+rect -33 -121 33 -109
+rect -33 -297 -17 -121
+rect 17 -297 33 -121
+rect -33 -309 33 -297
+rect 63 -121 129 -109
+rect 63 -297 79 -121
+rect 113 -297 129 -121
+rect 63 -309 129 -297
+rect 159 -121 225 -109
+rect 159 -297 175 -121
+rect 209 -297 225 -121
+rect 159 -309 225 -297
+rect 255 -121 321 -109
+rect 255 -297 271 -121
+rect 305 -297 321 -121
+rect 255 -309 321 -297
+rect 351 -121 417 -109
+rect 351 -297 367 -121
+rect 401 -297 417 -121
+rect 351 -309 417 -297
+rect 447 -121 513 -109
+rect 447 -297 463 -121
+rect 497 -297 513 -121
+rect 447 -309 513 -297
+rect 543 -121 609 -109
+rect 543 -297 559 -121
+rect 593 -297 609 -121
+rect 543 -309 609 -297
+rect 639 -121 705 -109
+rect 639 -297 655 -121
+rect 689 -297 705 -121
+rect 639 -309 705 -297
+rect 735 -121 801 -109
+rect 735 -297 751 -121
+rect 785 -297 801 -121
+rect 735 -309 801 -297
+rect 831 -121 897 -109
+rect 831 -297 847 -121
+rect 881 -297 897 -121
+rect 831 -309 897 -297
+rect 927 -121 993 -109
+rect 927 -297 943 -121
+rect 977 -297 993 -121
+rect 927 -309 993 -297
+rect 1023 -121 1089 -109
+rect 1023 -297 1039 -121
+rect 1073 -297 1089 -121
+rect 1023 -309 1089 -297
+rect 1119 -121 1185 -109
+rect 1119 -297 1135 -121
+rect 1169 -297 1185 -121
+rect 1119 -309 1185 -297
+rect 1215 -121 1281 -109
+rect 1215 -297 1231 -121
+rect 1265 -297 1281 -121
+rect 1215 -309 1281 -297
+rect 1311 -121 1377 -109
+rect 1311 -297 1327 -121
+rect 1361 -297 1377 -121
+rect 1311 -309 1377 -297
+rect 1407 -121 1473 -109
+rect 1407 -297 1423 -121
+rect 1457 -297 1473 -121
+rect 1407 -309 1473 -297
+rect 1503 -121 1569 -109
+rect 1503 -297 1519 -121
+rect 1553 -297 1569 -121
+rect 1503 -309 1569 -297
+rect 1599 -121 1665 -109
+rect 1599 -297 1615 -121
+rect 1649 -297 1665 -121
+rect 1599 -309 1665 -297
+rect 1695 -121 1761 -109
+rect 1695 -297 1711 -121
+rect 1745 -297 1761 -121
+rect 1695 -309 1761 -297
+rect 1791 -121 1857 -109
+rect 1791 -297 1807 -121
+rect 1841 -297 1857 -121
+rect 1791 -309 1857 -297
+rect 1887 -121 1949 -109
+rect 1887 -297 1903 -121
+rect 1937 -297 1949 -121
+rect 1887 -309 1949 -297
+rect -1949 -539 -1887 -527
+rect -1949 -715 -1937 -539
+rect -1903 -715 -1887 -539
+rect -1949 -727 -1887 -715
+rect -1857 -539 -1791 -527
+rect -1857 -715 -1841 -539
+rect -1807 -715 -1791 -539
+rect -1857 -727 -1791 -715
+rect -1761 -539 -1695 -527
+rect -1761 -715 -1745 -539
+rect -1711 -715 -1695 -539
+rect -1761 -727 -1695 -715
+rect -1665 -539 -1599 -527
+rect -1665 -715 -1649 -539
+rect -1615 -715 -1599 -539
+rect -1665 -727 -1599 -715
+rect -1569 -539 -1503 -527
+rect -1569 -715 -1553 -539
+rect -1519 -715 -1503 -539
+rect -1569 -727 -1503 -715
+rect -1473 -539 -1407 -527
+rect -1473 -715 -1457 -539
+rect -1423 -715 -1407 -539
+rect -1473 -727 -1407 -715
+rect -1377 -539 -1311 -527
+rect -1377 -715 -1361 -539
+rect -1327 -715 -1311 -539
+rect -1377 -727 -1311 -715
+rect -1281 -539 -1215 -527
+rect -1281 -715 -1265 -539
+rect -1231 -715 -1215 -539
+rect -1281 -727 -1215 -715
+rect -1185 -539 -1119 -527
+rect -1185 -715 -1169 -539
+rect -1135 -715 -1119 -539
+rect -1185 -727 -1119 -715
+rect -1089 -539 -1023 -527
+rect -1089 -715 -1073 -539
+rect -1039 -715 -1023 -539
+rect -1089 -727 -1023 -715
+rect -993 -539 -927 -527
+rect -993 -715 -977 -539
+rect -943 -715 -927 -539
+rect -993 -727 -927 -715
+rect -897 -539 -831 -527
+rect -897 -715 -881 -539
+rect -847 -715 -831 -539
+rect -897 -727 -831 -715
+rect -801 -539 -735 -527
+rect -801 -715 -785 -539
+rect -751 -715 -735 -539
+rect -801 -727 -735 -715
+rect -705 -539 -639 -527
+rect -705 -715 -689 -539
+rect -655 -715 -639 -539
+rect -705 -727 -639 -715
+rect -609 -539 -543 -527
+rect -609 -715 -593 -539
+rect -559 -715 -543 -539
+rect -609 -727 -543 -715
+rect -513 -539 -447 -527
+rect -513 -715 -497 -539
+rect -463 -715 -447 -539
+rect -513 -727 -447 -715
+rect -417 -539 -351 -527
+rect -417 -715 -401 -539
+rect -367 -715 -351 -539
+rect -417 -727 -351 -715
+rect -321 -539 -255 -527
+rect -321 -715 -305 -539
+rect -271 -715 -255 -539
+rect -321 -727 -255 -715
+rect -225 -539 -159 -527
+rect -225 -715 -209 -539
+rect -175 -715 -159 -539
+rect -225 -727 -159 -715
+rect -129 -539 -63 -527
+rect -129 -715 -113 -539
+rect -79 -715 -63 -539
+rect -129 -727 -63 -715
+rect -33 -539 33 -527
+rect -33 -715 -17 -539
+rect 17 -715 33 -539
+rect -33 -727 33 -715
+rect 63 -539 129 -527
+rect 63 -715 79 -539
+rect 113 -715 129 -539
+rect 63 -727 129 -715
+rect 159 -539 225 -527
+rect 159 -715 175 -539
+rect 209 -715 225 -539
+rect 159 -727 225 -715
+rect 255 -539 321 -527
+rect 255 -715 271 -539
+rect 305 -715 321 -539
+rect 255 -727 321 -715
+rect 351 -539 417 -527
+rect 351 -715 367 -539
+rect 401 -715 417 -539
+rect 351 -727 417 -715
+rect 447 -539 513 -527
+rect 447 -715 463 -539
+rect 497 -715 513 -539
+rect 447 -727 513 -715
+rect 543 -539 609 -527
+rect 543 -715 559 -539
+rect 593 -715 609 -539
+rect 543 -727 609 -715
+rect 639 -539 705 -527
+rect 639 -715 655 -539
+rect 689 -715 705 -539
+rect 639 -727 705 -715
+rect 735 -539 801 -527
+rect 735 -715 751 -539
+rect 785 -715 801 -539
+rect 735 -727 801 -715
+rect 831 -539 897 -527
+rect 831 -715 847 -539
+rect 881 -715 897 -539
+rect 831 -727 897 -715
+rect 927 -539 993 -527
+rect 927 -715 943 -539
+rect 977 -715 993 -539
+rect 927 -727 993 -715
+rect 1023 -539 1089 -527
+rect 1023 -715 1039 -539
+rect 1073 -715 1089 -539
+rect 1023 -727 1089 -715
+rect 1119 -539 1185 -527
+rect 1119 -715 1135 -539
+rect 1169 -715 1185 -539
+rect 1119 -727 1185 -715
+rect 1215 -539 1281 -527
+rect 1215 -715 1231 -539
+rect 1265 -715 1281 -539
+rect 1215 -727 1281 -715
+rect 1311 -539 1377 -527
+rect 1311 -715 1327 -539
+rect 1361 -715 1377 -539
+rect 1311 -727 1377 -715
+rect 1407 -539 1473 -527
+rect 1407 -715 1423 -539
+rect 1457 -715 1473 -539
+rect 1407 -727 1473 -715
+rect 1503 -539 1569 -527
+rect 1503 -715 1519 -539
+rect 1553 -715 1569 -539
+rect 1503 -727 1569 -715
+rect 1599 -539 1665 -527
+rect 1599 -715 1615 -539
+rect 1649 -715 1665 -539
+rect 1599 -727 1665 -715
+rect 1695 -539 1761 -527
+rect 1695 -715 1711 -539
+rect 1745 -715 1761 -539
+rect 1695 -727 1761 -715
+rect 1791 -539 1857 -527
+rect 1791 -715 1807 -539
+rect 1841 -715 1857 -539
+rect 1791 -727 1857 -715
+rect 1887 -539 1949 -527
+rect 1887 -715 1903 -539
+rect 1937 -715 1949 -539
+rect 1887 -727 1949 -715
+<< ndiffc >>
+rect -1937 539 -1903 715
+rect -1841 539 -1807 715
+rect -1745 539 -1711 715
+rect -1649 539 -1615 715
+rect -1553 539 -1519 715
+rect -1457 539 -1423 715
+rect -1361 539 -1327 715
+rect -1265 539 -1231 715
+rect -1169 539 -1135 715
+rect -1073 539 -1039 715
+rect -977 539 -943 715
+rect -881 539 -847 715
+rect -785 539 -751 715
+rect -689 539 -655 715
+rect -593 539 -559 715
+rect -497 539 -463 715
+rect -401 539 -367 715
+rect -305 539 -271 715
+rect -209 539 -175 715
+rect -113 539 -79 715
+rect -17 539 17 715
+rect 79 539 113 715
+rect 175 539 209 715
+rect 271 539 305 715
+rect 367 539 401 715
+rect 463 539 497 715
+rect 559 539 593 715
+rect 655 539 689 715
+rect 751 539 785 715
+rect 847 539 881 715
+rect 943 539 977 715
+rect 1039 539 1073 715
+rect 1135 539 1169 715
+rect 1231 539 1265 715
+rect 1327 539 1361 715
+rect 1423 539 1457 715
+rect 1519 539 1553 715
+rect 1615 539 1649 715
+rect 1711 539 1745 715
+rect 1807 539 1841 715
+rect 1903 539 1937 715
+rect -1937 121 -1903 297
+rect -1841 121 -1807 297
+rect -1745 121 -1711 297
+rect -1649 121 -1615 297
+rect -1553 121 -1519 297
+rect -1457 121 -1423 297
+rect -1361 121 -1327 297
+rect -1265 121 -1231 297
+rect -1169 121 -1135 297
+rect -1073 121 -1039 297
+rect -977 121 -943 297
+rect -881 121 -847 297
+rect -785 121 -751 297
+rect -689 121 -655 297
+rect -593 121 -559 297
+rect -497 121 -463 297
+rect -401 121 -367 297
+rect -305 121 -271 297
+rect -209 121 -175 297
+rect -113 121 -79 297
+rect -17 121 17 297
+rect 79 121 113 297
+rect 175 121 209 297
+rect 271 121 305 297
+rect 367 121 401 297
+rect 463 121 497 297
+rect 559 121 593 297
+rect 655 121 689 297
+rect 751 121 785 297
+rect 847 121 881 297
+rect 943 121 977 297
+rect 1039 121 1073 297
+rect 1135 121 1169 297
+rect 1231 121 1265 297
+rect 1327 121 1361 297
+rect 1423 121 1457 297
+rect 1519 121 1553 297
+rect 1615 121 1649 297
+rect 1711 121 1745 297
+rect 1807 121 1841 297
+rect 1903 121 1937 297
+rect -1937 -297 -1903 -121
+rect -1841 -297 -1807 -121
+rect -1745 -297 -1711 -121
+rect -1649 -297 -1615 -121
+rect -1553 -297 -1519 -121
+rect -1457 -297 -1423 -121
+rect -1361 -297 -1327 -121
+rect -1265 -297 -1231 -121
+rect -1169 -297 -1135 -121
+rect -1073 -297 -1039 -121
+rect -977 -297 -943 -121
+rect -881 -297 -847 -121
+rect -785 -297 -751 -121
+rect -689 -297 -655 -121
+rect -593 -297 -559 -121
+rect -497 -297 -463 -121
+rect -401 -297 -367 -121
+rect -305 -297 -271 -121
+rect -209 -297 -175 -121
+rect -113 -297 -79 -121
+rect -17 -297 17 -121
+rect 79 -297 113 -121
+rect 175 -297 209 -121
+rect 271 -297 305 -121
+rect 367 -297 401 -121
+rect 463 -297 497 -121
+rect 559 -297 593 -121
+rect 655 -297 689 -121
+rect 751 -297 785 -121
+rect 847 -297 881 -121
+rect 943 -297 977 -121
+rect 1039 -297 1073 -121
+rect 1135 -297 1169 -121
+rect 1231 -297 1265 -121
+rect 1327 -297 1361 -121
+rect 1423 -297 1457 -121
+rect 1519 -297 1553 -121
+rect 1615 -297 1649 -121
+rect 1711 -297 1745 -121
+rect 1807 -297 1841 -121
+rect 1903 -297 1937 -121
+rect -1937 -715 -1903 -539
+rect -1841 -715 -1807 -539
+rect -1745 -715 -1711 -539
+rect -1649 -715 -1615 -539
+rect -1553 -715 -1519 -539
+rect -1457 -715 -1423 -539
+rect -1361 -715 -1327 -539
+rect -1265 -715 -1231 -539
+rect -1169 -715 -1135 -539
+rect -1073 -715 -1039 -539
+rect -977 -715 -943 -539
+rect -881 -715 -847 -539
+rect -785 -715 -751 -539
+rect -689 -715 -655 -539
+rect -593 -715 -559 -539
+rect -497 -715 -463 -539
+rect -401 -715 -367 -539
+rect -305 -715 -271 -539
+rect -209 -715 -175 -539
+rect -113 -715 -79 -539
+rect -17 -715 17 -539
+rect 79 -715 113 -539
+rect 175 -715 209 -539
+rect 271 -715 305 -539
+rect 367 -715 401 -539
+rect 463 -715 497 -539
+rect 559 -715 593 -539
+rect 655 -715 689 -539
+rect 751 -715 785 -539
+rect 847 -715 881 -539
+rect 943 -715 977 -539
+rect 1039 -715 1073 -539
+rect 1135 -715 1169 -539
+rect 1231 -715 1265 -539
+rect 1327 -715 1361 -539
+rect 1423 -715 1457 -539
+rect 1519 -715 1553 -539
+rect 1615 -715 1649 -539
+rect 1711 -715 1745 -539
+rect 1807 -715 1841 -539
+rect 1903 -715 1937 -539
+<< psubdiff >>
+rect -2051 867 -1955 901
+rect 1955 867 2051 901
+rect 2017 805 2051 867
+rect 2017 -867 2051 -805
+rect -2051 -901 -1955 -867
+rect 1955 -901 2051 -867
+<< psubdiffcont >>
+rect -1955 867 1955 901
+rect 2017 -805 2051 805
+rect -1955 -901 1955 -867
+<< poly >>
+rect -1887 727 -1857 749
+rect -1791 727 -1761 749
+rect -1695 727 -1665 749
+rect -1599 727 -1569 749
+rect -1503 727 -1473 749
+rect -1407 727 -1377 749
+rect -1311 727 -1281 749
+rect -1215 727 -1185 749
+rect -1119 727 -1089 749
+rect -1023 727 -993 749
+rect -927 727 -897 749
+rect -831 727 -801 749
+rect -735 727 -705 749
+rect -639 727 -609 749
+rect -543 727 -513 749
+rect -447 727 -417 749
+rect -351 727 -321 749
+rect -255 727 -225 749
+rect -159 727 -129 749
+rect -63 727 -33 749
+rect 33 727 63 749
+rect 129 727 159 749
+rect 225 727 255 749
+rect 321 727 351 749
+rect 417 727 447 749
+rect 513 727 543 749
+rect 609 727 639 749
+rect 705 727 735 749
+rect 801 727 831 749
+rect 897 727 927 749
+rect 993 727 1023 749
+rect 1089 727 1119 749
+rect 1185 727 1215 749
+rect 1281 727 1311 749
+rect 1377 727 1407 749
+rect 1473 727 1503 749
+rect 1569 727 1599 749
+rect 1665 727 1695 749
+rect 1761 727 1791 749
+rect 1857 727 1887 753
+rect -1887 505 -1857 527
+rect -1791 505 -1761 527
+rect -1695 505 -1665 527
+rect -1599 505 -1569 527
+rect -1503 505 -1473 527
+rect -1407 505 -1377 527
+rect -1311 505 -1281 527
+rect -1215 505 -1185 527
+rect -1119 505 -1089 527
+rect -1023 505 -993 527
+rect -927 505 -897 527
+rect -831 505 -801 527
+rect -735 505 -705 527
+rect -639 505 -609 527
+rect -543 505 -513 527
+rect -447 505 -417 527
+rect -351 505 -321 527
+rect -255 505 -225 527
+rect -159 505 -129 527
+rect -63 505 -33 527
+rect 33 505 63 527
+rect 129 505 159 527
+rect 225 505 255 527
+rect 321 505 351 527
+rect 417 505 447 527
+rect 513 505 543 527
+rect 609 505 639 527
+rect 705 505 735 527
+rect 801 505 831 527
+rect 897 505 927 527
+rect 993 505 1023 527
+rect 1089 505 1119 527
+rect 1185 505 1215 527
+rect 1281 505 1311 527
+rect 1377 505 1407 527
+rect 1473 505 1503 527
+rect 1569 505 1599 527
+rect 1665 505 1695 527
+rect 1761 505 1791 527
+rect 1857 505 1887 527
+rect -1904 331 1906 505
+rect -1887 309 -1857 331
+rect -1791 309 -1761 331
+rect -1695 309 -1665 331
+rect -1599 309 -1569 331
+rect -1503 309 -1473 331
+rect -1407 309 -1377 331
+rect -1311 309 -1281 331
+rect -1215 309 -1185 331
+rect -1119 309 -1089 331
+rect -1023 309 -993 331
+rect -927 309 -897 331
+rect -831 309 -801 331
+rect -735 309 -705 331
+rect -639 309 -609 331
+rect -543 309 -513 331
+rect -447 309 -417 331
+rect -351 309 -321 331
+rect -255 309 -225 331
+rect -159 309 -129 331
+rect -63 309 -33 331
+rect 33 309 63 331
+rect 129 309 159 331
+rect 225 309 255 331
+rect 321 309 351 331
+rect 417 309 447 331
+rect 513 309 543 331
+rect 609 309 639 331
+rect 705 309 735 331
+rect 801 309 831 331
+rect 897 309 927 331
+rect 993 309 1023 331
+rect 1089 309 1119 331
+rect 1185 309 1215 331
+rect 1281 309 1311 331
+rect 1377 309 1407 331
+rect 1473 309 1503 331
+rect 1569 309 1599 331
+rect 1665 309 1695 331
+rect 1761 309 1791 331
+rect 1857 309 1887 331
+rect -1887 87 -1857 109
+rect -1791 87 -1761 109
+rect -1695 87 -1665 109
+rect -1599 87 -1569 109
+rect -1503 87 -1473 109
+rect -1407 87 -1377 109
+rect -1311 87 -1281 109
+rect -1215 87 -1185 109
+rect -1119 87 -1089 109
+rect -1023 87 -993 109
+rect -927 87 -897 109
+rect -831 87 -801 109
+rect -735 87 -705 109
+rect -639 87 -609 109
+rect -543 87 -513 109
+rect -447 87 -417 109
+rect -351 87 -321 109
+rect -255 87 -225 109
+rect -159 87 -129 109
+rect -63 87 -33 109
+rect 33 87 63 109
+rect 129 87 159 109
+rect 225 87 255 109
+rect 321 87 351 109
+rect 417 87 447 109
+rect 513 87 543 109
+rect 609 87 639 109
+rect 705 87 735 109
+rect 801 87 831 109
+rect 897 87 927 109
+rect 993 87 1023 109
+rect 1089 87 1119 109
+rect 1185 87 1215 109
+rect 1281 87 1311 109
+rect 1377 87 1407 109
+rect 1473 87 1503 109
+rect 1569 87 1599 109
+rect 1665 87 1695 109
+rect 1761 87 1791 109
+rect 1857 87 1887 109
+rect -1905 -87 1905 87
+rect -1887 -109 -1857 -87
+rect -1791 -109 -1761 -87
+rect -1695 -109 -1665 -87
+rect -1599 -109 -1569 -87
+rect -1503 -109 -1473 -87
+rect -1407 -109 -1377 -87
+rect -1311 -109 -1281 -87
+rect -1215 -109 -1185 -87
+rect -1119 -109 -1089 -87
+rect -1023 -109 -993 -87
+rect -927 -109 -897 -87
+rect -831 -109 -801 -87
+rect -735 -109 -705 -87
+rect -639 -109 -609 -87
+rect -543 -109 -513 -87
+rect -447 -109 -417 -87
+rect -351 -109 -321 -87
+rect -255 -109 -225 -87
+rect -159 -109 -129 -87
+rect -63 -109 -33 -87
+rect 33 -109 63 -87
+rect 129 -109 159 -87
+rect 225 -109 255 -87
+rect 321 -109 351 -87
+rect 417 -109 447 -87
+rect 513 -109 543 -87
+rect 609 -109 639 -87
+rect 705 -109 735 -87
+rect 801 -109 831 -87
+rect 897 -109 927 -87
+rect 993 -109 1023 -87
+rect 1089 -109 1119 -87
+rect 1185 -109 1215 -87
+rect 1281 -109 1311 -87
+rect 1377 -109 1407 -87
+rect 1473 -109 1503 -87
+rect 1569 -109 1599 -87
+rect 1665 -109 1695 -87
+rect 1761 -109 1791 -87
+rect 1857 -109 1887 -87
+rect -1887 -331 -1857 -309
+rect -1791 -331 -1761 -309
+rect -1695 -331 -1665 -309
+rect -1599 -331 -1569 -309
+rect -1503 -331 -1473 -309
+rect -1407 -331 -1377 -309
+rect -1311 -331 -1281 -309
+rect -1215 -331 -1185 -309
+rect -1119 -331 -1089 -309
+rect -1023 -331 -993 -309
+rect -927 -331 -897 -309
+rect -831 -331 -801 -309
+rect -735 -331 -705 -309
+rect -639 -331 -609 -309
+rect -543 -331 -513 -309
+rect -447 -331 -417 -309
+rect -351 -331 -321 -309
+rect -255 -331 -225 -309
+rect -159 -331 -129 -309
+rect -63 -331 -33 -309
+rect 33 -331 63 -309
+rect 129 -331 159 -309
+rect 225 -331 255 -309
+rect 321 -331 351 -309
+rect 417 -331 447 -309
+rect 513 -331 543 -309
+rect 609 -331 639 -309
+rect 705 -331 735 -309
+rect 801 -331 831 -309
+rect 897 -331 927 -309
+rect 993 -331 1023 -309
+rect 1089 -331 1119 -309
+rect 1185 -331 1215 -309
+rect 1281 -331 1311 -309
+rect 1377 -331 1407 -309
+rect 1473 -331 1503 -309
+rect 1569 -331 1599 -309
+rect 1665 -331 1695 -309
+rect 1761 -331 1791 -309
+rect 1857 -331 1887 -309
+rect -1905 -505 1905 -331
+rect -1887 -527 -1857 -505
+rect -1791 -527 -1761 -505
+rect -1695 -527 -1665 -505
+rect -1599 -527 -1569 -505
+rect -1503 -527 -1473 -505
+rect -1407 -527 -1377 -505
+rect -1311 -527 -1281 -505
+rect -1215 -527 -1185 -505
+rect -1119 -527 -1089 -505
+rect -1023 -527 -993 -505
+rect -927 -527 -897 -505
+rect -831 -527 -801 -505
+rect -735 -527 -705 -505
+rect -639 -527 -609 -505
+rect -543 -527 -513 -505
+rect -447 -527 -417 -505
+rect -351 -527 -321 -505
+rect -255 -527 -225 -505
+rect -159 -527 -129 -505
+rect -63 -527 -33 -505
+rect 33 -527 63 -505
+rect 129 -527 159 -505
+rect 225 -527 255 -505
+rect 321 -527 351 -505
+rect 417 -527 447 -505
+rect 513 -527 543 -505
+rect 609 -527 639 -505
+rect 705 -527 735 -505
+rect 801 -527 831 -505
+rect 897 -527 927 -505
+rect 993 -527 1023 -505
+rect 1089 -527 1119 -505
+rect 1185 -527 1215 -505
+rect 1281 -527 1311 -505
+rect 1377 -527 1407 -505
+rect 1473 -527 1503 -505
+rect 1569 -527 1599 -505
+rect 1665 -527 1695 -505
+rect 1761 -527 1791 -505
+rect 1857 -527 1887 -505
+rect -1887 -749 -1857 -727
+rect -1791 -749 -1761 -727
+rect -1695 -749 -1665 -727
+rect -1599 -749 -1569 -727
+rect -1503 -749 -1473 -727
+rect -1407 -749 -1377 -727
+rect -1311 -749 -1281 -727
+rect -1215 -749 -1185 -727
+rect -1119 -749 -1089 -727
+rect -1023 -749 -993 -727
+rect -927 -749 -897 -727
+rect -831 -749 -801 -727
+rect -735 -749 -705 -727
+rect -639 -749 -609 -727
+rect -543 -749 -513 -727
+rect -447 -749 -417 -727
+rect -351 -749 -321 -727
+rect -255 -749 -225 -727
+rect -159 -749 -129 -727
+rect -63 -749 -33 -727
+rect 33 -749 63 -727
+rect 129 -749 159 -727
+rect 225 -749 255 -727
+rect 321 -749 351 -727
+rect 417 -749 447 -727
+rect 513 -749 543 -727
+rect 609 -749 639 -727
+rect 705 -749 735 -727
+rect 801 -749 831 -727
+rect 897 -749 927 -727
+rect 993 -749 1023 -727
+rect 1089 -749 1119 -727
+rect 1185 -749 1215 -727
+rect 1281 -749 1311 -727
+rect 1377 -749 1407 -727
+rect 1473 -749 1503 -727
+rect 1569 -749 1599 -727
+rect 1665 -749 1695 -727
+rect 1761 -749 1791 -727
+rect 1857 -753 1887 -727
+<< locali >>
+rect -2051 867 -1955 901
+rect 1955 867 2051 901
+rect 2017 805 2051 867
+rect -1937 715 -1903 731
+rect -1937 523 -1903 539
+rect -1841 715 -1807 731
+rect -1841 523 -1807 539
+rect -1745 715 -1711 731
+rect -1745 523 -1711 539
+rect -1649 715 -1615 731
+rect -1649 523 -1615 539
+rect -1553 715 -1519 731
+rect -1553 523 -1519 539
+rect -1457 715 -1423 731
+rect -1457 523 -1423 539
+rect -1361 715 -1327 731
+rect -1361 523 -1327 539
+rect -1265 715 -1231 731
+rect -1265 523 -1231 539
+rect -1169 715 -1135 731
+rect -1169 523 -1135 539
+rect -1073 715 -1039 731
+rect -1073 523 -1039 539
+rect -977 715 -943 731
+rect -977 523 -943 539
+rect -881 715 -847 731
+rect -881 523 -847 539
+rect -785 715 -751 731
+rect -785 523 -751 539
+rect -689 715 -655 731
+rect -689 523 -655 539
+rect -593 715 -559 731
+rect -593 523 -559 539
+rect -497 715 -463 731
+rect -497 523 -463 539
+rect -401 715 -367 731
+rect -401 523 -367 539
+rect -305 715 -271 731
+rect -305 523 -271 539
+rect -209 715 -175 731
+rect -209 523 -175 539
+rect -113 715 -79 731
+rect -113 523 -79 539
+rect -17 715 17 731
+rect -17 523 17 539
+rect 79 715 113 731
+rect 79 523 113 539
+rect 175 715 209 731
+rect 175 523 209 539
+rect 271 715 305 731
+rect 271 523 305 539
+rect 367 715 401 731
+rect 367 523 401 539
+rect 463 715 497 731
+rect 463 523 497 539
+rect 559 715 593 731
+rect 559 523 593 539
+rect 655 715 689 731
+rect 655 523 689 539
+rect 751 715 785 731
+rect 751 523 785 539
+rect 847 715 881 731
+rect 847 523 881 539
+rect 943 715 977 731
+rect 943 523 977 539
+rect 1039 715 1073 731
+rect 1039 523 1073 539
+rect 1135 715 1169 731
+rect 1135 523 1169 539
+rect 1231 715 1265 731
+rect 1231 523 1265 539
+rect 1327 715 1361 731
+rect 1327 523 1361 539
+rect 1423 715 1457 731
+rect 1423 523 1457 539
+rect 1519 715 1553 731
+rect 1519 523 1553 539
+rect 1615 715 1649 731
+rect 1615 523 1649 539
+rect 1711 715 1745 731
+rect 1711 523 1745 539
+rect 1807 715 1841 731
+rect 1807 523 1841 539
+rect 1903 715 1937 731
+rect 1903 523 1937 539
+rect -1937 297 -1903 313
+rect -1937 105 -1903 121
+rect -1841 297 -1807 313
+rect -1841 105 -1807 121
+rect -1745 297 -1711 313
+rect -1745 105 -1711 121
+rect -1649 297 -1615 313
+rect -1649 105 -1615 121
+rect -1553 297 -1519 313
+rect -1553 105 -1519 121
+rect -1457 297 -1423 313
+rect -1457 105 -1423 121
+rect -1361 297 -1327 313
+rect -1361 105 -1327 121
+rect -1265 297 -1231 313
+rect -1265 105 -1231 121
+rect -1169 297 -1135 313
+rect -1169 105 -1135 121
+rect -1073 297 -1039 313
+rect -1073 105 -1039 121
+rect -977 297 -943 313
+rect -977 105 -943 121
+rect -881 297 -847 313
+rect -881 105 -847 121
+rect -785 297 -751 313
+rect -785 105 -751 121
+rect -689 297 -655 313
+rect -689 105 -655 121
+rect -593 297 -559 313
+rect -593 105 -559 121
+rect -497 297 -463 313
+rect -497 105 -463 121
+rect -401 297 -367 313
+rect -401 105 -367 121
+rect -305 297 -271 313
+rect -305 105 -271 121
+rect -209 297 -175 313
+rect -209 105 -175 121
+rect -113 297 -79 313
+rect -113 105 -79 121
+rect -17 297 17 313
+rect -17 105 17 121
+rect 79 297 113 313
+rect 79 105 113 121
+rect 175 297 209 313
+rect 175 105 209 121
+rect 271 297 305 313
+rect 271 105 305 121
+rect 367 297 401 313
+rect 367 105 401 121
+rect 463 297 497 313
+rect 463 105 497 121
+rect 559 297 593 313
+rect 559 105 593 121
+rect 655 297 689 313
+rect 655 105 689 121
+rect 751 297 785 313
+rect 751 105 785 121
+rect 847 297 881 313
+rect 847 105 881 121
+rect 943 297 977 313
+rect 943 105 977 121
+rect 1039 297 1073 313
+rect 1039 105 1073 121
+rect 1135 297 1169 313
+rect 1135 105 1169 121
+rect 1231 297 1265 313
+rect 1231 105 1265 121
+rect 1327 297 1361 313
+rect 1327 105 1361 121
+rect 1423 297 1457 313
+rect 1423 105 1457 121
+rect 1519 297 1553 313
+rect 1519 105 1553 121
+rect 1615 297 1649 313
+rect 1615 105 1649 121
+rect 1711 297 1745 313
+rect 1711 105 1745 121
+rect 1807 297 1841 313
+rect 1807 105 1841 121
+rect 1903 297 1937 313
+rect 1903 105 1937 121
+rect -1937 -121 -1903 -105
+rect -1937 -313 -1903 -297
+rect -1841 -121 -1807 -105
+rect -1841 -313 -1807 -297
+rect -1745 -121 -1711 -105
+rect -1745 -313 -1711 -297
+rect -1649 -121 -1615 -105
+rect -1649 -313 -1615 -297
+rect -1553 -121 -1519 -105
+rect -1553 -313 -1519 -297
+rect -1457 -121 -1423 -105
+rect -1457 -313 -1423 -297
+rect -1361 -121 -1327 -105
+rect -1361 -313 -1327 -297
+rect -1265 -121 -1231 -105
+rect -1265 -313 -1231 -297
+rect -1169 -121 -1135 -105
+rect -1169 -313 -1135 -297
+rect -1073 -121 -1039 -105
+rect -1073 -313 -1039 -297
+rect -977 -121 -943 -105
+rect -977 -313 -943 -297
+rect -881 -121 -847 -105
+rect -881 -313 -847 -297
+rect -785 -121 -751 -105
+rect -785 -313 -751 -297
+rect -689 -121 -655 -105
+rect -689 -313 -655 -297
+rect -593 -121 -559 -105
+rect -593 -313 -559 -297
+rect -497 -121 -463 -105
+rect -497 -313 -463 -297
+rect -401 -121 -367 -105
+rect -401 -313 -367 -297
+rect -305 -121 -271 -105
+rect -305 -313 -271 -297
+rect -209 -121 -175 -105
+rect -209 -313 -175 -297
+rect -113 -121 -79 -105
+rect -113 -313 -79 -297
+rect -17 -121 17 -105
+rect -17 -313 17 -297
+rect 79 -121 113 -105
+rect 79 -313 113 -297
+rect 175 -121 209 -105
+rect 175 -313 209 -297
+rect 271 -121 305 -105
+rect 271 -313 305 -297
+rect 367 -121 401 -105
+rect 367 -313 401 -297
+rect 463 -121 497 -105
+rect 463 -313 497 -297
+rect 559 -121 593 -105
+rect 559 -313 593 -297
+rect 655 -121 689 -105
+rect 655 -313 689 -297
+rect 751 -121 785 -105
+rect 751 -313 785 -297
+rect 847 -121 881 -105
+rect 847 -313 881 -297
+rect 943 -121 977 -105
+rect 943 -313 977 -297
+rect 1039 -121 1073 -105
+rect 1039 -313 1073 -297
+rect 1135 -121 1169 -105
+rect 1135 -313 1169 -297
+rect 1231 -121 1265 -105
+rect 1231 -313 1265 -297
+rect 1327 -121 1361 -105
+rect 1327 -313 1361 -297
+rect 1423 -121 1457 -105
+rect 1423 -313 1457 -297
+rect 1519 -121 1553 -105
+rect 1519 -313 1553 -297
+rect 1615 -121 1649 -105
+rect 1615 -313 1649 -297
+rect 1711 -121 1745 -105
+rect 1711 -313 1745 -297
+rect 1807 -121 1841 -105
+rect 1807 -313 1841 -297
+rect 1903 -121 1937 -105
+rect 1903 -313 1937 -297
+rect -1937 -539 -1903 -523
+rect -1937 -731 -1903 -715
+rect -1841 -539 -1807 -523
+rect -1841 -731 -1807 -715
+rect -1745 -539 -1711 -523
+rect -1745 -731 -1711 -715
+rect -1649 -539 -1615 -523
+rect -1649 -731 -1615 -715
+rect -1553 -539 -1519 -523
+rect -1553 -731 -1519 -715
+rect -1457 -539 -1423 -523
+rect -1457 -731 -1423 -715
+rect -1361 -539 -1327 -523
+rect -1361 -731 -1327 -715
+rect -1265 -539 -1231 -523
+rect -1265 -731 -1231 -715
+rect -1169 -539 -1135 -523
+rect -1169 -731 -1135 -715
+rect -1073 -539 -1039 -523
+rect -1073 -731 -1039 -715
+rect -977 -539 -943 -523
+rect -977 -731 -943 -715
+rect -881 -539 -847 -523
+rect -881 -731 -847 -715
+rect -785 -539 -751 -523
+rect -785 -731 -751 -715
+rect -689 -539 -655 -523
+rect -689 -731 -655 -715
+rect -593 -539 -559 -523
+rect -593 -731 -559 -715
+rect -497 -539 -463 -523
+rect -497 -731 -463 -715
+rect -401 -539 -367 -523
+rect -401 -731 -367 -715
+rect -305 -539 -271 -523
+rect -305 -731 -271 -715
+rect -209 -539 -175 -523
+rect -209 -731 -175 -715
+rect -113 -539 -79 -523
+rect -113 -731 -79 -715
+rect -17 -539 17 -523
+rect -17 -731 17 -715
+rect 79 -539 113 -523
+rect 79 -731 113 -715
+rect 175 -539 209 -523
+rect 175 -731 209 -715
+rect 271 -539 305 -523
+rect 271 -731 305 -715
+rect 367 -539 401 -523
+rect 367 -731 401 -715
+rect 463 -539 497 -523
+rect 463 -731 497 -715
+rect 559 -539 593 -523
+rect 559 -731 593 -715
+rect 655 -539 689 -523
+rect 655 -731 689 -715
+rect 751 -539 785 -523
+rect 751 -731 785 -715
+rect 847 -539 881 -523
+rect 847 -731 881 -715
+rect 943 -539 977 -523
+rect 943 -731 977 -715
+rect 1039 -539 1073 -523
+rect 1039 -731 1073 -715
+rect 1135 -539 1169 -523
+rect 1135 -731 1169 -715
+rect 1231 -539 1265 -523
+rect 1231 -731 1265 -715
+rect 1327 -539 1361 -523
+rect 1327 -731 1361 -715
+rect 1423 -539 1457 -523
+rect 1423 -731 1457 -715
+rect 1519 -539 1553 -523
+rect 1519 -731 1553 -715
+rect 1615 -539 1649 -523
+rect 1615 -731 1649 -715
+rect 1711 -539 1745 -523
+rect 1711 -731 1745 -715
+rect 1807 -539 1841 -523
+rect 1807 -731 1841 -715
+rect 1903 -539 1937 -523
+rect 1903 -731 1937 -715
+rect 2017 -867 2051 -805
+rect -2051 -901 -1955 -867
+rect 1955 -901 2051 -867
+<< viali >>
+rect -1937 539 -1903 715
+rect -1841 539 -1807 715
+rect -1745 539 -1711 715
+rect -1649 539 -1615 715
+rect -1553 539 -1519 715
+rect -1457 539 -1423 715
+rect -1361 539 -1327 715
+rect -1265 539 -1231 715
+rect -1169 539 -1135 715
+rect -1073 539 -1039 715
+rect -977 539 -943 715
+rect -881 539 -847 715
+rect -785 539 -751 715
+rect -689 539 -655 715
+rect -593 539 -559 715
+rect -497 539 -463 715
+rect -401 539 -367 715
+rect -305 539 -271 715
+rect -209 539 -175 715
+rect -113 539 -79 715
+rect -17 539 17 715
+rect 79 539 113 715
+rect 175 539 209 715
+rect 271 539 305 715
+rect 367 539 401 715
+rect 463 539 497 715
+rect 559 539 593 715
+rect 655 539 689 715
+rect 751 539 785 715
+rect 847 539 881 715
+rect 943 539 977 715
+rect 1039 539 1073 715
+rect 1135 539 1169 715
+rect 1231 539 1265 715
+rect 1327 539 1361 715
+rect 1423 539 1457 715
+rect 1519 539 1553 715
+rect 1615 539 1649 715
+rect 1711 539 1745 715
+rect 1807 539 1841 715
+rect 1903 539 1937 715
+rect -1937 121 -1903 297
+rect -1841 121 -1807 297
+rect -1745 121 -1711 297
+rect -1649 121 -1615 297
+rect -1553 121 -1519 297
+rect -1457 121 -1423 297
+rect -1361 121 -1327 297
+rect -1265 121 -1231 297
+rect -1169 121 -1135 297
+rect -1073 121 -1039 297
+rect -977 121 -943 297
+rect -881 121 -847 297
+rect -785 121 -751 297
+rect -689 121 -655 297
+rect -593 121 -559 297
+rect -497 121 -463 297
+rect -401 121 -367 297
+rect -305 121 -271 297
+rect -209 121 -175 297
+rect -113 121 -79 297
+rect -17 121 17 297
+rect 79 121 113 297
+rect 175 121 209 297
+rect 271 121 305 297
+rect 367 121 401 297
+rect 463 121 497 297
+rect 559 121 593 297
+rect 655 121 689 297
+rect 751 121 785 297
+rect 847 121 881 297
+rect 943 121 977 297
+rect 1039 121 1073 297
+rect 1135 121 1169 297
+rect 1231 121 1265 297
+rect 1327 121 1361 297
+rect 1423 121 1457 297
+rect 1519 121 1553 297
+rect 1615 121 1649 297
+rect 1711 121 1745 297
+rect 1807 121 1841 297
+rect 1903 121 1937 297
+rect -1937 -297 -1903 -121
+rect -1841 -297 -1807 -121
+rect -1745 -297 -1711 -121
+rect -1649 -297 -1615 -121
+rect -1553 -297 -1519 -121
+rect -1457 -297 -1423 -121
+rect -1361 -297 -1327 -121
+rect -1265 -297 -1231 -121
+rect -1169 -297 -1135 -121
+rect -1073 -297 -1039 -121
+rect -977 -297 -943 -121
+rect -881 -297 -847 -121
+rect -785 -297 -751 -121
+rect -689 -297 -655 -121
+rect -593 -297 -559 -121
+rect -497 -297 -463 -121
+rect -401 -297 -367 -121
+rect -305 -297 -271 -121
+rect -209 -297 -175 -121
+rect -113 -297 -79 -121
+rect -17 -297 17 -121
+rect 79 -297 113 -121
+rect 175 -297 209 -121
+rect 271 -297 305 -121
+rect 367 -297 401 -121
+rect 463 -297 497 -121
+rect 559 -297 593 -121
+rect 655 -297 689 -121
+rect 751 -297 785 -121
+rect 847 -297 881 -121
+rect 943 -297 977 -121
+rect 1039 -297 1073 -121
+rect 1135 -297 1169 -121
+rect 1231 -297 1265 -121
+rect 1327 -297 1361 -121
+rect 1423 -297 1457 -121
+rect 1519 -297 1553 -121
+rect 1615 -297 1649 -121
+rect 1711 -297 1745 -121
+rect 1807 -297 1841 -121
+rect 1903 -297 1937 -121
+rect -1937 -715 -1903 -539
+rect -1841 -715 -1807 -539
+rect -1745 -715 -1711 -539
+rect -1649 -715 -1615 -539
+rect -1553 -715 -1519 -539
+rect -1457 -715 -1423 -539
+rect -1361 -715 -1327 -539
+rect -1265 -715 -1231 -539
+rect -1169 -715 -1135 -539
+rect -1073 -715 -1039 -539
+rect -977 -715 -943 -539
+rect -881 -715 -847 -539
+rect -785 -715 -751 -539
+rect -689 -715 -655 -539
+rect -593 -715 -559 -539
+rect -497 -715 -463 -539
+rect -401 -715 -367 -539
+rect -305 -715 -271 -539
+rect -209 -715 -175 -539
+rect -113 -715 -79 -539
+rect -17 -715 17 -539
+rect 79 -715 113 -539
+rect 175 -715 209 -539
+rect 271 -715 305 -539
+rect 367 -715 401 -539
+rect 463 -715 497 -539
+rect 559 -715 593 -539
+rect 655 -715 689 -539
+rect 751 -715 785 -539
+rect 847 -715 881 -539
+rect 943 -715 977 -539
+rect 1039 -715 1073 -539
+rect 1135 -715 1169 -539
+rect 1231 -715 1265 -539
+rect 1327 -715 1361 -539
+rect 1423 -715 1457 -539
+rect 1519 -715 1553 -539
+rect 1615 -715 1649 -539
+rect 1711 -715 1745 -539
+rect 1807 -715 1841 -539
+rect 1903 -715 1937 -539
+<< metal1 >>
+rect -1943 715 -1897 727
+rect -1943 539 -1937 715
+rect -1903 539 -1897 715
+rect -1943 527 -1897 539
+rect -1847 715 -1801 727
+rect -1847 539 -1841 715
+rect -1807 539 -1801 715
+rect -1847 527 -1801 539
+rect -1751 715 -1705 727
+rect -1751 539 -1745 715
+rect -1711 539 -1705 715
+rect -1751 527 -1705 539
+rect -1655 715 -1609 727
+rect -1655 539 -1649 715
+rect -1615 539 -1609 715
+rect -1655 527 -1609 539
+rect -1559 715 -1513 727
+rect -1559 539 -1553 715
+rect -1519 539 -1513 715
+rect -1559 527 -1513 539
+rect -1463 715 -1417 727
+rect -1463 539 -1457 715
+rect -1423 539 -1417 715
+rect -1463 527 -1417 539
+rect -1367 715 -1321 727
+rect -1367 539 -1361 715
+rect -1327 539 -1321 715
+rect -1367 527 -1321 539
+rect -1271 715 -1225 727
+rect -1271 539 -1265 715
+rect -1231 539 -1225 715
+rect -1271 527 -1225 539
+rect -1175 715 -1129 727
+rect -1175 539 -1169 715
+rect -1135 539 -1129 715
+rect -1175 527 -1129 539
+rect -1079 715 -1033 727
+rect -1079 539 -1073 715
+rect -1039 539 -1033 715
+rect -1079 527 -1033 539
+rect -983 715 -937 727
+rect -983 539 -977 715
+rect -943 539 -937 715
+rect -983 527 -937 539
+rect -887 715 -841 727
+rect -887 539 -881 715
+rect -847 539 -841 715
+rect -887 527 -841 539
+rect -791 715 -745 727
+rect -791 539 -785 715
+rect -751 539 -745 715
+rect -791 527 -745 539
+rect -695 715 -649 727
+rect -695 539 -689 715
+rect -655 539 -649 715
+rect -695 527 -649 539
+rect -599 715 -553 727
+rect -599 539 -593 715
+rect -559 539 -553 715
+rect -599 527 -553 539
+rect -503 715 -457 727
+rect -503 539 -497 715
+rect -463 539 -457 715
+rect -503 527 -457 539
+rect -407 715 -361 727
+rect -407 539 -401 715
+rect -367 539 -361 715
+rect -407 527 -361 539
+rect -311 715 -265 727
+rect -311 539 -305 715
+rect -271 539 -265 715
+rect -311 527 -265 539
+rect -215 715 -169 727
+rect -215 539 -209 715
+rect -175 539 -169 715
+rect -215 527 -169 539
+rect -119 715 -73 727
+rect -119 539 -113 715
+rect -79 539 -73 715
+rect -119 527 -73 539
+rect -23 715 23 727
+rect -23 539 -17 715
+rect 17 539 23 715
+rect -23 527 23 539
+rect 73 715 119 727
+rect 73 539 79 715
+rect 113 539 119 715
+rect 73 527 119 539
+rect 169 715 215 727
+rect 169 539 175 715
+rect 209 539 215 715
+rect 169 527 215 539
+rect 265 715 311 727
+rect 265 539 271 715
+rect 305 539 311 715
+rect 265 527 311 539
+rect 361 715 407 727
+rect 361 539 367 715
+rect 401 539 407 715
+rect 361 527 407 539
+rect 457 715 503 727
+rect 457 539 463 715
+rect 497 539 503 715
+rect 457 527 503 539
+rect 553 715 599 727
+rect 553 539 559 715
+rect 593 539 599 715
+rect 553 527 599 539
+rect 649 715 695 727
+rect 649 539 655 715
+rect 689 539 695 715
+rect 649 527 695 539
+rect 745 715 791 727
+rect 745 539 751 715
+rect 785 539 791 715
+rect 745 527 791 539
+rect 841 715 887 727
+rect 841 539 847 715
+rect 881 539 887 715
+rect 841 527 887 539
+rect 937 715 983 727
+rect 937 539 943 715
+rect 977 539 983 715
+rect 937 527 983 539
+rect 1033 715 1079 727
+rect 1033 539 1039 715
+rect 1073 539 1079 715
+rect 1033 527 1079 539
+rect 1129 715 1175 727
+rect 1129 539 1135 715
+rect 1169 539 1175 715
+rect 1129 527 1175 539
+rect 1225 715 1271 727
+rect 1225 539 1231 715
+rect 1265 539 1271 715
+rect 1225 527 1271 539
+rect 1321 715 1367 727
+rect 1321 539 1327 715
+rect 1361 539 1367 715
+rect 1321 527 1367 539
+rect 1417 715 1463 727
+rect 1417 539 1423 715
+rect 1457 539 1463 715
+rect 1417 527 1463 539
+rect 1513 715 1559 727
+rect 1513 539 1519 715
+rect 1553 539 1559 715
+rect 1513 527 1559 539
+rect 1609 715 1655 727
+rect 1609 539 1615 715
+rect 1649 539 1655 715
+rect 1609 527 1655 539
+rect 1705 715 1751 727
+rect 1705 539 1711 715
+rect 1745 539 1751 715
+rect 1705 527 1751 539
+rect 1801 715 1847 727
+rect 1801 539 1807 715
+rect 1841 539 1847 715
+rect 1801 527 1847 539
+rect 1897 715 1943 727
+rect 1897 539 1903 715
+rect 1937 539 1943 715
+rect 1897 527 1943 539
+rect -1943 297 -1897 309
+rect -1943 121 -1937 297
+rect -1903 121 -1897 297
+rect -1943 109 -1897 121
+rect -1847 297 -1801 309
+rect -1847 121 -1841 297
+rect -1807 121 -1801 297
+rect -1847 109 -1801 121
+rect -1751 297 -1705 309
+rect -1751 121 -1745 297
+rect -1711 121 -1705 297
+rect -1751 109 -1705 121
+rect -1655 297 -1609 309
+rect -1655 121 -1649 297
+rect -1615 121 -1609 297
+rect -1655 109 -1609 121
+rect -1559 297 -1513 309
+rect -1559 121 -1553 297
+rect -1519 121 -1513 297
+rect -1559 109 -1513 121
+rect -1463 297 -1417 309
+rect -1463 121 -1457 297
+rect -1423 121 -1417 297
+rect -1463 109 -1417 121
+rect -1367 297 -1321 309
+rect -1367 121 -1361 297
+rect -1327 121 -1321 297
+rect -1367 109 -1321 121
+rect -1271 297 -1225 309
+rect -1271 121 -1265 297
+rect -1231 121 -1225 297
+rect -1271 109 -1225 121
+rect -1175 297 -1129 309
+rect -1175 121 -1169 297
+rect -1135 121 -1129 297
+rect -1175 109 -1129 121
+rect -1079 297 -1033 309
+rect -1079 121 -1073 297
+rect -1039 121 -1033 297
+rect -1079 109 -1033 121
+rect -983 297 -937 309
+rect -983 121 -977 297
+rect -943 121 -937 297
+rect -983 109 -937 121
+rect -887 297 -841 309
+rect -887 121 -881 297
+rect -847 121 -841 297
+rect -887 109 -841 121
+rect -791 297 -745 309
+rect -791 121 -785 297
+rect -751 121 -745 297
+rect -791 109 -745 121
+rect -695 297 -649 309
+rect -695 121 -689 297
+rect -655 121 -649 297
+rect -695 109 -649 121
+rect -599 297 -553 309
+rect -599 121 -593 297
+rect -559 121 -553 297
+rect -599 109 -553 121
+rect -503 297 -457 309
+rect -503 121 -497 297
+rect -463 121 -457 297
+rect -503 109 -457 121
+rect -407 297 -361 309
+rect -407 121 -401 297
+rect -367 121 -361 297
+rect -407 109 -361 121
+rect -311 297 -265 309
+rect -311 121 -305 297
+rect -271 121 -265 297
+rect -311 109 -265 121
+rect -215 297 -169 309
+rect -215 121 -209 297
+rect -175 121 -169 297
+rect -215 109 -169 121
+rect -119 297 -73 309
+rect -119 121 -113 297
+rect -79 121 -73 297
+rect -119 109 -73 121
+rect -23 297 23 309
+rect -23 121 -17 297
+rect 17 121 23 297
+rect -23 109 23 121
+rect 73 297 119 309
+rect 73 121 79 297
+rect 113 121 119 297
+rect 73 109 119 121
+rect 169 297 215 309
+rect 169 121 175 297
+rect 209 121 215 297
+rect 169 109 215 121
+rect 265 297 311 309
+rect 265 121 271 297
+rect 305 121 311 297
+rect 265 109 311 121
+rect 361 297 407 309
+rect 361 121 367 297
+rect 401 121 407 297
+rect 361 109 407 121
+rect 457 297 503 309
+rect 457 121 463 297
+rect 497 121 503 297
+rect 457 109 503 121
+rect 553 297 599 309
+rect 553 121 559 297
+rect 593 121 599 297
+rect 553 109 599 121
+rect 649 297 695 309
+rect 649 121 655 297
+rect 689 121 695 297
+rect 649 109 695 121
+rect 745 297 791 309
+rect 745 121 751 297
+rect 785 121 791 297
+rect 745 109 791 121
+rect 841 297 887 309
+rect 841 121 847 297
+rect 881 121 887 297
+rect 841 109 887 121
+rect 937 297 983 309
+rect 937 121 943 297
+rect 977 121 983 297
+rect 937 109 983 121
+rect 1033 297 1079 309
+rect 1033 121 1039 297
+rect 1073 121 1079 297
+rect 1033 109 1079 121
+rect 1129 297 1175 309
+rect 1129 121 1135 297
+rect 1169 121 1175 297
+rect 1129 109 1175 121
+rect 1225 297 1271 309
+rect 1225 121 1231 297
+rect 1265 121 1271 297
+rect 1225 109 1271 121
+rect 1321 297 1367 309
+rect 1321 121 1327 297
+rect 1361 121 1367 297
+rect 1321 109 1367 121
+rect 1417 297 1463 309
+rect 1417 121 1423 297
+rect 1457 121 1463 297
+rect 1417 109 1463 121
+rect 1513 297 1559 309
+rect 1513 121 1519 297
+rect 1553 121 1559 297
+rect 1513 109 1559 121
+rect 1609 297 1655 309
+rect 1609 121 1615 297
+rect 1649 121 1655 297
+rect 1609 109 1655 121
+rect 1705 297 1751 309
+rect 1705 121 1711 297
+rect 1745 121 1751 297
+rect 1705 109 1751 121
+rect 1801 297 1847 309
+rect 1801 121 1807 297
+rect 1841 121 1847 297
+rect 1801 109 1847 121
+rect 1897 297 1943 309
+rect 1897 121 1903 297
+rect 1937 121 1943 297
+rect 1897 109 1943 121
+rect -1943 -121 -1897 -109
+rect -1943 -297 -1937 -121
+rect -1903 -297 -1897 -121
+rect -1943 -309 -1897 -297
+rect -1847 -121 -1801 -109
+rect -1847 -297 -1841 -121
+rect -1807 -297 -1801 -121
+rect -1847 -309 -1801 -297
+rect -1751 -121 -1705 -109
+rect -1751 -297 -1745 -121
+rect -1711 -297 -1705 -121
+rect -1751 -309 -1705 -297
+rect -1655 -121 -1609 -109
+rect -1655 -297 -1649 -121
+rect -1615 -297 -1609 -121
+rect -1655 -309 -1609 -297
+rect -1559 -121 -1513 -109
+rect -1559 -297 -1553 -121
+rect -1519 -297 -1513 -121
+rect -1559 -309 -1513 -297
+rect -1463 -121 -1417 -109
+rect -1463 -297 -1457 -121
+rect -1423 -297 -1417 -121
+rect -1463 -309 -1417 -297
+rect -1367 -121 -1321 -109
+rect -1367 -297 -1361 -121
+rect -1327 -297 -1321 -121
+rect -1367 -309 -1321 -297
+rect -1271 -121 -1225 -109
+rect -1271 -297 -1265 -121
+rect -1231 -297 -1225 -121
+rect -1271 -309 -1225 -297
+rect -1175 -121 -1129 -109
+rect -1175 -297 -1169 -121
+rect -1135 -297 -1129 -121
+rect -1175 -309 -1129 -297
+rect -1079 -121 -1033 -109
+rect -1079 -297 -1073 -121
+rect -1039 -297 -1033 -121
+rect -1079 -309 -1033 -297
+rect -983 -121 -937 -109
+rect -983 -297 -977 -121
+rect -943 -297 -937 -121
+rect -983 -309 -937 -297
+rect -887 -121 -841 -109
+rect -887 -297 -881 -121
+rect -847 -297 -841 -121
+rect -887 -309 -841 -297
+rect -791 -121 -745 -109
+rect -791 -297 -785 -121
+rect -751 -297 -745 -121
+rect -791 -309 -745 -297
+rect -695 -121 -649 -109
+rect -695 -297 -689 -121
+rect -655 -297 -649 -121
+rect -695 -309 -649 -297
+rect -599 -121 -553 -109
+rect -599 -297 -593 -121
+rect -559 -297 -553 -121
+rect -599 -309 -553 -297
+rect -503 -121 -457 -109
+rect -503 -297 -497 -121
+rect -463 -297 -457 -121
+rect -503 -309 -457 -297
+rect -407 -121 -361 -109
+rect -407 -297 -401 -121
+rect -367 -297 -361 -121
+rect -407 -309 -361 -297
+rect -311 -121 -265 -109
+rect -311 -297 -305 -121
+rect -271 -297 -265 -121
+rect -311 -309 -265 -297
+rect -215 -121 -169 -109
+rect -215 -297 -209 -121
+rect -175 -297 -169 -121
+rect -215 -309 -169 -297
+rect -119 -121 -73 -109
+rect -119 -297 -113 -121
+rect -79 -297 -73 -121
+rect -119 -309 -73 -297
+rect -23 -121 23 -109
+rect -23 -297 -17 -121
+rect 17 -297 23 -121
+rect -23 -309 23 -297
+rect 73 -121 119 -109
+rect 73 -297 79 -121
+rect 113 -297 119 -121
+rect 73 -309 119 -297
+rect 169 -121 215 -109
+rect 169 -297 175 -121
+rect 209 -297 215 -121
+rect 169 -309 215 -297
+rect 265 -121 311 -109
+rect 265 -297 271 -121
+rect 305 -297 311 -121
+rect 265 -309 311 -297
+rect 361 -121 407 -109
+rect 361 -297 367 -121
+rect 401 -297 407 -121
+rect 361 -309 407 -297
+rect 457 -121 503 -109
+rect 457 -297 463 -121
+rect 497 -297 503 -121
+rect 457 -309 503 -297
+rect 553 -121 599 -109
+rect 553 -297 559 -121
+rect 593 -297 599 -121
+rect 553 -309 599 -297
+rect 649 -121 695 -109
+rect 649 -297 655 -121
+rect 689 -297 695 -121
+rect 649 -309 695 -297
+rect 745 -121 791 -109
+rect 745 -297 751 -121
+rect 785 -297 791 -121
+rect 745 -309 791 -297
+rect 841 -121 887 -109
+rect 841 -297 847 -121
+rect 881 -297 887 -121
+rect 841 -309 887 -297
+rect 937 -121 983 -109
+rect 937 -297 943 -121
+rect 977 -297 983 -121
+rect 937 -309 983 -297
+rect 1033 -121 1079 -109
+rect 1033 -297 1039 -121
+rect 1073 -297 1079 -121
+rect 1033 -309 1079 -297
+rect 1129 -121 1175 -109
+rect 1129 -297 1135 -121
+rect 1169 -297 1175 -121
+rect 1129 -309 1175 -297
+rect 1225 -121 1271 -109
+rect 1225 -297 1231 -121
+rect 1265 -297 1271 -121
+rect 1225 -309 1271 -297
+rect 1321 -121 1367 -109
+rect 1321 -297 1327 -121
+rect 1361 -297 1367 -121
+rect 1321 -309 1367 -297
+rect 1417 -121 1463 -109
+rect 1417 -297 1423 -121
+rect 1457 -297 1463 -121
+rect 1417 -309 1463 -297
+rect 1513 -121 1559 -109
+rect 1513 -297 1519 -121
+rect 1553 -297 1559 -121
+rect 1513 -309 1559 -297
+rect 1609 -121 1655 -109
+rect 1609 -297 1615 -121
+rect 1649 -297 1655 -121
+rect 1609 -309 1655 -297
+rect 1705 -121 1751 -109
+rect 1705 -297 1711 -121
+rect 1745 -297 1751 -121
+rect 1705 -309 1751 -297
+rect 1801 -121 1847 -109
+rect 1801 -297 1807 -121
+rect 1841 -297 1847 -121
+rect 1801 -309 1847 -297
+rect 1897 -121 1943 -109
+rect 1897 -297 1903 -121
+rect 1937 -297 1943 -121
+rect 1897 -309 1943 -297
+rect -1943 -539 -1897 -527
+rect -1943 -715 -1937 -539
+rect -1903 -715 -1897 -539
+rect -1943 -727 -1897 -715
+rect -1847 -539 -1801 -527
+rect -1847 -715 -1841 -539
+rect -1807 -715 -1801 -539
+rect -1847 -727 -1801 -715
+rect -1751 -539 -1705 -527
+rect -1751 -715 -1745 -539
+rect -1711 -715 -1705 -539
+rect -1751 -727 -1705 -715
+rect -1655 -539 -1609 -527
+rect -1655 -715 -1649 -539
+rect -1615 -715 -1609 -539
+rect -1655 -727 -1609 -715
+rect -1559 -539 -1513 -527
+rect -1559 -715 -1553 -539
+rect -1519 -715 -1513 -539
+rect -1559 -727 -1513 -715
+rect -1463 -539 -1417 -527
+rect -1463 -715 -1457 -539
+rect -1423 -715 -1417 -539
+rect -1463 -727 -1417 -715
+rect -1367 -539 -1321 -527
+rect -1367 -715 -1361 -539
+rect -1327 -715 -1321 -539
+rect -1367 -727 -1321 -715
+rect -1271 -539 -1225 -527
+rect -1271 -715 -1265 -539
+rect -1231 -715 -1225 -539
+rect -1271 -727 -1225 -715
+rect -1175 -539 -1129 -527
+rect -1175 -715 -1169 -539
+rect -1135 -715 -1129 -539
+rect -1175 -727 -1129 -715
+rect -1079 -539 -1033 -527
+rect -1079 -715 -1073 -539
+rect -1039 -715 -1033 -539
+rect -1079 -727 -1033 -715
+rect -983 -539 -937 -527
+rect -983 -715 -977 -539
+rect -943 -715 -937 -539
+rect -983 -727 -937 -715
+rect -887 -539 -841 -527
+rect -887 -715 -881 -539
+rect -847 -715 -841 -539
+rect -887 -727 -841 -715
+rect -791 -539 -745 -527
+rect -791 -715 -785 -539
+rect -751 -715 -745 -539
+rect -791 -727 -745 -715
+rect -695 -539 -649 -527
+rect -695 -715 -689 -539
+rect -655 -715 -649 -539
+rect -695 -727 -649 -715
+rect -599 -539 -553 -527
+rect -599 -715 -593 -539
+rect -559 -715 -553 -539
+rect -599 -727 -553 -715
+rect -503 -539 -457 -527
+rect -503 -715 -497 -539
+rect -463 -715 -457 -539
+rect -503 -727 -457 -715
+rect -407 -539 -361 -527
+rect -407 -715 -401 -539
+rect -367 -715 -361 -539
+rect -407 -727 -361 -715
+rect -311 -539 -265 -527
+rect -311 -715 -305 -539
+rect -271 -715 -265 -539
+rect -311 -727 -265 -715
+rect -215 -539 -169 -527
+rect -215 -715 -209 -539
+rect -175 -715 -169 -539
+rect -215 -727 -169 -715
+rect -119 -539 -73 -527
+rect -119 -715 -113 -539
+rect -79 -715 -73 -539
+rect -119 -727 -73 -715
+rect -23 -539 23 -527
+rect -23 -715 -17 -539
+rect 17 -715 23 -539
+rect -23 -727 23 -715
+rect 73 -539 119 -527
+rect 73 -715 79 -539
+rect 113 -715 119 -539
+rect 73 -727 119 -715
+rect 169 -539 215 -527
+rect 169 -715 175 -539
+rect 209 -715 215 -539
+rect 169 -727 215 -715
+rect 265 -539 311 -527
+rect 265 -715 271 -539
+rect 305 -715 311 -539
+rect 265 -727 311 -715
+rect 361 -539 407 -527
+rect 361 -715 367 -539
+rect 401 -715 407 -539
+rect 361 -727 407 -715
+rect 457 -539 503 -527
+rect 457 -715 463 -539
+rect 497 -715 503 -539
+rect 457 -727 503 -715
+rect 553 -539 599 -527
+rect 553 -715 559 -539
+rect 593 -715 599 -539
+rect 553 -727 599 -715
+rect 649 -539 695 -527
+rect 649 -715 655 -539
+rect 689 -715 695 -539
+rect 649 -727 695 -715
+rect 745 -539 791 -527
+rect 745 -715 751 -539
+rect 785 -715 791 -539
+rect 745 -727 791 -715
+rect 841 -539 887 -527
+rect 841 -715 847 -539
+rect 881 -715 887 -539
+rect 841 -727 887 -715
+rect 937 -539 983 -527
+rect 937 -715 943 -539
+rect 977 -715 983 -539
+rect 937 -727 983 -715
+rect 1033 -539 1079 -527
+rect 1033 -715 1039 -539
+rect 1073 -715 1079 -539
+rect 1033 -727 1079 -715
+rect 1129 -539 1175 -527
+rect 1129 -715 1135 -539
+rect 1169 -715 1175 -539
+rect 1129 -727 1175 -715
+rect 1225 -539 1271 -527
+rect 1225 -715 1231 -539
+rect 1265 -715 1271 -539
+rect 1225 -727 1271 -715
+rect 1321 -539 1367 -527
+rect 1321 -715 1327 -539
+rect 1361 -715 1367 -539
+rect 1321 -727 1367 -715
+rect 1417 -539 1463 -527
+rect 1417 -715 1423 -539
+rect 1457 -715 1463 -539
+rect 1417 -727 1463 -715
+rect 1513 -539 1559 -527
+rect 1513 -715 1519 -539
+rect 1553 -715 1559 -539
+rect 1513 -727 1559 -715
+rect 1609 -539 1655 -527
+rect 1609 -715 1615 -539
+rect 1649 -715 1655 -539
+rect 1609 -727 1655 -715
+rect 1705 -539 1751 -527
+rect 1705 -715 1711 -539
+rect 1745 -715 1751 -539
+rect 1705 -727 1751 -715
+rect 1801 -539 1847 -527
+rect 1801 -715 1807 -539
+rect 1841 -715 1847 -539
+rect 1801 -727 1847 -715
+rect 1897 -539 1943 -527
+rect 1897 -715 1903 -539
+rect 1937 -715 1943 -539
+rect 1897 -727 1943 -715
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string FIXED_BBOX -2034 -884 2034 884
+string parameters w 1 l 0.150 m 4 nf 40 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 1 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_CFLRKA.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_CFLRKA.mag
new file mode 100644
index 0000000..9a09c8f
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_CFLRKA.mag
@@ -0,0 +1,1340 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623991863
+<< error_p >>
+rect -1887 331 -1857 335
+rect -1791 331 -1761 335
+rect -1695 331 -1665 335
+rect -1599 331 -1569 335
+rect -1503 331 -1473 335
+rect -1407 331 -1377 335
+rect -1311 331 -1281 335
+rect -1215 331 -1185 335
+rect -1119 331 -1089 335
+rect -1023 331 -993 335
+rect -927 331 -897 335
+rect -831 331 -801 335
+rect -735 331 -705 335
+rect -639 331 -609 335
+rect -543 331 -513 335
+rect -447 331 -417 335
+rect -351 331 -321 335
+rect -255 331 -225 335
+rect -159 331 -129 335
+rect -63 331 -33 335
+rect 33 331 63 335
+rect 129 331 159 335
+rect 225 331 255 335
+rect 321 331 351 335
+rect 417 331 447 335
+rect 513 331 543 335
+rect 609 331 639 335
+rect 705 331 735 335
+rect 801 331 831 335
+rect 897 331 927 335
+rect 993 331 1023 335
+rect 1089 331 1119 335
+rect 1185 331 1215 335
+rect 1281 331 1311 335
+rect 1377 331 1407 335
+rect 1473 331 1503 335
+rect 1569 331 1599 335
+rect 1665 331 1695 335
+rect 1761 331 1791 335
+rect -1887 -335 -1857 -331
+rect -1791 -335 -1761 -331
+rect -1695 -335 -1665 -331
+rect -1599 -335 -1569 -331
+rect -1503 -335 -1473 -331
+rect -1407 -335 -1377 -331
+rect -1311 -335 -1281 -331
+rect -1215 -335 -1185 -331
+rect -1119 -335 -1089 -331
+rect -1023 -335 -993 -331
+rect -927 -335 -897 -331
+rect -831 -335 -801 -331
+rect -735 -335 -705 -331
+rect -639 -335 -609 -331
+rect -543 -335 -513 -331
+rect -447 -335 -417 -331
+rect -351 -335 -321 -331
+rect -255 -335 -225 -331
+rect -159 -335 -129 -331
+rect -63 -335 -33 -331
+rect 33 -335 63 -331
+rect 129 -335 159 -331
+rect 225 -335 255 -331
+rect 321 -335 351 -331
+rect 417 -335 447 -331
+rect 513 -335 543 -331
+rect 609 -335 639 -331
+rect 705 -335 735 -331
+rect 801 -335 831 -331
+rect 897 -335 927 -331
+rect 993 -335 1023 -331
+rect 1089 -335 1119 -331
+rect 1185 -335 1215 -331
+rect 1281 -335 1311 -331
+rect 1377 -335 1407 -331
+rect 1473 -335 1503 -331
+rect 1569 -335 1599 -331
+rect 1665 -335 1695 -331
+rect 1761 -335 1791 -331
+<< pwell >>
+rect -2087 -519 2087 519
+<< nmoslvt >>
+rect -1887 109 -1857 309
+rect -1791 109 -1761 309
+rect -1695 109 -1665 309
+rect -1599 109 -1569 309
+rect -1503 109 -1473 309
+rect -1407 109 -1377 309
+rect -1311 109 -1281 309
+rect -1215 109 -1185 309
+rect -1119 109 -1089 309
+rect -1023 109 -993 309
+rect -927 109 -897 309
+rect -831 109 -801 309
+rect -735 109 -705 309
+rect -639 109 -609 309
+rect -543 109 -513 309
+rect -447 109 -417 309
+rect -351 109 -321 309
+rect -255 109 -225 309
+rect -159 109 -129 309
+rect -63 109 -33 309
+rect 33 109 63 309
+rect 129 109 159 309
+rect 225 109 255 309
+rect 321 109 351 309
+rect 417 109 447 309
+rect 513 109 543 309
+rect 609 109 639 309
+rect 705 109 735 309
+rect 801 109 831 309
+rect 897 109 927 309
+rect 993 109 1023 309
+rect 1089 109 1119 309
+rect 1185 109 1215 309
+rect 1281 109 1311 309
+rect 1377 109 1407 309
+rect 1473 109 1503 309
+rect 1569 109 1599 309
+rect 1665 109 1695 309
+rect 1761 109 1791 309
+rect 1857 109 1887 309
+rect -1887 -309 -1857 -109
+rect -1791 -309 -1761 -109
+rect -1695 -309 -1665 -109
+rect -1599 -309 -1569 -109
+rect -1503 -309 -1473 -109
+rect -1407 -309 -1377 -109
+rect -1311 -309 -1281 -109
+rect -1215 -309 -1185 -109
+rect -1119 -309 -1089 -109
+rect -1023 -309 -993 -109
+rect -927 -309 -897 -109
+rect -831 -309 -801 -109
+rect -735 -309 -705 -109
+rect -639 -309 -609 -109
+rect -543 -309 -513 -109
+rect -447 -309 -417 -109
+rect -351 -309 -321 -109
+rect -255 -309 -225 -109
+rect -159 -309 -129 -109
+rect -63 -309 -33 -109
+rect 33 -309 63 -109
+rect 129 -309 159 -109
+rect 225 -309 255 -109
+rect 321 -309 351 -109
+rect 417 -309 447 -109
+rect 513 -309 543 -109
+rect 609 -309 639 -109
+rect 705 -309 735 -109
+rect 801 -309 831 -109
+rect 897 -309 927 -109
+rect 993 -309 1023 -109
+rect 1089 -309 1119 -109
+rect 1185 -309 1215 -109
+rect 1281 -309 1311 -109
+rect 1377 -309 1407 -109
+rect 1473 -309 1503 -109
+rect 1569 -309 1599 -109
+rect 1665 -309 1695 -109
+rect 1761 -309 1791 -109
+rect 1857 -309 1887 -109
+<< ndiff >>
+rect -1949 297 -1887 309
+rect -1949 121 -1937 297
+rect -1903 121 -1887 297
+rect -1949 109 -1887 121
+rect -1857 297 -1791 309
+rect -1857 121 -1841 297
+rect -1807 121 -1791 297
+rect -1857 109 -1791 121
+rect -1761 297 -1695 309
+rect -1761 121 -1745 297
+rect -1711 121 -1695 297
+rect -1761 109 -1695 121
+rect -1665 297 -1599 309
+rect -1665 121 -1649 297
+rect -1615 121 -1599 297
+rect -1665 109 -1599 121
+rect -1569 297 -1503 309
+rect -1569 121 -1553 297
+rect -1519 121 -1503 297
+rect -1569 109 -1503 121
+rect -1473 297 -1407 309
+rect -1473 121 -1457 297
+rect -1423 121 -1407 297
+rect -1473 109 -1407 121
+rect -1377 297 -1311 309
+rect -1377 121 -1361 297
+rect -1327 121 -1311 297
+rect -1377 109 -1311 121
+rect -1281 297 -1215 309
+rect -1281 121 -1265 297
+rect -1231 121 -1215 297
+rect -1281 109 -1215 121
+rect -1185 297 -1119 309
+rect -1185 121 -1169 297
+rect -1135 121 -1119 297
+rect -1185 109 -1119 121
+rect -1089 297 -1023 309
+rect -1089 121 -1073 297
+rect -1039 121 -1023 297
+rect -1089 109 -1023 121
+rect -993 297 -927 309
+rect -993 121 -977 297
+rect -943 121 -927 297
+rect -993 109 -927 121
+rect -897 297 -831 309
+rect -897 121 -881 297
+rect -847 121 -831 297
+rect -897 109 -831 121
+rect -801 297 -735 309
+rect -801 121 -785 297
+rect -751 121 -735 297
+rect -801 109 -735 121
+rect -705 297 -639 309
+rect -705 121 -689 297
+rect -655 121 -639 297
+rect -705 109 -639 121
+rect -609 297 -543 309
+rect -609 121 -593 297
+rect -559 121 -543 297
+rect -609 109 -543 121
+rect -513 297 -447 309
+rect -513 121 -497 297
+rect -463 121 -447 297
+rect -513 109 -447 121
+rect -417 297 -351 309
+rect -417 121 -401 297
+rect -367 121 -351 297
+rect -417 109 -351 121
+rect -321 297 -255 309
+rect -321 121 -305 297
+rect -271 121 -255 297
+rect -321 109 -255 121
+rect -225 297 -159 309
+rect -225 121 -209 297
+rect -175 121 -159 297
+rect -225 109 -159 121
+rect -129 297 -63 309
+rect -129 121 -113 297
+rect -79 121 -63 297
+rect -129 109 -63 121
+rect -33 297 33 309
+rect -33 121 -17 297
+rect 17 121 33 297
+rect -33 109 33 121
+rect 63 297 129 309
+rect 63 121 79 297
+rect 113 121 129 297
+rect 63 109 129 121
+rect 159 297 225 309
+rect 159 121 175 297
+rect 209 121 225 297
+rect 159 109 225 121
+rect 255 297 321 309
+rect 255 121 271 297
+rect 305 121 321 297
+rect 255 109 321 121
+rect 351 297 417 309
+rect 351 121 367 297
+rect 401 121 417 297
+rect 351 109 417 121
+rect 447 297 513 309
+rect 447 121 463 297
+rect 497 121 513 297
+rect 447 109 513 121
+rect 543 297 609 309
+rect 543 121 559 297
+rect 593 121 609 297
+rect 543 109 609 121
+rect 639 297 705 309
+rect 639 121 655 297
+rect 689 121 705 297
+rect 639 109 705 121
+rect 735 297 801 309
+rect 735 121 751 297
+rect 785 121 801 297
+rect 735 109 801 121
+rect 831 297 897 309
+rect 831 121 847 297
+rect 881 121 897 297
+rect 831 109 897 121
+rect 927 297 993 309
+rect 927 121 943 297
+rect 977 121 993 297
+rect 927 109 993 121
+rect 1023 297 1089 309
+rect 1023 121 1039 297
+rect 1073 121 1089 297
+rect 1023 109 1089 121
+rect 1119 297 1185 309
+rect 1119 121 1135 297
+rect 1169 121 1185 297
+rect 1119 109 1185 121
+rect 1215 297 1281 309
+rect 1215 121 1231 297
+rect 1265 121 1281 297
+rect 1215 109 1281 121
+rect 1311 297 1377 309
+rect 1311 121 1327 297
+rect 1361 121 1377 297
+rect 1311 109 1377 121
+rect 1407 297 1473 309
+rect 1407 121 1423 297
+rect 1457 121 1473 297
+rect 1407 109 1473 121
+rect 1503 297 1569 309
+rect 1503 121 1519 297
+rect 1553 121 1569 297
+rect 1503 109 1569 121
+rect 1599 297 1665 309
+rect 1599 121 1615 297
+rect 1649 121 1665 297
+rect 1599 109 1665 121
+rect 1695 297 1761 309
+rect 1695 121 1711 297
+rect 1745 121 1761 297
+rect 1695 109 1761 121
+rect 1791 297 1857 309
+rect 1791 121 1807 297
+rect 1841 121 1857 297
+rect 1791 109 1857 121
+rect 1887 297 1949 309
+rect 1887 121 1903 297
+rect 1937 121 1949 297
+rect 1887 109 1949 121
+rect -1949 -121 -1887 -109
+rect -1949 -297 -1937 -121
+rect -1903 -297 -1887 -121
+rect -1949 -309 -1887 -297
+rect -1857 -121 -1791 -109
+rect -1857 -297 -1841 -121
+rect -1807 -297 -1791 -121
+rect -1857 -309 -1791 -297
+rect -1761 -121 -1695 -109
+rect -1761 -297 -1745 -121
+rect -1711 -297 -1695 -121
+rect -1761 -309 -1695 -297
+rect -1665 -121 -1599 -109
+rect -1665 -297 -1649 -121
+rect -1615 -297 -1599 -121
+rect -1665 -309 -1599 -297
+rect -1569 -121 -1503 -109
+rect -1569 -297 -1553 -121
+rect -1519 -297 -1503 -121
+rect -1569 -309 -1503 -297
+rect -1473 -121 -1407 -109
+rect -1473 -297 -1457 -121
+rect -1423 -297 -1407 -121
+rect -1473 -309 -1407 -297
+rect -1377 -121 -1311 -109
+rect -1377 -297 -1361 -121
+rect -1327 -297 -1311 -121
+rect -1377 -309 -1311 -297
+rect -1281 -121 -1215 -109
+rect -1281 -297 -1265 -121
+rect -1231 -297 -1215 -121
+rect -1281 -309 -1215 -297
+rect -1185 -121 -1119 -109
+rect -1185 -297 -1169 -121
+rect -1135 -297 -1119 -121
+rect -1185 -309 -1119 -297
+rect -1089 -121 -1023 -109
+rect -1089 -297 -1073 -121
+rect -1039 -297 -1023 -121
+rect -1089 -309 -1023 -297
+rect -993 -121 -927 -109
+rect -993 -297 -977 -121
+rect -943 -297 -927 -121
+rect -993 -309 -927 -297
+rect -897 -121 -831 -109
+rect -897 -297 -881 -121
+rect -847 -297 -831 -121
+rect -897 -309 -831 -297
+rect -801 -121 -735 -109
+rect -801 -297 -785 -121
+rect -751 -297 -735 -121
+rect -801 -309 -735 -297
+rect -705 -121 -639 -109
+rect -705 -297 -689 -121
+rect -655 -297 -639 -121
+rect -705 -309 -639 -297
+rect -609 -121 -543 -109
+rect -609 -297 -593 -121
+rect -559 -297 -543 -121
+rect -609 -309 -543 -297
+rect -513 -121 -447 -109
+rect -513 -297 -497 -121
+rect -463 -297 -447 -121
+rect -513 -309 -447 -297
+rect -417 -121 -351 -109
+rect -417 -297 -401 -121
+rect -367 -297 -351 -121
+rect -417 -309 -351 -297
+rect -321 -121 -255 -109
+rect -321 -297 -305 -121
+rect -271 -297 -255 -121
+rect -321 -309 -255 -297
+rect -225 -121 -159 -109
+rect -225 -297 -209 -121
+rect -175 -297 -159 -121
+rect -225 -309 -159 -297
+rect -129 -121 -63 -109
+rect -129 -297 -113 -121
+rect -79 -297 -63 -121
+rect -129 -309 -63 -297
+rect -33 -121 33 -109
+rect -33 -297 -17 -121
+rect 17 -297 33 -121
+rect -33 -309 33 -297
+rect 63 -121 129 -109
+rect 63 -297 79 -121
+rect 113 -297 129 -121
+rect 63 -309 129 -297
+rect 159 -121 225 -109
+rect 159 -297 175 -121
+rect 209 -297 225 -121
+rect 159 -309 225 -297
+rect 255 -121 321 -109
+rect 255 -297 271 -121
+rect 305 -297 321 -121
+rect 255 -309 321 -297
+rect 351 -121 417 -109
+rect 351 -297 367 -121
+rect 401 -297 417 -121
+rect 351 -309 417 -297
+rect 447 -121 513 -109
+rect 447 -297 463 -121
+rect 497 -297 513 -121
+rect 447 -309 513 -297
+rect 543 -121 609 -109
+rect 543 -297 559 -121
+rect 593 -297 609 -121
+rect 543 -309 609 -297
+rect 639 -121 705 -109
+rect 639 -297 655 -121
+rect 689 -297 705 -121
+rect 639 -309 705 -297
+rect 735 -121 801 -109
+rect 735 -297 751 -121
+rect 785 -297 801 -121
+rect 735 -309 801 -297
+rect 831 -121 897 -109
+rect 831 -297 847 -121
+rect 881 -297 897 -121
+rect 831 -309 897 -297
+rect 927 -121 993 -109
+rect 927 -297 943 -121
+rect 977 -297 993 -121
+rect 927 -309 993 -297
+rect 1023 -121 1089 -109
+rect 1023 -297 1039 -121
+rect 1073 -297 1089 -121
+rect 1023 -309 1089 -297
+rect 1119 -121 1185 -109
+rect 1119 -297 1135 -121
+rect 1169 -297 1185 -121
+rect 1119 -309 1185 -297
+rect 1215 -121 1281 -109
+rect 1215 -297 1231 -121
+rect 1265 -297 1281 -121
+rect 1215 -309 1281 -297
+rect 1311 -121 1377 -109
+rect 1311 -297 1327 -121
+rect 1361 -297 1377 -121
+rect 1311 -309 1377 -297
+rect 1407 -121 1473 -109
+rect 1407 -297 1423 -121
+rect 1457 -297 1473 -121
+rect 1407 -309 1473 -297
+rect 1503 -121 1569 -109
+rect 1503 -297 1519 -121
+rect 1553 -297 1569 -121
+rect 1503 -309 1569 -297
+rect 1599 -121 1665 -109
+rect 1599 -297 1615 -121
+rect 1649 -297 1665 -121
+rect 1599 -309 1665 -297
+rect 1695 -121 1761 -109
+rect 1695 -297 1711 -121
+rect 1745 -297 1761 -121
+rect 1695 -309 1761 -297
+rect 1791 -121 1857 -109
+rect 1791 -297 1807 -121
+rect 1841 -297 1857 -121
+rect 1791 -309 1857 -297
+rect 1887 -121 1949 -109
+rect 1887 -297 1903 -121
+rect 1937 -297 1949 -121
+rect 1887 -309 1949 -297
+<< ndiffc >>
+rect -1937 121 -1903 297
+rect -1841 121 -1807 297
+rect -1745 121 -1711 297
+rect -1649 121 -1615 297
+rect -1553 121 -1519 297
+rect -1457 121 -1423 297
+rect -1361 121 -1327 297
+rect -1265 121 -1231 297
+rect -1169 121 -1135 297
+rect -1073 121 -1039 297
+rect -977 121 -943 297
+rect -881 121 -847 297
+rect -785 121 -751 297
+rect -689 121 -655 297
+rect -593 121 -559 297
+rect -497 121 -463 297
+rect -401 121 -367 297
+rect -305 121 -271 297
+rect -209 121 -175 297
+rect -113 121 -79 297
+rect -17 121 17 297
+rect 79 121 113 297
+rect 175 121 209 297
+rect 271 121 305 297
+rect 367 121 401 297
+rect 463 121 497 297
+rect 559 121 593 297
+rect 655 121 689 297
+rect 751 121 785 297
+rect 847 121 881 297
+rect 943 121 977 297
+rect 1039 121 1073 297
+rect 1135 121 1169 297
+rect 1231 121 1265 297
+rect 1327 121 1361 297
+rect 1423 121 1457 297
+rect 1519 121 1553 297
+rect 1615 121 1649 297
+rect 1711 121 1745 297
+rect 1807 121 1841 297
+rect 1903 121 1937 297
+rect -1937 -297 -1903 -121
+rect -1841 -297 -1807 -121
+rect -1745 -297 -1711 -121
+rect -1649 -297 -1615 -121
+rect -1553 -297 -1519 -121
+rect -1457 -297 -1423 -121
+rect -1361 -297 -1327 -121
+rect -1265 -297 -1231 -121
+rect -1169 -297 -1135 -121
+rect -1073 -297 -1039 -121
+rect -977 -297 -943 -121
+rect -881 -297 -847 -121
+rect -785 -297 -751 -121
+rect -689 -297 -655 -121
+rect -593 -297 -559 -121
+rect -497 -297 -463 -121
+rect -401 -297 -367 -121
+rect -305 -297 -271 -121
+rect -209 -297 -175 -121
+rect -113 -297 -79 -121
+rect -17 -297 17 -121
+rect 79 -297 113 -121
+rect 175 -297 209 -121
+rect 271 -297 305 -121
+rect 367 -297 401 -121
+rect 463 -297 497 -121
+rect 559 -297 593 -121
+rect 655 -297 689 -121
+rect 751 -297 785 -121
+rect 847 -297 881 -121
+rect 943 -297 977 -121
+rect 1039 -297 1073 -121
+rect 1135 -297 1169 -121
+rect 1231 -297 1265 -121
+rect 1327 -297 1361 -121
+rect 1423 -297 1457 -121
+rect 1519 -297 1553 -121
+rect 1615 -297 1649 -121
+rect 1711 -297 1745 -121
+rect 1807 -297 1841 -121
+rect 1903 -297 1937 -121
+<< psubdiff >>
+rect -2051 449 -1955 483
+rect 1955 449 2051 483
+rect 2017 387 2051 449
+rect 2017 -449 2051 -387
+rect -2051 -483 -1955 -449
+rect 1955 -483 2051 -449
+<< psubdiffcont >>
+rect -1955 449 1955 483
+rect 2017 -387 2051 387
+rect -1955 -483 1955 -449
+<< poly >>
+rect -1887 309 -1857 331
+rect -1791 309 -1761 331
+rect -1695 309 -1665 331
+rect -1599 309 -1569 331
+rect -1503 309 -1473 331
+rect -1407 309 -1377 331
+rect -1311 309 -1281 331
+rect -1215 309 -1185 331
+rect -1119 309 -1089 331
+rect -1023 309 -993 331
+rect -927 309 -897 331
+rect -831 309 -801 331
+rect -735 309 -705 331
+rect -639 309 -609 331
+rect -543 309 -513 331
+rect -447 309 -417 331
+rect -351 309 -321 331
+rect -255 309 -225 331
+rect -159 309 -129 331
+rect -63 309 -33 331
+rect 33 309 63 331
+rect 129 309 159 331
+rect 225 309 255 331
+rect 321 309 351 331
+rect 417 309 447 331
+rect 513 309 543 331
+rect 609 309 639 331
+rect 705 309 735 331
+rect 801 309 831 331
+rect 897 309 927 331
+rect 993 309 1023 331
+rect 1089 309 1119 331
+rect 1185 309 1215 331
+rect 1281 309 1311 331
+rect 1377 309 1407 331
+rect 1473 309 1503 331
+rect 1569 309 1599 331
+rect 1665 309 1695 331
+rect 1761 309 1791 331
+rect 1857 309 1887 335
+rect -1887 87 -1857 109
+rect -1791 87 -1761 109
+rect -1695 87 -1665 109
+rect -1599 87 -1569 109
+rect -1503 87 -1473 109
+rect -1407 87 -1377 109
+rect -1311 87 -1281 109
+rect -1215 87 -1185 109
+rect -1119 87 -1089 109
+rect -1023 87 -993 109
+rect -927 87 -897 109
+rect -831 87 -801 109
+rect -735 87 -705 109
+rect -639 87 -609 109
+rect -543 87 -513 109
+rect -447 87 -417 109
+rect -351 87 -321 109
+rect -255 87 -225 109
+rect -159 87 -129 109
+rect -63 87 -33 109
+rect 33 87 63 109
+rect 129 87 159 109
+rect 225 87 255 109
+rect 321 87 351 109
+rect 417 87 447 109
+rect 513 87 543 109
+rect 609 87 639 109
+rect 705 87 735 109
+rect 801 87 831 109
+rect 897 87 927 109
+rect 993 87 1023 109
+rect 1089 87 1119 109
+rect 1185 87 1215 109
+rect 1281 87 1311 109
+rect 1377 87 1407 109
+rect 1473 87 1503 109
+rect 1569 87 1599 109
+rect 1665 87 1695 109
+rect 1761 87 1791 109
+rect 1857 87 1887 109
+rect -1905 -87 1905 87
+rect -1887 -109 -1857 -87
+rect -1791 -109 -1761 -87
+rect -1695 -109 -1665 -87
+rect -1599 -109 -1569 -87
+rect -1503 -109 -1473 -87
+rect -1407 -109 -1377 -87
+rect -1311 -109 -1281 -87
+rect -1215 -109 -1185 -87
+rect -1119 -109 -1089 -87
+rect -1023 -109 -993 -87
+rect -927 -109 -897 -87
+rect -831 -109 -801 -87
+rect -735 -109 -705 -87
+rect -639 -109 -609 -87
+rect -543 -109 -513 -87
+rect -447 -109 -417 -87
+rect -351 -109 -321 -87
+rect -255 -109 -225 -87
+rect -159 -109 -129 -87
+rect -63 -109 -33 -87
+rect 33 -109 63 -87
+rect 129 -109 159 -87
+rect 225 -109 255 -87
+rect 321 -109 351 -87
+rect 417 -109 447 -87
+rect 513 -109 543 -87
+rect 609 -109 639 -87
+rect 705 -109 735 -87
+rect 801 -109 831 -87
+rect 897 -109 927 -87
+rect 993 -109 1023 -87
+rect 1089 -109 1119 -87
+rect 1185 -109 1215 -87
+rect 1281 -109 1311 -87
+rect 1377 -109 1407 -87
+rect 1473 -109 1503 -87
+rect 1569 -109 1599 -87
+rect 1665 -109 1695 -87
+rect 1761 -109 1791 -87
+rect 1857 -109 1887 -87
+rect -1887 -331 -1857 -309
+rect -1791 -331 -1761 -309
+rect -1695 -331 -1665 -309
+rect -1599 -331 -1569 -309
+rect -1503 -331 -1473 -309
+rect -1407 -331 -1377 -309
+rect -1311 -331 -1281 -309
+rect -1215 -331 -1185 -309
+rect -1119 -331 -1089 -309
+rect -1023 -331 -993 -309
+rect -927 -331 -897 -309
+rect -831 -331 -801 -309
+rect -735 -331 -705 -309
+rect -639 -331 -609 -309
+rect -543 -331 -513 -309
+rect -447 -331 -417 -309
+rect -351 -331 -321 -309
+rect -255 -331 -225 -309
+rect -159 -331 -129 -309
+rect -63 -331 -33 -309
+rect 33 -331 63 -309
+rect 129 -331 159 -309
+rect 225 -331 255 -309
+rect 321 -331 351 -309
+rect 417 -331 447 -309
+rect 513 -331 543 -309
+rect 609 -331 639 -309
+rect 705 -331 735 -309
+rect 801 -331 831 -309
+rect 897 -331 927 -309
+rect 993 -331 1023 -309
+rect 1089 -331 1119 -309
+rect 1185 -331 1215 -309
+rect 1281 -331 1311 -309
+rect 1377 -331 1407 -309
+rect 1473 -331 1503 -309
+rect 1569 -331 1599 -309
+rect 1665 -331 1695 -309
+rect 1761 -331 1791 -309
+rect 1857 -335 1887 -309
+<< locali >>
+rect -2051 449 -1955 483
+rect 1955 449 2051 483
+rect 2017 387 2051 449
+rect -1937 297 -1903 313
+rect -1937 105 -1903 121
+rect -1841 297 -1807 313
+rect -1841 105 -1807 121
+rect -1745 297 -1711 313
+rect -1745 105 -1711 121
+rect -1649 297 -1615 313
+rect -1649 105 -1615 121
+rect -1553 297 -1519 313
+rect -1553 105 -1519 121
+rect -1457 297 -1423 313
+rect -1457 105 -1423 121
+rect -1361 297 -1327 313
+rect -1361 105 -1327 121
+rect -1265 297 -1231 313
+rect -1265 105 -1231 121
+rect -1169 297 -1135 313
+rect -1169 105 -1135 121
+rect -1073 297 -1039 313
+rect -1073 105 -1039 121
+rect -977 297 -943 313
+rect -977 105 -943 121
+rect -881 297 -847 313
+rect -881 105 -847 121
+rect -785 297 -751 313
+rect -785 105 -751 121
+rect -689 297 -655 313
+rect -689 105 -655 121
+rect -593 297 -559 313
+rect -593 105 -559 121
+rect -497 297 -463 313
+rect -497 105 -463 121
+rect -401 297 -367 313
+rect -401 105 -367 121
+rect -305 297 -271 313
+rect -305 105 -271 121
+rect -209 297 -175 313
+rect -209 105 -175 121
+rect -113 297 -79 313
+rect -113 105 -79 121
+rect -17 297 17 313
+rect -17 105 17 121
+rect 79 297 113 313
+rect 79 105 113 121
+rect 175 297 209 313
+rect 175 105 209 121
+rect 271 297 305 313
+rect 271 105 305 121
+rect 367 297 401 313
+rect 367 105 401 121
+rect 463 297 497 313
+rect 463 105 497 121
+rect 559 297 593 313
+rect 559 105 593 121
+rect 655 297 689 313
+rect 655 105 689 121
+rect 751 297 785 313
+rect 751 105 785 121
+rect 847 297 881 313
+rect 847 105 881 121
+rect 943 297 977 313
+rect 943 105 977 121
+rect 1039 297 1073 313
+rect 1039 105 1073 121
+rect 1135 297 1169 313
+rect 1135 105 1169 121
+rect 1231 297 1265 313
+rect 1231 105 1265 121
+rect 1327 297 1361 313
+rect 1327 105 1361 121
+rect 1423 297 1457 313
+rect 1423 105 1457 121
+rect 1519 297 1553 313
+rect 1519 105 1553 121
+rect 1615 297 1649 313
+rect 1615 105 1649 121
+rect 1711 297 1745 313
+rect 1711 105 1745 121
+rect 1807 297 1841 313
+rect 1807 105 1841 121
+rect 1903 297 1937 313
+rect 1903 105 1937 121
+rect -1937 -121 -1903 -105
+rect -1937 -313 -1903 -297
+rect -1841 -121 -1807 -105
+rect -1841 -313 -1807 -297
+rect -1745 -121 -1711 -105
+rect -1745 -313 -1711 -297
+rect -1649 -121 -1615 -105
+rect -1649 -313 -1615 -297
+rect -1553 -121 -1519 -105
+rect -1553 -313 -1519 -297
+rect -1457 -121 -1423 -105
+rect -1457 -313 -1423 -297
+rect -1361 -121 -1327 -105
+rect -1361 -313 -1327 -297
+rect -1265 -121 -1231 -105
+rect -1265 -313 -1231 -297
+rect -1169 -121 -1135 -105
+rect -1169 -313 -1135 -297
+rect -1073 -121 -1039 -105
+rect -1073 -313 -1039 -297
+rect -977 -121 -943 -105
+rect -977 -313 -943 -297
+rect -881 -121 -847 -105
+rect -881 -313 -847 -297
+rect -785 -121 -751 -105
+rect -785 -313 -751 -297
+rect -689 -121 -655 -105
+rect -689 -313 -655 -297
+rect -593 -121 -559 -105
+rect -593 -313 -559 -297
+rect -497 -121 -463 -105
+rect -497 -313 -463 -297
+rect -401 -121 -367 -105
+rect -401 -313 -367 -297
+rect -305 -121 -271 -105
+rect -305 -313 -271 -297
+rect -209 -121 -175 -105
+rect -209 -313 -175 -297
+rect -113 -121 -79 -105
+rect -113 -313 -79 -297
+rect -17 -121 17 -105
+rect -17 -313 17 -297
+rect 79 -121 113 -105
+rect 79 -313 113 -297
+rect 175 -121 209 -105
+rect 175 -313 209 -297
+rect 271 -121 305 -105
+rect 271 -313 305 -297
+rect 367 -121 401 -105
+rect 367 -313 401 -297
+rect 463 -121 497 -105
+rect 463 -313 497 -297
+rect 559 -121 593 -105
+rect 559 -313 593 -297
+rect 655 -121 689 -105
+rect 655 -313 689 -297
+rect 751 -121 785 -105
+rect 751 -313 785 -297
+rect 847 -121 881 -105
+rect 847 -313 881 -297
+rect 943 -121 977 -105
+rect 943 -313 977 -297
+rect 1039 -121 1073 -105
+rect 1039 -313 1073 -297
+rect 1135 -121 1169 -105
+rect 1135 -313 1169 -297
+rect 1231 -121 1265 -105
+rect 1231 -313 1265 -297
+rect 1327 -121 1361 -105
+rect 1327 -313 1361 -297
+rect 1423 -121 1457 -105
+rect 1423 -313 1457 -297
+rect 1519 -121 1553 -105
+rect 1519 -313 1553 -297
+rect 1615 -121 1649 -105
+rect 1615 -313 1649 -297
+rect 1711 -121 1745 -105
+rect 1711 -313 1745 -297
+rect 1807 -121 1841 -105
+rect 1807 -313 1841 -297
+rect 1903 -121 1937 -105
+rect 1903 -313 1937 -297
+rect 2017 -449 2051 -387
+rect -2051 -483 -1955 -449
+rect 1955 -483 2051 -449
+<< viali >>
+rect -1937 121 -1903 297
+rect -1841 121 -1807 297
+rect -1745 121 -1711 297
+rect -1649 121 -1615 297
+rect -1553 121 -1519 297
+rect -1457 121 -1423 297
+rect -1361 121 -1327 297
+rect -1265 121 -1231 297
+rect -1169 121 -1135 297
+rect -1073 121 -1039 297
+rect -977 121 -943 297
+rect -881 121 -847 297
+rect -785 121 -751 297
+rect -689 121 -655 297
+rect -593 121 -559 297
+rect -497 121 -463 297
+rect -401 121 -367 297
+rect -305 121 -271 297
+rect -209 121 -175 297
+rect -113 121 -79 297
+rect -17 121 17 297
+rect 79 121 113 297
+rect 175 121 209 297
+rect 271 121 305 297
+rect 367 121 401 297
+rect 463 121 497 297
+rect 559 121 593 297
+rect 655 121 689 297
+rect 751 121 785 297
+rect 847 121 881 297
+rect 943 121 977 297
+rect 1039 121 1073 297
+rect 1135 121 1169 297
+rect 1231 121 1265 297
+rect 1327 121 1361 297
+rect 1423 121 1457 297
+rect 1519 121 1553 297
+rect 1615 121 1649 297
+rect 1711 121 1745 297
+rect 1807 121 1841 297
+rect 1903 121 1937 297
+rect -1937 -297 -1903 -121
+rect -1841 -297 -1807 -121
+rect -1745 -297 -1711 -121
+rect -1649 -297 -1615 -121
+rect -1553 -297 -1519 -121
+rect -1457 -297 -1423 -121
+rect -1361 -297 -1327 -121
+rect -1265 -297 -1231 -121
+rect -1169 -297 -1135 -121
+rect -1073 -297 -1039 -121
+rect -977 -297 -943 -121
+rect -881 -297 -847 -121
+rect -785 -297 -751 -121
+rect -689 -297 -655 -121
+rect -593 -297 -559 -121
+rect -497 -297 -463 -121
+rect -401 -297 -367 -121
+rect -305 -297 -271 -121
+rect -209 -297 -175 -121
+rect -113 -297 -79 -121
+rect -17 -297 17 -121
+rect 79 -297 113 -121
+rect 175 -297 209 -121
+rect 271 -297 305 -121
+rect 367 -297 401 -121
+rect 463 -297 497 -121
+rect 559 -297 593 -121
+rect 655 -297 689 -121
+rect 751 -297 785 -121
+rect 847 -297 881 -121
+rect 943 -297 977 -121
+rect 1039 -297 1073 -121
+rect 1135 -297 1169 -121
+rect 1231 -297 1265 -121
+rect 1327 -297 1361 -121
+rect 1423 -297 1457 -121
+rect 1519 -297 1553 -121
+rect 1615 -297 1649 -121
+rect 1711 -297 1745 -121
+rect 1807 -297 1841 -121
+rect 1903 -297 1937 -121
+<< metal1 >>
+rect -1943 297 -1897 309
+rect -1943 121 -1937 297
+rect -1903 121 -1897 297
+rect -1943 109 -1897 121
+rect -1847 297 -1801 309
+rect -1847 121 -1841 297
+rect -1807 121 -1801 297
+rect -1847 109 -1801 121
+rect -1751 297 -1705 309
+rect -1751 121 -1745 297
+rect -1711 121 -1705 297
+rect -1751 109 -1705 121
+rect -1655 297 -1609 309
+rect -1655 121 -1649 297
+rect -1615 121 -1609 297
+rect -1655 109 -1609 121
+rect -1559 297 -1513 309
+rect -1559 121 -1553 297
+rect -1519 121 -1513 297
+rect -1559 109 -1513 121
+rect -1463 297 -1417 309
+rect -1463 121 -1457 297
+rect -1423 121 -1417 297
+rect -1463 109 -1417 121
+rect -1367 297 -1321 309
+rect -1367 121 -1361 297
+rect -1327 121 -1321 297
+rect -1367 109 -1321 121
+rect -1271 297 -1225 309
+rect -1271 121 -1265 297
+rect -1231 121 -1225 297
+rect -1271 109 -1225 121
+rect -1175 297 -1129 309
+rect -1175 121 -1169 297
+rect -1135 121 -1129 297
+rect -1175 109 -1129 121
+rect -1079 297 -1033 309
+rect -1079 121 -1073 297
+rect -1039 121 -1033 297
+rect -1079 109 -1033 121
+rect -983 297 -937 309
+rect -983 121 -977 297
+rect -943 121 -937 297
+rect -983 109 -937 121
+rect -887 297 -841 309
+rect -887 121 -881 297
+rect -847 121 -841 297
+rect -887 109 -841 121
+rect -791 297 -745 309
+rect -791 121 -785 297
+rect -751 121 -745 297
+rect -791 109 -745 121
+rect -695 297 -649 309
+rect -695 121 -689 297
+rect -655 121 -649 297
+rect -695 109 -649 121
+rect -599 297 -553 309
+rect -599 121 -593 297
+rect -559 121 -553 297
+rect -599 109 -553 121
+rect -503 297 -457 309
+rect -503 121 -497 297
+rect -463 121 -457 297
+rect -503 109 -457 121
+rect -407 297 -361 309
+rect -407 121 -401 297
+rect -367 121 -361 297
+rect -407 109 -361 121
+rect -311 297 -265 309
+rect -311 121 -305 297
+rect -271 121 -265 297
+rect -311 109 -265 121
+rect -215 297 -169 309
+rect -215 121 -209 297
+rect -175 121 -169 297
+rect -215 109 -169 121
+rect -119 297 -73 309
+rect -119 121 -113 297
+rect -79 121 -73 297
+rect -119 109 -73 121
+rect -23 297 23 309
+rect -23 121 -17 297
+rect 17 121 23 297
+rect -23 109 23 121
+rect 73 297 119 309
+rect 73 121 79 297
+rect 113 121 119 297
+rect 73 109 119 121
+rect 169 297 215 309
+rect 169 121 175 297
+rect 209 121 215 297
+rect 169 109 215 121
+rect 265 297 311 309
+rect 265 121 271 297
+rect 305 121 311 297
+rect 265 109 311 121
+rect 361 297 407 309
+rect 361 121 367 297
+rect 401 121 407 297
+rect 361 109 407 121
+rect 457 297 503 309
+rect 457 121 463 297
+rect 497 121 503 297
+rect 457 109 503 121
+rect 553 297 599 309
+rect 553 121 559 297
+rect 593 121 599 297
+rect 553 109 599 121
+rect 649 297 695 309
+rect 649 121 655 297
+rect 689 121 695 297
+rect 649 109 695 121
+rect 745 297 791 309
+rect 745 121 751 297
+rect 785 121 791 297
+rect 745 109 791 121
+rect 841 297 887 309
+rect 841 121 847 297
+rect 881 121 887 297
+rect 841 109 887 121
+rect 937 297 983 309
+rect 937 121 943 297
+rect 977 121 983 297
+rect 937 109 983 121
+rect 1033 297 1079 309
+rect 1033 121 1039 297
+rect 1073 121 1079 297
+rect 1033 109 1079 121
+rect 1129 297 1175 309
+rect 1129 121 1135 297
+rect 1169 121 1175 297
+rect 1129 109 1175 121
+rect 1225 297 1271 309
+rect 1225 121 1231 297
+rect 1265 121 1271 297
+rect 1225 109 1271 121
+rect 1321 297 1367 309
+rect 1321 121 1327 297
+rect 1361 121 1367 297
+rect 1321 109 1367 121
+rect 1417 297 1463 309
+rect 1417 121 1423 297
+rect 1457 121 1463 297
+rect 1417 109 1463 121
+rect 1513 297 1559 309
+rect 1513 121 1519 297
+rect 1553 121 1559 297
+rect 1513 109 1559 121
+rect 1609 297 1655 309
+rect 1609 121 1615 297
+rect 1649 121 1655 297
+rect 1609 109 1655 121
+rect 1705 297 1751 309
+rect 1705 121 1711 297
+rect 1745 121 1751 297
+rect 1705 109 1751 121
+rect 1801 297 1847 309
+rect 1801 121 1807 297
+rect 1841 121 1847 297
+rect 1801 109 1847 121
+rect 1897 297 1943 309
+rect 1897 121 1903 297
+rect 1937 121 1943 297
+rect 1897 109 1943 121
+rect -1943 -121 -1897 -109
+rect -1943 -297 -1937 -121
+rect -1903 -297 -1897 -121
+rect -1943 -309 -1897 -297
+rect -1847 -121 -1801 -109
+rect -1847 -297 -1841 -121
+rect -1807 -297 -1801 -121
+rect -1847 -309 -1801 -297
+rect -1751 -121 -1705 -109
+rect -1751 -297 -1745 -121
+rect -1711 -297 -1705 -121
+rect -1751 -309 -1705 -297
+rect -1655 -121 -1609 -109
+rect -1655 -297 -1649 -121
+rect -1615 -297 -1609 -121
+rect -1655 -309 -1609 -297
+rect -1559 -121 -1513 -109
+rect -1559 -297 -1553 -121
+rect -1519 -297 -1513 -121
+rect -1559 -309 -1513 -297
+rect -1463 -121 -1417 -109
+rect -1463 -297 -1457 -121
+rect -1423 -297 -1417 -121
+rect -1463 -309 -1417 -297
+rect -1367 -121 -1321 -109
+rect -1367 -297 -1361 -121
+rect -1327 -297 -1321 -121
+rect -1367 -309 -1321 -297
+rect -1271 -121 -1225 -109
+rect -1271 -297 -1265 -121
+rect -1231 -297 -1225 -121
+rect -1271 -309 -1225 -297
+rect -1175 -121 -1129 -109
+rect -1175 -297 -1169 -121
+rect -1135 -297 -1129 -121
+rect -1175 -309 -1129 -297
+rect -1079 -121 -1033 -109
+rect -1079 -297 -1073 -121
+rect -1039 -297 -1033 -121
+rect -1079 -309 -1033 -297
+rect -983 -121 -937 -109
+rect -983 -297 -977 -121
+rect -943 -297 -937 -121
+rect -983 -309 -937 -297
+rect -887 -121 -841 -109
+rect -887 -297 -881 -121
+rect -847 -297 -841 -121
+rect -887 -309 -841 -297
+rect -791 -121 -745 -109
+rect -791 -297 -785 -121
+rect -751 -297 -745 -121
+rect -791 -309 -745 -297
+rect -695 -121 -649 -109
+rect -695 -297 -689 -121
+rect -655 -297 -649 -121
+rect -695 -309 -649 -297
+rect -599 -121 -553 -109
+rect -599 -297 -593 -121
+rect -559 -297 -553 -121
+rect -599 -309 -553 -297
+rect -503 -121 -457 -109
+rect -503 -297 -497 -121
+rect -463 -297 -457 -121
+rect -503 -309 -457 -297
+rect -407 -121 -361 -109
+rect -407 -297 -401 -121
+rect -367 -297 -361 -121
+rect -407 -309 -361 -297
+rect -311 -121 -265 -109
+rect -311 -297 -305 -121
+rect -271 -297 -265 -121
+rect -311 -309 -265 -297
+rect -215 -121 -169 -109
+rect -215 -297 -209 -121
+rect -175 -297 -169 -121
+rect -215 -309 -169 -297
+rect -119 -121 -73 -109
+rect -119 -297 -113 -121
+rect -79 -297 -73 -121
+rect -119 -309 -73 -297
+rect -23 -121 23 -109
+rect -23 -297 -17 -121
+rect 17 -297 23 -121
+rect -23 -309 23 -297
+rect 73 -121 119 -109
+rect 73 -297 79 -121
+rect 113 -297 119 -121
+rect 73 -309 119 -297
+rect 169 -121 215 -109
+rect 169 -297 175 -121
+rect 209 -297 215 -121
+rect 169 -309 215 -297
+rect 265 -121 311 -109
+rect 265 -297 271 -121
+rect 305 -297 311 -121
+rect 265 -309 311 -297
+rect 361 -121 407 -109
+rect 361 -297 367 -121
+rect 401 -297 407 -121
+rect 361 -309 407 -297
+rect 457 -121 503 -109
+rect 457 -297 463 -121
+rect 497 -297 503 -121
+rect 457 -309 503 -297
+rect 553 -121 599 -109
+rect 553 -297 559 -121
+rect 593 -297 599 -121
+rect 553 -309 599 -297
+rect 649 -121 695 -109
+rect 649 -297 655 -121
+rect 689 -297 695 -121
+rect 649 -309 695 -297
+rect 745 -121 791 -109
+rect 745 -297 751 -121
+rect 785 -297 791 -121
+rect 745 -309 791 -297
+rect 841 -121 887 -109
+rect 841 -297 847 -121
+rect 881 -297 887 -121
+rect 841 -309 887 -297
+rect 937 -121 983 -109
+rect 937 -297 943 -121
+rect 977 -297 983 -121
+rect 937 -309 983 -297
+rect 1033 -121 1079 -109
+rect 1033 -297 1039 -121
+rect 1073 -297 1079 -121
+rect 1033 -309 1079 -297
+rect 1129 -121 1175 -109
+rect 1129 -297 1135 -121
+rect 1169 -297 1175 -121
+rect 1129 -309 1175 -297
+rect 1225 -121 1271 -109
+rect 1225 -297 1231 -121
+rect 1265 -297 1271 -121
+rect 1225 -309 1271 -297
+rect 1321 -121 1367 -109
+rect 1321 -297 1327 -121
+rect 1361 -297 1367 -121
+rect 1321 -309 1367 -297
+rect 1417 -121 1463 -109
+rect 1417 -297 1423 -121
+rect 1457 -297 1463 -121
+rect 1417 -309 1463 -297
+rect 1513 -121 1559 -109
+rect 1513 -297 1519 -121
+rect 1553 -297 1559 -121
+rect 1513 -309 1559 -297
+rect 1609 -121 1655 -109
+rect 1609 -297 1615 -121
+rect 1649 -297 1655 -121
+rect 1609 -309 1655 -297
+rect 1705 -121 1751 -109
+rect 1705 -297 1711 -121
+rect 1745 -297 1751 -121
+rect 1705 -309 1751 -297
+rect 1801 -121 1847 -109
+rect 1801 -297 1807 -121
+rect 1841 -297 1847 -121
+rect 1801 -309 1847 -297
+rect 1897 -121 1943 -109
+rect 1897 -297 1903 -121
+rect 1937 -297 1943 -121
+rect 1897 -309 1943 -297
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string FIXED_BBOX -2034 -466 2034 466
+string parameters w 1 l 0.150 m 2 nf 40 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 1 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_GV8PYF.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_GV8PYF.mag
new file mode 100644
index 0000000..4001d80
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_GV8PYF.mag
@@ -0,0 +1,103 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623958102
+<< pwell >>
+rect -263 188 263 310
+rect -263 122 -14 188
+rect -9 122 263 188
+rect -263 -310 263 122
+<< nmoslvt >>
+rect -63 -100 -33 100
+rect 33 -100 63 100
+<< ndiff >>
+rect -125 88 -63 100
+rect -125 -88 -113 88
+rect -79 -88 -63 88
+rect -125 -100 -63 -88
+rect -33 88 33 100
+rect -33 -88 -17 88
+rect 17 -88 33 88
+rect -33 -100 33 -88
+rect 63 88 125 100
+rect 63 -88 79 88
+rect 113 -88 125 88
+rect 63 -100 125 -88
+<< ndiffc >>
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+<< psubdiff >>
+rect -227 240 -131 274
+rect 131 240 227 274
+rect -227 178 -193 240
+rect 193 178 227 240
+rect -227 -240 -193 -178
+rect 193 -240 227 -178
+rect -227 -274 -131 -240
+rect 131 -274 227 -240
+<< psubdiffcont >>
+rect -131 240 131 274
+rect -227 -178 -193 178
+rect 193 -178 227 178
+rect -131 -274 131 -240
+<< poly >>
+rect -80 172 81 188
+rect -80 138 -64 172
+rect -30 138 31 172
+rect 65 138 81 172
+rect -80 122 81 138
+rect -63 100 -33 122
+rect 33 100 63 122
+rect -63 -126 -33 -100
+rect 33 -126 63 -100
+<< polycont >>
+rect -64 138 -30 172
+rect 31 138 65 172
+<< locali >>
+rect -227 240 -131 274
+rect 131 240 227 274
+rect -227 178 -193 240
+rect 193 178 227 240
+rect -80 138 -64 172
+rect 65 138 81 172
+rect -113 88 -79 104
+rect -113 -104 -79 -88
+rect -17 88 17 104
+rect -17 -104 17 -88
+rect 79 88 113 104
+rect 79 -104 113 -88
+rect -227 -240 -193 -178
+rect 193 -240 227 -178
+rect -227 -274 -131 -240
+rect 131 -274 227 -240
+<< viali >>
+rect -64 138 -30 172
+rect -30 138 31 172
+rect 31 138 65 172
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+<< metal1 >>
+rect -76 172 77 178
+rect -76 138 -64 172
+rect 65 138 77 172
+rect -76 132 77 138
+rect -119 88 -73 100
+rect -119 -88 -113 88
+rect -79 -88 -73 88
+rect -119 -100 -73 -88
+rect -23 88 23 100
+rect -23 -88 -17 88
+rect 17 -88 23 88
+rect -23 -100 23 -88
+rect 73 88 119 100
+rect 73 -88 79 88
+rect 113 -88 119 88
+rect 73 -100 119 -88
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string FIXED_BBOX -210 -257 210 257
+string parameters w 1 l 0.150 m 1 nf 2 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_MVT43V.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_MVT43V.mag
new file mode 100644
index 0000000..5790b27
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_MVT43V.mag
@@ -0,0 +1,108 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623958102
+<< pwell >>
+rect -263 192 263 310
+rect -263 188 -79 192
+rect -263 126 -13 188
+rect -6 126 263 192
+rect -263 -310 263 126
+<< nmoslvt >>
+rect -63 -100 -33 100
+rect 33 -100 63 100
+<< ndiff >>
+rect -125 88 -63 100
+rect -125 -88 -113 88
+rect -79 -88 -63 88
+rect -125 -100 -63 -88
+rect -33 88 33 100
+rect -33 -88 -17 88
+rect 17 -88 33 88
+rect -33 -100 33 -88
+rect 63 88 125 100
+rect 63 -88 79 88
+rect 113 -88 125 88
+rect 63 -100 125 -88
+<< ndiffc >>
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+<< psubdiff >>
+rect -227 240 -131 274
+rect 131 240 227 274
+rect -227 178 -193 240
+rect 193 178 227 240
+rect -227 -240 -193 -178
+rect 193 -240 227 -178
+rect -227 -274 -131 -240
+rect 131 -274 227 -240
+<< psubdiffcont >>
+rect -131 240 131 274
+rect -227 -178 -193 178
+rect 193 -178 227 178
+rect -131 -274 131 -240
+<< poly >>
+rect -79 172 81 188
+rect -79 138 -63 172
+rect -29 138 31 172
+rect 65 138 81 172
+rect -79 122 81 138
+rect -63 100 -33 122
+rect 33 100 63 122
+rect -63 -126 -33 -100
+rect 33 -126 63 -100
+<< polycont >>
+rect -63 138 -29 172
+rect 31 138 65 172
+<< locali >>
+rect -227 240 -131 274
+rect 131 240 227 274
+rect -227 178 -193 240
+rect 193 178 227 240
+rect -79 138 -71 172
+rect 71 138 81 172
+rect -113 88 -79 104
+rect -113 -104 -79 -88
+rect -17 88 17 104
+rect -17 -104 17 -88
+rect 79 88 113 104
+rect 79 -104 113 -88
+rect -227 -240 -193 -178
+rect 193 -240 227 -178
+rect -227 -274 -131 -240
+rect 131 -274 227 -240
+<< viali >>
+rect -71 138 -63 172
+rect -63 138 -29 172
+rect -29 138 31 172
+rect 31 138 65 172
+rect 65 138 71 172
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+<< metal1 >>
+rect -79 178 81 182
+rect -83 172 83 178
+rect -83 138 -71 172
+rect 71 138 83 172
+rect -83 132 83 138
+rect -79 128 81 132
+rect -119 88 -73 100
+rect -119 -88 -113 88
+rect -79 -88 -73 88
+rect -119 -100 -73 -88
+rect -23 88 23 100
+rect -23 -88 -17 88
+rect 17 -88 23 88
+rect -23 -100 23 -88
+rect 73 88 119 100
+rect 73 -88 79 88
+rect 113 -88 119 88
+rect 73 -100 119 -88
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string FIXED_BBOX -210 -257 210 257
+string parameters w 1 l 0.150 m 1 nf 2 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_NMSMYT.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_NMSMYT.mag
new file mode 100644
index 0000000..4ca5e90
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__nfet_01v8_lvt_NMSMYT.mag
@@ -0,0 +1,219 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623958459
+<< pwell >>
+rect -551 188 551 310
+rect -551 122 -302 188
+rect -298 122 -178 188
+rect -176 122 274 188
+rect 277 122 551 188
+rect -551 -310 551 122
+<< nmoslvt >>
+rect -351 -100 -321 100
+rect -255 -100 -225 100
+rect -159 -100 -129 100
+rect -63 -100 -33 100
+rect 33 -100 63 100
+rect 129 -100 159 100
+rect 225 -100 255 100
+rect 321 -100 351 100
+<< ndiff >>
+rect -413 88 -351 100
+rect -413 -88 -401 88
+rect -367 -88 -351 88
+rect -413 -100 -351 -88
+rect -321 88 -255 100
+rect -321 -88 -305 88
+rect -271 -88 -255 88
+rect -321 -100 -255 -88
+rect -225 88 -159 100
+rect -225 -88 -209 88
+rect -175 -88 -159 88
+rect -225 -100 -159 -88
+rect -129 88 -63 100
+rect -129 -88 -113 88
+rect -79 -88 -63 88
+rect -129 -100 -63 -88
+rect -33 88 33 100
+rect -33 -88 -17 88
+rect 17 -88 33 88
+rect -33 -100 33 -88
+rect 63 88 129 100
+rect 63 -88 79 88
+rect 113 -88 129 88
+rect 63 -100 129 -88
+rect 159 88 225 100
+rect 159 -88 175 88
+rect 209 -88 225 88
+rect 159 -100 225 -88
+rect 255 88 321 100
+rect 255 -88 271 88
+rect 305 -88 321 88
+rect 255 -100 321 -88
+rect 351 88 413 100
+rect 351 -88 367 88
+rect 401 -88 413 88
+rect 351 -100 413 -88
+<< ndiffc >>
+rect -401 -88 -367 88
+rect -305 -88 -271 88
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+rect 271 -88 305 88
+rect 367 -88 401 88
+<< psubdiff >>
+rect -515 240 -419 274
+rect 419 240 515 274
+rect -515 178 -481 240
+rect 481 178 515 240
+rect -515 -240 -481 -178
+rect 481 -240 515 -178
+rect -515 -274 -419 -240
+rect 419 -274 515 -240
+<< psubdiffcont >>
+rect -419 240 419 274
+rect -515 -178 -481 178
+rect 481 -178 515 178
+rect -419 -274 419 -240
+<< poly >>
+rect -368 172 369 188
+rect -368 138 -352 172
+rect -318 138 -257 172
+rect -223 138 -160 172
+rect -126 138 -65 172
+rect -31 138 32 172
+rect 66 138 127 172
+rect 161 138 224 172
+rect 258 138 319 172
+rect 353 138 369 172
+rect -368 122 369 138
+rect -351 100 -321 122
+rect -255 100 -225 122
+rect -159 100 -129 122
+rect -63 100 -33 122
+rect 33 100 63 122
+rect 129 100 159 122
+rect 225 100 255 122
+rect 321 100 351 122
+rect -351 -126 -321 -100
+rect -255 -126 -225 -100
+rect -159 -126 -129 -100
+rect -63 -126 -33 -100
+rect 33 -126 63 -100
+rect 129 -126 159 -100
+rect 225 -126 255 -100
+rect 321 -126 351 -100
+<< polycont >>
+rect -352 138 -318 172
+rect -257 138 -223 172
+rect -160 138 -126 172
+rect -65 138 -31 172
+rect 32 138 66 172
+rect 127 138 161 172
+rect 224 138 258 172
+rect 319 138 353 172
+<< locali >>
+rect -515 240 -419 274
+rect 419 240 515 274
+rect -515 178 -481 240
+rect 481 178 515 240
+rect -368 138 -352 172
+rect 353 138 369 172
+rect -401 88 -367 104
+rect -401 -104 -367 -88
+rect -305 88 -271 104
+rect -305 -104 -271 -88
+rect -209 88 -175 104
+rect -209 -104 -175 -88
+rect -113 88 -79 104
+rect -113 -104 -79 -88
+rect -17 88 17 104
+rect -17 -104 17 -88
+rect 79 88 113 104
+rect 79 -104 113 -88
+rect 175 88 209 104
+rect 175 -104 209 -88
+rect 271 88 305 104
+rect 271 -104 305 -88
+rect 367 88 401 104
+rect 367 -104 401 -88
+rect -515 -240 -481 -178
+rect 481 -240 515 -178
+rect -515 -274 -419 -240
+rect 419 -274 515 -240
+<< viali >>
+rect -352 138 -318 172
+rect -318 138 -257 172
+rect -257 138 -223 172
+rect -223 138 -160 172
+rect -160 138 -126 172
+rect -126 138 -65 172
+rect -65 138 -31 172
+rect -31 138 32 172
+rect 32 138 66 172
+rect 66 138 127 172
+rect 127 138 161 172
+rect 161 138 224 172
+rect 224 138 258 172
+rect 258 138 319 172
+rect 319 138 353 172
+rect -401 -88 -367 88
+rect -305 -88 -271 88
+rect -209 -88 -175 88
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect 175 -88 209 88
+rect 271 -88 305 88
+rect 367 -88 401 88
+<< metal1 >>
+rect -364 172 365 178
+rect -364 138 -352 172
+rect 353 138 365 172
+rect -364 132 365 138
+rect -407 88 -361 100
+rect -407 -88 -401 88
+rect -367 -88 -361 88
+rect -407 -100 -361 -88
+rect -311 88 -265 100
+rect -311 -88 -305 88
+rect -271 -88 -265 88
+rect -311 -100 -265 -88
+rect -215 88 -169 100
+rect -215 -88 -209 88
+rect -175 -88 -169 88
+rect -215 -100 -169 -88
+rect -119 88 -73 100
+rect -119 -88 -113 88
+rect -79 -88 -73 88
+rect -119 -100 -73 -88
+rect -23 88 23 100
+rect -23 -88 -17 88
+rect 17 -88 23 88
+rect -23 -100 23 -88
+rect 73 88 119 100
+rect 73 -88 79 88
+rect 113 -88 119 88
+rect 73 -100 119 -88
+rect 169 88 215 100
+rect 169 -88 175 88
+rect 209 -88 215 88
+rect 169 -100 215 -88
+rect 265 88 311 100
+rect 265 -88 271 88
+rect 305 -88 311 88
+rect 265 -100 311 -88
+rect 361 88 407 100
+rect 361 -88 367 88
+rect 401 -88 407 88
+rect 361 -100 407 -88
+<< properties >>
+string gencell sky130_fd_pr__nfet_01v8_lvt
+string FIXED_BBOX -498 -257 498 257
+string parameters w 1 l 0.150 m 1 nf 8 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__nfet_01v8 sky130_fd_pr__nfet_01v8_lvt  sky130_fd_bs_flash__special_sonosfet_star  sky130_fd_pr__nfet_g5v0d10v5 sky130_fd_pr__nfet_05v0_nvt  sky130_fd_pr__nfet_03v3_nvt} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_2XL9AN.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_2XL9AN.mag
new file mode 100644
index 0000000..0c91fe7
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_2XL9AN.mag
@@ -0,0 +1,111 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623969232
+<< nwell >>
+rect -311 -319 311 319
+<< pmos >>
+rect -111 -100 -81 100
+rect -15 -100 15 100
+rect 81 -100 111 100
+<< pdiff >>
+rect -173 88 -111 100
+rect -173 -88 -161 88
+rect -127 -88 -111 88
+rect -173 -100 -111 -88
+rect -81 88 -15 100
+rect -81 -88 -65 88
+rect -31 -88 -15 88
+rect -81 -100 -15 -88
+rect 15 88 81 100
+rect 15 -88 31 88
+rect 65 -88 81 88
+rect 15 -100 81 -88
+rect 111 88 173 100
+rect 111 -88 127 88
+rect 161 -88 173 88
+rect 111 -100 173 -88
+<< pdiffc >>
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+<< nsubdiff >>
+rect -275 249 -179 283
+rect 179 249 275 283
+rect -275 187 -241 249
+rect 241 187 275 249
+rect -275 -249 -241 -187
+rect 241 -249 275 -187
+rect -275 -283 -179 -249
+rect 179 -283 275 -249
+<< nsubdiffcont >>
+rect -179 249 179 283
+rect -275 -187 -241 187
+rect 241 -187 275 187
+rect -179 -283 179 -249
+<< poly >>
+rect -129 181 129 197
+rect -129 147 -108 181
+rect 110 147 129 181
+rect -129 131 129 147
+rect -111 100 -81 131
+rect -15 100 15 131
+rect 81 100 111 131
+rect -111 -126 -81 -100
+rect -15 -126 15 -100
+rect 81 -126 111 -100
+<< polycont >>
+rect -108 147 110 181
+<< locali >>
+rect -275 249 -179 283
+rect 179 249 275 283
+rect -275 187 -241 249
+rect 241 187 275 249
+rect -124 147 -108 181
+rect 110 147 126 181
+rect -161 88 -127 104
+rect -161 -104 -127 -88
+rect -65 88 -31 104
+rect -65 -104 -31 -88
+rect 31 88 65 104
+rect 31 -104 65 -88
+rect 127 88 161 104
+rect 127 -104 161 -88
+rect -275 -249 -241 -187
+rect 241 -249 275 -187
+rect -275 -283 -179 -249
+rect 179 -283 275 -249
+<< viali >>
+rect -108 147 110 181
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+<< metal1 >>
+rect -120 181 122 187
+rect -120 147 -108 181
+rect 110 147 122 181
+rect -120 141 122 147
+rect -167 88 -121 100
+rect -167 -88 -161 88
+rect -127 -88 -121 88
+rect -167 -100 -121 -88
+rect -71 88 -25 100
+rect -71 -88 -65 88
+rect -31 -88 -25 88
+rect -71 -100 -25 -88
+rect 25 88 71 100
+rect 25 -88 31 88
+rect 65 -88 71 88
+rect 25 -100 71 -88
+rect 121 88 167 100
+rect 121 -88 127 88
+rect 161 -88 167 88
+rect 121 -100 167 -88
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -258 -266 258 266
+string parameters w 1 l 0.15 m 1 nf 3 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_2XU88L.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_2XU88L.mag
new file mode 100644
index 0000000..4676933
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_2XU88L.mag
@@ -0,0 +1,253 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623947031
+<< error_p >>
+rect -239 181 -181 187
+rect 181 181 239 187
+rect -239 147 -227 181
+rect 181 147 193 181
+rect -239 141 -181 147
+rect 181 141 239 147
+rect -449 -147 -391 -141
+rect -29 -147 29 -141
+rect 391 -147 449 -141
+rect -449 -181 -437 -147
+rect -29 -181 -17 -147
+rect 391 -181 403 -147
+rect -449 -187 -391 -181
+rect -29 -187 29 -181
+rect 391 -187 449 -181
+<< nwell >>
+rect -635 -319 635 319
+<< pmos >>
+rect -435 -100 -405 100
+rect -225 -100 -195 100
+rect -15 -100 15 100
+rect 195 -100 225 100
+rect 405 -100 435 100
+<< pdiff >>
+rect -497 88 -435 100
+rect -497 -88 -485 88
+rect -451 -88 -435 88
+rect -497 -100 -435 -88
+rect -405 88 -343 100
+rect -405 -88 -389 88
+rect -355 -88 -343 88
+rect -405 -100 -343 -88
+rect -287 88 -225 100
+rect -287 -88 -275 88
+rect -241 -88 -225 88
+rect -287 -100 -225 -88
+rect -195 88 -133 100
+rect -195 -88 -179 88
+rect -145 -88 -133 88
+rect -195 -100 -133 -88
+rect -77 88 -15 100
+rect -77 -88 -65 88
+rect -31 -88 -15 88
+rect -77 -100 -15 -88
+rect 15 88 77 100
+rect 15 -88 31 88
+rect 65 -88 77 88
+rect 15 -100 77 -88
+rect 133 88 195 100
+rect 133 -88 145 88
+rect 179 -88 195 88
+rect 133 -100 195 -88
+rect 225 88 287 100
+rect 225 -88 241 88
+rect 275 -88 287 88
+rect 225 -100 287 -88
+rect 343 88 405 100
+rect 343 -88 355 88
+rect 389 -88 405 88
+rect 343 -100 405 -88
+rect 435 88 497 100
+rect 435 -88 451 88
+rect 485 -88 497 88
+rect 435 -100 497 -88
+<< pdiffc >>
+rect -485 -88 -451 88
+rect -389 -88 -355 88
+rect -275 -88 -241 88
+rect -179 -88 -145 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 145 -88 179 88
+rect 241 -88 275 88
+rect 355 -88 389 88
+rect 451 -88 485 88
+<< nsubdiff >>
+rect -599 249 -503 283
+rect 503 249 599 283
+rect -599 187 -565 249
+rect 565 187 599 249
+rect -599 -249 -565 -187
+rect 565 -249 599 -187
+rect -599 -283 -503 -249
+rect 503 -283 599 -249
+<< nsubdiffcont >>
+rect -503 249 503 283
+rect -599 -187 -565 187
+rect 565 -187 599 187
+rect -503 -283 503 -249
+<< poly >>
+rect -243 181 -177 197
+rect -243 147 -227 181
+rect -193 147 -177 181
+rect -243 131 -177 147
+rect 177 181 243 197
+rect 177 147 193 181
+rect 227 147 243 181
+rect 177 131 243 147
+rect -435 100 -405 126
+rect -225 100 -195 131
+rect -15 100 15 126
+rect 195 100 225 131
+rect 405 100 435 126
+rect -435 -131 -405 -100
+rect -225 -126 -195 -100
+rect -15 -131 15 -100
+rect 195 -126 225 -100
+rect 405 -131 435 -100
+rect -453 -147 -387 -131
+rect -453 -181 -437 -147
+rect -403 -181 -387 -147
+rect -453 -197 -387 -181
+rect -33 -147 33 -131
+rect -33 -181 -17 -147
+rect 17 -181 33 -147
+rect -33 -197 33 -181
+rect 387 -147 453 -131
+rect 387 -181 403 -147
+rect 437 -181 453 -147
+rect 387 -197 453 -181
+<< polycont >>
+rect -227 147 -193 181
+rect 193 147 227 181
+rect -437 -181 -403 -147
+rect -17 -181 17 -147
+rect 403 -181 437 -147
+<< locali >>
+rect -599 249 -503 283
+rect 503 249 599 283
+rect -599 187 -565 249
+rect 565 187 599 249
+rect -243 147 -227 181
+rect -193 147 -177 181
+rect 177 147 193 181
+rect 227 147 243 181
+rect -485 88 -451 104
+rect -485 -104 -451 -88
+rect -389 88 -355 104
+rect -389 -104 -355 -88
+rect -275 88 -241 104
+rect -275 -104 -241 -88
+rect -179 88 -145 104
+rect -179 -104 -145 -88
+rect -65 88 -31 104
+rect -65 -104 -31 -88
+rect 31 88 65 104
+rect 31 -104 65 -88
+rect 145 88 179 104
+rect 145 -104 179 -88
+rect 241 88 275 104
+rect 241 -104 275 -88
+rect 355 88 389 104
+rect 355 -104 389 -88
+rect 451 88 485 104
+rect 451 -104 485 -88
+rect -453 -181 -437 -147
+rect -403 -181 -387 -147
+rect -33 -181 -17 -147
+rect 17 -181 33 -147
+rect 387 -181 403 -147
+rect 437 -181 453 -147
+rect -599 -249 -565 -187
+rect 565 -249 599 -187
+rect -599 -283 -503 -249
+rect 503 -283 599 -249
+<< viali >>
+rect -227 147 -193 181
+rect 193 147 227 181
+rect -485 -88 -451 88
+rect -389 -88 -355 88
+rect -275 -88 -241 88
+rect -179 -88 -145 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 145 -88 179 88
+rect 241 -88 275 88
+rect 355 -88 389 88
+rect 451 -88 485 88
+rect -437 -181 -403 -147
+rect -17 -181 17 -147
+rect 403 -181 437 -147
+<< metal1 >>
+rect -239 181 -181 187
+rect -239 147 -227 181
+rect -193 147 -181 181
+rect -239 141 -181 147
+rect 181 181 239 187
+rect 181 147 193 181
+rect 227 147 239 181
+rect 181 141 239 147
+rect -491 88 -445 100
+rect -491 -88 -485 88
+rect -451 -88 -445 88
+rect -491 -100 -445 -88
+rect -395 88 -349 100
+rect -395 -88 -389 88
+rect -355 -88 -349 88
+rect -395 -100 -349 -88
+rect -281 88 -235 100
+rect -281 -88 -275 88
+rect -241 -88 -235 88
+rect -281 -100 -235 -88
+rect -185 88 -139 100
+rect -185 -88 -179 88
+rect -145 -88 -139 88
+rect -185 -100 -139 -88
+rect -71 88 -25 100
+rect -71 -88 -65 88
+rect -31 -88 -25 88
+rect -71 -100 -25 -88
+rect 25 88 71 100
+rect 25 -88 31 88
+rect 65 -88 71 88
+rect 25 -100 71 -88
+rect 139 88 185 100
+rect 139 -88 145 88
+rect 179 -88 185 88
+rect 139 -100 185 -88
+rect 235 88 281 100
+rect 235 -88 241 88
+rect 275 -88 281 88
+rect 235 -100 281 -88
+rect 349 88 395 100
+rect 349 -88 355 88
+rect 389 -88 395 88
+rect 349 -100 395 -88
+rect 445 88 491 100
+rect 445 -88 451 88
+rect 485 -88 491 88
+rect 445 -100 491 -88
+rect -449 -147 -391 -141
+rect -449 -181 -437 -147
+rect -403 -181 -391 -147
+rect -449 -187 -391 -181
+rect -29 -147 29 -141
+rect -29 -181 -17 -147
+rect 17 -181 29 -147
+rect -29 -187 29 -181
+rect 391 -147 449 -141
+rect 391 -181 403 -147
+rect 437 -181 449 -147
+rect 391 -187 449 -181
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -582 -266 582 266
+string parameters w 1 l 0.15 m 1 nf 5 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 0 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_2XUYGK.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_2XUYGK.mag
new file mode 100644
index 0000000..2204de4
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_2XUYGK.mag
@@ -0,0 +1,141 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623947381
+<< nwell >>
+rect -407 -319 407 319
+<< pmos >>
+rect -207 -100 -177 100
+rect -111 -100 -81 100
+rect -15 -100 15 100
+rect 81 -100 111 100
+rect 177 -100 207 100
+<< pdiff >>
+rect -269 88 -207 100
+rect -269 -88 -257 88
+rect -223 -88 -207 88
+rect -269 -100 -207 -88
+rect -177 88 -111 100
+rect -177 -88 -161 88
+rect -127 -88 -111 88
+rect -177 -100 -111 -88
+rect -81 88 -15 100
+rect -81 -88 -65 88
+rect -31 -88 -15 88
+rect -81 -100 -15 -88
+rect 15 88 81 100
+rect 15 -88 31 88
+rect 65 -88 81 88
+rect 15 -100 81 -88
+rect 111 88 177 100
+rect 111 -88 127 88
+rect 161 -88 177 88
+rect 111 -100 177 -88
+rect 207 88 269 100
+rect 207 -88 223 88
+rect 257 -88 269 88
+rect 207 -100 269 -88
+<< pdiffc >>
+rect -257 -88 -223 88
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+rect 223 -88 257 88
+<< nsubdiff >>
+rect -371 249 -275 283
+rect 275 249 371 283
+rect -371 187 -337 249
+rect 337 187 371 249
+rect -371 -249 -337 -187
+rect 337 -249 371 -187
+rect -371 -283 -275 -249
+rect 275 -283 371 -249
+<< nsubdiffcont >>
+rect -275 249 275 283
+rect -371 -187 -337 187
+rect 337 -187 371 187
+rect -275 -283 275 -249
+<< poly >>
+rect -225 181 225 197
+rect -225 147 -177 181
+rect 181 147 225 181
+rect -225 131 225 147
+rect -207 100 -177 131
+rect -111 100 -81 131
+rect -15 100 15 131
+rect 81 100 111 131
+rect 177 100 207 131
+rect -207 -126 -177 -100
+rect -111 -126 -81 -100
+rect -15 -126 15 -100
+rect 81 -126 111 -100
+rect 177 -126 207 -100
+<< polycont >>
+rect -177 147 181 181
+<< locali >>
+rect -371 249 -275 283
+rect 275 249 371 283
+rect -371 187 -337 249
+rect 337 187 371 249
+rect -206 147 -177 181
+rect 181 147 206 181
+rect -257 88 -223 104
+rect -257 -104 -223 -88
+rect -161 88 -127 104
+rect -161 -104 -127 -88
+rect -65 88 -31 104
+rect -65 -104 -31 -88
+rect 31 88 65 104
+rect 31 -104 65 -88
+rect 127 88 161 104
+rect 127 -104 161 -88
+rect 223 88 257 104
+rect 223 -104 257 -88
+rect -371 -249 -337 -187
+rect 337 -249 371 -187
+rect -371 -283 -275 -249
+rect 275 -283 371 -249
+<< viali >>
+rect -177 147 181 181
+rect -257 -88 -223 88
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+rect 223 -88 257 88
+<< metal1 >>
+rect -199 181 200 187
+rect -206 147 -177 181
+rect 181 147 206 181
+rect -199 141 200 147
+rect -263 88 -217 100
+rect -263 -88 -257 88
+rect -223 -88 -217 88
+rect -263 -100 -217 -88
+rect -167 88 -121 100
+rect -167 -88 -161 88
+rect -127 -88 -121 88
+rect -167 -100 -121 -88
+rect -71 88 -25 100
+rect -71 -88 -65 88
+rect -31 -88 -25 88
+rect -71 -100 -25 -88
+rect 25 88 71 100
+rect 25 -88 31 88
+rect 65 -88 71 88
+rect 25 -100 71 -88
+rect 121 88 167 100
+rect 121 -88 127 88
+rect 161 -88 167 88
+rect 121 -100 167 -88
+rect 217 88 263 100
+rect 217 -88 223 88
+rect 257 -88 263 88
+rect 217 -100 263 -88
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -354 -266 354 266
+string parameters w 1 l 0.15 m 1 nf 5 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_4798MH.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_4798MH.mag
new file mode 100644
index 0000000..5285d6f
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_4798MH.mag
@@ -0,0 +1,93 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623610677
+<< nwell >>
+rect -311 -344 311 344
+<< pmos >>
+rect -111 -125 -81 125
+rect -15 -125 15 125
+rect 81 -125 111 125
+<< pdiff >>
+rect -173 113 -111 125
+rect -173 -113 -161 113
+rect -127 -113 -111 113
+rect -173 -125 -111 -113
+rect -81 113 -15 125
+rect -81 -113 -65 113
+rect -31 -113 -15 113
+rect -81 -125 -15 -113
+rect 15 113 81 125
+rect 15 -113 31 113
+rect 65 -113 81 113
+rect 15 -125 81 -113
+rect 111 113 173 125
+rect 111 -113 127 113
+rect 161 -113 173 113
+rect 111 -125 173 -113
+<< pdiffc >>
+rect -161 -113 -127 113
+rect -65 -113 -31 113
+rect 31 -113 65 113
+rect 127 -113 161 113
+<< nsubdiff >>
+rect -275 274 -179 308
+rect 179 274 275 308
+rect -275 212 -241 274
+rect 241 212 275 274
+rect -275 -274 -241 -212
+rect 241 -274 275 -212
+<< nsubdiffcont >>
+rect -179 274 179 308
+rect -275 -212 -241 212
+rect 241 -212 275 212
+<< poly >>
+rect -111 125 -81 151
+rect -15 125 15 151
+rect 81 125 111 151
+rect -111 -156 -81 -125
+rect -15 -156 15 -125
+rect 81 -156 111 -125
+<< locali >>
+rect -275 274 -179 308
+rect 179 274 275 308
+rect -275 212 -241 274
+rect 241 212 275 274
+rect -161 113 -127 129
+rect -161 -129 -127 -113
+rect -65 113 -31 129
+rect -65 -129 -31 -113
+rect 31 113 65 129
+rect 31 -129 65 -113
+rect 127 113 161 129
+rect 127 -129 161 -113
+rect -275 -274 -241 -212
+rect 241 -274 275 -212
+<< viali >>
+rect -161 -113 -127 113
+rect -65 -113 -31 113
+rect 31 -113 65 113
+rect 127 -113 161 113
+<< metal1 >>
+rect -167 113 -121 125
+rect -167 -113 -161 113
+rect -127 -113 -121 113
+rect -167 -125 -121 -113
+rect -71 113 -25 125
+rect -71 -113 -65 113
+rect -31 -113 -25 113
+rect -71 -125 -25 -113
+rect 25 113 71 125
+rect 25 -113 31 113
+rect 65 -113 71 113
+rect 25 -125 71 -113
+rect 121 113 167 125
+rect 121 -113 127 113
+rect 161 -113 167 113
+rect 121 -125 167 -113
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -258 -291 258 291
+string parameters w 1.25 l 0.15 m 1 nf 3 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 0 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_5S2X3B.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_5S2X3B.mag
new file mode 100644
index 0000000..4b2c34e
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_5S2X3B.mag
@@ -0,0 +1,94 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623899171
+<< error_p >>
+rect 19 192 77 198
+rect 19 158 31 192
+rect 19 152 77 158
+rect -77 -158 -19 -152
+rect -77 -192 -65 -158
+rect -77 -198 -19 -192
+<< nwell >>
+rect -65 173 161 211
+rect -161 -173 161 173
+rect -161 -211 65 -173
+<< pmos >>
+rect -63 -111 -33 111
+rect 33 -111 63 111
+<< pdiff >>
+rect -125 99 -63 111
+rect -125 -99 -113 99
+rect -79 -99 -63 99
+rect -125 -111 -63 -99
+rect -33 99 33 111
+rect -33 -99 -17 99
+rect 17 -99 33 99
+rect -33 -111 33 -99
+rect 63 99 125 111
+rect 63 -99 79 99
+rect 113 -99 125 99
+rect 63 -111 125 -99
+<< pdiffc >>
+rect -113 -99 -79 99
+rect -17 -99 17 99
+rect 79 -99 113 99
+<< poly >>
+rect 15 192 81 208
+rect 15 158 31 192
+rect 65 158 81 192
+rect 15 142 81 158
+rect -63 111 -33 137
+rect 33 111 63 142
+rect -63 -142 -33 -111
+rect 33 -137 63 -111
+rect -81 -158 -15 -142
+rect -81 -192 -65 -158
+rect -31 -192 -15 -158
+rect -81 -208 -15 -192
+<< polycont >>
+rect 31 158 65 192
+rect -65 -192 -31 -158
+<< locali >>
+rect 15 158 31 192
+rect 65 158 81 192
+rect -113 99 -79 115
+rect -113 -115 -79 -99
+rect -17 99 17 115
+rect -17 -115 17 -99
+rect 79 99 113 115
+rect 79 -115 113 -99
+rect -81 -192 -65 -158
+rect -31 -192 -15 -158
+<< viali >>
+rect 31 158 65 192
+rect -113 -99 -79 99
+rect -17 -99 17 99
+rect 79 -99 113 99
+rect -65 -192 -31 -158
+<< metal1 >>
+rect 19 192 77 198
+rect 19 158 31 192
+rect 65 158 77 192
+rect 19 152 77 158
+rect -119 99 -73 111
+rect -119 -99 -113 99
+rect -79 -99 -73 99
+rect -119 -111 -73 -99
+rect -23 99 23 111
+rect -23 -99 -17 99
+rect 17 -99 23 99
+rect -23 -111 23 -99
+rect 73 99 119 111
+rect 73 -99 79 99
+rect 113 -99 119 99
+rect 73 -111 119 -99
+rect -77 -158 -19 -152
+rect -77 -192 -65 -158
+rect -31 -192 -19 -158
+rect -77 -198 -19 -192
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string parameters w 1.11 l 0.15 m 1 nf 2 diffcov 100 polycov 100 guard 0 glc 0 grc 0 gtc 0 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 0 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_6RX2PQ.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_6RX2PQ.mag
new file mode 100644
index 0000000..0d4ab3c
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_6RX2PQ.mag
@@ -0,0 +1,82 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623938174
+<< error_p >>
+rect -29 -95 29 -89
+rect -29 -129 -17 -95
+rect -29 -135 29 -129
+<< nwell >>
+rect -211 -268 211 268
+<< pmos >>
+rect -15 -48 15 120
+<< pdiff >>
+rect -73 108 -15 120
+rect -73 -36 -61 108
+rect -27 -36 -15 108
+rect -73 -48 -15 -36
+rect 15 108 73 120
+rect 15 -36 27 108
+rect 61 -36 73 108
+rect 15 -48 73 -36
+<< pdiffc >>
+rect -61 -36 -27 108
+rect 27 -36 61 108
+<< nsubdiff >>
+rect -175 198 -79 232
+rect 79 198 175 232
+rect -175 135 -141 198
+rect 141 135 175 198
+rect -175 -198 -141 -135
+rect 141 -198 175 -135
+rect -175 -232 175 -198
+<< nsubdiffcont >>
+rect -79 198 79 232
+rect -175 -135 -141 135
+rect 141 -135 175 135
+<< poly >>
+rect -15 120 15 146
+rect -15 -79 15 -48
+rect -33 -95 33 -79
+rect -33 -129 -17 -95
+rect 17 -129 33 -95
+rect -33 -145 33 -129
+<< polycont >>
+rect -17 -129 17 -95
+<< locali >>
+rect -175 198 -79 232
+rect 79 198 175 232
+rect -175 135 -141 198
+rect 141 135 175 198
+rect -61 108 -27 124
+rect -61 -52 -27 -36
+rect 27 108 61 124
+rect 27 -52 61 -36
+rect -33 -129 -17 -95
+rect 17 -129 33 -95
+rect -175 -198 -141 -135
+rect 141 -198 175 -135
+rect -175 -232 175 -198
+<< viali >>
+rect -61 -36 -27 108
+rect 27 -36 61 108
+rect -17 -129 17 -95
+<< metal1 >>
+rect -67 108 -21 120
+rect -67 -36 -61 108
+rect -27 -36 -21 108
+rect -67 -48 -21 -36
+rect 21 108 67 120
+rect 21 -36 27 108
+rect 61 -36 67 108
+rect 21 -48 67 -36
+rect -29 -95 29 -89
+rect -29 -129 -17 -95
+rect 17 -129 29 -95
+rect -29 -135 29 -129
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -158 -215 158 215
+string parameters w 0.84 l 0.15 m 1 nf 1 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 0 tbcov 100 rlcov 100 topc 0 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_6ZK7MK.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_6ZK7MK.mag
new file mode 100644
index 0000000..c537b69
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_6ZK7MK.mag
@@ -0,0 +1,106 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623899171
+<< error_p >>
+rect 19 192 77 198
+rect 19 158 31 192
+rect 19 152 77 158
+rect -77 -158 -19 -152
+rect -77 -192 -65 -158
+rect -77 -198 -19 -192
+<< nwell >>
+rect -263 -330 263 330
+<< pmos >>
+rect -63 -111 -33 111
+rect 33 -111 63 111
+<< pdiff >>
+rect -125 99 -63 111
+rect -125 -99 -113 99
+rect -79 -99 -63 99
+rect -125 -111 -63 -99
+rect -33 99 33 111
+rect -33 -99 -17 99
+rect 17 -99 33 99
+rect -33 -111 33 -99
+rect 63 99 125 111
+rect 63 -99 79 99
+rect 113 -99 125 99
+rect 63 -111 125 -99
+<< pdiffc >>
+rect -113 -99 -79 99
+rect -17 -99 17 99
+rect 79 -99 113 99
+<< nsubdiff >>
+rect -227 260 227 294
+rect -227 -260 -193 260
+rect 193 -260 227 260
+rect -227 -294 -131 -260
+rect 131 -294 227 -260
+<< nsubdiffcont >>
+rect -131 -294 131 -260
+<< poly >>
+rect 15 192 81 208
+rect 15 158 31 192
+rect 65 158 81 192
+rect 15 142 81 158
+rect -63 111 -33 137
+rect 33 111 63 142
+rect -63 -142 -33 -111
+rect 33 -137 63 -111
+rect -81 -158 -15 -142
+rect -81 -192 -65 -158
+rect -31 -192 -15 -158
+rect -81 -208 -15 -192
+<< polycont >>
+rect 31 158 65 192
+rect -65 -192 -31 -158
+<< locali >>
+rect -227 260 227 294
+rect -227 -260 -193 260
+rect 15 158 31 192
+rect 65 158 81 192
+rect -113 99 -79 115
+rect -113 -115 -79 -99
+rect -17 99 17 115
+rect -17 -115 17 -99
+rect 79 99 113 115
+rect 79 -115 113 -99
+rect -81 -192 -65 -158
+rect -31 -192 -15 -158
+rect 193 -260 227 260
+rect -227 -294 -131 -260
+rect 131 -294 227 -260
+<< viali >>
+rect 31 158 65 192
+rect -113 -99 -79 99
+rect -17 -99 17 99
+rect 79 -99 113 99
+rect -65 -192 -31 -158
+<< metal1 >>
+rect 19 192 77 198
+rect 19 158 31 192
+rect 65 158 77 192
+rect 19 152 77 158
+rect -119 99 -73 111
+rect -119 -99 -113 99
+rect -79 -99 -73 99
+rect -119 -111 -73 -99
+rect -23 99 23 111
+rect -23 -99 -17 99
+rect 17 -99 23 99
+rect -23 -111 23 -99
+rect 73 99 119 111
+rect 73 -99 79 99
+rect 113 -99 119 99
+rect 73 -111 119 -99
+rect -77 -158 -19 -152
+rect -77 -192 -65 -158
+rect -31 -192 -19 -158
+rect -77 -198 -19 -192
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -210 -277 210 277
+string parameters w 1.11 l 0.15 m 1 nf 2 diffcov 100 polycov 100 guard 1 glc 0 grc 0 gtc 0 gbc 1 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_6ZZL9K.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_6ZZL9K.mag
new file mode 100644
index 0000000..a979b27
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_6ZZL9K.mag
@@ -0,0 +1,172 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623431064
+<< error_p >>
+rect -77 123 -19 129
+rect 115 123 173 129
+rect -77 89 -65 123
+rect 115 89 127 123
+rect -77 83 -19 89
+rect 115 83 173 89
+rect -173 -89 -115 -83
+rect 19 -89 77 -83
+rect -173 -123 -161 -89
+rect 19 -123 31 -89
+rect -173 -129 -115 -123
+rect 19 -129 77 -123
+<< nwell >>
+rect -359 -261 359 261
+<< pmos >>
+rect -159 -42 -129 42
+rect -63 -42 -33 42
+rect 33 -42 63 42
+rect 129 -42 159 42
+<< pdiff >>
+rect -221 30 -159 42
+rect -221 -30 -209 30
+rect -175 -30 -159 30
+rect -221 -42 -159 -30
+rect -129 30 -63 42
+rect -129 -30 -113 30
+rect -79 -30 -63 30
+rect -129 -42 -63 -30
+rect -33 30 33 42
+rect -33 -30 -17 30
+rect 17 -30 33 30
+rect -33 -42 33 -30
+rect 63 30 129 42
+rect 63 -30 79 30
+rect 113 -30 129 30
+rect 63 -42 129 -30
+rect 159 30 221 42
+rect 159 -30 175 30
+rect 209 -30 221 30
+rect 159 -42 221 -30
+<< pdiffc >>
+rect -209 -30 -175 30
+rect -113 -30 -79 30
+rect -17 -30 17 30
+rect 79 -30 113 30
+rect 175 -30 209 30
+<< nsubdiff >>
+rect -323 191 323 225
+rect -323 129 -289 191
+rect 289 129 323 191
+rect -323 -191 -289 -129
+rect 289 -191 323 -129
+rect -323 -225 -227 -191
+rect 227 -225 323 -191
+<< nsubdiffcont >>
+rect -323 -129 -289 129
+rect 289 -129 323 129
+rect -227 -225 227 -191
+<< poly >>
+rect -81 123 -15 139
+rect -81 89 -65 123
+rect -31 89 -15 123
+rect -81 73 -15 89
+rect 111 123 177 139
+rect 111 89 127 123
+rect 161 89 177 123
+rect 111 73 177 89
+rect -159 42 -129 68
+rect -63 42 -33 73
+rect 33 42 63 68
+rect 129 42 159 73
+rect -159 -73 -129 -42
+rect -63 -68 -33 -42
+rect 33 -73 63 -42
+rect 129 -68 159 -42
+rect -177 -89 -111 -73
+rect -177 -123 -161 -89
+rect -127 -123 -111 -89
+rect -177 -139 -111 -123
+rect 15 -89 81 -73
+rect 15 -123 31 -89
+rect 65 -123 81 -89
+rect 15 -139 81 -123
+<< polycont >>
+rect -65 89 -31 123
+rect 127 89 161 123
+rect -161 -123 -127 -89
+rect 31 -123 65 -89
+<< locali >>
+rect -323 191 323 225
+rect -323 129 -289 191
+rect 289 129 323 191
+rect -81 89 -65 123
+rect -31 89 -15 123
+rect 111 89 127 123
+rect 161 89 177 123
+rect -209 30 -175 46
+rect -209 -46 -175 -30
+rect -113 30 -79 46
+rect -113 -46 -79 -30
+rect -17 30 17 46
+rect -17 -46 17 -30
+rect 79 30 113 46
+rect 79 -46 113 -30
+rect 175 30 209 46
+rect 175 -46 209 -30
+rect -177 -123 -161 -89
+rect -127 -123 -111 -89
+rect 15 -123 31 -89
+rect 65 -123 81 -89
+rect -323 -191 -289 -129
+rect 289 -191 323 -129
+rect -323 -225 -227 -191
+rect 227 -225 323 -191
+<< viali >>
+rect -65 89 -31 123
+rect 127 89 161 123
+rect -209 -30 -175 30
+rect -113 -30 -79 30
+rect -17 -30 17 30
+rect 79 -30 113 30
+rect 175 -30 209 30
+rect -161 -123 -127 -89
+rect 31 -123 65 -89
+<< metal1 >>
+rect -77 123 -19 129
+rect -77 89 -65 123
+rect -31 89 -19 123
+rect -77 83 -19 89
+rect 115 123 173 129
+rect 115 89 127 123
+rect 161 89 173 123
+rect 115 83 173 89
+rect -215 30 -169 42
+rect -215 -30 -209 30
+rect -175 -30 -169 30
+rect -215 -42 -169 -30
+rect -119 30 -73 42
+rect -119 -30 -113 30
+rect -79 -30 -73 30
+rect -119 -42 -73 -30
+rect -23 30 23 42
+rect -23 -30 -17 30
+rect 17 -30 23 30
+rect -23 -42 23 -30
+rect 73 30 119 42
+rect 73 -30 79 30
+rect 113 -30 119 30
+rect 73 -42 119 -30
+rect 169 30 215 42
+rect 169 -30 175 30
+rect 209 -30 215 30
+rect 169 -42 215 -30
+rect -173 -89 -115 -83
+rect -173 -123 -161 -89
+rect -127 -123 -115 -89
+rect -173 -129 -115 -123
+rect 19 -89 77 -83
+rect 19 -123 31 -89
+rect 65 -123 77 -89
+rect 19 -129 77 -123
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -306 -208 306 208
+string parameters w 0.42 l 0.15 m 1 nf 4 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 0 gbc 1 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_75PKJG.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_75PKJG.mag
new file mode 100644
index 0000000..95d6000
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_75PKJG.mag
@@ -0,0 +1,110 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623948006
+<< nwell >>
+rect -359 -321 359 321
+<< pmos >>
+rect -159 -102 -129 102
+rect -63 -102 -33 102
+rect 33 -102 63 102
+rect 129 -102 159 102
+<< pdiff >>
+rect -221 90 -159 102
+rect -221 -90 -209 90
+rect -175 -90 -159 90
+rect -221 -102 -159 -90
+rect -129 90 -63 102
+rect -129 -90 -113 90
+rect -79 -90 -63 90
+rect -129 -102 -63 -90
+rect -33 90 33 102
+rect -33 -90 -17 90
+rect 17 -90 33 90
+rect -33 -102 33 -90
+rect 63 90 129 102
+rect 63 -90 79 90
+rect 113 -90 129 90
+rect 63 -102 129 -90
+rect 159 90 221 102
+rect 159 -90 175 90
+rect 209 -90 221 90
+rect 159 -102 221 -90
+<< pdiffc >>
+rect -209 -90 -175 90
+rect -113 -90 -79 90
+rect -17 -90 17 90
+rect 79 -90 113 90
+rect 175 -90 209 90
+<< nsubdiff >>
+rect -323 251 -227 285
+rect 227 251 323 285
+rect -323 189 -289 251
+rect 289 189 323 251
+rect -323 -285 -289 -189
+rect 289 -285 323 -189
+<< nsubdiffcont >>
+rect -227 251 227 285
+rect -323 -189 -289 189
+rect 289 -189 323 189
+<< poly >>
+rect -159 102 -129 128
+rect -63 102 -33 128
+rect 33 102 63 128
+rect 129 102 159 128
+rect -159 -133 -129 -102
+rect -63 -133 -33 -102
+rect 33 -133 63 -102
+rect 129 -133 159 -102
+rect -177 -199 -25 -133
+rect 25 -199 177 -133
+<< locali >>
+rect -323 251 -227 285
+rect 227 251 323 285
+rect -323 189 -289 251
+rect 289 189 323 251
+rect -209 90 -175 106
+rect -209 -106 -175 -90
+rect -113 90 -79 106
+rect -113 -106 -79 -90
+rect -17 90 17 106
+rect -17 -106 17 -90
+rect 79 90 113 106
+rect 79 -106 113 -90
+rect 175 90 209 106
+rect 175 -106 209 -90
+rect -323 -285 -289 -189
+rect 289 -285 323 -189
+<< viali >>
+rect -209 -90 -175 90
+rect -113 -90 -79 90
+rect -17 -90 17 90
+rect 79 -90 113 90
+rect 175 -90 209 90
+<< metal1 >>
+rect -215 90 -169 102
+rect -215 -90 -209 90
+rect -175 -90 -169 90
+rect -215 -102 -169 -90
+rect -119 90 -73 102
+rect -119 -90 -113 90
+rect -79 -90 -73 90
+rect -119 -102 -73 -90
+rect -23 90 23 102
+rect -23 -90 -17 90
+rect 17 -90 23 90
+rect -23 -102 23 -90
+rect 73 90 119 102
+rect 73 -90 79 90
+rect 113 -90 119 90
+rect 73 -102 119 -90
+rect 169 90 215 102
+rect 169 -90 175 90
+rect 209 -90 215 90
+rect 169 -102 215 -90
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -306 -268 306 268
+string parameters w 1.02 l 0.15 m 1 nf 4 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 0 tbcov 100 rlcov 100 topc 0 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_7KT7MH.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_7KT7MH.mag
new file mode 100644
index 0000000..bb965f5
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_7KT7MH.mag
@@ -0,0 +1,94 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623610677
+<< nwell >>
+rect -311 -344 311 344
+<< pmos >>
+rect -111 -125 -81 125
+rect -15 -125 15 125
+rect 81 -125 111 125
+<< pdiff >>
+rect -173 113 -111 125
+rect -173 -113 -161 113
+rect -127 -113 -111 113
+rect -173 -125 -111 -113
+rect -81 113 -15 125
+rect -81 -113 -65 113
+rect -31 -113 -15 113
+rect -81 -125 -15 -113
+rect 15 113 81 125
+rect 15 -113 31 113
+rect 65 -113 81 113
+rect 15 -125 81 -113
+rect 111 113 173 125
+rect 111 -113 127 113
+rect 161 -113 173 113
+rect 111 -125 173 -113
+<< pdiffc >>
+rect -161 -113 -127 113
+rect -65 -113 -31 113
+rect 31 -113 65 113
+rect 127 -113 161 113
+<< nsubdiff >>
+rect -275 274 -179 308
+rect 179 274 275 308
+rect -275 212 -241 274
+rect 241 212 275 274
+rect -275 -274 -241 -212
+rect 241 -274 275 -212
+<< nsubdiffcont >>
+rect -179 274 179 308
+rect -275 -212 -241 212
+rect 241 -212 275 212
+<< poly >>
+rect -111 125 -81 151
+rect -15 125 15 151
+rect 81 125 111 151
+rect -111 -156 -81 -125
+rect -15 -156 15 -125
+rect 81 -156 111 -125
+rect -111 -186 111 -156
+<< locali >>
+rect -275 274 -179 308
+rect 179 274 275 308
+rect -275 212 -241 274
+rect 241 212 275 274
+rect -161 113 -127 129
+rect -161 -129 -127 -113
+rect -65 113 -31 129
+rect -65 -129 -31 -113
+rect 31 113 65 129
+rect 31 -129 65 -113
+rect 127 113 161 129
+rect 127 -129 161 -113
+rect -275 -274 -241 -212
+rect 241 -274 275 -212
+<< viali >>
+rect -161 -113 -127 113
+rect -65 -113 -31 113
+rect 31 -113 65 113
+rect 127 -113 161 113
+<< metal1 >>
+rect -167 113 -121 125
+rect -167 -113 -161 113
+rect -127 -113 -121 113
+rect -167 -125 -121 -113
+rect -71 113 -25 125
+rect -71 -113 -65 113
+rect -31 -113 -25 113
+rect -71 -125 -25 -113
+rect 25 113 71 125
+rect 25 -113 31 113
+rect 65 -113 71 113
+rect 25 -125 71 -113
+rect 121 113 167 125
+rect 121 -113 127 113
+rect 161 -113 167 113
+rect 121 -125 167 -113
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -258 -291 258 291
+string parameters w 1.25 l 0.15 m 1 nf 3 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 0 tbcov 100 rlcov 100 topc 0 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_BDRUME.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_BDRUME.mag
new file mode 100644
index 0000000..02ab193
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_BDRUME.mag
@@ -0,0 +1,290 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624046389
+<< nwell >>
+rect -935 -303 935 303
+<< pmos >>
+rect -735 -84 -705 84
+rect -639 -84 -609 84
+rect -543 -84 -513 84
+rect -447 -84 -417 84
+rect -351 -84 -321 84
+rect -255 -84 -225 84
+rect -159 -84 -129 84
+rect -63 -84 -33 84
+rect 33 -84 63 84
+rect 129 -84 159 84
+rect 225 -84 255 84
+rect 321 -84 351 84
+rect 417 -84 447 84
+rect 513 -84 543 84
+rect 609 -84 639 84
+rect 705 -84 735 84
+<< pdiff >>
+rect -797 72 -735 84
+rect -797 -72 -785 72
+rect -751 -72 -735 72
+rect -797 -84 -735 -72
+rect -705 72 -639 84
+rect -705 -72 -689 72
+rect -655 -72 -639 72
+rect -705 -84 -639 -72
+rect -609 72 -543 84
+rect -609 -72 -593 72
+rect -559 -72 -543 72
+rect -609 -84 -543 -72
+rect -513 72 -447 84
+rect -513 -72 -497 72
+rect -463 -72 -447 72
+rect -513 -84 -447 -72
+rect -417 72 -351 84
+rect -417 -72 -401 72
+rect -367 -72 -351 72
+rect -417 -84 -351 -72
+rect -321 72 -255 84
+rect -321 -72 -305 72
+rect -271 -72 -255 72
+rect -321 -84 -255 -72
+rect -225 72 -159 84
+rect -225 -72 -209 72
+rect -175 -72 -159 72
+rect -225 -84 -159 -72
+rect -129 72 -63 84
+rect -129 -72 -113 72
+rect -79 -72 -63 72
+rect -129 -84 -63 -72
+rect -33 72 33 84
+rect -33 -72 -17 72
+rect 17 -72 33 72
+rect -33 -84 33 -72
+rect 63 72 129 84
+rect 63 -72 79 72
+rect 113 -72 129 72
+rect 63 -84 129 -72
+rect 159 72 225 84
+rect 159 -72 175 72
+rect 209 -72 225 72
+rect 159 -84 225 -72
+rect 255 72 321 84
+rect 255 -72 271 72
+rect 305 -72 321 72
+rect 255 -84 321 -72
+rect 351 72 417 84
+rect 351 -72 367 72
+rect 401 -72 417 72
+rect 351 -84 417 -72
+rect 447 72 513 84
+rect 447 -72 463 72
+rect 497 -72 513 72
+rect 447 -84 513 -72
+rect 543 72 609 84
+rect 543 -72 559 72
+rect 593 -72 609 72
+rect 543 -84 609 -72
+rect 639 72 705 84
+rect 639 -72 655 72
+rect 689 -72 705 72
+rect 639 -84 705 -72
+rect 735 72 797 84
+rect 735 -72 751 72
+rect 785 -72 797 72
+rect 735 -84 797 -72
+<< pdiffc >>
+rect -785 -72 -751 72
+rect -689 -72 -655 72
+rect -593 -72 -559 72
+rect -497 -72 -463 72
+rect -401 -72 -367 72
+rect -305 -72 -271 72
+rect -209 -72 -175 72
+rect -113 -72 -79 72
+rect -17 -72 17 72
+rect 79 -72 113 72
+rect 175 -72 209 72
+rect 271 -72 305 72
+rect 367 -72 401 72
+rect 463 -72 497 72
+rect 559 -72 593 72
+rect 655 -72 689 72
+rect 751 -72 785 72
+<< nsubdiff >>
+rect -899 233 -803 267
+rect 803 233 899 267
+rect -899 171 -865 233
+rect 865 171 899 233
+rect -899 -267 -865 -171
+rect 865 -267 899 -171
+<< nsubdiffcont >>
+rect -803 233 803 267
+rect -899 -171 -865 171
+rect 865 -171 899 171
+<< poly >>
+rect -752 110 753 181
+rect -735 84 -705 110
+rect -639 84 -609 110
+rect -543 84 -513 110
+rect -447 84 -417 110
+rect -351 84 -321 110
+rect -255 84 -225 110
+rect -159 84 -129 110
+rect -63 84 -33 110
+rect 33 84 63 110
+rect 129 84 159 110
+rect 225 84 255 110
+rect 321 84 351 110
+rect 417 84 447 110
+rect 513 84 543 110
+rect 609 84 639 110
+rect 705 84 735 110
+rect -735 -110 -705 -84
+rect -639 -110 -609 -84
+rect -543 -110 -513 -84
+rect -447 -110 -417 -84
+rect -351 -110 -321 -84
+rect -255 -110 -225 -84
+rect -159 -110 -129 -84
+rect -63 -110 -33 -84
+rect 33 -110 63 -84
+rect 129 -110 159 -84
+rect 225 -110 255 -84
+rect 321 -110 351 -84
+rect 417 -110 447 -84
+rect 513 -110 543 -84
+rect 609 -110 639 -84
+rect 705 -110 735 -84
+rect -753 -181 752 -110
+<< locali >>
+rect -899 233 -803 267
+rect 803 233 899 267
+rect -899 171 -865 233
+rect 865 171 899 233
+rect -785 72 -751 88
+rect -785 -88 -751 -72
+rect -689 72 -655 88
+rect -689 -88 -655 -72
+rect -593 72 -559 88
+rect -593 -88 -559 -72
+rect -497 72 -463 88
+rect -497 -88 -463 -72
+rect -401 72 -367 88
+rect -401 -88 -367 -72
+rect -305 72 -271 88
+rect -305 -88 -271 -72
+rect -209 72 -175 88
+rect -209 -88 -175 -72
+rect -113 72 -79 88
+rect -113 -88 -79 -72
+rect -17 72 17 88
+rect -17 -88 17 -72
+rect 79 72 113 88
+rect 79 -88 113 -72
+rect 175 72 209 88
+rect 175 -88 209 -72
+rect 271 72 305 88
+rect 271 -88 305 -72
+rect 367 72 401 88
+rect 367 -88 401 -72
+rect 463 72 497 88
+rect 463 -88 497 -72
+rect 559 72 593 88
+rect 559 -88 593 -72
+rect 655 72 689 88
+rect 655 -88 689 -72
+rect 751 72 785 88
+rect 751 -88 785 -72
+rect -899 -267 -865 -171
+rect 865 -267 899 -171
+<< viali >>
+rect -785 -72 -751 72
+rect -689 -72 -655 72
+rect -593 -72 -559 72
+rect -497 -72 -463 72
+rect -401 -72 -367 72
+rect -305 -72 -271 72
+rect -209 -72 -175 72
+rect -113 -72 -79 72
+rect -17 -72 17 72
+rect 79 -72 113 72
+rect 175 -72 209 72
+rect 271 -72 305 72
+rect 367 -72 401 72
+rect 463 -72 497 72
+rect 559 -72 593 72
+rect 655 -72 689 72
+rect 751 -72 785 72
+<< metal1 >>
+rect -791 72 -745 84
+rect -791 -72 -785 72
+rect -751 -72 -745 72
+rect -791 -84 -745 -72
+rect -695 72 -649 84
+rect -695 -72 -689 72
+rect -655 -72 -649 72
+rect -695 -84 -649 -72
+rect -599 72 -553 84
+rect -599 -72 -593 72
+rect -559 -72 -553 72
+rect -599 -84 -553 -72
+rect -503 72 -457 84
+rect -503 -72 -497 72
+rect -463 -72 -457 72
+rect -503 -84 -457 -72
+rect -407 72 -361 84
+rect -407 -72 -401 72
+rect -367 -72 -361 72
+rect -407 -84 -361 -72
+rect -311 72 -265 84
+rect -311 -72 -305 72
+rect -271 -72 -265 72
+rect -311 -84 -265 -72
+rect -215 72 -169 84
+rect -215 -72 -209 72
+rect -175 -72 -169 72
+rect -215 -84 -169 -72
+rect -119 72 -73 84
+rect -119 -72 -113 72
+rect -79 -72 -73 72
+rect -119 -84 -73 -72
+rect -23 72 23 84
+rect -23 -72 -17 72
+rect 17 -72 23 72
+rect -23 -84 23 -72
+rect 73 72 119 84
+rect 73 -72 79 72
+rect 113 -72 119 72
+rect 73 -84 119 -72
+rect 169 72 215 84
+rect 169 -72 175 72
+rect 209 -72 215 72
+rect 169 -84 215 -72
+rect 265 72 311 84
+rect 265 -72 271 72
+rect 305 -72 311 72
+rect 265 -84 311 -72
+rect 361 72 407 84
+rect 361 -72 367 72
+rect 401 -72 407 72
+rect 361 -84 407 -72
+rect 457 72 503 84
+rect 457 -72 463 72
+rect 497 -72 503 72
+rect 457 -84 503 -72
+rect 553 72 599 84
+rect 553 -72 559 72
+rect 593 -72 599 72
+rect 553 -84 599 -72
+rect 649 72 695 84
+rect 649 -72 655 72
+rect 689 -72 695 72
+rect 649 -84 695 -72
+rect 745 72 791 84
+rect 745 -72 751 72
+rect 785 -72 791 72
+rect 745 -84 791 -72
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -882 -250 882 250
+string parameters w 0.84 l 0.15 m 1 nf 16 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_KZ6ZMQ.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_KZ6ZMQ.mag
new file mode 100644
index 0000000..ffd0b4a
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_KZ6ZMQ.mag
@@ -0,0 +1,112 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623899171
+<< error_p >>
+rect 19 192 77 198
+rect 19 158 31 192
+rect 19 152 77 158
+rect -77 -158 -19 -152
+rect -77 -192 -65 -158
+rect -77 -198 -19 -192
+<< nwell >>
+rect -263 -330 263 330
+<< pmos >>
+rect -63 -111 -33 111
+rect 33 -111 63 111
+<< pdiff >>
+rect -125 99 -63 111
+rect -125 -99 -113 99
+rect -79 -99 -63 99
+rect -125 -111 -63 -99
+rect -33 99 33 111
+rect -33 -99 -17 99
+rect 17 -99 33 99
+rect -33 -111 33 -99
+rect 63 99 125 111
+rect 63 -99 79 99
+rect 113 -99 125 99
+rect 63 -111 125 -99
+<< pdiffc >>
+rect -113 -99 -79 99
+rect -17 -99 17 99
+rect 79 -99 113 99
+<< nsubdiff >>
+rect -227 260 227 294
+rect -227 198 -193 260
+rect 193 198 227 260
+rect -227 -260 -193 -198
+rect 193 -260 227 -198
+rect -227 -294 -131 -260
+rect 131 -294 227 -260
+<< nsubdiffcont >>
+rect -227 -198 -193 198
+rect 193 -198 227 198
+rect -131 -294 131 -260
+<< poly >>
+rect 15 192 81 208
+rect 15 158 31 192
+rect 65 158 81 192
+rect 15 142 81 158
+rect -63 111 -33 137
+rect 33 111 63 142
+rect -63 -142 -33 -111
+rect 33 -137 63 -111
+rect -81 -158 -15 -142
+rect -81 -192 -65 -158
+rect -31 -192 -15 -158
+rect -81 -208 -15 -192
+<< polycont >>
+rect 31 158 65 192
+rect -65 -192 -31 -158
+<< locali >>
+rect -227 260 227 294
+rect -227 198 -193 260
+rect 193 198 227 260
+rect 15 158 31 192
+rect 65 158 81 192
+rect -113 99 -79 115
+rect -113 -115 -79 -99
+rect -17 99 17 115
+rect -17 -115 17 -99
+rect 79 99 113 115
+rect 79 -115 113 -99
+rect -81 -192 -65 -158
+rect -31 -192 -15 -158
+rect -227 -260 -193 -198
+rect 193 -260 227 -198
+rect -227 -294 -131 -260
+rect 131 -294 227 -260
+<< viali >>
+rect 31 158 65 192
+rect -113 -99 -79 99
+rect -17 -99 17 99
+rect 79 -99 113 99
+rect -65 -192 -31 -158
+<< metal1 >>
+rect 19 192 77 198
+rect 19 158 31 192
+rect 65 158 77 192
+rect 19 152 77 158
+rect -119 99 -73 111
+rect -119 -99 -113 99
+rect -79 -99 -73 99
+rect -119 -111 -73 -99
+rect -23 99 23 111
+rect -23 -99 -17 99
+rect 17 -99 23 99
+rect -23 -111 23 -99
+rect 73 99 119 111
+rect 73 -99 79 99
+rect 113 -99 119 99
+rect 73 -111 119 -99
+rect -77 -158 -19 -152
+rect -77 -192 -65 -158
+rect -31 -192 -19 -158
+rect -77 -198 -19 -192
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -210 -277 210 277
+string parameters w 1.11 l 0.15 m 1 nf 2 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 0 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_MA7ZZL.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_MA7ZZL.mag
new file mode 100644
index 0000000..d86fa8a
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_MA7ZZL.mag
@@ -0,0 +1,115 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623899171
+<< error_p >>
+rect 19 192 77 198
+rect 19 158 31 192
+rect 19 152 77 158
+rect -77 -158 -19 -152
+rect -77 -192 -65 -158
+rect -77 -198 -19 -192
+<< nwell >>
+rect -263 -330 263 330
+<< pmos >>
+rect -63 -111 -33 111
+rect 33 -111 63 111
+<< pdiff >>
+rect -125 99 -63 111
+rect -125 -99 -113 99
+rect -79 -99 -63 99
+rect -125 -111 -63 -99
+rect -33 99 33 111
+rect -33 -99 -17 99
+rect 17 -99 33 99
+rect -33 -111 33 -99
+rect 63 99 125 111
+rect 63 -99 79 99
+rect 113 -99 125 99
+rect 63 -111 125 -99
+<< pdiffc >>
+rect -113 -99 -79 99
+rect -17 -99 17 99
+rect 79 -99 113 99
+<< nsubdiff >>
+rect -227 260 -131 294
+rect 131 260 227 294
+rect -227 198 -193 260
+rect 193 198 227 260
+rect -227 -260 -193 -198
+rect 193 -260 227 -198
+rect -227 -294 -131 -260
+rect 131 -294 227 -260
+<< nsubdiffcont >>
+rect -131 260 131 294
+rect -227 -198 -193 198
+rect 193 -198 227 198
+rect -131 -294 131 -260
+<< poly >>
+rect 15 192 81 208
+rect 15 158 31 192
+rect 65 158 81 192
+rect 15 142 81 158
+rect -63 111 -33 137
+rect 33 111 63 142
+rect -63 -142 -33 -111
+rect 33 -137 63 -111
+rect -81 -158 -15 -142
+rect -81 -192 -65 -158
+rect -31 -192 -15 -158
+rect -81 -208 -15 -192
+<< polycont >>
+rect 31 158 65 192
+rect -65 -192 -31 -158
+<< locali >>
+rect -227 260 -131 294
+rect 131 260 227 294
+rect -227 198 -193 260
+rect 193 198 227 260
+rect 15 158 31 192
+rect 65 158 81 192
+rect -113 99 -79 115
+rect -113 -115 -79 -99
+rect -17 99 17 115
+rect -17 -115 17 -99
+rect 79 99 113 115
+rect 79 -115 113 -99
+rect -81 -192 -65 -158
+rect -31 -192 -15 -158
+rect -227 -260 -193 -198
+rect 193 -260 227 -198
+rect -227 -294 -131 -260
+rect 131 -294 227 -260
+<< viali >>
+rect 31 158 65 192
+rect -113 -99 -79 99
+rect -17 -99 17 99
+rect 79 -99 113 99
+rect -65 -192 -31 -158
+<< metal1 >>
+rect 19 192 77 198
+rect 19 158 31 192
+rect 65 158 77 192
+rect 19 152 77 158
+rect -119 99 -73 111
+rect -119 -99 -113 99
+rect -79 -99 -73 99
+rect -119 -111 -73 -99
+rect -23 99 23 111
+rect -23 -99 -17 99
+rect 17 -99 23 99
+rect -23 -111 23 -99
+rect 73 99 119 111
+rect 73 -99 79 99
+rect 113 -99 119 99
+rect 73 -111 119 -99
+rect -77 -158 -19 -152
+rect -77 -192 -65 -158
+rect -31 -192 -19 -158
+rect -77 -198 -19 -192
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -210 -277 210 277
+string parameters w 1.11 l 0.15 m 1 nf 2 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 0 poverlap 1 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_MJG8BZ.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_MJG8BZ.mag
new file mode 100644
index 0000000..f89ab31
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_MJG8BZ.mag
@@ -0,0 +1,79 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623610677
+<< nwell >>
+rect -263 -314 263 314
+<< pmos >>
+rect -63 -95 -33 95
+rect 33 -95 63 95
+<< pdiff >>
+rect -125 83 -63 95
+rect -125 -83 -113 83
+rect -79 -83 -63 83
+rect -125 -95 -63 -83
+rect -33 83 33 95
+rect -33 -83 -17 83
+rect 17 -83 33 83
+rect -33 -95 33 -83
+rect 63 83 125 95
+rect 63 -83 79 83
+rect 113 -83 125 83
+rect 63 -95 125 -83
+<< pdiffc >>
+rect -113 -83 -79 83
+rect -17 -83 17 83
+rect 79 -83 113 83
+<< nsubdiff >>
+rect -227 244 -131 278
+rect 131 244 227 278
+rect -227 182 -193 244
+rect 193 182 227 244
+rect -227 -244 -193 -182
+rect 193 -244 227 -182
+<< nsubdiffcont >>
+rect -131 244 131 278
+rect -227 -182 -193 182
+rect 193 -182 227 182
+<< poly >>
+rect -63 95 -33 121
+rect 33 95 63 121
+rect -63 -126 -33 -95
+rect 33 -126 63 -95
+rect -63 -192 63 -126
+<< locali >>
+rect -227 244 -131 278
+rect 131 244 227 278
+rect -227 182 -193 244
+rect 193 182 227 244
+rect -113 83 -79 99
+rect -113 -99 -79 -83
+rect -17 83 17 99
+rect -17 -99 17 -83
+rect 79 83 113 99
+rect 79 -99 113 -83
+rect -227 -244 -193 -182
+rect 193 -244 227 -182
+<< viali >>
+rect -113 -83 -79 83
+rect -17 -83 17 83
+rect 79 -83 113 83
+<< metal1 >>
+rect -119 83 -73 95
+rect -119 -83 -113 83
+rect -79 -83 -73 83
+rect -119 -95 -73 -83
+rect -23 83 23 95
+rect -23 -83 -17 83
+rect 17 -83 23 83
+rect -23 -95 23 -83
+rect 73 83 119 95
+rect 73 -83 79 83
+rect 113 -83 119 83
+rect 73 -95 119 -83
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -210 -261 210 261
+string parameters w 0.95 l 0.15 m 1 nf 2 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_VCU74W.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_VCU74W.mag
new file mode 100644
index 0000000..78fa107
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_VCU74W.mag
@@ -0,0 +1,347 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623969746
+<< nwell >>
+rect -887 -319 887 319
+<< pmos >>
+rect -687 -100 -657 100
+rect -591 -100 -561 100
+rect -495 -100 -465 100
+rect -399 -100 -369 100
+rect -303 -100 -273 100
+rect -207 -100 -177 100
+rect -111 -100 -81 100
+rect -15 -100 15 100
+rect 81 -100 111 100
+rect 177 -100 207 100
+rect 273 -100 303 100
+rect 369 -100 399 100
+rect 465 -100 495 100
+rect 561 -100 591 100
+rect 657 -100 687 100
+<< pdiff >>
+rect -749 88 -687 100
+rect -749 -88 -737 88
+rect -703 -88 -687 88
+rect -749 -100 -687 -88
+rect -657 88 -591 100
+rect -657 -88 -641 88
+rect -607 -88 -591 88
+rect -657 -100 -591 -88
+rect -561 88 -495 100
+rect -561 -88 -545 88
+rect -511 -88 -495 88
+rect -561 -100 -495 -88
+rect -465 88 -399 100
+rect -465 -88 -449 88
+rect -415 -88 -399 88
+rect -465 -100 -399 -88
+rect -369 88 -303 100
+rect -369 -88 -353 88
+rect -319 -88 -303 88
+rect -369 -100 -303 -88
+rect -273 88 -207 100
+rect -273 -88 -257 88
+rect -223 -88 -207 88
+rect -273 -100 -207 -88
+rect -177 88 -111 100
+rect -177 -88 -161 88
+rect -127 -88 -111 88
+rect -177 -100 -111 -88
+rect -81 88 -15 100
+rect -81 -88 -65 88
+rect -31 -88 -15 88
+rect -81 -100 -15 -88
+rect 15 88 81 100
+rect 15 -88 31 88
+rect 65 -88 81 88
+rect 15 -100 81 -88
+rect 111 88 177 100
+rect 111 -88 127 88
+rect 161 -88 177 88
+rect 111 -100 177 -88
+rect 207 88 273 100
+rect 207 -88 223 88
+rect 257 -88 273 88
+rect 207 -100 273 -88
+rect 303 88 369 100
+rect 303 -88 319 88
+rect 353 -88 369 88
+rect 303 -100 369 -88
+rect 399 88 465 100
+rect 399 -88 415 88
+rect 449 -88 465 88
+rect 399 -100 465 -88
+rect 495 88 561 100
+rect 495 -88 511 88
+rect 545 -88 561 88
+rect 495 -100 561 -88
+rect 591 88 657 100
+rect 591 -88 607 88
+rect 641 -88 657 88
+rect 591 -100 657 -88
+rect 687 88 749 100
+rect 687 -88 703 88
+rect 737 -88 749 88
+rect 687 -100 749 -88
+<< pdiffc >>
+rect -737 -88 -703 88
+rect -641 -88 -607 88
+rect -545 -88 -511 88
+rect -449 -88 -415 88
+rect -353 -88 -319 88
+rect -257 -88 -223 88
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+rect 223 -88 257 88
+rect 319 -88 353 88
+rect 415 -88 449 88
+rect 511 -88 545 88
+rect 607 -88 641 88
+rect 703 -88 737 88
+<< nsubdiff >>
+rect -851 249 -755 283
+rect 755 249 851 283
+rect -851 187 -817 249
+rect 817 187 851 249
+rect -851 -249 -817 -187
+rect 817 -249 851 -187
+rect -851 -283 -755 -249
+rect 755 -283 851 -249
+<< nsubdiffcont >>
+rect -755 249 755 283
+rect -851 -187 -817 187
+rect 817 -187 851 187
+rect -755 -283 755 -249
+<< poly >>
+rect -687 100 -657 126
+rect -591 100 -561 126
+rect -495 100 -465 126
+rect -399 100 -369 126
+rect -303 100 -273 126
+rect -207 100 -177 126
+rect -111 100 -81 126
+rect -15 100 15 126
+rect 81 100 111 126
+rect 177 100 207 126
+rect 273 100 303 126
+rect 369 100 399 126
+rect 465 100 495 126
+rect 561 100 591 126
+rect 657 100 687 126
+rect -687 -131 -657 -100
+rect -591 -131 -561 -100
+rect -495 -131 -465 -100
+rect -399 -131 -369 -100
+rect -303 -131 -273 -100
+rect -207 -131 -177 -100
+rect -111 -131 -81 -100
+rect -15 -131 15 -100
+rect 81 -131 111 -100
+rect 177 -131 207 -100
+rect 273 -131 303 -100
+rect 369 -131 399 -100
+rect 465 -131 495 -100
+rect 561 -131 591 -100
+rect 657 -131 687 -100
+rect -705 -147 705 -131
+rect -705 -181 -689 -147
+rect -655 -181 -593 -147
+rect -559 -181 -497 -147
+rect -463 -181 -401 -147
+rect -367 -181 -305 -147
+rect -271 -181 -209 -147
+rect -175 -181 -113 -147
+rect -79 -181 -17 -147
+rect 17 -181 79 -147
+rect 113 -181 175 -147
+rect 209 -181 271 -147
+rect 305 -181 367 -147
+rect 401 -181 463 -147
+rect 497 -181 559 -147
+rect 593 -181 655 -147
+rect 689 -181 705 -147
+rect -705 -197 705 -181
+<< polycont >>
+rect -689 -181 -655 -147
+rect -593 -181 -559 -147
+rect -497 -181 -463 -147
+rect -401 -181 -367 -147
+rect -305 -181 -271 -147
+rect -209 -181 -175 -147
+rect -113 -181 -79 -147
+rect -17 -181 17 -147
+rect 79 -181 113 -147
+rect 175 -181 209 -147
+rect 271 -181 305 -147
+rect 367 -181 401 -147
+rect 463 -181 497 -147
+rect 559 -181 593 -147
+rect 655 -181 689 -147
+<< locali >>
+rect -851 249 -755 283
+rect 755 249 851 283
+rect -851 187 -817 249
+rect 817 187 851 249
+rect -737 88 -703 104
+rect -737 -104 -703 -88
+rect -641 88 -607 104
+rect -641 -104 -607 -88
+rect -545 88 -511 104
+rect -545 -104 -511 -88
+rect -449 88 -415 104
+rect -449 -104 -415 -88
+rect -353 88 -319 104
+rect -353 -104 -319 -88
+rect -257 88 -223 104
+rect -257 -104 -223 -88
+rect -161 88 -127 104
+rect -161 -104 -127 -88
+rect -65 88 -31 104
+rect -65 -104 -31 -88
+rect 31 88 65 104
+rect 31 -104 65 -88
+rect 127 88 161 104
+rect 127 -104 161 -88
+rect 223 88 257 104
+rect 223 -104 257 -88
+rect 319 88 353 104
+rect 319 -104 353 -88
+rect 415 88 449 104
+rect 415 -104 449 -88
+rect 511 88 545 104
+rect 511 -104 545 -88
+rect 607 88 641 104
+rect 607 -104 641 -88
+rect 703 88 737 104
+rect 703 -104 737 -88
+rect -705 -181 -689 -147
+rect 689 -181 705 -147
+rect -851 -249 -817 -187
+rect 817 -249 851 -187
+rect -851 -283 -755 -249
+rect 755 -283 851 -249
+<< viali >>
+rect -737 -88 -703 88
+rect -641 -88 -607 88
+rect -545 -88 -511 88
+rect -449 -88 -415 88
+rect -353 -88 -319 88
+rect -257 -88 -223 88
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+rect 223 -88 257 88
+rect 319 -88 353 88
+rect 415 -88 449 88
+rect 511 -88 545 88
+rect 607 -88 641 88
+rect 703 -88 737 88
+rect -689 -181 -655 -147
+rect -655 -181 -593 -147
+rect -593 -181 -559 -147
+rect -559 -181 -497 -147
+rect -497 -181 -463 -147
+rect -463 -181 -401 -147
+rect -401 -181 -367 -147
+rect -367 -181 -305 -147
+rect -305 -181 -271 -147
+rect -271 -181 -209 -147
+rect -209 -181 -175 -147
+rect -175 -181 -113 -147
+rect -113 -181 -79 -147
+rect -79 -181 -17 -147
+rect -17 -181 17 -147
+rect 17 -181 79 -147
+rect 79 -181 113 -147
+rect 113 -181 175 -147
+rect 175 -181 209 -147
+rect 209 -181 271 -147
+rect 271 -181 305 -147
+rect 305 -181 367 -147
+rect 367 -181 401 -147
+rect 401 -181 463 -147
+rect 463 -181 497 -147
+rect 497 -181 559 -147
+rect 559 -181 593 -147
+rect 593 -181 655 -147
+rect 655 -181 689 -147
+<< metal1 >>
+rect -743 88 -697 100
+rect -743 -88 -737 88
+rect -703 -88 -697 88
+rect -743 -100 -697 -88
+rect -647 88 -601 100
+rect -647 -88 -641 88
+rect -607 -88 -601 88
+rect -647 -100 -601 -88
+rect -551 88 -505 100
+rect -551 -88 -545 88
+rect -511 -88 -505 88
+rect -551 -100 -505 -88
+rect -455 88 -409 100
+rect -455 -88 -449 88
+rect -415 -88 -409 88
+rect -455 -100 -409 -88
+rect -359 88 -313 100
+rect -359 -88 -353 88
+rect -319 -88 -313 88
+rect -359 -100 -313 -88
+rect -263 88 -217 100
+rect -263 -88 -257 88
+rect -223 -88 -217 88
+rect -263 -100 -217 -88
+rect -167 88 -121 100
+rect -167 -88 -161 88
+rect -127 -88 -121 88
+rect -167 -100 -121 -88
+rect -71 88 -25 100
+rect -71 -88 -65 88
+rect -31 -88 -25 88
+rect -71 -100 -25 -88
+rect 25 88 71 100
+rect 25 -88 31 88
+rect 65 -88 71 88
+rect 25 -100 71 -88
+rect 121 88 167 100
+rect 121 -88 127 88
+rect 161 -88 167 88
+rect 121 -100 167 -88
+rect 217 88 263 100
+rect 217 -88 223 88
+rect 257 -88 263 88
+rect 217 -100 263 -88
+rect 313 88 359 100
+rect 313 -88 319 88
+rect 353 -88 359 88
+rect 313 -100 359 -88
+rect 409 88 455 100
+rect 409 -88 415 88
+rect 449 -88 455 88
+rect 409 -100 455 -88
+rect 505 88 551 100
+rect 505 -88 511 88
+rect 545 -88 551 88
+rect 505 -100 551 -88
+rect 601 88 647 100
+rect 601 -88 607 88
+rect 641 -88 647 88
+rect 601 -100 647 -88
+rect 697 88 743 100
+rect 697 -88 703 88
+rect 737 -88 743 88
+rect 697 -100 743 -88
+rect -701 -147 701 -141
+rect -701 -181 -689 -147
+rect 689 -181 701 -147
+rect -701 -187 701 -181
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -834 -266 834 266
+string parameters w 1 l 0.15 m 1 nf 15 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_XA7ZMQ.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_XA7ZMQ.mag
new file mode 100644
index 0000000..cb08cff
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_XA7ZMQ.mag
@@ -0,0 +1,105 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623900471
+<< nwell >>
+rect -263 -330 263 330
+<< pmos >>
+rect -63 -111 -33 111
+rect 33 -111 63 111
+<< pdiff >>
+rect -125 99 -63 111
+rect -125 -99 -113 99
+rect -79 -99 -63 99
+rect -125 -111 -63 -99
+rect -33 99 33 111
+rect -33 -99 -17 99
+rect 17 -99 33 99
+rect -33 -111 33 -99
+rect 63 99 125 111
+rect 63 -99 79 99
+rect 113 -99 125 99
+rect 63 -111 125 -99
+<< pdiffc >>
+rect -113 -99 -79 99
+rect -17 -99 17 99
+rect 79 -99 113 99
+<< nsubdiff >>
+rect -227 260 227 294
+rect -227 198 -193 260
+rect 193 198 227 260
+rect -227 -260 -193 -198
+rect 193 -260 227 -198
+rect -227 -294 -131 -260
+rect 131 -294 227 -260
+<< nsubdiffcont >>
+rect -227 -198 -193 198
+rect 193 -198 227 198
+rect -131 -294 131 -260
+<< poly >>
+rect -87 192 -21 208
+rect -87 158 -71 192
+rect -37 158 -21 192
+rect -87 142 -21 158
+rect 21 192 87 208
+rect 21 158 37 192
+rect 71 158 87 192
+rect 21 142 87 158
+rect -63 111 -33 142
+rect 33 111 63 142
+rect -63 -137 -33 -111
+rect 33 -137 63 -111
+<< polycont >>
+rect -71 158 -37 192
+rect 37 158 71 192
+<< locali >>
+rect -227 260 227 294
+rect -227 198 -193 260
+rect 193 198 227 260
+rect -87 158 -71 192
+rect -37 158 -21 192
+rect 21 158 37 192
+rect 71 158 87 192
+rect -113 99 -79 115
+rect -113 -115 -79 -99
+rect -17 99 17 115
+rect -17 -115 17 -99
+rect 79 99 113 115
+rect 79 -115 113 -99
+rect -227 -260 -193 -198
+rect 193 -260 227 -198
+rect -227 -294 -131 -260
+rect 131 -294 227 -260
+<< viali >>
+rect -71 158 -37 192
+rect 37 158 71 192
+rect -113 -99 -79 99
+rect -17 -99 17 99
+rect 79 -99 113 99
+<< metal1 >>
+rect -87 192 -21 204
+rect -87 158 -71 192
+rect -37 158 -21 192
+rect -87 146 -21 158
+rect 21 192 87 204
+rect 21 158 37 192
+rect 71 158 87 192
+rect 21 146 87 158
+rect -119 99 -73 111
+rect -119 -99 -113 99
+rect -79 -99 -73 99
+rect -119 -111 -73 -99
+rect -23 99 23 111
+rect -23 -99 -17 99
+rect 17 -99 23 99
+rect -23 -111 23 -99
+rect 73 99 119 111
+rect 73 -99 79 99
+rect 113 -99 119 99
+rect 73 -111 119 -99
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -210 -277 210 277
+string parameters w 1.11 l 0.15 m 1 nf 2 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_XACJHL.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_XACJHL.mag
new file mode 100644
index 0000000..7fad39c
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_XACJHL.mag
@@ -0,0 +1,101 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624020979
+<< nwell >>
+rect -263 -319 263 319
+<< pmos >>
+rect -63 -100 -33 100
+rect 33 -100 63 100
+<< pdiff >>
+rect -125 88 -63 100
+rect -125 -88 -113 88
+rect -79 -88 -63 88
+rect -125 -100 -63 -88
+rect -33 88 33 100
+rect -33 -88 -17 88
+rect 17 -88 33 88
+rect -33 -100 33 -88
+rect 63 88 125 100
+rect 63 -88 79 88
+rect 113 -88 125 88
+rect 63 -100 125 -88
+<< pdiffc >>
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+<< nsubdiff >>
+rect -227 249 -131 283
+rect 131 249 227 283
+rect -227 187 -193 249
+rect 193 187 227 249
+rect -227 -249 -193 -187
+rect 193 -249 227 -187
+rect -227 -283 -131 -249
+rect 131 -283 227 -249
+<< nsubdiffcont >>
+rect -131 249 131 283
+rect -227 -187 -193 187
+rect 193 -187 227 187
+rect -131 -283 131 -249
+<< poly >>
+rect -63 100 -33 126
+rect 33 100 63 126
+rect -63 -131 -33 -100
+rect 33 -131 63 -100
+rect -81 -147 81 -131
+rect -81 -181 -65 -147
+rect -31 -181 31 -147
+rect 65 -181 81 -147
+rect -81 -197 81 -181
+<< polycont >>
+rect -65 -181 -31 -147
+rect 31 -181 65 -147
+<< locali >>
+rect -227 249 -131 283
+rect 131 249 227 283
+rect -227 187 -193 249
+rect 193 187 227 249
+rect -113 88 -79 104
+rect -113 -104 -79 -88
+rect -17 88 17 104
+rect -17 -104 17 -88
+rect 79 88 113 104
+rect 79 -104 113 -88
+rect -81 -181 -65 -147
+rect -31 -181 31 -147
+rect 65 -181 81 -147
+rect -227 -249 -193 -187
+rect 193 -249 227 -187
+rect -227 -283 -131 -249
+rect 131 -283 227 -249
+<< viali >>
+rect -113 -88 -79 88
+rect -17 -88 17 88
+rect 79 -88 113 88
+rect -65 -181 -31 -147
+rect 31 -181 65 -147
+<< metal1 >>
+rect -119 88 -73 100
+rect -119 -88 -113 88
+rect -79 -88 -73 88
+rect -119 -100 -73 -88
+rect -23 88 23 100
+rect -23 -88 -17 88
+rect 17 -88 23 88
+rect -23 -100 23 -88
+rect 73 88 119 100
+rect 73 -88 79 88
+rect 113 -88 119 88
+rect 73 -100 119 -88
+rect -77 -147 77 -141
+rect -77 -181 -65 -147
+rect -31 -181 31 -147
+rect 65 -181 77 -147
+rect -77 -187 77 -181
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -210 -266 210 266
+string parameters w 1 l 0.15 m 1 nf 2 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_XAYTAL.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_XAYTAL.mag
new file mode 100644
index 0000000..98788fa
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_XAYTAL.mag
@@ -0,0 +1,121 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623959550
+<< nwell >>
+rect -311 -319 311 319
+<< pmos >>
+rect -111 -100 -81 100
+rect -15 -100 15 100
+rect 81 -100 111 100
+<< pdiff >>
+rect -173 88 -111 100
+rect -173 -88 -161 88
+rect -127 -88 -111 88
+rect -173 -100 -111 -88
+rect -81 88 -15 100
+rect -81 -88 -65 88
+rect -31 -88 -15 88
+rect -81 -100 -15 -88
+rect 15 88 81 100
+rect 15 -88 31 88
+rect 65 -88 81 88
+rect 15 -100 81 -88
+rect 111 88 173 100
+rect 111 -88 127 88
+rect 161 -88 173 88
+rect 111 -100 173 -88
+<< pdiffc >>
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+<< nsubdiff >>
+rect -275 249 -179 283
+rect 179 249 275 283
+rect -275 187 -241 249
+rect 241 187 275 249
+rect -275 -249 -241 -187
+rect 241 -249 275 -187
+rect -275 -283 -179 -249
+rect 179 -283 275 -249
+<< nsubdiffcont >>
+rect -179 249 179 283
+rect -275 -187 -241 187
+rect 241 -187 275 187
+rect -179 -283 179 -249
+<< poly >>
+rect -111 100 -81 126
+rect -15 100 15 126
+rect 81 100 111 126
+rect -111 -131 -81 -100
+rect -15 -131 15 -100
+rect 81 -131 111 -100
+rect -129 -147 129 -131
+rect -129 -181 -113 -147
+rect -79 -181 -17 -147
+rect 17 -181 79 -147
+rect 113 -181 129 -147
+rect -129 -197 129 -181
+<< polycont >>
+rect -113 -181 -79 -147
+rect -17 -181 17 -147
+rect 79 -181 113 -147
+<< locali >>
+rect -275 249 -179 283
+rect 179 249 275 283
+rect -275 187 -241 249
+rect 241 187 275 249
+rect -161 88 -127 104
+rect -161 -104 -127 -88
+rect -65 88 -31 104
+rect -65 -104 -31 -88
+rect 31 88 65 104
+rect 31 -104 65 -88
+rect 127 88 161 104
+rect 127 -104 161 -88
+rect -129 -181 -113 -147
+rect -79 -181 -17 -147
+rect 17 -181 79 -147
+rect 113 -181 129 -147
+rect -275 -249 -241 -187
+rect 241 -249 275 -187
+rect -275 -283 -179 -249
+rect 179 -283 275 -249
+<< viali >>
+rect -161 -88 -127 88
+rect -65 -88 -31 88
+rect 31 -88 65 88
+rect 127 -88 161 88
+rect -113 -181 -79 -147
+rect -17 -181 17 -147
+rect 79 -181 113 -147
+<< metal1 >>
+rect -167 88 -121 100
+rect -167 -88 -161 88
+rect -127 -88 -121 88
+rect -167 -100 -121 -88
+rect -71 88 -25 100
+rect -71 -88 -65 88
+rect -31 -88 -25 88
+rect -71 -100 -25 -88
+rect 25 88 71 100
+rect 25 -88 31 88
+rect 65 -88 71 88
+rect 25 -100 71 -88
+rect 121 88 167 100
+rect 121 -88 127 88
+rect 161 -88 167 88
+rect 121 -100 167 -88
+rect -125 -147 125 -141
+rect -125 -181 -113 -147
+rect -79 -181 -17 -147
+rect 17 -181 79 -147
+rect 113 -181 125 -147
+rect -125 -187 125 -181
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -258 -266 258 266
+string parameters w 1 l 0.15 m 1 nf 3 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_ZP3U9B.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_ZP3U9B.mag
new file mode 100644
index 0000000..6535f8a
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_ZP3U9B.mag
@@ -0,0 +1,108 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623431064
+<< nwell >>
+rect -359 -303 359 303
+<< pmos >>
+rect -159 -84 -129 84
+rect -63 -84 -33 84
+rect 33 -84 63 84
+rect 129 -84 159 84
+<< pdiff >>
+rect -221 72 -159 84
+rect -221 -72 -209 72
+rect -175 -72 -159 72
+rect -221 -84 -159 -72
+rect -129 72 -63 84
+rect -129 -72 -113 72
+rect -79 -72 -63 72
+rect -129 -84 -63 -72
+rect -33 72 33 84
+rect -33 -72 -17 72
+rect 17 -72 33 72
+rect -33 -84 33 -72
+rect 63 72 129 84
+rect 63 -72 79 72
+rect 113 -72 129 72
+rect 63 -84 129 -72
+rect 159 72 221 84
+rect 159 -72 175 72
+rect 209 -72 221 72
+rect 159 -84 221 -72
+<< pdiffc >>
+rect -209 -72 -175 72
+rect -113 -72 -79 72
+rect -17 -72 17 72
+rect 79 -72 113 72
+rect 175 -72 209 72
+<< nsubdiff >>
+rect -323 233 -227 267
+rect 227 233 323 267
+rect -323 171 -289 233
+rect 289 171 323 233
+rect -323 -233 -289 -171
+rect 289 -233 323 -171
+<< nsubdiffcont >>
+rect -227 233 227 267
+rect -323 -171 -289 171
+rect 289 -171 323 171
+<< poly >>
+rect -159 84 -129 110
+rect -63 84 -33 110
+rect 33 84 63 110
+rect 129 84 159 110
+rect -159 -110 -129 -84
+rect -63 -110 -33 -84
+rect 33 -110 63 -84
+rect 129 -110 159 -84
+<< locali >>
+rect -323 233 -227 267
+rect 227 233 323 267
+rect -323 171 -289 233
+rect 289 171 323 233
+rect -209 72 -175 88
+rect -209 -88 -175 -72
+rect -113 72 -79 88
+rect -113 -88 -79 -72
+rect -17 72 17 88
+rect -17 -88 17 -72
+rect 79 72 113 88
+rect 79 -88 113 -72
+rect 175 72 209 88
+rect 175 -88 209 -72
+rect -323 -233 -289 -171
+rect 289 -233 323 -171
+<< viali >>
+rect -209 -72 -175 72
+rect -113 -72 -79 72
+rect -17 -72 17 72
+rect 79 -72 113 72
+rect 175 -72 209 72
+<< metal1 >>
+rect -215 72 -169 84
+rect -215 -72 -209 72
+rect -175 -72 -169 72
+rect -215 -84 -169 -72
+rect -119 72 -73 84
+rect -119 -72 -113 72
+rect -79 -72 -73 72
+rect -119 -84 -73 -72
+rect -23 72 23 84
+rect -23 -72 -17 72
+rect 17 -72 23 72
+rect -23 -84 23 -72
+rect 73 72 119 84
+rect 73 -72 79 72
+rect 113 -72 119 72
+rect 73 -84 119 -72
+rect 169 72 215 84
+rect 169 -72 175 72
+rect 209 -72 215 72
+rect 169 -84 215 -72
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8
+string FIXED_BBOX -306 -250 306 250
+string parameters w 0.84 l 0.15 m 1 nf 4 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 0 tbcov 100 rlcov 100 topc 0 botc 0 poverlap 0 doverlap 1 lmin 0.15 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_lvt_4L9VGG.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_lvt_4L9VGG.mag
new file mode 100644
index 0000000..d28ba9b
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_lvt_4L9VGG.mag
@@ -0,0 +1,157 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624030292
+<< nwell >>
+rect -487 -419 487 419
+<< pmoslvt >>
+rect -291 -200 -221 200
+rect -163 -200 -93 200
+rect -35 -200 35 200
+rect 93 -200 163 200
+rect 221 -200 291 200
+<< pdiff >>
+rect -349 188 -291 200
+rect -349 -188 -337 188
+rect -303 -188 -291 188
+rect -349 -200 -291 -188
+rect -221 188 -163 200
+rect -221 -188 -209 188
+rect -175 -188 -163 188
+rect -221 -200 -163 -188
+rect -93 188 -35 200
+rect -93 -188 -81 188
+rect -47 -188 -35 188
+rect -93 -200 -35 -188
+rect 35 188 93 200
+rect 35 -188 47 188
+rect 81 -188 93 188
+rect 35 -200 93 -188
+rect 163 188 221 200
+rect 163 -188 175 188
+rect 209 -188 221 188
+rect 163 -200 221 -188
+rect 291 188 349 200
+rect 291 -188 303 188
+rect 337 -188 349 188
+rect 291 -200 349 -188
+<< pdiffc >>
+rect -337 -188 -303 188
+rect -209 -188 -175 188
+rect -81 -188 -47 188
+rect 47 -188 81 188
+rect 175 -188 209 188
+rect 303 -188 337 188
+<< nsubdiff >>
+rect -451 349 -355 383
+rect 355 349 451 383
+rect -451 287 -417 349
+rect 417 287 451 349
+rect -451 -349 -417 -287
+rect 417 -349 451 -287
+rect -451 -383 -355 -349
+rect 355 -383 451 -349
+<< nsubdiffcont >>
+rect -355 349 355 383
+rect -451 -287 -417 287
+rect 417 -287 451 287
+rect -355 -383 355 -349
+<< poly >>
+rect -291 281 291 297
+rect -291 247 -275 281
+rect -237 247 -147 281
+rect -109 247 -19 281
+rect 19 247 109 281
+rect 147 247 237 281
+rect 275 247 291 281
+rect -291 233 291 247
+rect -291 200 -221 233
+rect -163 200 -93 233
+rect -35 200 35 233
+rect 93 200 163 233
+rect 221 200 291 233
+rect -291 -238 -221 -200
+rect -163 -238 -93 -200
+rect -35 -238 35 -200
+rect 93 -238 163 -200
+rect 221 -238 291 -200
+<< polycont >>
+rect -275 247 -237 281
+rect -147 247 -109 281
+rect -19 247 19 281
+rect 109 247 147 281
+rect 237 247 275 281
+<< locali >>
+rect -451 349 -355 383
+rect 355 349 451 383
+rect -451 287 -417 349
+rect 417 287 451 349
+rect -291 247 -275 281
+rect 275 247 291 281
+rect -337 188 -303 204
+rect -337 -204 -303 -188
+rect -209 188 -175 204
+rect -209 -204 -175 -188
+rect -81 188 -47 204
+rect -81 -204 -47 -188
+rect 47 188 81 204
+rect 47 -204 81 -188
+rect 175 188 209 204
+rect 175 -204 209 -188
+rect 303 188 337 204
+rect 303 -204 337 -188
+rect -451 -349 -417 -287
+rect 417 -349 451 -287
+rect -451 -383 -355 -349
+rect 355 -383 451 -349
+<< viali >>
+rect -275 247 -237 281
+rect -237 247 -147 281
+rect -147 247 -109 281
+rect -109 247 -19 281
+rect -19 247 19 281
+rect 19 247 109 281
+rect 109 247 147 281
+rect 147 247 237 281
+rect 237 247 275 281
+rect -337 -188 -303 188
+rect -209 -188 -175 188
+rect -81 -188 -47 188
+rect 47 -188 81 188
+rect 175 -188 209 188
+rect 303 -188 337 188
+<< metal1 >>
+rect -287 281 287 287
+rect -287 247 -275 281
+rect 275 247 287 281
+rect -287 241 287 247
+rect -343 188 -297 200
+rect -343 -188 -337 188
+rect -303 -188 -297 188
+rect -343 -200 -297 -188
+rect -215 188 -169 200
+rect -215 -188 -209 188
+rect -175 -188 -169 188
+rect -215 -200 -169 -188
+rect -87 188 -41 200
+rect -87 -188 -81 188
+rect -47 -188 -41 188
+rect -87 -200 -41 -188
+rect 41 188 87 200
+rect 41 -188 47 188
+rect 81 -188 87 188
+rect 41 -200 87 -188
+rect 169 188 215 200
+rect 169 -188 175 188
+rect 209 -188 215 188
+rect 169 -200 215 -188
+rect 297 188 343 200
+rect 297 -188 303 188
+rect 337 -188 343 188
+rect 297 -200 343 -188
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8_lvt
+string FIXED_BBOX -434 -366 434 366
+string parameters w 2 l 0.35 m 1 nf 5 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 1 poverlap 0 doverlap 1 lmin 0.35 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_lvt_D3F744.mag b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_lvt_D3F744.mag
new file mode 100644
index 0000000..ed5e11e
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/sky130_fd_pr__pfet_01v8_lvt_D3F744.mag
@@ -0,0 +1,252 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623976832
+<< nwell >>
+rect -807 -384 807 384
+<< pmoslvt >>
+rect -611 -236 -541 164
+rect -483 -236 -413 164
+rect -355 -236 -285 164
+rect -227 -236 -157 164
+rect -99 -236 -29 164
+rect 29 -236 99 164
+rect 157 -236 227 164
+rect 285 -236 355 164
+rect 413 -236 483 164
+rect 541 -236 611 164
+<< pdiff >>
+rect -669 152 -611 164
+rect -669 -224 -657 152
+rect -623 -224 -611 152
+rect -669 -236 -611 -224
+rect -541 152 -483 164
+rect -541 -224 -529 152
+rect -495 -224 -483 152
+rect -541 -236 -483 -224
+rect -413 152 -355 164
+rect -413 -224 -401 152
+rect -367 -224 -355 152
+rect -413 -236 -355 -224
+rect -285 152 -227 164
+rect -285 -224 -273 152
+rect -239 -224 -227 152
+rect -285 -236 -227 -224
+rect -157 152 -99 164
+rect -157 -224 -145 152
+rect -111 -224 -99 152
+rect -157 -236 -99 -224
+rect -29 152 29 164
+rect -29 -224 -17 152
+rect 17 -224 29 152
+rect -29 -236 29 -224
+rect 99 152 157 164
+rect 99 -224 111 152
+rect 145 -224 157 152
+rect 99 -236 157 -224
+rect 227 152 285 164
+rect 227 -224 239 152
+rect 273 -224 285 152
+rect 227 -236 285 -224
+rect 355 152 413 164
+rect 355 -224 367 152
+rect 401 -224 413 152
+rect 355 -236 413 -224
+rect 483 152 541 164
+rect 483 -224 495 152
+rect 529 -224 541 152
+rect 483 -236 541 -224
+rect 611 152 669 164
+rect 611 -224 623 152
+rect 657 -224 669 152
+rect 611 -236 669 -224
+<< pdiffc >>
+rect -657 -224 -623 152
+rect -529 -224 -495 152
+rect -401 -224 -367 152
+rect -273 -224 -239 152
+rect -145 -224 -111 152
+rect -17 -224 17 152
+rect 111 -224 145 152
+rect 239 -224 273 152
+rect 367 -224 401 152
+rect 495 -224 529 152
+rect 623 -224 657 152
+<< nsubdiff >>
+rect -771 314 -675 348
+rect 675 314 771 348
+rect -771 251 -737 314
+rect 737 251 771 314
+rect -771 -314 -737 -251
+rect 737 -314 771 -251
+rect -771 -348 -675 -314
+rect 675 -348 771 -314
+<< nsubdiffcont >>
+rect -675 314 675 348
+rect -771 -251 -737 251
+rect 737 -251 771 251
+rect -675 -348 675 -314
+<< poly >>
+rect -611 245 611 261
+rect -611 211 -595 245
+rect -557 211 -467 245
+rect -429 211 -339 245
+rect -301 211 -211 245
+rect -173 211 -83 245
+rect -45 211 45 245
+rect 83 211 173 245
+rect 211 211 301 245
+rect 339 211 429 245
+rect 467 211 557 245
+rect 595 211 611 245
+rect -611 201 611 211
+rect -611 164 -541 201
+rect -483 164 -413 201
+rect -355 164 -285 201
+rect -227 164 -157 201
+rect -99 164 -29 201
+rect 29 164 99 201
+rect 157 164 227 201
+rect 285 164 355 201
+rect 413 164 483 201
+rect 541 164 611 201
+rect -611 -262 -541 -236
+rect -483 -262 -413 -236
+rect -355 -262 -285 -236
+rect -227 -262 -157 -236
+rect -99 -262 -29 -236
+rect 29 -262 99 -236
+rect 157 -262 227 -236
+rect 285 -262 355 -236
+rect 413 -262 483 -236
+rect 541 -262 611 -236
+<< polycont >>
+rect -595 211 -557 245
+rect -467 211 -429 245
+rect -339 211 -301 245
+rect -211 211 -173 245
+rect -83 211 -45 245
+rect 45 211 83 245
+rect 173 211 211 245
+rect 301 211 339 245
+rect 429 211 467 245
+rect 557 211 595 245
+<< locali >>
+rect -771 314 -675 348
+rect 675 314 771 348
+rect -771 251 -737 314
+rect 737 251 771 314
+rect -611 211 -595 245
+rect 595 211 611 245
+rect -657 152 -623 168
+rect -657 -240 -623 -224
+rect -529 152 -495 168
+rect -529 -240 -495 -224
+rect -401 152 -367 168
+rect -401 -240 -367 -224
+rect -273 152 -239 168
+rect -273 -240 -239 -224
+rect -145 152 -111 168
+rect -145 -240 -111 -224
+rect -17 152 17 168
+rect -17 -240 17 -224
+rect 111 152 145 168
+rect 111 -240 145 -224
+rect 239 152 273 168
+rect 239 -240 273 -224
+rect 367 152 401 168
+rect 367 -240 401 -224
+rect 495 152 529 168
+rect 495 -240 529 -224
+rect 623 152 657 168
+rect 623 -240 657 -224
+rect -771 -314 -737 -251
+rect 737 -314 771 -251
+rect -771 -348 -675 -314
+rect 675 -348 771 -314
+<< viali >>
+rect -595 211 -557 245
+rect -557 211 -467 245
+rect -467 211 -429 245
+rect -429 211 -339 245
+rect -339 211 -301 245
+rect -301 211 -211 245
+rect -211 211 -173 245
+rect -173 211 -83 245
+rect -83 211 -45 245
+rect -45 211 45 245
+rect 45 211 83 245
+rect 83 211 173 245
+rect 173 211 211 245
+rect 211 211 301 245
+rect 301 211 339 245
+rect 339 211 429 245
+rect 429 211 467 245
+rect 467 211 557 245
+rect 557 211 595 245
+rect -657 -224 -623 152
+rect -529 -224 -495 152
+rect -401 -224 -367 152
+rect -273 -224 -239 152
+rect -145 -224 -111 152
+rect -17 -224 17 152
+rect 111 -224 145 152
+rect 239 -224 273 152
+rect 367 -224 401 152
+rect 495 -224 529 152
+rect 623 -224 657 152
+<< metal1 >>
+rect -607 245 607 251
+rect -607 211 -595 245
+rect 595 211 607 245
+rect -607 205 607 211
+rect -663 152 -617 164
+rect -663 -224 -657 152
+rect -623 -224 -617 152
+rect -663 -236 -617 -224
+rect -535 152 -489 164
+rect -535 -224 -529 152
+rect -495 -224 -489 152
+rect -535 -236 -489 -224
+rect -407 152 -361 164
+rect -407 -224 -401 152
+rect -367 -224 -361 152
+rect -407 -236 -361 -224
+rect -279 152 -233 164
+rect -279 -224 -273 152
+rect -239 -224 -233 152
+rect -279 -236 -233 -224
+rect -151 152 -105 164
+rect -151 -224 -145 152
+rect -111 -224 -105 152
+rect -151 -236 -105 -224
+rect -23 152 23 164
+rect -23 -224 -17 152
+rect 17 -224 23 152
+rect -23 -236 23 -224
+rect 105 152 151 164
+rect 105 -224 111 152
+rect 145 -224 151 152
+rect 105 -236 151 -224
+rect 233 152 279 164
+rect 233 -224 239 152
+rect 273 -224 279 152
+rect 233 -236 279 -224
+rect 361 152 407 164
+rect 361 -224 367 152
+rect 401 -224 407 152
+rect 361 -236 407 -224
+rect 489 152 535 164
+rect 489 -224 495 152
+rect 529 -224 535 152
+rect 489 -236 535 -224
+rect 617 152 663 164
+rect 617 -224 623 152
+rect 657 -224 663 152
+rect 617 -236 663 -224
+<< properties >>
+string gencell sky130_fd_pr__pfet_01v8_lvt
+string FIXED_BBOX -754 -331 754 331
+string parameters w 2 l 0.35 m 1 nf 10 diffcov 100 polycov 100 guard 1 glc 1 grc 1 gtc 1 gbc 1 tbcov 100 rlcov 100 topc 1 botc 0 poverlap 0 doverlap 1 lmin 0.35 wmin 0.42 compatible {sky130_fd_pr__pfet_01v8  sky130_fd_pr__pfet_01v8_lvt sky130_fd_pr__pfet_01v8_hvt  sky130_fd_pr__pfet_g5v0d10v5} full_metal 1 viasrc 100 viadrn 100 viagate 100 viagb 0 viagr 0 viagl 0 viagt 0
+string library sky130
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/source_follower_buff_diff.mag b/mag/afernandez_residue_amplifier/source_follower_buff_diff.mag
new file mode 100644
index 0000000..dd27df0
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/source_follower_buff_diff.mag
@@ -0,0 +1,92 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624113565
+<< nwell >>
+rect 1027 2742 4581 3209
+<< metal1 >>
+rect 1027 6031 9953 6158
+rect 1027 4628 3874 6031
+rect 4025 5420 4056 5449
+rect 1273 3943 1304 3972
+rect 2752 3927 2762 3983
+rect 2818 3927 3107 3983
+rect 5484 3567 5494 3772
+rect 5619 3567 5629 3772
+rect 9765 3236 9953 6031
+rect 1027 2742 9563 3217
+rect 9630 2759 9953 3236
+rect 5483 2212 5493 2417
+rect 5618 2212 5628 2417
+rect 1229 2011 1260 2040
+rect 2752 2001 2762 2057
+rect 2818 2001 3051 2057
+rect 1037 1300 3899 1356
+rect 1027 -47 3884 1300
+rect 4021 539 4052 568
+rect 9765 -47 9953 2759
+rect 1027 -174 9953 -47
+<< via1 >>
+rect 2762 3927 2818 3983
+rect 5494 3567 5619 3772
+rect 5493 2212 5618 2417
+rect 2762 2001 2818 2057
+<< metal2 >>
+rect 9270 4026 9956 4233
+rect 2762 3983 2818 3993
+rect 2762 3917 2818 3927
+rect 3743 3772 5158 3932
+rect 5494 3772 5619 3782
+rect 3743 3727 5494 3772
+rect 4953 3567 5494 3727
+rect 5494 3557 5619 3567
+rect 5493 2417 5618 2427
+rect 4952 2257 5493 2417
+rect 3742 2212 5493 2257
+rect 2762 2057 2818 2067
+rect 3742 2052 5157 2212
+rect 5493 2202 5618 2212
+rect 2762 1991 2818 2001
+rect 9270 1751 9956 1958
+<< via2 >>
+rect 2762 3927 2818 3983
+rect 2762 2001 2818 2057
+<< metal3 >>
+rect 863 4524 2828 4600
+rect 2752 3983 2828 4524
+rect 2752 3927 2762 3983
+rect 2818 3927 2828 3983
+rect 2752 3922 2828 3927
+rect 2752 2057 2828 2062
+rect 2752 2001 2762 2057
+rect 2818 2001 2828 2057
+rect 2752 1460 2828 2001
+rect 863 1384 2828 1460
+use source_follower_buff_nmos  source_follower_buff_nmos_1 ~/sky130-mpw2-fulgor/source_follower_buff_nmos/mag
+timestamp 1624043228
+transform 1 0 3483 0 -1 4622
+box 336 -1409 7209 1545
+use source_follower_buff_pmos  source_follower_buff_pmos_1 ~/sky130-mpw2-fulgor/source_follower_buff_pmos/mag
+timestamp 1624113565
+transform 1 0 1078 0 -1 4373
+box -51 -311 3503 1296
+use source_follower_buff_nmos  source_follower_buff_nmos_0
+timestamp 1624043228
+transform 1 0 3483 0 1 1362
+box 336 -1409 7209 1545
+use source_follower_buff_pmos  source_follower_buff_pmos_0
+timestamp 1624113565
+transform 1 0 1078 0 1 1611
+box -51 -311 3503 1296
+<< labels >>
+rlabel metal3 899 4542 930 4571 1 inp
+rlabel metal1 1273 3943 1304 3972 1 iref1
+rlabel metal1 4025 5420 4056 5449 1 iref2
+rlabel metal2 9881 4111 9912 4140 1 outp
+rlabel metal1 9677 3060 9708 3089 1 avss1p8
+rlabel metal1 9451 3068 9482 3097 1 avdd1p8
+rlabel metal2 9849 1828 9880 1857 1 outn
+rlabel metal1 4021 539 4052 568 1 iref4
+rlabel metal1 1229 2011 1260 2040 1 iref3
+rlabel metal3 895 1410 926 1439 1 inn
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/source_follower_buff_nmos.mag b/mag/afernandez_residue_amplifier/source_follower_buff_nmos.mag
new file mode 100644
index 0000000..f6b9467
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/source_follower_buff_nmos.mag
@@ -0,0 +1,895 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624043228
+<< pwell >>
+rect 2250 1287 5928 1291
+rect 2049 850 2193 1059
+rect 2250 621 5928 625
+rect 2250 355 5928 359
+rect 2250 -1147 5928 -1143
+rect 6242 -1261 6276 1390
+rect 7186 -616 7209 -612
+rect 372 -1391 2014 -1357
+rect 2086 -1392 6188 -1358
+<< psubdiff >>
+rect 6242 1343 6276 1390
+rect 6242 411 6276 569
+rect 6242 -1261 6276 -1199
+rect 372 -1391 468 -1357
+rect 1114 -1391 1272 -1357
+rect 1918 -1391 2014 -1357
+rect 2086 -1392 2182 -1358
+rect 6092 -1392 6188 -1358
+<< psubdiffcont >>
+rect 6242 569 6276 1343
+rect 6242 -1199 6276 411
+rect 468 -1391 1114 -1357
+rect 1272 -1391 1918 -1357
+rect 2182 -1392 6092 -1358
+<< poly >>
+rect 2250 1287 2280 1291
+rect 2346 1287 2376 1291
+rect 2442 1287 2472 1291
+rect 2538 1287 2568 1291
+rect 2634 1287 2664 1291
+rect 2730 1287 2760 1291
+rect 2826 1287 2856 1291
+rect 2922 1287 2952 1291
+rect 3018 1287 3048 1291
+rect 3114 1287 3144 1291
+rect 3210 1287 3240 1291
+rect 3306 1287 3336 1291
+rect 3402 1287 3432 1291
+rect 3498 1287 3528 1291
+rect 3594 1287 3624 1291
+rect 3690 1287 3720 1291
+rect 3786 1287 3816 1291
+rect 3882 1287 3912 1291
+rect 3978 1287 4008 1291
+rect 4074 1287 4104 1291
+rect 4170 1287 4200 1291
+rect 4266 1287 4296 1291
+rect 4362 1287 4392 1291
+rect 4458 1287 4488 1291
+rect 4554 1287 4584 1291
+rect 4650 1287 4680 1291
+rect 4746 1287 4776 1291
+rect 4842 1287 4872 1291
+rect 4938 1287 4968 1291
+rect 5034 1287 5064 1291
+rect 5130 1287 5160 1291
+rect 5226 1287 5256 1291
+rect 5322 1287 5352 1291
+rect 5418 1287 5448 1291
+rect 5514 1287 5544 1291
+rect 5610 1287 5640 1291
+rect 5706 1287 5736 1291
+rect 5802 1287 5832 1291
+rect 5898 1287 5928 1291
+rect 2068 1024 2235 1043
+rect 2068 890 2083 1024
+rect 2129 890 2235 1024
+rect 2068 869 2235 890
+rect 2250 621 2280 625
+rect 2346 621 2376 625
+rect 2442 621 2472 625
+rect 2538 621 2568 625
+rect 2634 621 2664 625
+rect 2730 621 2760 625
+rect 2826 621 2856 625
+rect 2922 621 2952 625
+rect 3018 621 3048 625
+rect 3114 621 3144 625
+rect 3210 621 3240 625
+rect 3306 621 3336 625
+rect 3402 621 3432 625
+rect 3498 621 3528 625
+rect 3594 621 3624 625
+rect 3690 621 3720 625
+rect 3786 621 3816 625
+rect 3882 621 3912 625
+rect 3978 621 4008 625
+rect 4074 621 4104 625
+rect 4170 621 4200 625
+rect 4266 621 4296 625
+rect 4362 621 4392 625
+rect 4458 621 4488 625
+rect 4554 621 4584 625
+rect 4650 621 4680 625
+rect 4746 621 4776 625
+rect 4842 621 4872 625
+rect 4938 621 4968 625
+rect 5034 621 5064 625
+rect 5130 621 5160 625
+rect 5226 621 5256 625
+rect 5322 621 5352 625
+rect 5418 621 5448 625
+rect 5514 621 5544 625
+rect 5610 621 5640 625
+rect 5706 621 5736 625
+rect 5802 621 5832 625
+rect 5898 621 5928 625
+rect 2250 355 2280 359
+rect 2346 355 2376 359
+rect 2442 355 2472 359
+rect 2538 355 2568 359
+rect 2634 355 2664 359
+rect 2730 355 2760 359
+rect 2826 355 2856 359
+rect 2922 355 2952 359
+rect 3018 355 3048 359
+rect 3114 355 3144 359
+rect 3210 355 3240 359
+rect 3306 355 3336 359
+rect 3402 355 3432 359
+rect 3498 355 3528 359
+rect 3594 355 3624 359
+rect 3690 355 3720 359
+rect 3786 355 3816 359
+rect 3882 355 3912 359
+rect 3978 355 4008 359
+rect 4074 355 4104 359
+rect 4170 355 4200 359
+rect 4266 355 4296 359
+rect 4362 355 4392 359
+rect 4458 355 4488 359
+rect 4554 355 4584 359
+rect 4650 355 4680 359
+rect 4746 355 4776 359
+rect 4842 355 4872 359
+rect 4938 355 4968 359
+rect 5034 355 5064 359
+rect 5130 355 5160 359
+rect 5226 355 5256 359
+rect 5322 355 5352 359
+rect 5418 355 5448 359
+rect 5514 355 5544 359
+rect 5610 355 5640 359
+rect 5706 355 5736 359
+rect 5802 355 5832 359
+rect 5898 355 5928 359
+rect 2069 92 2259 111
+rect 2069 -42 2084 92
+rect 2130 -42 2259 92
+rect 2069 -63 2259 -42
+rect 2069 -326 2259 -307
+rect 2069 -460 2084 -326
+rect 2130 -460 2259 -326
+rect 2069 -481 2259 -460
+rect 2069 -744 2259 -725
+rect 2069 -878 2084 -744
+rect 2130 -878 2259 -744
+rect 2069 -899 2259 -878
+rect 2250 -1147 2280 -1143
+rect 2346 -1147 2376 -1143
+rect 2442 -1147 2472 -1143
+rect 2538 -1147 2568 -1143
+rect 2634 -1147 2664 -1143
+rect 2730 -1147 2760 -1143
+rect 2826 -1147 2856 -1143
+rect 2922 -1147 2952 -1143
+rect 3018 -1147 3048 -1143
+rect 3114 -1147 3144 -1143
+rect 3210 -1147 3240 -1143
+rect 3306 -1147 3336 -1143
+rect 3402 -1147 3432 -1143
+rect 3498 -1147 3528 -1143
+rect 3594 -1147 3624 -1143
+rect 3690 -1147 3720 -1143
+rect 3786 -1147 3816 -1143
+rect 3882 -1147 3912 -1143
+rect 3978 -1147 4008 -1143
+rect 4074 -1147 4104 -1143
+rect 4170 -1147 4200 -1143
+rect 4266 -1147 4296 -1143
+rect 4362 -1147 4392 -1143
+rect 4458 -1147 4488 -1143
+rect 4554 -1147 4584 -1143
+rect 4650 -1147 4680 -1143
+rect 4746 -1147 4776 -1143
+rect 4842 -1147 4872 -1143
+rect 4938 -1147 4968 -1143
+rect 5034 -1147 5064 -1143
+rect 5130 -1147 5160 -1143
+rect 5226 -1147 5256 -1143
+rect 5322 -1147 5352 -1143
+rect 5418 -1147 5448 -1143
+rect 5514 -1147 5544 -1143
+rect 5610 -1147 5640 -1143
+rect 5706 -1147 5736 -1143
+rect 5802 -1147 5832 -1143
+rect 5898 -1147 5928 -1143
+<< polycont >>
+rect 2083 890 2129 1024
+rect 2084 -42 2130 92
+rect 2084 -460 2130 -326
+rect 2084 -878 2130 -744
+<< viali >>
+rect 2083 1024 2129 1043
+rect 2083 890 2129 1024
+rect 2083 869 2129 890
+rect 2084 92 2130 111
+rect 2084 -42 2130 92
+rect 2084 -63 2130 -42
+rect 2084 -326 2130 -307
+rect 2084 -460 2130 -326
+rect 2084 -481 2130 -460
+rect 2084 -744 2130 -725
+rect 2084 -878 2130 -744
+rect 2084 -899 2130 -878
+rect 6154 -1261 6188 1390
+rect 6242 1343 6276 1390
+rect 6242 569 6276 1343
+rect 6242 411 6276 569
+rect 6242 -1199 6276 411
+rect 6242 -1261 6276 -1199
+rect 372 -1303 2014 -1269
+rect 2086 -1295 6188 -1261
+rect 372 -1391 468 -1357
+rect 468 -1391 1114 -1357
+rect 1114 -1391 1272 -1357
+rect 1272 -1391 1918 -1357
+rect 1918 -1391 2014 -1357
+rect 2086 -1392 2182 -1358
+rect 2182 -1392 6092 -1358
+rect 6092 -1392 6188 -1358
+<< metal1 >>
+rect 2194 1405 6080 1545
+rect 2010 1043 2135 1055
+rect 2010 869 2083 1043
+rect 2129 869 2135 1043
+rect 2010 850 2135 869
+rect 2194 647 2240 1405
+rect 2270 1065 2280 1265
+rect 2346 1065 2356 1265
+rect 2270 647 2280 847
+rect 2346 647 2356 847
+rect 2386 647 2432 1405
+rect 2462 1065 2472 1265
+rect 2538 1065 2548 1265
+rect 2462 647 2472 847
+rect 2538 647 2548 847
+rect 2578 647 2624 1405
+rect 2654 1065 2664 1265
+rect 2730 1065 2740 1265
+rect 2654 647 2664 847
+rect 2730 647 2740 847
+rect 2770 647 2816 1405
+rect 2846 1065 2856 1265
+rect 2922 1065 2932 1265
+rect 2846 647 2856 847
+rect 2922 647 2932 847
+rect 2962 647 3008 1405
+rect 3038 1065 3048 1265
+rect 3114 1065 3124 1265
+rect 3038 647 3048 847
+rect 3114 647 3124 847
+rect 3154 647 3200 1405
+rect 3230 1065 3240 1265
+rect 3306 1065 3316 1265
+rect 3230 647 3240 847
+rect 3306 647 3316 847
+rect 3346 647 3392 1405
+rect 3422 1065 3432 1265
+rect 3498 1065 3508 1265
+rect 3422 647 3432 847
+rect 3498 647 3508 847
+rect 3538 647 3584 1405
+rect 3614 1065 3624 1265
+rect 3690 1065 3700 1265
+rect 3614 647 3624 847
+rect 3690 647 3700 847
+rect 3730 647 3776 1405
+rect 3806 1065 3816 1265
+rect 3882 1065 3892 1265
+rect 3806 647 3816 847
+rect 3882 647 3892 847
+rect 3922 647 3968 1405
+rect 3998 1065 4008 1265
+rect 4074 1065 4084 1265
+rect 3998 647 4008 847
+rect 4074 647 4084 847
+rect 4114 647 4160 1405
+rect 4190 1065 4200 1265
+rect 4266 1065 4276 1265
+rect 4190 647 4200 847
+rect 4266 647 4276 847
+rect 4306 647 4352 1405
+rect 4382 1065 4392 1265
+rect 4458 1065 4468 1265
+rect 4382 647 4392 847
+rect 4458 647 4468 847
+rect 4498 647 4544 1405
+rect 4574 1065 4584 1265
+rect 4650 1065 4660 1265
+rect 4574 647 4584 847
+rect 4650 647 4660 847
+rect 4690 647 4736 1405
+rect 4766 1065 4776 1265
+rect 4842 1065 4852 1265
+rect 4766 647 4776 847
+rect 4842 647 4852 847
+rect 4882 647 4928 1405
+rect 4958 1065 4968 1265
+rect 5034 1065 5044 1265
+rect 4958 647 4968 847
+rect 5034 647 5044 847
+rect 5074 647 5120 1405
+rect 5150 1065 5160 1265
+rect 5226 1065 5236 1265
+rect 5150 647 5160 847
+rect 5226 647 5236 847
+rect 5266 647 5312 1405
+rect 5342 1065 5352 1265
+rect 5418 1065 5428 1265
+rect 5342 647 5352 847
+rect 5418 647 5428 847
+rect 5458 647 5504 1405
+rect 5534 1065 5544 1265
+rect 5610 1065 5620 1265
+rect 5534 647 5544 847
+rect 5610 647 5620 847
+rect 5650 647 5696 1405
+rect 5726 1065 5736 1265
+rect 5802 1065 5812 1265
+rect 5726 647 5736 847
+rect 5802 647 5812 847
+rect 5842 647 5888 1405
+rect 5918 1065 5928 1265
+rect 5994 1065 6004 1265
+rect 5918 647 5928 847
+rect 5994 647 6004 847
+rect 6034 647 6080 1405
+rect 6147 1390 6282 1402
+rect 2069 111 2136 123
+rect 1961 -63 2084 111
+rect 2130 -63 2136 111
+rect 1961 -307 2136 -63
+rect 1961 -481 2084 -307
+rect 2130 -481 2136 -307
+rect 1961 -713 2136 -481
+rect 520 -725 2136 -713
+rect 520 -899 2084 -725
+rect 2130 -899 2136 -725
+rect 520 -901 2136 -899
+rect 460 -1129 470 -929
+rect 536 -1129 546 -929
+rect 576 -933 622 -901
+rect 652 -1129 662 -929
+rect 728 -1129 738 -929
+rect 768 -933 814 -901
+rect 844 -1129 854 -929
+rect 920 -1129 930 -929
+rect 960 -939 1006 -901
+rect 2069 -911 2136 -901
+rect 1036 -1129 1046 -929
+rect 1112 -1129 1122 -929
+rect 1284 -1253 1330 -1105
+rect 1360 -1129 1370 -929
+rect 1436 -1129 1446 -929
+rect 1476 -1253 1522 -1102
+rect 1552 -1129 1562 -929
+rect 1628 -1129 1638 -929
+rect 1668 -1253 1714 -1108
+rect 1744 -1129 1754 -929
+rect 1820 -1129 1830 -929
+rect 1860 -1253 1906 -1116
+rect 2194 -1253 2240 291
+rect 2270 133 2280 333
+rect 2346 133 2356 333
+rect 2270 -285 2280 -85
+rect 2346 -285 2356 -85
+rect 2270 -703 2280 -503
+rect 2346 -703 2356 -503
+rect 2270 -1121 2280 -921
+rect 2346 -1121 2356 -921
+rect 2386 -1253 2432 299
+rect 2462 133 2472 333
+rect 2538 133 2548 333
+rect 2462 -285 2472 -85
+rect 2538 -285 2548 -85
+rect 2462 -703 2472 -503
+rect 2538 -703 2548 -503
+rect 2462 -1121 2472 -921
+rect 2538 -1121 2548 -921
+rect 2578 -1253 2624 297
+rect 2654 133 2664 333
+rect 2730 133 2740 333
+rect 2654 -285 2664 -85
+rect 2730 -285 2740 -85
+rect 2654 -703 2664 -503
+rect 2730 -703 2740 -503
+rect 2654 -1121 2664 -921
+rect 2730 -1121 2740 -921
+rect 2770 -1253 2816 301
+rect 2846 133 2856 333
+rect 2922 133 2932 333
+rect 2846 -285 2856 -85
+rect 2922 -285 2932 -85
+rect 2846 -703 2856 -503
+rect 2922 -703 2932 -503
+rect 2846 -1121 2856 -921
+rect 2922 -1121 2932 -921
+rect 2962 -1253 3008 270
+rect 3038 133 3048 333
+rect 3114 133 3124 333
+rect 3038 -285 3048 -85
+rect 3114 -285 3124 -85
+rect 3038 -703 3048 -503
+rect 3114 -703 3124 -503
+rect 3038 -1121 3048 -921
+rect 3114 -1121 3124 -921
+rect 3154 -1253 3200 278
+rect 3230 133 3240 333
+rect 3306 133 3316 333
+rect 3230 -285 3240 -85
+rect 3306 -285 3316 -85
+rect 3230 -703 3240 -503
+rect 3306 -703 3316 -503
+rect 3230 -1121 3240 -921
+rect 3306 -1121 3316 -921
+rect 3346 -1253 3392 277
+rect 3422 133 3432 333
+rect 3498 133 3508 333
+rect 3422 -285 3432 -85
+rect 3498 -285 3508 -85
+rect 3422 -703 3432 -503
+rect 3498 -703 3508 -503
+rect 3422 -1121 3432 -921
+rect 3498 -1121 3508 -921
+rect 3539 -1253 3585 279
+rect 3614 133 3624 333
+rect 3690 133 3700 333
+rect 3614 -285 3624 -85
+rect 3690 -285 3700 -85
+rect 3614 -703 3624 -503
+rect 3690 -703 3700 -503
+rect 3614 -1121 3624 -921
+rect 3690 -1121 3700 -921
+rect 3730 -1253 3776 273
+rect 3806 133 3816 333
+rect 3882 133 3892 333
+rect 3806 -285 3816 -85
+rect 3882 -285 3892 -85
+rect 3806 -703 3816 -503
+rect 3882 -703 3892 -503
+rect 3806 -1121 3816 -921
+rect 3882 -1121 3892 -921
+rect 3922 -1253 3968 281
+rect 3998 133 4008 333
+rect 4074 133 4084 333
+rect 3998 -285 4008 -85
+rect 4074 -285 4084 -85
+rect 3998 -703 4008 -503
+rect 4074 -703 4084 -503
+rect 3998 -1121 4008 -921
+rect 4074 -1121 4084 -921
+rect 4114 -1253 4160 282
+rect 4190 133 4200 333
+rect 4266 133 4276 333
+rect 4190 -285 4200 -85
+rect 4266 -285 4276 -85
+rect 4190 -703 4200 -503
+rect 4266 -703 4276 -503
+rect 4190 -1121 4200 -921
+rect 4266 -1121 4276 -921
+rect 4306 -1253 4352 281
+rect 4382 133 4392 333
+rect 4458 133 4468 333
+rect 4382 -285 4392 -85
+rect 4458 -285 4468 -85
+rect 4382 -703 4392 -503
+rect 4458 -703 4468 -503
+rect 4382 -1121 4392 -921
+rect 4458 -1121 4468 -921
+rect 4498 -1253 4544 282
+rect 4574 133 4584 333
+rect 4650 133 4660 333
+rect 4574 -285 4584 -85
+rect 4650 -285 4660 -85
+rect 4574 -703 4584 -503
+rect 4650 -703 4660 -503
+rect 4574 -1121 4584 -921
+rect 4650 -1121 4660 -921
+rect 4690 -1253 4736 280
+rect 4766 133 4776 333
+rect 4842 133 4852 333
+rect 4766 -285 4776 -85
+rect 4842 -285 4852 -85
+rect 4766 -703 4776 -503
+rect 4842 -703 4852 -503
+rect 4766 -1121 4776 -921
+rect 4842 -1121 4852 -921
+rect 4882 -1253 4928 279
+rect 4958 133 4968 333
+rect 5034 133 5044 333
+rect 4958 -285 4968 -85
+rect 5034 -285 5044 -85
+rect 4958 -703 4968 -503
+rect 5034 -703 5044 -503
+rect 4958 -1121 4968 -921
+rect 5034 -1121 5044 -921
+rect 5074 -1253 5120 277
+rect 5150 133 5160 333
+rect 5226 133 5236 333
+rect 5150 -285 5160 -85
+rect 5226 -285 5236 -85
+rect 5150 -703 5160 -503
+rect 5226 -703 5236 -503
+rect 5150 -1121 5160 -921
+rect 5226 -1121 5236 -921
+rect 5266 -1253 5312 277
+rect 5342 133 5352 333
+rect 5418 133 5428 333
+rect 5342 -285 5352 -85
+rect 5418 -285 5428 -85
+rect 5342 -703 5352 -503
+rect 5418 -703 5428 -503
+rect 5342 -1121 5352 -921
+rect 5418 -1121 5428 -921
+rect 5458 -1253 5504 279
+rect 5534 133 5544 333
+rect 5610 133 5620 333
+rect 5534 -285 5544 -85
+rect 5610 -285 5620 -85
+rect 5534 -703 5544 -503
+rect 5610 -703 5620 -503
+rect 5534 -1121 5544 -921
+rect 5610 -1121 5620 -921
+rect 5650 -1253 5696 275
+rect 5726 133 5736 333
+rect 5802 133 5812 333
+rect 5726 -285 5736 -85
+rect 5802 -285 5812 -85
+rect 5726 -703 5736 -503
+rect 5802 -703 5812 -503
+rect 5726 -1121 5736 -921
+rect 5802 -1121 5812 -921
+rect 5842 -1253 5888 277
+rect 5918 133 5928 333
+rect 5994 133 6004 333
+rect 5918 -285 5928 -85
+rect 5994 -285 6004 -85
+rect 5918 -703 5928 -503
+rect 5994 -703 6004 -503
+rect 5918 -1121 5928 -921
+rect 5994 -1121 6004 -921
+rect 6034 -1253 6080 274
+rect 6147 -1253 6154 1390
+rect 336 -1261 6154 -1253
+rect 6188 -1261 6242 1390
+rect 6276 -1261 6282 1390
+rect 336 -1269 2086 -1261
+rect 336 -1303 372 -1269
+rect 2014 -1295 2086 -1269
+rect 6188 -1295 6282 -1261
+rect 2014 -1303 6282 -1295
+rect 336 -1357 6282 -1303
+rect 336 -1391 372 -1357
+rect 2014 -1358 6282 -1357
+rect 2014 -1391 2086 -1358
+rect 336 -1392 2086 -1391
+rect 6188 -1392 6282 -1358
+rect 336 -1409 6282 -1392
+<< via1 >>
+rect 2280 1065 2346 1265
+rect 2280 647 2346 847
+rect 2472 1065 2538 1265
+rect 2472 647 2538 847
+rect 2664 1065 2730 1265
+rect 2664 647 2730 847
+rect 2856 1065 2922 1265
+rect 2856 647 2922 847
+rect 3048 1065 3114 1265
+rect 3048 647 3114 847
+rect 3240 1065 3306 1265
+rect 3240 647 3306 847
+rect 3432 1065 3498 1265
+rect 3432 647 3498 847
+rect 3624 1065 3690 1265
+rect 3624 647 3690 847
+rect 3816 1065 3882 1265
+rect 3816 647 3882 847
+rect 4008 1065 4074 1265
+rect 4008 647 4074 847
+rect 4200 1065 4266 1265
+rect 4200 647 4266 847
+rect 4392 1065 4458 1265
+rect 4392 647 4458 847
+rect 4584 1065 4650 1265
+rect 4584 647 4650 847
+rect 4776 1065 4842 1265
+rect 4776 647 4842 847
+rect 4968 1065 5034 1265
+rect 4968 647 5034 847
+rect 5160 1065 5226 1265
+rect 5160 647 5226 847
+rect 5352 1065 5418 1265
+rect 5352 647 5418 847
+rect 5544 1065 5610 1265
+rect 5544 647 5610 847
+rect 5736 1065 5802 1265
+rect 5736 647 5802 847
+rect 5928 1065 5994 1265
+rect 5928 647 5994 847
+rect 470 -1129 536 -929
+rect 662 -1129 728 -929
+rect 854 -1129 920 -929
+rect 1046 -1129 1112 -929
+rect 1370 -1129 1436 -929
+rect 1562 -1129 1628 -929
+rect 1754 -1129 1820 -929
+rect 2280 133 2346 333
+rect 2280 -285 2346 -85
+rect 2280 -703 2346 -503
+rect 2280 -1121 2346 -921
+rect 2472 133 2538 333
+rect 2472 -285 2538 -85
+rect 2472 -703 2538 -503
+rect 2472 -1121 2538 -921
+rect 2664 133 2730 333
+rect 2664 -285 2730 -85
+rect 2664 -703 2730 -503
+rect 2664 -1121 2730 -921
+rect 2856 133 2922 333
+rect 2856 -285 2922 -85
+rect 2856 -703 2922 -503
+rect 2856 -1121 2922 -921
+rect 3048 133 3114 333
+rect 3048 -285 3114 -85
+rect 3048 -703 3114 -503
+rect 3048 -1121 3114 -921
+rect 3240 133 3306 333
+rect 3240 -285 3306 -85
+rect 3240 -703 3306 -503
+rect 3240 -1121 3306 -921
+rect 3432 133 3498 333
+rect 3432 -285 3498 -85
+rect 3432 -703 3498 -503
+rect 3432 -1121 3498 -921
+rect 3624 133 3690 333
+rect 3624 -285 3690 -85
+rect 3624 -703 3690 -503
+rect 3624 -1121 3690 -921
+rect 3816 133 3882 333
+rect 3816 -285 3882 -85
+rect 3816 -703 3882 -503
+rect 3816 -1121 3882 -921
+rect 4008 133 4074 333
+rect 4008 -285 4074 -85
+rect 4008 -703 4074 -503
+rect 4008 -1121 4074 -921
+rect 4200 133 4266 333
+rect 4200 -285 4266 -85
+rect 4200 -703 4266 -503
+rect 4200 -1121 4266 -921
+rect 4392 133 4458 333
+rect 4392 -285 4458 -85
+rect 4392 -703 4458 -503
+rect 4392 -1121 4458 -921
+rect 4584 133 4650 333
+rect 4584 -285 4650 -85
+rect 4584 -703 4650 -503
+rect 4584 -1121 4650 -921
+rect 4776 133 4842 333
+rect 4776 -285 4842 -85
+rect 4776 -703 4842 -503
+rect 4776 -1121 4842 -921
+rect 4968 133 5034 333
+rect 4968 -285 5034 -85
+rect 4968 -703 5034 -503
+rect 4968 -1121 5034 -921
+rect 5160 133 5226 333
+rect 5160 -285 5226 -85
+rect 5160 -703 5226 -503
+rect 5160 -1121 5226 -921
+rect 5352 133 5418 333
+rect 5352 -285 5418 -85
+rect 5352 -703 5418 -503
+rect 5352 -1121 5418 -921
+rect 5544 133 5610 333
+rect 5544 -285 5610 -85
+rect 5544 -703 5610 -503
+rect 5544 -1121 5610 -921
+rect 5736 133 5802 333
+rect 5736 -285 5802 -85
+rect 5736 -703 5802 -503
+rect 5736 -1121 5802 -921
+rect 5928 133 5994 333
+rect 5928 -285 5994 -85
+rect 5928 -703 5994 -503
+rect 5928 -1121 5994 -921
+<< metal2 >>
+rect 2280 1265 2346 1275
+rect 2280 847 2346 1065
+rect 2280 596 2346 647
+rect 2472 1265 2538 1275
+rect 2472 847 2538 1065
+rect 2472 596 2538 647
+rect 2664 1265 2730 1275
+rect 2664 847 2730 1065
+rect 2664 596 2730 647
+rect 2856 1265 2922 1275
+rect 2856 847 2922 1065
+rect 2856 596 2922 647
+rect 3048 1265 3114 1275
+rect 3048 847 3114 1065
+rect 3048 596 3114 647
+rect 3240 1265 3306 1275
+rect 3240 847 3306 1065
+rect 3240 596 3306 647
+rect 3432 1265 3498 1275
+rect 3432 847 3498 1065
+rect 3432 596 3498 647
+rect 3624 1265 3690 1275
+rect 3624 847 3690 1065
+rect 3624 596 3690 647
+rect 3816 1265 3882 1275
+rect 3816 847 3882 1065
+rect 3816 596 3882 647
+rect 4008 1265 4074 1275
+rect 4008 847 4074 1065
+rect 4008 596 4074 647
+rect 4200 1265 4266 1275
+rect 4200 847 4266 1065
+rect 4200 596 4266 647
+rect 4392 1265 4458 1275
+rect 4392 847 4458 1065
+rect 4392 596 4458 647
+rect 4584 1265 4650 1275
+rect 4584 847 4650 1065
+rect 4584 596 4650 647
+rect 4776 1265 4842 1275
+rect 4776 847 4842 1065
+rect 4776 596 4842 647
+rect 4968 1265 5034 1275
+rect 4968 847 5034 1065
+rect 4968 596 5034 647
+rect 5160 1265 5226 1275
+rect 5160 847 5226 1065
+rect 5160 596 5226 647
+rect 5352 1265 5418 1275
+rect 5352 847 5418 1065
+rect 5352 596 5418 647
+rect 5544 1265 5610 1275
+rect 5544 847 5610 1065
+rect 5544 596 5610 647
+rect 5736 1265 5802 1275
+rect 5736 847 5802 1065
+rect 5736 596 5802 647
+rect 5928 1265 5994 1275
+rect 5928 847 5994 1065
+rect 5928 596 5994 647
+rect 2280 389 5994 596
+rect 2280 333 2346 389
+rect 2280 -85 2346 133
+rect 2280 -503 2346 -285
+rect 470 -929 1820 -919
+rect 536 -1129 662 -929
+rect 728 -1129 854 -929
+rect 920 -1129 1046 -929
+rect 1112 -1129 1370 -929
+rect 1436 -1129 1562 -929
+rect 1628 -1129 1754 -929
+rect 470 -1139 1820 -1129
+rect 2280 -921 2346 -703
+rect 2280 -1131 2346 -1121
+rect 2472 333 2538 389
+rect 2472 -85 2538 133
+rect 2472 -503 2538 -285
+rect 2472 -921 2538 -703
+rect 2472 -1131 2538 -1121
+rect 2664 333 2730 389
+rect 2664 -85 2730 133
+rect 2664 -503 2730 -285
+rect 2664 -921 2730 -703
+rect 2664 -1131 2730 -1121
+rect 2856 333 2922 389
+rect 2856 -85 2922 133
+rect 2856 -503 2922 -285
+rect 2856 -921 2922 -703
+rect 2856 -1131 2922 -1121
+rect 3048 333 3114 389
+rect 3048 -85 3114 133
+rect 3048 -503 3114 -285
+rect 3048 -921 3114 -703
+rect 3048 -1131 3114 -1121
+rect 3240 333 3306 389
+rect 3240 -85 3306 133
+rect 3240 -503 3306 -285
+rect 3240 -921 3306 -703
+rect 3240 -1131 3306 -1121
+rect 3432 333 3498 389
+rect 3432 -85 3498 133
+rect 3432 -503 3498 -285
+rect 3432 -921 3498 -703
+rect 3432 -1131 3498 -1121
+rect 3624 333 3690 389
+rect 3624 -85 3690 133
+rect 3624 -503 3690 -285
+rect 3624 -921 3690 -703
+rect 3624 -1131 3690 -1121
+rect 3816 333 3882 389
+rect 3816 -85 3882 133
+rect 3816 -503 3882 -285
+rect 3816 -921 3882 -703
+rect 3816 -1131 3882 -1121
+rect 4008 333 4074 389
+rect 4008 -85 4074 133
+rect 4008 -503 4074 -285
+rect 4008 -921 4074 -703
+rect 4008 -1131 4074 -1121
+rect 4200 333 4266 389
+rect 4200 -85 4266 133
+rect 4200 -503 4266 -285
+rect 4200 -921 4266 -703
+rect 4200 -1131 4266 -1121
+rect 4392 333 4458 389
+rect 4392 -85 4458 133
+rect 4392 -503 4458 -285
+rect 4392 -921 4458 -703
+rect 4392 -1131 4458 -1121
+rect 4584 333 4650 389
+rect 4584 -85 4650 133
+rect 4584 -503 4650 -285
+rect 4584 -921 4650 -703
+rect 4584 -1131 4650 -1121
+rect 4776 333 4842 389
+rect 4776 -85 4842 133
+rect 4776 -503 4842 -285
+rect 4776 -921 4842 -703
+rect 4776 -1131 4842 -1121
+rect 4968 333 5034 389
+rect 4968 -85 5034 133
+rect 4968 -503 5034 -285
+rect 4968 -921 5034 -703
+rect 4968 -1131 5034 -1121
+rect 5160 333 5226 389
+rect 5160 -85 5226 133
+rect 5160 -503 5226 -285
+rect 5160 -921 5226 -703
+rect 5160 -1131 5226 -1121
+rect 5352 333 5418 389
+rect 5352 -85 5418 133
+rect 5352 -503 5418 -285
+rect 5352 -921 5418 -703
+rect 5352 -1131 5418 -1121
+rect 5544 333 5610 389
+rect 5544 -85 5610 133
+rect 5544 -503 5610 -285
+rect 5544 -921 5610 -703
+rect 5544 -1131 5610 -1121
+rect 5736 333 5802 389
+rect 5736 -85 5802 133
+rect 5736 -503 5802 -285
+rect 5736 -921 5802 -703
+rect 5736 -1131 5802 -1121
+rect 5928 333 5994 389
+rect 5928 -85 5994 133
+rect 5928 -503 5994 -285
+rect 5928 -921 5994 -703
+rect 5928 -1131 5994 -1121
+use sky130_fd_pr__nfet_01v8_lvt_9B2JY7  sky130_fd_pr__nfet_01v8_lvt_9B2JY7_0 ~/sky130-mpw2-fulgor/iref_ctrl_res_amp/mag
+timestamp 1624020979
+transform 1 0 791 0 1 -1029
+box -455 -310 455 310
+use sky130_fd_pr__nfet_01v8_lvt_9B2JY7  sky130_fd_pr__nfet_01v8_lvt_9B2JY7_1
+timestamp 1624020979
+transform 1 0 1595 0 1 -1029
+box -455 -310 455 310
+use sky130_fd_pr__nfet_01v8_lvt_CFLRKA  sky130_fd_pr__nfet_01v8_lvt_CFLRKA_0
+timestamp 1623991863
+transform 1 0 4137 0 1 956
+box -2087 -519 2087 519
+use sky130_fd_pr__nfet_01v8_lvt_CAF2P9  sky130_fd_pr__nfet_01v8_lvt_CAF2P9_0
+timestamp 1623991863
+transform 1 0 4137 0 1 -394
+box -2087 -937 2087 937
+<< labels >>
+rlabel metal1 1164 -745 1194 -720 1 iref
+rlabel metal1 356 -1340 386 -1315 1 avss1p8
+rlabel metal1 2220 1481 2250 1506 1 avdd1p8
+rlabel metal2 5952 522 5982 547 1 out
+rlabel metal1 2020 939 2050 964 1 in
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/source_follower_buff_pmos.mag b/mag/afernandez_residue_amplifier/source_follower_buff_pmos.mag
new file mode 100644
index 0000000..00283c9
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/source_follower_buff_pmos.mag
@@ -0,0 +1,234 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624113565
+<< nwell >>
+rect -51 1207 3503 1296
+rect 3391 641 3503 1207
+rect 3385 573 3503 641
+rect 3321 -129 3503 573
+rect 1777 -199 3247 -129
+rect 3315 -199 3503 -129
+<< pwell >>
+rect -15 -103 1601 -69
+<< psubdiff >>
+rect -15 -103 81 -69
+rect 727 -103 885 -69
+rect 1531 -103 1601 -69
+<< nsubdiff >>
+rect -15 1226 81 1260
+rect 1591 1226 1749 1260
+rect 3259 1226 3355 1260
+rect 3414 1075 3448 1171
+rect 3414 605 3448 701
+rect 3414 436 3448 533
+rect 3414 -163 3448 -66
+<< psubdiffcont >>
+rect 81 -103 727 -69
+rect 885 -103 1531 -69
+<< nsubdiffcont >>
+rect 81 1226 1591 1260
+rect 1749 1226 3259 1260
+rect 3414 701 3448 1075
+rect 3414 -66 3448 436
+<< viali >>
+rect -15 1226 81 1260
+rect 81 1226 1591 1260
+rect 1591 1226 1749 1260
+rect 1749 1226 3259 1260
+rect 3259 1226 3355 1260
+rect -15 1137 3355 1171
+rect 3321 605 3355 1137
+rect 3414 1075 3448 1171
+rect 3414 701 3448 1075
+rect 3414 605 3448 701
+rect -15 -15 1601 19
+rect -15 -103 81 -69
+rect 81 -103 727 -69
+rect 727 -103 885 -69
+rect 885 -103 1531 -69
+rect 1531 -103 1601 -69
+rect 3321 -129 3355 533
+rect 3414 436 3448 533
+rect 3414 -66 3448 436
+rect 3414 -163 3448 -66
+<< metal1 >>
+rect -51 1260 3503 1296
+rect -51 1226 -15 1260
+rect 3355 1226 3503 1260
+rect -51 1171 3503 1226
+rect -51 1137 -15 1171
+rect -27 1131 3321 1137
+rect 93 967 139 1131
+rect 285 981 331 1131
+rect 477 984 523 1131
+rect 669 983 715 1131
+rect 861 984 907 1131
+rect 1053 982 1099 1131
+rect 1245 983 1291 1131
+rect 1437 984 1483 1131
+rect 1761 982 1807 1131
+rect 189 828 235 910
+rect 381 828 427 913
+rect 573 828 619 912
+rect 765 828 811 909
+rect 957 828 1003 912
+rect 1149 828 1195 911
+rect 1341 828 1387 908
+rect 1533 828 1579 878
+rect 189 757 235 808
+rect 381 757 427 810
+rect 573 757 619 811
+rect 765 757 811 810
+rect 957 757 1003 812
+rect 1149 757 1195 810
+rect 1341 757 1387 810
+rect 1533 757 1579 805
+rect 1837 788 1847 988
+rect 1913 788 1923 988
+rect 1953 981 1999 1131
+rect 2029 788 2039 988
+rect 2105 788 2115 988
+rect 2145 982 2191 1131
+rect 2221 788 2231 988
+rect 2297 788 2307 988
+rect 2337 987 2383 1131
+rect 2413 788 2423 988
+rect 2489 788 2499 988
+rect 2529 983 2575 1131
+rect 2605 788 2615 988
+rect 2681 788 2691 988
+rect 2721 983 2767 1131
+rect 2797 788 2807 988
+rect 2873 788 2883 988
+rect 2913 982 2959 1131
+rect 2989 788 2999 988
+rect 3065 788 3075 988
+rect 3105 982 3151 1131
+rect 3181 788 3191 988
+rect 3257 788 3267 988
+rect 131 747 1579 757
+rect 131 726 3205 747
+rect 121 658 131 726
+rect 1541 658 3205 726
+rect 3315 605 3321 1131
+rect 3355 605 3414 1171
+rect 3448 605 3503 1171
+rect 3315 533 3503 605
+rect 137 393 1477 499
+rect 189 329 235 393
+rect 381 335 427 393
+rect 573 343 619 393
+rect 659 391 952 393
+rect 1973 390 3195 446
+rect 93 25 139 177
+rect 285 25 331 185
+rect 477 25 523 190
+rect 669 25 715 188
+rect 897 25 943 185
+rect 973 159 983 359
+rect 1049 159 1059 359
+rect 1089 25 1135 188
+rect 1165 159 1175 359
+rect 1241 159 1251 359
+rect 1281 25 1327 188
+rect 1357 159 1367 359
+rect 1433 159 1443 359
+rect 1473 25 1519 187
+rect -27 19 1777 25
+rect -51 -15 -15 19
+rect 1601 -15 1777 19
+rect -51 -69 1777 -15
+rect -51 -103 -15 -69
+rect 1601 -103 1777 -69
+rect -51 -123 1777 -103
+rect 1921 -123 1967 -11
+rect 2033 -51 2043 349
+rect 2101 -51 2111 349
+rect 2177 -123 2223 1
+rect 2289 -51 2299 349
+rect 2357 -51 2367 349
+rect 2433 -123 2479 4
+rect 2545 -51 2555 349
+rect 2613 -51 2623 349
+rect 2689 -123 2735 9
+rect 2801 -51 2811 349
+rect 2869 -51 2879 349
+rect 2945 -123 2991 13
+rect 3057 -51 3067 349
+rect 3125 -51 3135 349
+rect 3201 -123 3247 8
+rect -51 -311 3247 -123
+rect 3315 -129 3321 533
+rect 3355 -129 3414 533
+rect 3315 -163 3414 -129
+rect 3448 -163 3503 533
+rect 3315 -199 3503 -163
+<< via1 >>
+rect 1847 788 1913 988
+rect 2039 788 2105 988
+rect 2231 788 2297 988
+rect 2423 788 2489 988
+rect 2615 788 2681 988
+rect 2807 788 2873 988
+rect 2999 788 3065 988
+rect 3191 788 3257 988
+rect 131 658 1541 726
+rect 983 159 1049 359
+rect 1175 159 1241 359
+rect 1367 159 1433 359
+rect 2043 -51 2101 349
+rect 2299 -51 2357 349
+rect 2555 -51 2613 349
+rect 2811 -51 2869 349
+rect 3067 -51 3125 349
+<< metal2 >>
+rect 1847 988 3257 998
+rect 1913 788 2039 988
+rect 2105 788 2231 988
+rect 2297 788 2423 988
+rect 2489 788 2615 988
+rect 2681 788 2807 988
+rect 2873 788 2999 988
+rect 3065 788 3191 988
+rect 1847 778 3257 788
+rect 131 726 1541 736
+rect 131 648 1541 658
+rect 990 369 1423 648
+rect 983 359 1433 369
+rect 1049 159 1175 359
+rect 1241 159 1367 359
+rect 983 149 1433 159
+rect 2043 349 3125 778
+rect 2101 -51 2299 349
+rect 2357 -51 2555 349
+rect 2613 -51 2811 349
+rect 2869 -51 3067 349
+rect 2043 -61 3125 -51
+use sky130_fd_pr__pfet_01v8_VCU74W  sky130_fd_pr__pfet_01v8_VCU74W_0
+timestamp 1623969746
+transform 1 0 836 0 1 888
+box -887 -319 887 319
+use sky130_fd_pr__pfet_01v8_VCU74W  sky130_fd_pr__pfet_01v8_VCU74W_1
+timestamp 1623969746
+transform 1 0 2504 0 1 888
+box -887 -319 887 319
+use sky130_fd_pr__pfet_01v8_lvt_D3F744  sky130_fd_pr__pfet_01v8_lvt_D3F744_0
+timestamp 1623976832
+transform 1 0 2584 0 1 185
+box -807 -384 807 384
+use sky130_fd_pr__nfet_01v8_lvt_9B2JY7  sky130_fd_pr__nfet_01v8_lvt_9B2JY7_0 ~/sky130-mpw2-fulgor/iref_ctrl_res_amp/mag
+timestamp 1624020979
+transform 1 0 404 0 1 259
+box -455 -310 455 310
+use sky130_fd_pr__nfet_01v8_lvt_9B2JY7  sky130_fd_pr__nfet_01v8_lvt_9B2JY7_1
+timestamp 1624020979
+transform 1 0 1208 0 1 259
+box -455 -310 455 310
+<< labels >>
+rlabel metal2 3060 554 3092 593 1 out
+rlabel metal1 195 395 227 434 1 iref
+rlabel metal1 -18 -180 14 -141 1 avss1p8
+rlabel metal1 -9 1181 23 1220 1 avdd1p8
+rlabel metal1 1973 390 3195 446 1 in
+<< end >>
diff --git a/mag/afernandez_residue_amplifier/trans_gate.mag b/mag/afernandez_residue_amplifier/trans_gate.mag
new file mode 100644
index 0000000..0a9f391
--- /dev/null
+++ b/mag/afernandez_residue_amplifier/trans_gate.mag
@@ -0,0 +1,132 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1623610677
+<< nwell >>
+rect -53 635 569 723
+<< pwell >>
+rect -53 -811 569 -723
+<< psubdiff >>
+rect 55 -775 79 -741
+rect 437 -775 461 -741
+<< nsubdiff >>
+rect 55 653 79 687
+rect 437 653 461 687
+<< psubdiffcont >>
+rect 79 -775 437 -741
+<< nsubdiffcont >>
+rect 79 653 437 687
+<< poly >>
+rect 147 69 371 135
+rect 279 31 371 69
+rect 279 -37 291 31
+rect 359 -37 371 31
+rect 279 -53 371 -37
+rect 145 -69 237 -53
+rect 145 -137 157 -69
+rect 225 -137 237 -69
+rect 145 -171 237 -137
+rect 145 -237 369 -171
+<< polycont >>
+rect 291 -37 359 31
+rect 157 -137 225 -69
+<< locali >>
+rect 279 31 371 47
+rect 279 -37 291 31
+rect 359 -37 371 31
+rect 279 -53 371 -37
+rect 145 -69 237 -53
+rect 145 -137 157 -69
+rect 225 -137 237 -69
+rect 145 -153 237 -137
+<< viali >>
+rect -17 653 79 687
+rect 79 653 437 687
+rect 437 653 533 687
+rect -17 565 533 599
+rect 291 -37 359 31
+rect 157 -137 225 -69
+rect -17 -687 533 -653
+rect -17 -775 79 -741
+rect 79 -775 437 -741
+rect 437 -775 533 -741
+<< metal1 >>
+rect -53 687 569 693
+rect -53 653 -17 687
+rect 533 653 569 687
+rect -53 599 165 653
+rect 217 599 569 653
+rect -53 565 -17 599
+rect 533 565 569 599
+rect -53 559 165 565
+rect 217 559 569 565
+rect 45 462 329 508
+rect 45 404 137 462
+rect 283 404 329 462
+rect 45 -171 97 404
+rect 425 183 477 416
+rect 187 120 233 178
+rect 419 120 477 183
+rect 187 74 477 120
+rect 279 -53 291 37
+rect 359 -53 371 37
+rect 145 -143 157 -53
+rect 225 -143 237 -53
+rect 45 -217 329 -171
+rect 45 -341 97 -217
+rect 283 -263 329 -217
+rect 45 -513 91 -341
+rect 419 -343 477 74
+rect 425 -455 477 -343
+rect 419 -501 477 -455
+rect 187 -559 233 -513
+rect 379 -559 477 -501
+rect 187 -605 477 -559
+rect -53 -653 569 -647
+rect -53 -687 -17 -653
+rect 533 -687 569 -653
+rect -53 -741 299 -687
+rect 351 -741 569 -687
+rect -53 -775 -17 -741
+rect 533 -775 569 -741
+rect -53 -781 569 -775
+<< via1 >>
+rect 165 653 217 663
+rect 165 599 217 653
+rect 165 565 217 599
+rect 165 559 217 565
+rect 291 31 359 37
+rect 291 -37 359 31
+rect 291 -53 359 -37
+rect 157 -69 225 -53
+rect 157 -137 225 -69
+rect 157 -143 225 -137
+rect 299 -687 351 -653
+rect 299 -741 351 -687
+rect 299 -757 351 -741
+<< metal2 >>
+rect 157 663 225 673
+rect 157 559 165 663
+rect 217 559 225 663
+rect 157 -53 225 559
+rect 157 -153 225 -143
+rect 291 37 359 47
+rect 291 -653 359 -53
+rect 291 -757 299 -653
+rect 351 -757 359 -653
+rect 291 -766 359 -757
+rect 299 -767 351 -766
+use sky130_fd_pr__pfet_01v8_4798MH  sky130_fd_pr__pfet_01v8_4798MH_0
+timestamp 1623610677
+transform 1 0 258 0 1 291
+box -311 -344 311 344
+use sky130_fd_pr__nfet_01v8_BHR94T  sky130_fd_pr__nfet_01v8_BHR94T_0
+timestamp 1623610677
+transform 1 0 258 0 1 -388
+box -311 -335 311 335
+<< labels >>
+rlabel metal1 217 599 569 653 1 vdd
+rlabel metal1 -53 -741 299 -687 1 vss
+rlabel space 419 -605 477 416 1 out
+rlabel space 45 -513 97 508 1 in
+<< end >>
diff --git a/mag/user_analog_project_wrapper.mag b/mag/user_analog_project_wrapper.mag
index b5ee2cf..9437f5b 100644
--- a/mag/user_analog_project_wrapper.mag
+++ b/mag/user_analog_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1624066370
+timestamp 1624385832
 << nwell >>
 rect 14730 660108 64962 661110
 rect 14730 660034 64841 660108
@@ -11,6 +11,8 @@
 rect 28401 659941 28758 659982
 rect 83408 659846 112858 660052
 rect 124441 660015 133067 660083
+<< pwell >>
+rect 371257 636863 371689 637515
 << nsubdiff >>
 rect 14777 660157 14801 660354
 rect 21672 660157 21696 660354
@@ -74,6 +76,16 @@
 rect 226864 688060 226874 688186
 rect 226864 687894 226915 688060
 rect 226864 687834 226874 687894
+rect 227267 687846 227277 688273
+rect 230749 687846 230759 688273
+rect 231320 687855 231330 688282
+rect 234802 687855 234812 688282
+rect 235302 687865 235312 688292
+rect 238784 687865 238794 688292
+rect 239323 687860 239333 688287
+rect 242805 687860 242815 688287
+rect 243362 687858 243372 688285
+rect 246844 687858 246854 688285
 rect 202763 685044 202773 685354
 rect 247145 685044 247155 685354
 rect 83775 660472 112339 660489
@@ -171,6 +183,11 @@
 rect 207123 687795 210595 688222
 rect 211176 687819 214648 688246
 rect 223060 687834 226864 688186
+rect 227277 687846 230749 688273
+rect 231330 687855 234802 688282
+rect 235312 687865 238784 688292
+rect 239333 687860 242805 688287
+rect 243372 687858 246844 688285
 rect 202773 685044 247145 685354
 rect 23042 660388 64025 660431
 rect 14834 660375 64025 660388
@@ -221,10 +238,20 @@
 << metal2 >>
 rect 211169 688703 214642 688713
 rect 207123 688623 210596 688633
+rect 235312 688693 238785 688703
+rect 231330 688683 234803 688693
+rect 227277 688664 230750 688674
 rect 214642 688246 214648 688256
 rect 211169 687865 211176 687875
 rect 223060 688186 226864 688196
 rect 223060 687824 226864 687834
+rect 235312 687855 238785 687865
+rect 239333 688688 242806 688698
+rect 231330 687845 234803 687855
+rect 239333 687850 242806 687860
+rect 243372 688686 246845 688696
+rect 243372 687848 246845 687858
+rect 227277 687826 230750 687836
 rect 211176 687809 214648 687819
 rect 207123 687785 210596 687795
 rect 202773 685354 247145 685364
@@ -293,6 +320,10 @@
 rect 125227 636972 144160 637047
 rect 145498 636972 145544 637369
 rect 144160 636927 145498 636937
+rect 368681 635516 368816 635526
+rect 368681 635411 368816 635421
+rect 368680 633678 368815 633688
+rect 368680 633573 368815 633583
 rect 1323 510540 74118 510561
 rect 1323 510538 73012 510540
 rect 1323 510236 1358 510538
@@ -806,9 +837,25 @@
 rect 207123 687795 210595 688222
 rect 210595 687795 210596 688222
 rect 211169 688246 214642 688703
+rect 227277 688273 230750 688664
 rect 211169 687875 211176 688246
 rect 211176 687875 214642 688246
 rect 223060 687834 226864 688186
+rect 227277 687846 230749 688273
+rect 230749 687846 230750 688273
+rect 227277 687836 230750 687846
+rect 231330 688282 234803 688683
+rect 231330 687855 234802 688282
+rect 234802 687855 234803 688282
+rect 235312 688292 238785 688693
+rect 235312 687865 238784 688292
+rect 238784 687865 238785 688292
+rect 239333 688287 242806 688688
+rect 239333 687860 242805 688287
+rect 242805 687860 242806 688287
+rect 243372 688285 246845 688686
+rect 243372 687858 246844 688285
+rect 246844 687858 246845 688285
 rect 202780 685044 247142 685291
 rect 202780 684711 247142 685044
 rect 23042 660431 64025 660657
@@ -830,6 +877,8 @@
 rect 124336 657529 126700 657669
 rect 73065 656222 74069 657028
 rect 144160 636937 145498 637403
+rect 368681 635421 368816 635516
+rect 368680 633583 368815 633678
 rect 1358 510236 2171 510538
 rect 73012 510260 74070 510540
 rect 1361 467014 2174 467316
@@ -885,10 +934,14 @@
 rect 66130 657870 66170 659137
 rect 68582 657870 68630 659137
 rect 79826 658972 82326 697468
-rect 218640 697309 221140 702300
 rect 93805 695867 95820 695904
 rect 93805 693909 93859 695867
 rect 95764 693909 95820 695867
+rect 166763 695391 169263 702300
+rect 177015 695391 179515 702300
+rect 218640 697309 221140 702300
+rect 93805 683893 95820 693909
+rect 166762 692889 179515 695391
 rect 211648 693981 211658 695778
 rect 214091 695500 214101 695778
 rect 214091 693981 214151 695500
@@ -898,8 +951,6 @@
 rect 223860 697338 226360 697368
 rect 223860 694931 223921 697338
 rect 226270 694931 226360 697338
-rect 93805 683893 95820 693909
-rect 207536 692355 210036 692388
 rect 124995 692109 125005 692310
 rect 93805 681853 93843 683893
 rect 93833 681797 93843 681853
@@ -920,39 +971,6 @@
 rect 23631 657532 23641 657690
 rect 66130 657635 68630 657870
 rect 124989 657674 125924 690355
-rect 207536 690328 207591 692355
-rect 209950 690328 210036 692355
-rect 207536 688628 210036 690328
-rect 211651 688708 214151 693981
-rect 211159 688703 214652 688708
-rect 207113 688623 210606 688628
-rect 207113 687795 207123 688623
-rect 210596 687795 210606 688623
-rect 211159 687875 211169 688703
-rect 214642 687875 214652 688703
-rect 223860 688191 226360 694931
-rect 228892 697352 231392 702300
-rect 510296 701790 510306 704716
-rect 510456 697694 510466 701790
-rect 525573 697694 525583 704716
-rect 566594 702300 571594 704800
-rect 228892 694945 228965 697352
-rect 231314 694945 231392 697352
-rect 228892 694833 231392 694945
-rect 211159 687870 214652 687875
-rect 223050 688186 226874 688191
-rect 223050 687834 223060 688186
-rect 226864 687834 226874 688186
-rect 223050 687829 226874 687834
-rect 207113 687790 210606 687795
-rect 202770 685291 247152 685296
-rect 202770 684711 202780 685291
-rect 247142 684711 247152 685291
-rect 202770 684706 202805 684711
-rect 202795 684082 202805 684706
-rect 247109 684706 247152 684711
-rect 247109 684082 247119 684706
-rect 582300 677984 584800 682984
 rect 137480 659558 139980 659593
 rect 137480 658887 137580 659558
 rect 139946 658887 139980 659558
@@ -984,7 +1002,6 @@
 rect 83142 622007 83152 624630
 rect 133410 622007 133420 624630
 rect 137480 611839 139980 658887
-rect 582340 639784 584800 644584
 rect 137480 607168 137755 611839
 rect 139770 607168 139980 611839
 rect 137480 607076 139980 607168
@@ -1008,13 +1025,234 @@
 rect 2174 467014 2184 467316
 rect 1351 467009 2184 467014
 rect 144145 467320 145521 636937
+rect 171983 635570 174483 692889
+rect 207536 692355 210036 692388
+rect 207536 690328 207591 692355
+rect 209950 690328 210036 692355
+rect 207536 688628 210036 690328
+rect 211651 688708 214151 693981
+rect 211159 688703 214652 688708
+rect 207113 688623 210606 688628
+rect 207113 687795 207123 688623
+rect 210596 687795 210606 688623
+rect 211159 687875 211169 688703
+rect 214642 687875 214652 688703
+rect 223860 688191 226360 694931
+rect 228892 697352 231392 702300
+rect 242722 699634 242732 702134
+rect 245232 702114 245242 702134
+rect 245232 699634 315546 702114
+rect 243372 699614 315546 699634
+rect 228892 694945 228965 697352
+rect 231314 694945 231392 697352
+rect 228892 694833 231392 694945
+rect 232116 696758 311894 699258
+rect 232116 688688 234616 696758
+rect 235915 693974 307678 696474
+rect 235915 688698 238415 693974
+rect 239738 691142 303725 693642
+rect 235302 688693 238795 688698
+rect 239738 688693 242238 691142
+rect 231320 688683 234813 688688
+rect 227267 688664 230760 688669
+rect 211159 687870 214652 687875
+rect 223050 688186 226874 688191
+rect 223050 687834 223060 688186
+rect 226864 687834 226874 688186
+rect 223050 687829 226874 687834
+rect 227267 687836 227277 688664
+rect 230750 687836 230760 688664
+rect 231320 687855 231330 688683
+rect 234803 687855 234813 688683
+rect 235302 687865 235312 688693
+rect 238785 687865 238795 688693
+rect 235302 687860 238795 687865
+rect 239323 688688 242816 688693
+rect 239323 687860 239333 688688
+rect 242806 687860 242816 688688
+rect 239323 687855 242816 687860
+rect 243362 688686 299390 690785
+rect 243362 687858 243372 688686
+rect 246845 688285 299390 688686
+rect 246845 687858 246855 688285
+rect 231320 687850 234813 687855
+rect 243362 687853 246855 687858
+rect 227267 687831 230760 687836
+rect 207113 687790 210606 687795
+rect 202770 685291 247152 685296
+rect 202770 684711 202780 685291
+rect 247142 684711 247152 685291
+rect 202770 684706 202805 684711
+rect 202795 684082 202805 684706
+rect 247109 684706 247152 684711
+rect 247109 684082 247119 684706
+rect 296890 658340 299390 688285
+rect 301225 661655 303725 691142
+rect 305178 664670 307678 693974
+rect 309394 668158 311894 696758
+rect 313046 671728 315546 699614
+rect 320335 695123 322835 702300
+rect 330587 695123 333087 702300
+rect 414564 696421 417064 702300
+rect 466720 696421 469220 702300
+rect 510296 701790 510306 704716
+rect 510456 697694 510466 701790
+rect 525573 697694 525583 704716
+rect 566594 702300 571594 704800
+rect 567875 698736 570375 702300
+rect 567865 696717 567875 698736
+rect 570375 696717 570385 698736
+rect 320334 692621 333087 695123
+rect 414554 693921 414564 696421
+rect 417064 693921 417074 696421
+rect 466710 693921 466720 696421
+rect 469220 693921 469230 696421
+rect 313036 669228 313046 671728
+rect 315546 669228 315556 671728
+rect 309384 665658 309394 668158
+rect 311894 665658 311904 668158
+rect 305168 662170 305178 664670
+rect 307678 662170 307688 664670
+rect 301215 659155 301225 661655
+rect 303725 659155 303735 661655
+rect 296880 655840 296890 658340
+rect 299390 655840 299400 658340
+rect 325555 650863 328055 692621
+rect 582300 681668 584800 682984
+rect 576277 679168 576287 681668
+rect 578306 679168 584800 681668
+rect 582300 677984 584800 679168
+rect 373465 669228 373475 671728
+rect 374825 669228 374835 671728
+rect 371778 665658 371788 668158
+rect 373138 665658 373148 668158
+rect 369963 662170 369973 664670
+rect 371323 662170 371333 664670
+rect 368199 661655 369549 661704
+rect 368189 659155 368199 661655
+rect 369549 659155 369559 661655
+rect 366150 655840 366160 658340
+rect 367510 655840 367520 658340
+rect 325545 648363 325555 650863
+rect 328055 648363 328065 650863
+rect 325555 648358 328055 648363
+rect 366160 642905 367510 655840
+rect 368199 642905 369549 659155
+rect 369973 642905 371323 662170
+rect 371788 642905 373138 665658
+rect 373475 642905 374825 669228
+rect 367006 642208 367202 642905
+rect 360862 642012 367202 642208
+rect 347630 636697 347636 637147
+rect 348086 636697 348966 637147
+rect 360862 636883 361058 642012
+rect 368630 641752 368826 642905
+rect 368630 641556 370520 641752
+rect 171973 633070 171983 635570
+rect 174483 633070 174493 635570
+rect 368671 635516 368826 635521
+rect 368671 635421 368681 635516
+rect 368816 635421 368826 635516
+rect 368671 635418 368826 635421
+rect 368652 635049 368848 635418
+rect 370324 635049 370520 641556
+rect 368652 634853 370520 635049
+rect 370918 634243 371114 642905
+rect 372372 642103 372568 642905
+rect 371439 641907 372568 642103
+rect 371439 637006 371635 641907
+rect 374402 641653 374598 642905
+rect 371879 641457 374598 641653
+rect 368651 634047 371114 634243
+rect 368651 633678 368847 634047
+rect 368651 633588 368680 633678
+rect 368670 633583 368680 633588
+rect 368815 633588 368847 633678
+rect 368815 633583 368825 633588
+rect 368670 633578 368825 633583
+rect 171983 633065 174483 633070
+rect 371879 632836 372075 641457
+rect 582340 639784 584800 644584
+rect 371440 632640 372075 632836
+rect 371440 632181 371636 632640
+rect 352226 630044 352236 630281
+rect 352702 630044 352712 630281
+rect 352226 613751 352712 630044
+rect 356365 630025 356375 630262
+rect 356841 630025 356851 630262
+rect 356365 615037 356851 630025
+rect 358816 630047 358826 630284
+rect 359292 630047 359302 630284
+rect 358816 616322 359302 630047
 rect 582340 629784 584800 634584
+rect 361089 628771 361575 628785
+rect 360254 628619 360264 628648
+rect 360218 628346 360264 628619
+rect 360662 628619 360672 628648
+rect 360662 628346 360704 628619
+rect 360218 618008 360704 628346
+rect 361089 628469 361132 628771
+rect 361530 628469 361575 628771
+rect 361089 619534 361575 628469
+rect 362010 628738 362496 628770
+rect 362010 628436 362048 628738
+rect 362446 628436 362496 628738
+rect 362010 620980 362496 628436
+rect 362010 620494 383337 620980
+rect 382851 619658 383337 620494
+rect 361089 619048 379241 619534
+rect 360218 617522 374744 618008
+rect 378755 617971 379241 619048
+rect 374258 616445 374744 617522
+rect 358816 615836 369845 616322
+rect 356365 614551 365990 615037
+rect 369359 614999 369845 615836
+rect 352226 613265 360609 613751
+rect 360123 612028 360609 613265
+rect 365504 613072 365990 614551
+rect 144145 467013 144170 467320
+rect 145472 467013 145521 467320
+rect 144145 466948 145521 467013
+rect -800 465944 480 466056
+rect -800 464872 1188 464874
+rect -800 464762 1508 464872
+rect 253 464760 1508 464762
+rect -800 463580 480 463692
+rect -800 462398 480 462510
+rect -800 425086 480 425198
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect -800 421540 480 421652
+rect -800 420358 480 420470
+rect -800 419176 480 419288
+rect -800 381864 480 381976
+rect -800 380682 480 380794
+rect -800 379500 480 379612
+rect -800 378318 480 378430
+rect -800 377136 480 377248
+rect -800 375954 480 376066
+rect -800 338642 480 338754
+rect -800 337460 480 337572
+rect -800 336278 480 336390
+rect -800 335096 480 335208
+rect -800 333914 480 334026
+rect -800 332732 480 332844
+rect 359161 315293 361661 612028
+rect 363819 361386 366319 613072
+rect 368397 407710 370897 614999
+rect 373136 452031 375636 616445
+rect 377553 496436 380053 617971
+rect 381890 581162 384390 619658
 rect 583554 589472 584800 589584
 rect 583554 588290 584800 588402
 rect 583554 587108 584800 587220
 rect 583554 585926 584800 586038
-rect 583554 584744 584800 584856
+rect 570571 584856 580767 585210
+rect 570571 584744 584800 584856
+rect 570571 582710 580767 584744
 rect 583554 583562 584800 583674
+rect 570571 581162 573071 582710
+rect 381890 578662 573071 581162
 rect 582340 555256 584800 555362
 rect 582340 554118 582403 555256
 rect 584710 554118 584800 555256
@@ -1031,58 +1269,41 @@
 rect 583520 498868 584800 498980
 rect 583520 497686 584800 497798
 rect 583520 496504 584800 496616
-rect 583520 495322 584800 495434
+rect 377553 495434 581308 496436
+rect 377553 495322 584800 495434
+rect 377553 493936 581308 495322
 rect 583520 494140 584800 494252
-rect 144145 467013 144170 467320
-rect 145472 467013 145521 467320
-rect 144145 466948 145521 467013
-rect -800 465944 480 466056
-rect -800 464872 1188 464874
-rect -800 464762 1508 464872
-rect 253 464760 1508 464762
-rect -800 463580 480 463692
-rect -800 462398 480 462510
 rect 583520 455628 584800 455740
 rect 583520 454446 584800 454558
 rect 583520 453264 584800 453376
 rect 583520 452082 584800 452194
-rect 583520 450900 584800 451012
+rect 373136 451012 581762 452031
+rect 373136 450900 584800 451012
+rect 373136 449531 581762 450900
 rect 583520 449718 584800 449830
-rect -800 425086 480 425198
-rect -800 423904 480 424016
-rect -800 422722 480 422834
-rect -800 421540 480 421652
-rect -800 420358 480 420470
-rect -800 419176 480 419288
 rect 583520 411206 584800 411318
 rect 583520 410024 584800 410136
 rect 583520 408842 584800 408954
+rect 368397 406590 581354 407710
 rect 583520 407660 584800 407772
-rect 583520 406478 584800 406590
+rect 368397 406478 584800 406590
+rect 368397 405210 581354 406478
 rect 583520 405296 584800 405408
-rect -800 381864 480 381976
-rect -800 380682 480 380794
-rect -800 379500 480 379612
-rect -800 378318 480 378430
-rect -800 377136 480 377248
-rect -800 375954 480 376066
 rect 583520 364784 584800 364896
 rect 583520 363602 584800 363714
 rect 583520 362420 584800 362532
+rect 363819 360168 580825 361386
 rect 583520 361238 584800 361350
-rect 583520 360056 584800 360168
+rect 363819 360056 584800 360168
+rect 363819 358886 580825 360056
 rect 583520 358874 584800 358986
-rect -800 338642 480 338754
-rect -800 337460 480 337572
-rect -800 336278 480 336390
-rect -800 335096 480 335208
-rect -800 333914 480 334026
-rect -800 332732 480 332844
 rect 583520 319562 584800 319674
 rect 583520 318380 584800 318492
 rect 583520 317198 584800 317310
 rect 583520 316016 584800 316128
-rect 583520 314834 584800 314946
+rect 359161 314946 581320 315293
+rect 359161 314834 584800 314946
+rect 359161 312793 581320 314834
 rect 583520 313652 584800 313764
 rect -800 295420 480 295532
 rect -800 294238 480 294350
@@ -1194,16 +1415,41 @@
 rect 125005 690355 125955 692310
 rect 83765 660846 124071 661487
 rect 83765 660179 124071 660846
-rect 207591 690328 209950 692355
-rect 510306 701790 525573 704716
-rect 510466 697694 525573 701790
-rect 228965 694945 231314 697352
-rect 202780 684711 247142 685291
-rect 202805 684082 247109 684711
 rect 14427 621627 64644 624619
 rect 2646 607093 5007 611726
 rect 83152 622007 133410 624630
 rect 137755 607168 139770 611839
+rect 207591 690328 209950 692355
+rect 242732 699634 245232 702134
+rect 228965 694945 231314 697352
+rect 227277 688115 230750 688664
+rect 202780 684711 247142 685291
+rect 202805 684082 247109 684711
+rect 510306 701790 525573 704716
+rect 510466 697694 525573 701790
+rect 567875 696717 570375 698736
+rect 414564 693921 417064 696421
+rect 466720 693921 469220 696421
+rect 313046 669228 315546 671728
+rect 309394 665658 311894 668158
+rect 305178 662170 307678 664670
+rect 301225 659155 303725 661655
+rect 296890 655840 299390 658340
+rect 576287 679168 578306 681668
+rect 373475 669228 374825 671728
+rect 371788 665658 373138 668158
+rect 369973 662170 371323 664670
+rect 368199 659155 369549 661655
+rect 366160 655840 367510 658340
+rect 325555 648363 328055 650863
+rect 347636 636697 348086 637147
+rect 171983 633070 174483 635570
+rect 352236 630044 352702 630281
+rect 356375 630025 356841 630262
+rect 358826 630047 359292 630284
+rect 360264 628346 360662 628648
+rect 361132 628469 361530 628771
+rect 362048 628436 362446 628738
 rect 582403 554118 584710 555256
 rect 582340 551658 584800 554118
 rect 582403 550629 584710 551658
@@ -1212,11 +1458,16 @@
 rect 578907 136610 583774 151577
 << metal4 >>
 rect 510305 704716 525574 704717
+rect 242731 702134 245233 702135
+rect 242731 699634 242732 702134
+rect 245232 699634 245233 702134
 rect 510305 701790 510306 704716
 rect 510305 701789 510466 701790
+rect 242731 699633 245233 699634
 rect 510465 697694 510466 701789
 rect 525573 697694 525574 704716
 rect 510465 697693 525574 697694
+rect 567874 698736 570376 698737
 rect 218687 697352 231368 697368
 rect 218687 697338 228965 697352
 rect 218687 697309 223921 697338
@@ -1229,10 +1480,21 @@
 rect 221066 694931 223921 697309
 rect 226270 694945 228965 697338
 rect 231314 694945 231368 697352
+rect 567874 696717 567875 698736
+rect 570375 696717 570376 698736
+rect 567874 696716 570376 696717
 rect 226270 694931 231368 694945
 rect 221066 694902 231368 694931
 rect 218687 694868 231368 694902
+rect 414563 696421 417065 696422
 rect 95764 693909 214125 693981
+rect 414563 693921 414564 696421
+rect 417064 693921 417065 696421
+rect 414563 693920 417065 693921
+rect 466719 696421 469221 696422
+rect 466719 693921 466720 696421
+rect 469220 693921 469221 696421
+rect 466719 693920 469221 693921
 rect 93805 693889 214125 693909
 rect 17498 693242 68630 693269
 rect 17498 690824 17558 693242
@@ -1250,6 +1512,12 @@
 rect 207590 690328 207591 690334
 rect 209950 690328 209951 692355
 rect 207590 690327 209951 690328
+rect 567875 690311 570375 696716
+rect 227276 688664 230751 688665
+rect 227276 688115 227277 688664
+rect 230750 688115 230751 688664
+rect 227276 688114 230751 688115
+rect 536686 687811 570375 690311
 rect 202779 685291 247143 685292
 rect 202779 685235 202780 685291
 rect 247142 684711 247143 685291
@@ -1277,15 +1545,114 @@
 rect 274962 673047 452327 677887
 rect 467023 673047 467817 677887
 rect 274962 673035 467817 673047
+rect 313045 671728 315547 671729
+rect 373474 671728 374826 671729
+rect 313045 669228 313046 671728
+rect 315546 669228 373475 671728
+rect 374825 669228 374835 671728
+rect 313045 669227 315547 669228
+rect 373474 669227 374826 669228
+rect 309393 668158 311895 668159
+rect 371787 668158 373139 668159
+rect 309393 665658 309394 668158
+rect 311894 665658 371788 668158
+rect 373138 665658 373148 668158
+rect 309393 665657 311895 665658
+rect 371787 665657 373139 665658
+rect 305177 664670 307679 664671
+rect 369972 664670 371324 664671
 rect 23041 660101 23042 661270
 rect 64017 660101 64018 661270
 rect 83764 660179 83765 661488
+rect 305177 662170 305178 664670
+rect 307678 662170 369973 664670
+rect 371323 662170 371333 664670
+rect 305177 662169 307679 662170
+rect 369972 662169 371324 662170
+rect 301224 661655 303726 661656
+rect 368198 661655 369550 661656
 rect 83764 660178 124072 660179
 rect 23041 660100 64018 660101
+rect 301224 659155 301225 661655
+rect 303725 659155 368199 661655
+rect 369549 659155 369559 661655
+rect 301224 659154 303726 659155
+rect 368198 659154 369550 659155
+rect 296889 658340 299391 658341
+rect 366159 658340 367511 658341
+rect 296889 655840 296890 658340
+rect 299390 655840 366160 658340
+rect 367510 655840 367520 658340
+rect 296889 655839 299391 655840
+rect 366159 655839 367511 655840
+rect 325554 650863 328056 650864
+rect 251036 648363 325555 650863
+rect 328055 648363 328065 650863
+rect 251036 643769 253536 648363
+rect 325554 648362 328056 648363
+rect 251036 641269 338266 643769
+rect 356990 643636 357847 643763
+rect 357720 642897 357847 643636
+rect 358200 643636 359056 643763
+rect 358200 642897 358327 643636
+rect 335766 640142 338266 641269
+rect 335766 639692 348716 640142
+rect 536686 639819 539186 687811
+rect 576286 681668 578307 681669
+rect 383342 637319 539186 639819
+rect 544840 679168 576287 681668
+rect 578306 679168 578307 681668
+rect 347635 637147 348087 637148
+rect 335766 636697 347636 637147
+rect 348086 636697 348087 637147
+rect 171982 635570 174484 635571
+rect 335766 635570 338266 636697
+rect 347635 636696 348087 636697
+rect 383342 635788 383892 637319
+rect 376970 635579 383892 635788
+rect 171973 633070 171983 635570
+rect 174483 633070 338266 635570
+rect 376994 633304 383892 633513
+rect 171982 633069 174484 633070
+rect 352335 630282 352605 632949
+rect 352235 630281 352703 630282
+rect 352235 630044 352236 630281
+rect 352702 630044 352703 630281
+rect 356477 630263 356747 632977
+rect 358930 630285 359200 632879
+rect 383342 631773 383892 633304
+rect 544840 631773 547340 679168
+rect 576286 679167 578307 679168
+rect 358825 630284 359293 630285
+rect 352235 630043 352703 630044
+rect 356374 630262 356842 630263
+rect 356374 630025 356375 630262
+rect 356841 630025 356842 630262
+rect 358825 630047 358826 630284
+rect 359292 630047 359293 630284
+rect 358825 630046 359293 630047
+rect 356374 630024 356842 630025
+rect 383342 629273 547340 631773
+rect 361131 628771 361531 628772
+rect 360263 628648 360663 628649
+rect 360263 628346 360264 628648
+rect 360662 628346 360663 628648
+rect 361131 628469 361132 628771
+rect 361530 628469 361531 628771
+rect 361131 628468 361531 628469
+rect 362047 628738 362447 628739
+rect 362047 628436 362048 628738
+rect 362446 628436 362447 628738
+rect 362047 628435 362447 628436
+rect 360263 628345 360663 628346
 rect 14426 624619 64645 624620
 rect 14426 624437 14427 624619
 rect 64644 621627 64645 624619
 rect 64282 621626 64645 621627
+rect 275302 623908 345528 625028
+rect 275302 621915 344840 623908
+rect 345283 621915 380146 623908
+rect 275302 617272 345528 621915
 rect 2575 611840 139145 611907
 rect 2575 611839 139771 611840
 rect 2575 611726 137755 611839
@@ -1378,8 +1745,12 @@
 rect 354383 136461 584154 136610
 rect 100326 136443 584154 136461
 << via4 >>
+rect 242732 699634 245232 702134
 rect 510306 701790 525573 704716
 rect 510466 697694 525573 701790
+rect 414564 693921 417064 696421
+rect 466720 693921 469220 696421
+rect 227277 688115 230750 688664
 rect 202733 684711 202780 685235
 rect 202780 684711 247109 685235
 rect 202733 684082 202805 684711
@@ -1395,6 +1766,14 @@
 rect 83765 661487 124085 662834
 rect 83765 660179 124071 661487
 rect 124071 660179 124085 661487
+rect 356670 643622 356990 643930
+rect 359056 643622 359376 643930
+rect 360315 637262 360607 637553
+rect 361033 637228 361327 637566
+rect 362102 637245 362396 637583
+rect 360264 628346 360662 628648
+rect 361132 628469 361530 628771
+rect 362048 628436 362446 628738
 rect 83049 624683 133410 624733
 rect 82973 624630 133488 624683
 rect 14337 621627 14427 624437
@@ -1404,6 +1783,9 @@
 rect 83152 622007 133410 624630
 rect 133410 622007 133488 624630
 rect 82973 617893 133488 622007
+rect 259670 617074 275302 625270
+rect 344840 621915 345283 623908
+rect 380146 621915 380589 623908
 rect 30180 583701 46130 599282
 rect 100668 583221 116512 598859
 rect 338602 583865 353942 598747
@@ -1427,10 +1809,30 @@
 << metal5 >>
 rect 510282 704716 525597 704740
 rect 510282 703705 510306 704716
+rect 242708 702134 245256 702158
+rect 242708 699634 242732 702134
+rect 245232 699634 245256 702134
+rect 242708 699610 245256 699634
 rect 510173 701790 510306 703705
 rect 525573 703705 525597 704716
+rect 242732 693377 245232 699610
 rect 510173 697694 510466 701790
 rect 525573 697694 525839 703705
+rect 414540 696421 417088 696445
+rect 466696 696421 469244 696445
+rect 414540 693921 414564 696421
+rect 417064 693921 436162 696421
+rect 414540 693897 417088 693921
+rect 227790 690877 245232 693377
+rect 227790 688688 230290 690877
+rect 433662 690218 436162 693921
+rect 227253 688664 230774 688688
+rect 227253 688115 227277 688664
+rect 230750 688115 230774 688664
+rect 227253 688091 230774 688115
+rect 352750 687718 436162 690218
+rect 441224 693921 466720 696421
+rect 469220 693921 469244 696421
 rect 202709 685235 247133 685259
 rect 202709 683217 202733 685235
 rect 247109 683217 247133 685235
@@ -1489,17 +1891,80 @@
 rect 17569 224794 33235 225102
 rect 100463 432558 116619 583221
 rect 216581 555641 232247 673124
-rect 216581 540306 216832 555641
-rect 232047 540306 232247 555641
-rect 216581 540080 232247 540306
 rect 259666 678175 275332 678276
 rect 259666 671893 260437 678175
 rect 274962 671893 275332 678175
-rect 259666 555640 275332 671893
+rect 259666 625294 275332 671893
+rect 352750 647598 355250 687718
+rect 441224 684427 443724 693921
+rect 466696 693897 469244 693921
+rect 360796 681927 443724 684427
+rect 360796 647598 363296 681927
+rect 352750 647048 356990 647598
+rect 356670 643954 356990 647048
+rect 359056 647048 363296 647598
 rect 451976 677887 467642 678029
 rect 451976 673047 452327 677887
 rect 467023 673047 467642 677887
-rect 338578 598747 353966 598771
+rect 359056 643954 359376 647048
+rect 356646 643930 357014 643954
+rect 356646 643622 356670 643930
+rect 356990 643622 357014 643930
+rect 356646 643598 357014 643622
+rect 359032 643930 359400 643954
+rect 359032 643622 359056 643930
+rect 359376 643622 359400 643930
+rect 359032 643598 359400 643622
+rect 360291 637553 360631 637577
+rect 360291 637262 360315 637553
+rect 360607 637427 360631 637553
+rect 361009 637566 361351 637590
+rect 360607 637262 360633 637427
+rect 259646 625270 275332 625294
+rect 259646 617074 259670 625270
+rect 275302 617074 275332 625270
+rect 344840 623932 345283 631382
+rect 346785 627046 347228 631405
+rect 360291 628672 360633 637262
+rect 361009 637228 361033 637566
+rect 361327 637228 361351 637566
+rect 361009 636893 361351 637228
+rect 362078 637583 362420 637607
+rect 362078 637245 362102 637583
+rect 362396 637245 362420 637583
+rect 361009 628795 361344 636893
+rect 361009 628771 361554 628795
+rect 360240 628648 360686 628672
+rect 360240 628346 360264 628648
+rect 360662 628346 360686 628648
+rect 361009 628473 361132 628771
+rect 361108 628469 361132 628473
+rect 361530 628469 361554 628771
+rect 362078 628762 362420 637245
+rect 361108 628445 361554 628469
+rect 362024 628738 362470 628762
+rect 362024 628436 362048 628738
+rect 362446 628436 362470 628738
+rect 362024 628412 362470 628436
+rect 360240 628322 360686 628346
+rect 378201 627046 378644 630294
+rect 346785 625053 378644 627046
+rect 344816 623908 345307 623932
+rect 344816 621915 344840 623908
+rect 345283 621915 345307 623908
+rect 344816 621891 345307 621915
+rect 259646 617050 275332 617074
+rect 216581 540306 216832 555641
+rect 232047 540306 232247 555641
+rect 216581 540080 232247 540306
+rect 259666 555640 275332 617050
+rect 346785 598771 354541 625053
+rect 380146 623932 380589 631171
+rect 380122 623908 380613 623932
+rect 380122 621915 380146 623908
+rect 380589 621915 380613 623908
+rect 380122 621891 380613 621915
+rect 338578 598747 354541 598771
 rect 338578 597776 338602 598747
 rect 259666 540435 259862 555640
 rect 275226 540435 275332 555640
@@ -1514,8 +1979,7 @@
 rect 259731 225260 259755 225941
 rect 275011 225941 275332 240427
 rect 338385 583865 338602 597776
-rect 353942 597776 353966 598747
-rect 353942 583865 354541 597776
+rect 353942 583865 354541 598747
 rect 338385 432559 354541 583865
 rect 338385 417742 338894 432559
 rect 354227 417742 354541 432559
@@ -1562,18 +2026,22 @@
 rect -100 0 0 704000
 rect 584000 0 584100 704000
 rect -100 -100 584100 0
+use res_amp_top  res_amp_top_0 ~/caravel_analog_fulgor/mag/afernandez_residue_amplifier
+timestamp 1624371743
+transform 1 0 349695 0 1 630386
+box -5005 -972 31038 12726
+use top_pll_v2  top_pll_v2_0
+timestamp 1624316735
+transform -1 0 133068 0 1 657248
+box -642 -33679 50180 2860
+use top_pll_v1 *top_pll_v1_0
+timestamp 1624316735
+transform 1 0 14782 0 1 657248
+box -642 -33679 50180 2860
 use bias  bias_0
 timestamp 1624049879
 transform 1 0 202834 0 -1 687483
 box -54 -412 44317 2238
-use top_pll_v1 *top_pll_v1_0
-timestamp 1624049879
-transform 1 0 14782 0 1 657248
-box -642 -33679 50180 2860
-use top_pll_v2  top_pll_v2_0
-timestamp 1624054096
-transform -1 0 133068 0 1 657248
-box -642 -33679 50180 2860
 << labels >>
 flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
 port 0 nsew signal bidirectional
diff --git a/mag/user_analog_project_wrapper_backup.mag b/mag/user_analog_project_wrapper_backup.mag
new file mode 100644
index 0000000..b5ee2cf
--- /dev/null
+++ b/mag/user_analog_project_wrapper_backup.mag
@@ -0,0 +1,2936 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624066370
+<< nwell >>
+rect 14730 660108 64962 661110
+rect 14730 660034 64841 660108
+rect 82888 660083 133067 660649
+rect 83408 660052 119178 660083
+rect 14730 659150 14782 660034
+rect 28401 659941 28758 659982
+rect 83408 659846 112858 660052
+rect 124441 660015 133067 660083
+<< nsubdiff >>
+rect 14777 660157 14801 660354
+rect 21672 660157 21696 660354
+rect 23064 660169 23088 660375
+rect 28678 660169 28702 660375
+rect 28954 660172 28978 660367
+rect 34940 660172 34964 660367
+rect 35514 660153 35538 660369
+rect 63779 660153 63803 660369
+rect 84063 660216 84087 660457
+rect 112239 660216 112263 660457
+rect 112659 660076 112683 660241
+rect 119084 660076 119108 660241
+rect 119365 660188 119389 660416
+rect 124370 660188 124394 660416
+rect 124754 660180 124778 660424
+rect 132540 660180 132564 660424
+<< nsubdiffcont >>
+rect 14801 660157 21672 660354
+rect 23088 660169 28678 660375
+rect 28978 660172 34940 660367
+rect 35538 660153 63779 660369
+rect 84087 660216 112239 660457
+rect 112683 660076 119084 660241
+rect 119389 660188 124370 660416
+rect 124778 660180 132540 660424
+<< locali >>
+rect 14785 660157 14801 660354
+rect 21672 660157 21688 660354
+rect 23072 660169 23088 660375
+rect 28678 660169 28694 660375
+rect 28962 660172 28978 660367
+rect 34940 660172 34956 660367
+rect 35522 660153 35538 660369
+rect 63779 660153 63795 660369
+rect 84071 660216 84087 660457
+rect 112239 660216 112255 660457
+rect 112667 660076 112683 660241
+rect 119084 660076 119100 660241
+rect 119373 660188 119389 660416
+rect 124370 660188 124386 660416
+<< viali >>
+rect 14801 660157 21672 660354
+rect 23088 660169 28678 660375
+rect 28978 660172 34940 660367
+rect 35538 660153 63779 660369
+rect 84087 660216 112239 660457
+rect 112683 660076 119084 660241
+rect 119389 660188 124370 660416
+rect 124762 660180 124778 660424
+rect 124778 660180 132540 660424
+rect 132540 660180 132556 660424
+<< metal1 >>
+rect 207113 687795 207123 688222
+rect 210595 687795 210605 688222
+rect 211166 687819 211176 688246
+rect 214648 687819 214658 688246
+rect 223050 688060 223060 688186
+rect 223016 687894 223060 688060
+rect 223050 687834 223060 687894
+rect 226864 688060 226874 688186
+rect 226864 687894 226915 688060
+rect 226864 687834 226874 687894
+rect 202763 685044 202773 685354
+rect 247145 685044 247155 685354
+rect 83775 660472 112339 660489
+rect 23004 660431 64063 660453
+rect 23004 660397 23042 660431
+rect 21700 660392 23042 660397
+rect 14751 660388 23042 660392
+rect 14751 660354 14834 660388
+rect 14751 660157 14801 660354
+rect 14751 660121 14834 660157
+rect 14751 660101 23042 660121
+rect 64025 660101 64063 660431
+rect 14751 660070 64063 660101
+rect 14751 660012 21718 660070
+rect 23004 660030 64063 660070
+rect 23037 660018 28912 660030
+rect 14751 659692 14783 660012
+rect 83746 659999 83756 660472
+rect 112324 660409 112339 660472
+rect 119184 660445 133061 660493
+rect 119184 660416 120252 660445
+rect 112324 660408 112754 660409
+rect 119106 660408 119389 660416
+rect 112324 660332 119389 660408
+rect 112324 660010 112657 660332
+rect 119074 660241 119389 660332
+rect 119084 660188 119389 660241
+rect 119084 660106 120252 660188
+rect 133038 660416 133061 660445
+rect 133038 660106 133067 660416
+rect 119084 660076 133067 660106
+rect 112324 659999 112334 660010
+rect 112601 659953 112657 660010
+rect 119074 660062 133067 660076
+rect 119074 659953 119177 660062
+rect 125643 660015 133067 660062
+rect 112601 659944 119177 659953
+rect 12990 659376 14703 659415
+rect 12990 659103 13065 659376
+rect 14624 659270 14703 659376
+rect 133071 659270 133081 659303
+rect 14624 659204 14991 659270
+rect 132917 659204 133081 659270
+rect 14624 659103 14703 659204
+rect 133071 659175 133081 659204
+rect 133354 659175 133364 659303
+rect 12990 659070 14703 659103
+rect 66160 658984 66170 659137
+rect 64423 658604 66170 658984
+rect 63068 658320 66170 658604
+rect 64423 657999 66170 658320
+rect 66160 657870 66170 657999
+rect 68582 658984 68592 659137
+rect 68582 657999 68603 658984
+rect 79846 658972 83253 658973
+rect 68582 657870 68592 657999
+rect 79838 657985 79848 658972
+rect 82308 658604 83253 658972
+rect 82308 658320 84035 658604
+rect 82308 657988 83253 658320
+rect 82308 657985 82318 657988
+rect 21150 657532 21160 657665
+rect 23640 657532 23650 657665
+rect 64013 657266 64962 657593
+rect 34969 657163 34979 657242
+rect 35060 657163 35070 657242
+rect 34989 656786 35045 657163
+rect 34964 656680 34974 656786
+rect 35066 656680 35076 656786
+rect 64135 656771 64962 657266
+rect 64163 656761 64962 656771
+rect 64457 656747 64962 656761
+rect 82894 657275 83827 657583
+rect 124326 657529 124336 657669
+rect 126700 657529 126710 657669
+rect 82894 656573 83702 657275
+rect 112660 657160 112670 657243
+rect 112802 657160 112812 657243
+rect 112685 656533 112741 657160
+rect 112626 656348 112636 656533
+rect 112797 656348 112807 656533
+rect 12125 655528 13406 655533
+rect 12125 655200 14468 655528
+rect 133382 655200 135242 655528
+rect 12125 652870 13406 655200
+rect 134093 653281 135239 655200
+rect 12125 651670 15784 652870
+rect 132088 652146 135239 653281
+rect 132558 652135 135239 652146
+rect 12125 651669 15583 651670
+rect 12125 651650 13406 651669
+rect 124847 637057 124857 637281
+rect 125442 637057 125452 637281
+<< via1 >>
+rect 207123 687795 210595 688222
+rect 211176 687819 214648 688246
+rect 223060 687834 226864 688186
+rect 202773 685044 247145 685354
+rect 23042 660388 64025 660431
+rect 14834 660375 64025 660388
+rect 14834 660354 23088 660375
+rect 14834 660157 21672 660354
+rect 21672 660169 23088 660354
+rect 23088 660169 28678 660375
+rect 28678 660369 64025 660375
+rect 28678 660367 35538 660369
+rect 28678 660172 28978 660367
+rect 28978 660172 34940 660367
+rect 34940 660172 35538 660367
+rect 28678 660169 35538 660172
+rect 21672 660157 35538 660169
+rect 14834 660153 35538 660157
+rect 35538 660153 63779 660369
+rect 63779 660153 64025 660369
+rect 14834 660121 64025 660153
+rect 23042 660101 64025 660121
+rect 83756 660457 112324 660472
+rect 83756 660216 84087 660457
+rect 84087 660216 112239 660457
+rect 112239 660216 112324 660457
+rect 120252 660424 133038 660445
+rect 120252 660416 124762 660424
+rect 83756 659999 112324 660216
+rect 112657 660241 119074 660332
+rect 112657 660076 112683 660241
+rect 112683 660076 119074 660241
+rect 120252 660188 124370 660416
+rect 124370 660188 124762 660416
+rect 120252 660180 124762 660188
+rect 124762 660180 132556 660424
+rect 132556 660180 133038 660424
+rect 120252 660106 133038 660180
+rect 112657 659953 119074 660076
+rect 13065 659103 14624 659376
+rect 133081 659175 133354 659303
+rect 66170 657870 68582 659137
+rect 79848 657985 82308 658972
+rect 21160 657532 23640 657665
+rect 34979 657163 35060 657242
+rect 34974 656680 35066 656786
+rect 124336 657529 126700 657669
+rect 112670 657160 112802 657243
+rect 112636 656348 112797 656533
+rect 124857 637057 125442 637281
+<< metal2 >>
+rect 211169 688703 214642 688713
+rect 207123 688623 210596 688633
+rect 214642 688246 214648 688256
+rect 211169 687865 211176 687875
+rect 223060 688186 226864 688196
+rect 223060 687824 226864 687834
+rect 211176 687809 214648 687819
+rect 207123 687785 210596 687795
+rect 202773 685354 247145 685364
+rect 202773 685034 202780 685044
+rect 247142 685034 247145 685044
+rect 202780 684701 247142 684711
+rect 83765 660846 124085 660856
+rect 23042 660657 64025 660667
+rect 14834 660388 23042 660398
+rect 14834 660111 23042 660121
+rect 23042 660091 64025 660101
+rect 83756 660472 83765 660482
+rect 124085 660445 133038 660455
+rect 112324 659999 112657 660179
+rect 83756 659989 112324 659999
+rect 119074 660106 120252 660179
+rect 119074 660096 133038 660106
+rect 119074 659999 120418 660096
+rect 112657 659943 119074 659953
+rect 2509 659760 14155 659826
+rect 2509 658727 2671 659760
+rect 5073 659386 14155 659760
+rect 133210 659558 140004 659630
+rect 5073 659376 14624 659386
+rect 5073 659103 13065 659376
+rect 133210 659313 137580 659558
+rect 133081 659303 137580 659313
+rect 133354 659175 137580 659303
+rect 133081 659165 137580 659175
+rect 5073 659093 14624 659103
+rect 66170 659137 68582 659147
+rect 5073 658727 14155 659093
+rect 2509 658656 14155 658727
+rect 79848 658972 82308 658982
+rect 133210 658887 137580 659165
+rect 139946 658887 140004 659558
+rect 133210 658850 140004 658887
+rect 79848 657975 82308 657985
+rect 66170 657860 68582 657870
+rect 21160 657690 23631 657700
+rect 23631 657665 23640 657675
+rect 21160 657522 23640 657532
+rect 124336 657669 126700 657679
+rect 124336 657519 126700 657529
+rect 34979 657242 35060 657252
+rect 32682 657177 34979 657233
+rect 34979 657153 35060 657163
+rect 112670 657243 112802 657253
+rect 112802 657177 115172 657233
+rect 112670 657150 112802 657160
+rect 73065 657028 74069 657038
+rect 34974 656786 35066 656796
+rect 35452 656766 73065 656798
+rect 35066 656710 73065 656766
+rect 34974 656670 35066 656680
+rect 35452 656678 73065 656710
+rect 112636 656533 112797 656543
+rect 74069 656363 112636 656488
+rect 112636 656338 112797 656348
+rect 73065 656212 74069 656222
+rect 144160 637403 145498 637413
+rect 125227 637291 144160 637369
+rect 124857 637281 144160 637291
+rect 125442 637057 144160 637281
+rect 124857 637047 144160 637057
+rect 125227 636972 144160 637047
+rect 145498 636972 145544 637369
+rect 144160 636927 145498 636937
+rect 1323 510540 74118 510561
+rect 1323 510538 73012 510540
+rect 1323 510236 1358 510538
+rect 2171 510260 73012 510538
+rect 74070 510260 74118 510540
+rect 2171 510236 74118 510260
+rect 1323 510212 74118 510236
+rect 1326 467320 145524 467339
+rect 1326 467316 144170 467320
+rect 1326 467014 1361 467316
+rect 2174 467014 144170 467316
+rect 1326 467013 144170 467014
+rect 145472 467013 145524 467320
+rect 1326 466990 145524 467013
+rect 524 -800 636 480
+rect 1706 -800 1818 480
+rect 2888 -800 3000 480
+rect 4070 -800 4182 480
+rect 5252 -800 5364 480
+rect 6434 -800 6546 480
+rect 7616 -800 7728 480
+rect 8798 -800 8910 480
+rect 9980 -800 10092 480
+rect 11162 -800 11274 480
+rect 12344 -800 12456 480
+rect 13526 -800 13638 480
+rect 14708 -800 14820 480
+rect 15890 -800 16002 480
+rect 17072 -800 17184 480
+rect 18254 -800 18366 480
+rect 19436 -800 19548 480
+rect 20618 -800 20730 480
+rect 21800 -800 21912 480
+rect 22982 -800 23094 480
+rect 24164 -800 24276 480
+rect 25346 -800 25458 480
+rect 26528 -800 26640 480
+rect 27710 -800 27822 480
+rect 28892 -800 29004 480
+rect 30074 -800 30186 480
+rect 31256 -800 31368 480
+rect 32438 -800 32550 480
+rect 33620 -800 33732 480
+rect 34802 -800 34914 480
+rect 35984 -800 36096 480
+rect 37166 -800 37278 480
+rect 38348 -800 38460 480
+rect 39530 -800 39642 480
+rect 40712 -800 40824 480
+rect 41894 -800 42006 480
+rect 43076 -800 43188 480
+rect 44258 -800 44370 480
+rect 45440 -800 45552 480
+rect 46622 -800 46734 480
+rect 47804 -800 47916 480
+rect 48986 -800 49098 480
+rect 50168 -800 50280 480
+rect 51350 -800 51462 480
+rect 52532 -800 52644 480
+rect 53714 -800 53826 480
+rect 54896 -800 55008 480
+rect 56078 -800 56190 480
+rect 57260 -800 57372 480
+rect 58442 -800 58554 480
+rect 59624 -800 59736 480
+rect 60806 -800 60918 480
+rect 61988 -800 62100 480
+rect 63170 -800 63282 480
+rect 64352 -800 64464 480
+rect 65534 -800 65646 480
+rect 66716 -800 66828 480
+rect 67898 -800 68010 480
+rect 69080 -800 69192 480
+rect 70262 -800 70374 480
+rect 71444 -800 71556 480
+rect 72626 -800 72738 480
+rect 73808 -800 73920 480
+rect 74990 -800 75102 480
+rect 76172 -800 76284 480
+rect 77354 -800 77466 480
+rect 78536 -800 78648 480
+rect 79718 -800 79830 480
+rect 80900 -800 81012 480
+rect 82082 -800 82194 480
+rect 83264 -800 83376 480
+rect 84446 -800 84558 480
+rect 85628 -800 85740 480
+rect 86810 -800 86922 480
+rect 87992 -800 88104 480
+rect 89174 -800 89286 480
+rect 90356 -800 90468 480
+rect 91538 -800 91650 480
+rect 92720 -800 92832 480
+rect 93902 -800 94014 480
+rect 95084 -800 95196 480
+rect 96266 -800 96378 480
+rect 97448 -800 97560 480
+rect 98630 -800 98742 480
+rect 99812 -800 99924 480
+rect 100994 -800 101106 480
+rect 102176 -800 102288 480
+rect 103358 -800 103470 480
+rect 104540 -800 104652 480
+rect 105722 -800 105834 480
+rect 106904 -800 107016 480
+rect 108086 -800 108198 480
+rect 109268 -800 109380 480
+rect 110450 -800 110562 480
+rect 111632 -800 111744 480
+rect 112814 -800 112926 480
+rect 113996 -800 114108 480
+rect 115178 -800 115290 480
+rect 116360 -800 116472 480
+rect 117542 -800 117654 480
+rect 118724 -800 118836 480
+rect 119906 -800 120018 480
+rect 121088 -800 121200 480
+rect 122270 -800 122382 480
+rect 123452 -800 123564 480
+rect 124634 -800 124746 480
+rect 125816 -800 125928 480
+rect 126998 -800 127110 480
+rect 128180 -800 128292 480
+rect 129362 -800 129474 480
+rect 130544 -800 130656 480
+rect 131726 -800 131838 480
+rect 132908 -800 133020 480
+rect 134090 -800 134202 480
+rect 135272 -800 135384 480
+rect 136454 -800 136566 480
+rect 137636 -800 137748 480
+rect 138818 -800 138930 480
+rect 140000 -800 140112 480
+rect 141182 -800 141294 480
+rect 142364 -800 142476 480
+rect 143546 -800 143658 480
+rect 144728 -800 144840 480
+rect 145910 -800 146022 480
+rect 147092 -800 147204 480
+rect 148274 -800 148386 480
+rect 149456 -800 149568 480
+rect 150638 -800 150750 480
+rect 151820 -800 151932 480
+rect 153002 -800 153114 480
+rect 154184 -800 154296 480
+rect 155366 -800 155478 480
+rect 156548 -800 156660 480
+rect 157730 -800 157842 480
+rect 158912 -800 159024 480
+rect 160094 -800 160206 480
+rect 161276 -800 161388 480
+rect 162458 -800 162570 480
+rect 163640 -800 163752 480
+rect 164822 -800 164934 480
+rect 166004 -800 166116 480
+rect 167186 -800 167298 480
+rect 168368 -800 168480 480
+rect 169550 -800 169662 480
+rect 170732 -800 170844 480
+rect 171914 -800 172026 480
+rect 173096 -800 173208 480
+rect 174278 -800 174390 480
+rect 175460 -800 175572 480
+rect 176642 -800 176754 480
+rect 177824 -800 177936 480
+rect 179006 -800 179118 480
+rect 180188 -800 180300 480
+rect 181370 -800 181482 480
+rect 182552 -800 182664 480
+rect 183734 -800 183846 480
+rect 184916 -800 185028 480
+rect 186098 -800 186210 480
+rect 187280 -800 187392 480
+rect 188462 -800 188574 480
+rect 189644 -800 189756 480
+rect 190826 -800 190938 480
+rect 192008 -800 192120 480
+rect 193190 -800 193302 480
+rect 194372 -800 194484 480
+rect 195554 -800 195666 480
+rect 196736 -800 196848 480
+rect 197918 -800 198030 480
+rect 199100 -800 199212 480
+rect 200282 -800 200394 480
+rect 201464 -800 201576 480
+rect 202646 -800 202758 480
+rect 203828 -800 203940 480
+rect 205010 -800 205122 480
+rect 206192 -800 206304 480
+rect 207374 -800 207486 480
+rect 208556 -800 208668 480
+rect 209738 -800 209850 480
+rect 210920 -800 211032 480
+rect 212102 -800 212214 480
+rect 213284 -800 213396 480
+rect 214466 -800 214578 480
+rect 215648 -800 215760 480
+rect 216830 -800 216942 480
+rect 218012 -800 218124 480
+rect 219194 -800 219306 480
+rect 220376 -800 220488 480
+rect 221558 -800 221670 480
+rect 222740 -800 222852 480
+rect 223922 -800 224034 480
+rect 225104 -800 225216 480
+rect 226286 -800 226398 480
+rect 227468 -800 227580 480
+rect 228650 -800 228762 480
+rect 229832 -800 229944 480
+rect 231014 -800 231126 480
+rect 232196 -800 232308 480
+rect 233378 -800 233490 480
+rect 234560 -800 234672 480
+rect 235742 -800 235854 480
+rect 236924 -800 237036 480
+rect 238106 -800 238218 480
+rect 239288 -800 239400 480
+rect 240470 -800 240582 480
+rect 241652 -800 241764 480
+rect 242834 -800 242946 480
+rect 244016 -800 244128 480
+rect 245198 -800 245310 480
+rect 246380 -800 246492 480
+rect 247562 -800 247674 480
+rect 248744 -800 248856 480
+rect 249926 -800 250038 480
+rect 251108 -800 251220 480
+rect 252290 -800 252402 480
+rect 253472 -800 253584 480
+rect 254654 -800 254766 480
+rect 255836 -800 255948 480
+rect 257018 -800 257130 480
+rect 258200 -800 258312 480
+rect 259382 -800 259494 480
+rect 260564 -800 260676 480
+rect 261746 -800 261858 480
+rect 262928 -800 263040 480
+rect 264110 -800 264222 480
+rect 265292 -800 265404 480
+rect 266474 -800 266586 480
+rect 267656 -800 267768 480
+rect 268838 -800 268950 480
+rect 270020 -800 270132 480
+rect 271202 -800 271314 480
+rect 272384 -800 272496 480
+rect 273566 -800 273678 480
+rect 274748 -800 274860 480
+rect 275930 -800 276042 480
+rect 277112 -800 277224 480
+rect 278294 -800 278406 480
+rect 279476 -800 279588 480
+rect 280658 -800 280770 480
+rect 281840 -800 281952 480
+rect 283022 -800 283134 480
+rect 284204 -800 284316 480
+rect 285386 -800 285498 480
+rect 286568 -800 286680 480
+rect 287750 -800 287862 480
+rect 288932 -800 289044 480
+rect 290114 -800 290226 480
+rect 291296 -800 291408 480
+rect 292478 -800 292590 480
+rect 293660 -800 293772 480
+rect 294842 -800 294954 480
+rect 296024 -800 296136 480
+rect 297206 -800 297318 480
+rect 298388 -800 298500 480
+rect 299570 -800 299682 480
+rect 300752 -800 300864 480
+rect 301934 -800 302046 480
+rect 303116 -800 303228 480
+rect 304298 -800 304410 480
+rect 305480 -800 305592 480
+rect 306662 -800 306774 480
+rect 307844 -800 307956 480
+rect 309026 -800 309138 480
+rect 310208 -800 310320 480
+rect 311390 -800 311502 480
+rect 312572 -800 312684 480
+rect 313754 -800 313866 480
+rect 314936 -800 315048 480
+rect 316118 -800 316230 480
+rect 317300 -800 317412 480
+rect 318482 -800 318594 480
+rect 319664 -800 319776 480
+rect 320846 -800 320958 480
+rect 322028 -800 322140 480
+rect 323210 -800 323322 480
+rect 324392 -800 324504 480
+rect 325574 -800 325686 480
+rect 326756 -800 326868 480
+rect 327938 -800 328050 480
+rect 329120 -800 329232 480
+rect 330302 -800 330414 480
+rect 331484 -800 331596 480
+rect 332666 -800 332778 480
+rect 333848 -800 333960 480
+rect 335030 -800 335142 480
+rect 336212 -800 336324 480
+rect 337394 -800 337506 480
+rect 338576 -800 338688 480
+rect 339758 -800 339870 480
+rect 340940 -800 341052 480
+rect 342122 -800 342234 480
+rect 343304 -800 343416 480
+rect 344486 -800 344598 480
+rect 345668 -800 345780 480
+rect 346850 -800 346962 480
+rect 348032 -800 348144 480
+rect 349214 -800 349326 480
+rect 350396 -800 350508 480
+rect 351578 -800 351690 480
+rect 352760 -800 352872 480
+rect 353942 -800 354054 480
+rect 355124 -800 355236 480
+rect 356306 -800 356418 480
+rect 357488 -800 357600 480
+rect 358670 -800 358782 480
+rect 359852 -800 359964 480
+rect 361034 -800 361146 480
+rect 362216 -800 362328 480
+rect 363398 -800 363510 480
+rect 364580 -800 364692 480
+rect 365762 -800 365874 480
+rect 366944 -800 367056 480
+rect 368126 -800 368238 480
+rect 369308 -800 369420 480
+rect 370490 -800 370602 480
+rect 371672 -800 371784 480
+rect 372854 -800 372966 480
+rect 374036 -800 374148 480
+rect 375218 -800 375330 480
+rect 376400 -800 376512 480
+rect 377582 -800 377694 480
+rect 378764 -800 378876 480
+rect 379946 -800 380058 480
+rect 381128 -800 381240 480
+rect 382310 -800 382422 480
+rect 383492 -800 383604 480
+rect 384674 -800 384786 480
+rect 385856 -800 385968 480
+rect 387038 -800 387150 480
+rect 388220 -800 388332 480
+rect 389402 -800 389514 480
+rect 390584 -800 390696 480
+rect 391766 -800 391878 480
+rect 392948 -800 393060 480
+rect 394130 -800 394242 480
+rect 395312 -800 395424 480
+rect 396494 -800 396606 480
+rect 397676 -800 397788 480
+rect 398858 -800 398970 480
+rect 400040 -800 400152 480
+rect 401222 -800 401334 480
+rect 402404 -800 402516 480
+rect 403586 -800 403698 480
+rect 404768 -800 404880 480
+rect 405950 -800 406062 480
+rect 407132 -800 407244 480
+rect 408314 -800 408426 480
+rect 409496 -800 409608 480
+rect 410678 -800 410790 480
+rect 411860 -800 411972 480
+rect 413042 -800 413154 480
+rect 414224 -800 414336 480
+rect 415406 -800 415518 480
+rect 416588 -800 416700 480
+rect 417770 -800 417882 480
+rect 418952 -800 419064 480
+rect 420134 -800 420246 480
+rect 421316 -800 421428 480
+rect 422498 -800 422610 480
+rect 423680 -800 423792 480
+rect 424862 -800 424974 480
+rect 426044 -800 426156 480
+rect 427226 -800 427338 480
+rect 428408 -800 428520 480
+rect 429590 -800 429702 480
+rect 430772 -800 430884 480
+rect 431954 -800 432066 480
+rect 433136 -800 433248 480
+rect 434318 -800 434430 480
+rect 435500 -800 435612 480
+rect 436682 -800 436794 480
+rect 437864 -800 437976 480
+rect 439046 -800 439158 480
+rect 440228 -800 440340 480
+rect 441410 -800 441522 480
+rect 442592 -800 442704 480
+rect 443774 -800 443886 480
+rect 444956 -800 445068 480
+rect 446138 -800 446250 480
+rect 447320 -800 447432 480
+rect 448502 -800 448614 480
+rect 449684 -800 449796 480
+rect 450866 -800 450978 480
+rect 452048 -800 452160 480
+rect 453230 -800 453342 480
+rect 454412 -800 454524 480
+rect 455594 -800 455706 480
+rect 456776 -800 456888 480
+rect 457958 -800 458070 480
+rect 459140 -800 459252 480
+rect 460322 -800 460434 480
+rect 461504 -800 461616 480
+rect 462686 -800 462798 480
+rect 463868 -800 463980 480
+rect 465050 -800 465162 480
+rect 466232 -800 466344 480
+rect 467414 -800 467526 480
+rect 468596 -800 468708 480
+rect 469778 -800 469890 480
+rect 470960 -800 471072 480
+rect 472142 -800 472254 480
+rect 473324 -800 473436 480
+rect 474506 -800 474618 480
+rect 475688 -800 475800 480
+rect 476870 -800 476982 480
+rect 478052 -800 478164 480
+rect 479234 -800 479346 480
+rect 480416 -800 480528 480
+rect 481598 -800 481710 480
+rect 482780 -800 482892 480
+rect 483962 -800 484074 480
+rect 485144 -800 485256 480
+rect 486326 -800 486438 480
+rect 487508 -800 487620 480
+rect 488690 -800 488802 480
+rect 489872 -800 489984 480
+rect 491054 -800 491166 480
+rect 492236 -800 492348 480
+rect 493418 -800 493530 480
+rect 494600 -800 494712 480
+rect 495782 -800 495894 480
+rect 496964 -800 497076 480
+rect 498146 -800 498258 480
+rect 499328 -800 499440 480
+rect 500510 -800 500622 480
+rect 501692 -800 501804 480
+rect 502874 -800 502986 480
+rect 504056 -800 504168 480
+rect 505238 -800 505350 480
+rect 506420 -800 506532 480
+rect 507602 -800 507714 480
+rect 508784 -800 508896 480
+rect 509966 -800 510078 480
+rect 511148 -800 511260 480
+rect 512330 -800 512442 480
+rect 513512 -800 513624 480
+rect 514694 -800 514806 480
+rect 515876 -800 515988 480
+rect 517058 -800 517170 480
+rect 518240 -800 518352 480
+rect 519422 -800 519534 480
+rect 520604 -800 520716 480
+rect 521786 -800 521898 480
+rect 522968 -800 523080 480
+rect 524150 -800 524262 480
+rect 525332 -800 525444 480
+rect 526514 -800 526626 480
+rect 527696 -800 527808 480
+rect 528878 -800 528990 480
+rect 530060 -800 530172 480
+rect 531242 -800 531354 480
+rect 532424 -800 532536 480
+rect 533606 -800 533718 480
+rect 534788 -800 534900 480
+rect 535970 -800 536082 480
+rect 537152 -800 537264 480
+rect 538334 -800 538446 480
+rect 539516 -800 539628 480
+rect 540698 -800 540810 480
+rect 541880 -800 541992 480
+rect 543062 -800 543174 480
+rect 544244 -800 544356 480
+rect 545426 -800 545538 480
+rect 546608 -800 546720 480
+rect 547790 -800 547902 480
+rect 548972 -800 549084 480
+rect 550154 -800 550266 480
+rect 551336 -800 551448 480
+rect 552518 -800 552630 480
+rect 553700 -800 553812 480
+rect 554882 -800 554994 480
+rect 556064 -800 556176 480
+rect 557246 -800 557358 480
+rect 558428 -800 558540 480
+rect 559610 -800 559722 480
+rect 560792 -800 560904 480
+rect 561974 -800 562086 480
+rect 563156 -800 563268 480
+rect 564338 -800 564450 480
+rect 565520 -800 565632 480
+rect 566702 -800 566814 480
+rect 567884 -800 567996 480
+rect 569066 -800 569178 480
+rect 570248 -800 570360 480
+rect 571430 -800 571542 480
+rect 572612 -800 572724 480
+rect 573794 -800 573906 480
+rect 574976 -800 575088 480
+rect 576158 -800 576270 480
+rect 577340 -800 577452 480
+rect 578522 -800 578634 480
+rect 579704 -800 579816 480
+rect 580886 -800 580998 480
+rect 582068 -800 582180 480
+rect 583250 -800 583362 480
+<< via2 >>
+rect 207123 688222 210596 688623
+rect 207123 687795 210595 688222
+rect 210595 687795 210596 688222
+rect 211169 688246 214642 688703
+rect 211169 687875 211176 688246
+rect 211176 687875 214642 688246
+rect 223060 687834 226864 688186
+rect 202780 685044 247142 685291
+rect 202780 684711 247142 685044
+rect 23042 660431 64025 660657
+rect 23042 660101 64025 660431
+rect 83765 660472 124085 660846
+rect 83765 660179 112324 660472
+rect 112324 660445 124085 660472
+rect 112324 660332 120252 660445
+rect 112324 660179 112657 660332
+rect 112657 660179 119074 660332
+rect 119074 660179 120252 660332
+rect 120252 660179 124085 660445
+rect 2671 658727 5073 659760
+rect 66170 657870 68582 659137
+rect 79848 657985 82308 658972
+rect 137580 658887 139946 659558
+rect 21160 657665 23631 657690
+rect 21160 657532 23631 657665
+rect 124336 657529 126700 657669
+rect 73065 656222 74069 657028
+rect 144160 636937 145498 637403
+rect 1358 510236 2171 510538
+rect 73012 510260 74070 510540
+rect 1361 467014 2174 467316
+rect 144170 467013 145472 467320
+<< metal3 >>
+rect 16194 702300 21194 704800
+rect 68194 702300 73194 704800
+rect 120194 702300 125194 704800
+rect 165594 702300 170594 704800
+rect 170894 702300 173094 704800
+rect 173394 702300 175594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 222594 702300 223658 704800
+rect 226242 702300 227294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 324294 702300 326494 704800
+rect 326794 702300 328994 704800
+rect 329294 702300 334294 704800
+rect 413394 702300 418394 704800
+rect 465394 702300 470394 704800
+rect 510594 704716 515394 704800
+rect 520594 704716 525394 704800
+rect 17496 693341 19996 702300
+rect 69842 699968 72342 702300
+rect 69842 697468 82326 699968
+rect 17498 693242 19996 693341
+rect 17498 690824 17558 693242
+rect 19943 690824 19996 693242
+rect 17498 690746 19996 690824
+rect 66130 693229 68630 693269
+rect 66130 690828 66188 693229
+rect 68553 690828 68630 693229
+rect -800 683796 1700 685242
+rect -800 681296 5105 683796
+rect 21882 681701 21892 683906
+rect 22854 681701 22864 683906
+rect -800 680242 1700 681296
+rect 2605 659760 5105 681296
+rect 2605 658727 2671 659760
+rect 5073 658727 5105 659760
+rect -800 643842 1660 648642
+rect -800 633842 1660 638642
+rect 2605 611726 5105 658727
+rect 21889 657695 22824 681701
+rect 23032 660101 23042 661269
+rect 64017 660662 64027 661269
+rect 64017 660657 64035 660662
+rect 64025 660101 64035 660657
+rect 23032 660096 64035 660101
+rect 66130 659137 68630 690828
+rect 66130 657870 66170 659137
+rect 68582 657870 68630 659137
+rect 79826 658972 82326 697468
+rect 218640 697309 221140 702300
+rect 93805 695867 95820 695904
+rect 93805 693909 93859 695867
+rect 95764 693909 95820 695867
+rect 211648 693981 211658 695778
+rect 214091 695500 214101 695778
+rect 214091 693981 214151 695500
+rect 218640 694902 218717 697309
+rect 221066 694902 221140 697309
+rect 218640 694879 221140 694902
+rect 223860 697338 226360 697368
+rect 223860 694931 223921 697338
+rect 226270 694931 226360 697338
+rect 93805 683893 95820 693909
+rect 207536 692355 210036 692388
+rect 124995 692109 125005 692310
+rect 93805 681853 93843 683893
+rect 93833 681797 93843 681853
+rect 95721 681853 95820 683893
+rect 124989 690355 125005 692109
+rect 125955 690355 125965 692310
+rect 95721 681797 95731 681853
+rect 83755 660179 83765 661487
+rect 124071 660851 124081 661487
+rect 124071 660846 124095 660851
+rect 124085 660179 124095 660846
+rect 83755 660174 124095 660179
+rect 79826 657985 79848 658972
+rect 82308 657985 82326 658972
+rect 79826 657959 82326 657985
+rect 21150 657690 23641 657695
+rect 21150 657532 21160 657690
+rect 23631 657532 23641 657690
+rect 66130 657635 68630 657870
+rect 124989 657674 125924 690355
+rect 207536 690328 207591 692355
+rect 209950 690328 210036 692355
+rect 207536 688628 210036 690328
+rect 211651 688708 214151 693981
+rect 211159 688703 214652 688708
+rect 207113 688623 210606 688628
+rect 207113 687795 207123 688623
+rect 210596 687795 210606 688623
+rect 211159 687875 211169 688703
+rect 214642 687875 214652 688703
+rect 223860 688191 226360 694931
+rect 228892 697352 231392 702300
+rect 510296 701790 510306 704716
+rect 510456 697694 510466 701790
+rect 525573 697694 525583 704716
+rect 566594 702300 571594 704800
+rect 228892 694945 228965 697352
+rect 231314 694945 231392 697352
+rect 228892 694833 231392 694945
+rect 211159 687870 214652 687875
+rect 223050 688186 226874 688191
+rect 223050 687834 223060 688186
+rect 226864 687834 226874 688186
+rect 223050 687829 226874 687834
+rect 207113 687790 210606 687795
+rect 202770 685291 247152 685296
+rect 202770 684711 202780 685291
+rect 247142 684711 247152 685291
+rect 202770 684706 202805 684711
+rect 202795 684082 202805 684706
+rect 247109 684706 247152 684711
+rect 247109 684082 247119 684706
+rect 582300 677984 584800 682984
+rect 137480 659558 139980 659593
+rect 137480 658887 137580 659558
+rect 139946 658887 139980 659558
+rect 124326 657669 126710 657674
+rect 21150 657527 23641 657532
+rect 124326 657529 124336 657669
+rect 126700 657529 126710 657669
+rect 124326 657524 126710 657529
+rect 72999 657028 74122 657160
+rect 72999 656222 73065 657028
+rect 74069 656222 74122 657028
+rect 14417 621627 14427 624619
+rect 64644 621627 64654 624619
+rect 2605 607134 2646 611726
+rect 2636 607093 2646 607134
+rect 5007 607134 5105 611726
+rect 5007 607093 5017 607134
+rect -800 559442 1660 564242
+rect -800 549442 1660 554242
+rect -800 511530 480 511642
+rect 1348 510538 2181 510543
+rect 1348 510460 1358 510538
+rect -800 510348 1358 510460
+rect 1292 510340 1358 510348
+rect 1348 510236 1358 510340
+rect 2171 510236 2181 510538
+rect 1348 510231 2181 510236
+rect 72999 510540 74122 656222
+rect 83142 622007 83152 624630
+rect 133410 622007 133420 624630
+rect 137480 611839 139980 658887
+rect 582340 639784 584800 644584
+rect 137480 607168 137755 611839
+rect 139770 607168 139980 611839
+rect 137480 607076 139980 607168
+rect 144145 637403 145521 637418
+rect 144145 636937 144160 637403
+rect 145498 636937 145521 637403
+rect 72999 510260 73012 510540
+rect 74070 510260 74122 510540
+rect 72999 510192 74122 510260
+rect -800 509166 480 509278
+rect -800 507984 490 508096
+rect -800 506802 480 506914
+rect -800 505620 480 505732
+rect -800 468308 480 468420
+rect 1351 467316 2184 467321
+rect -800 467230 480 467238
+rect 1351 467230 1361 467316
+rect -800 467126 1361 467230
+rect 304 467118 1361 467126
+rect 1351 467014 1361 467118
+rect 2174 467014 2184 467316
+rect 1351 467009 2184 467014
+rect 144145 467320 145521 636937
+rect 582340 629784 584800 634584
+rect 583554 589472 584800 589584
+rect 583554 588290 584800 588402
+rect 583554 587108 584800 587220
+rect 583554 585926 584800 586038
+rect 583554 584744 584800 584856
+rect 583554 583562 584800 583674
+rect 582340 555256 584800 555362
+rect 582340 554118 582403 555256
+rect 584710 554118 584800 555256
+rect 582330 551658 582340 554118
+rect 584800 551658 584810 554118
+rect 582340 550629 582403 551658
+rect 584710 550629 584800 551658
+rect 582340 550562 584800 550629
+rect 582340 545159 584800 545362
+rect 582340 540677 582466 545159
+rect 584684 540677 584800 545159
+rect 582340 540562 584800 540677
+rect 583520 500050 584800 500162
+rect 583520 498868 584800 498980
+rect 583520 497686 584800 497798
+rect 583520 496504 584800 496616
+rect 583520 495322 584800 495434
+rect 583520 494140 584800 494252
+rect 144145 467013 144170 467320
+rect 145472 467013 145521 467320
+rect 144145 466948 145521 467013
+rect -800 465944 480 466056
+rect -800 464872 1188 464874
+rect -800 464762 1508 464872
+rect 253 464760 1508 464762
+rect -800 463580 480 463692
+rect -800 462398 480 462510
+rect 583520 455628 584800 455740
+rect 583520 454446 584800 454558
+rect 583520 453264 584800 453376
+rect 583520 452082 584800 452194
+rect 583520 450900 584800 451012
+rect 583520 449718 584800 449830
+rect -800 425086 480 425198
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect -800 421540 480 421652
+rect -800 420358 480 420470
+rect -800 419176 480 419288
+rect 583520 411206 584800 411318
+rect 583520 410024 584800 410136
+rect 583520 408842 584800 408954
+rect 583520 407660 584800 407772
+rect 583520 406478 584800 406590
+rect 583520 405296 584800 405408
+rect -800 381864 480 381976
+rect -800 380682 480 380794
+rect -800 379500 480 379612
+rect -800 378318 480 378430
+rect -800 377136 480 377248
+rect -800 375954 480 376066
+rect 583520 364784 584800 364896
+rect 583520 363602 584800 363714
+rect 583520 362420 584800 362532
+rect 583520 361238 584800 361350
+rect 583520 360056 584800 360168
+rect 583520 358874 584800 358986
+rect -800 338642 480 338754
+rect -800 337460 480 337572
+rect -800 336278 480 336390
+rect -800 335096 480 335208
+rect -800 333914 480 334026
+rect -800 332732 480 332844
+rect 583520 319562 584800 319674
+rect 583520 318380 584800 318492
+rect 583520 317198 584800 317310
+rect 583520 316016 584800 316128
+rect 583520 314834 584800 314946
+rect 583520 313652 584800 313764
+rect -800 295420 480 295532
+rect -800 294238 480 294350
+rect -800 293056 480 293168
+rect -800 291874 480 291986
+rect -800 290692 480 290804
+rect -800 289510 480 289622
+rect 583520 275140 584800 275252
+rect 583520 273958 584800 274070
+rect 583520 272776 584800 272888
+rect 583520 271594 584800 271706
+rect 583520 270412 584800 270524
+rect 583520 269230 584800 269342
+rect -800 252398 480 252510
+rect -800 251216 480 251328
+rect -800 250034 480 250146
+rect -800 248852 480 248964
+rect -800 247670 480 247782
+rect -800 246488 480 246600
+rect 578927 224950 578937 240589
+rect 584001 240030 584011 240589
+rect 584001 235230 584800 240030
+rect 584001 230030 584011 235230
+rect 584001 225230 584800 230030
+rect 584001 224950 584011 225230
+rect -800 214888 1660 219688
+rect -800 204888 1660 209688
+rect 582340 191430 584800 196230
+rect 582340 181430 584800 186230
+rect -800 172888 1660 177688
+rect -800 162888 1660 167688
+rect 582340 151577 584800 151630
+rect 578897 136610 578907 151577
+rect 583774 146830 584800 151577
+rect 583774 141630 583784 146830
+rect 583774 136830 584800 141630
+rect 583774 136610 583784 136830
+rect -800 124776 480 124888
+rect -800 123594 480 123706
+rect -800 122412 480 122524
+rect -800 121230 480 121342
+rect -800 120048 480 120160
+rect -800 118866 480 118978
+rect 583520 95118 584800 95230
+rect 583520 93936 584800 94048
+rect 583520 92754 584800 92866
+rect 583520 91572 584800 91684
+rect -800 81554 480 81666
+rect -800 80372 480 80484
+rect -800 79190 480 79302
+rect -800 78008 480 78120
+rect -800 76826 480 76938
+rect -800 75644 480 75756
+rect 583520 50460 584800 50572
+rect 583520 49278 584800 49390
+rect 583520 48096 584800 48208
+rect 583520 46914 584800 47026
+rect -800 38332 480 38444
+rect -800 37150 480 37262
+rect -800 35968 480 36080
+rect -800 34786 480 34898
+rect -800 33604 480 33716
+rect -800 32422 480 32534
+rect 583520 24002 584800 24114
+rect 583520 22820 584800 22932
+rect 583520 21638 584800 21750
+rect 583520 20456 584800 20568
+rect 583520 19274 584800 19386
+rect 583520 18092 584800 18204
+rect -800 16910 480 17022
+rect 583520 16910 584800 17022
+rect -800 15728 480 15840
+rect 583520 15728 584800 15840
+rect -800 14546 480 14658
+rect 583520 14546 584800 14658
+rect -800 13364 480 13476
+rect 583520 13364 584800 13476
+rect -800 12182 480 12294
+rect 583520 12182 584800 12294
+rect -800 11000 480 11112
+rect 583520 11000 584800 11112
+rect -800 9818 480 9930
+rect 583520 9818 584800 9930
+rect -800 8636 480 8748
+rect 583520 8636 584800 8748
+rect -800 7454 480 7566
+rect 583520 7454 584800 7566
+rect -800 6272 480 6384
+rect 583520 6272 584800 6384
+rect -800 5090 480 5202
+rect 583520 5090 584800 5202
+rect -800 3908 480 4020
+rect 583520 3908 584800 4020
+rect -800 2726 480 2838
+rect 583520 2726 584800 2838
+rect -800 1544 480 1656
+rect 583520 1544 584800 1656
+<< via3 >>
+rect 17558 690824 19943 693242
+rect 66188 690828 68553 693229
+rect 21892 681701 22854 683906
+rect 23042 660657 64017 661269
+rect 23042 660101 64017 660657
+rect 93859 693909 95764 695867
+rect 211658 693981 214091 695778
+rect 218717 694902 221066 697309
+rect 223921 694931 226270 697338
+rect 93843 681797 95721 683893
+rect 125005 690355 125955 692310
+rect 83765 660846 124071 661487
+rect 83765 660179 124071 660846
+rect 207591 690328 209950 692355
+rect 510306 701790 525573 704716
+rect 510466 697694 525573 701790
+rect 228965 694945 231314 697352
+rect 202780 684711 247142 685291
+rect 202805 684082 247109 684711
+rect 14427 621627 64644 624619
+rect 2646 607093 5007 611726
+rect 83152 622007 133410 624630
+rect 137755 607168 139770 611839
+rect 582403 554118 584710 555256
+rect 582340 551658 584800 554118
+rect 582403 550629 584710 551658
+rect 582466 540677 584684 545159
+rect 578937 224950 584001 240589
+rect 578907 136610 583774 151577
+<< metal4 >>
+rect 510305 704716 525574 704717
+rect 510305 701790 510306 704716
+rect 510305 701789 510466 701790
+rect 510465 697694 510466 701789
+rect 525573 697694 525574 704716
+rect 510465 697693 525574 697694
+rect 218687 697352 231368 697368
+rect 218687 697338 228965 697352
+rect 218687 697309 223921 697338
+rect 93805 695867 214125 695904
+rect 93805 693909 93859 695867
+rect 95764 695778 214125 695867
+rect 95764 693981 211658 695778
+rect 214091 693981 214125 695778
+rect 218687 694902 218717 697309
+rect 221066 694931 223921 697309
+rect 226270 694945 228965 697338
+rect 231314 694945 231368 697352
+rect 226270 694931 231368 694945
+rect 221066 694902 231368 694931
+rect 218687 694868 231368 694902
+rect 95764 693909 214125 693981
+rect 93805 693889 214125 693909
+rect 17498 693242 68630 693269
+rect 17498 690824 17558 693242
+rect 19943 693229 68630 693242
+rect 19943 690828 66188 693229
+rect 68553 690828 68630 693229
+rect 207590 692355 209951 692356
+rect 207590 692349 207591 692355
+rect 19943 690824 68630 690828
+rect 17498 690769 68630 690824
+rect 124977 692310 207591 692349
+rect 124977 690355 125005 692310
+rect 125955 690355 207591 692310
+rect 124977 690334 207591 690355
+rect 207590 690328 207591 690334
+rect 209950 690328 209951 692355
+rect 207590 690327 209951 690328
+rect 202779 685291 247143 685292
+rect 202779 685235 202780 685291
+rect 247142 684711 247143 685291
+rect 247109 684710 247143 684711
+rect 21910 683907 95867 683939
+rect 21891 683906 95867 683907
+rect 21891 681701 21892 683906
+rect 22854 683893 95867 683906
+rect 22854 681797 93843 683893
+rect 95721 681797 95867 683893
+rect 247109 684081 247110 684710
+rect 22854 681710 95867 681797
+rect 22854 681701 22855 681710
+rect 21891 681700 22855 681701
+rect 31160 677786 260437 677902
+rect 31160 677668 101968 677786
+rect 46493 673232 101968 677668
+rect 117567 677761 260437 677786
+rect 117567 673232 216750 677761
+rect 46493 673154 216750 673232
+rect 31160 673124 216750 673154
+rect 232071 673124 260437 677761
+rect 31160 673035 260437 673124
+rect 274962 677887 467817 677902
+rect 274962 673047 452327 677887
+rect 467023 673047 467817 677887
+rect 274962 673035 467817 673047
+rect 23041 660101 23042 661270
+rect 64017 660101 64018 661270
+rect 83764 660179 83765 661488
+rect 83764 660178 124072 660179
+rect 23041 660100 64018 660101
+rect 14426 624619 64645 624620
+rect 14426 624437 14427 624619
+rect 64644 621627 64645 624619
+rect 64282 621626 64645 621627
+rect 2575 611840 139145 611907
+rect 2575 611839 139771 611840
+rect 2575 611726 137755 611839
+rect 2575 607093 2646 611726
+rect 5007 607168 137755 611726
+rect 139770 607168 139771 611839
+rect 5007 607167 139771 607168
+rect 5007 607093 139145 607167
+rect 2575 607040 139145 607093
+rect 30038 599282 561785 599316
+rect 30038 583701 30180 599282
+rect 46130 599011 561785 599282
+rect 46130 598859 510429 599011
+rect 46130 583701 100668 598859
+rect 30038 583445 100668 583701
+rect 116512 598747 510429 598859
+rect 116512 583865 338602 598747
+rect 353942 583865 510429 598747
+rect 116512 583750 510429 583865
+rect 525629 598800 561785 599011
+rect 525629 584066 546176 598800
+rect 561217 584066 561785 598800
+rect 525629 583750 561785 584066
+rect 116512 583445 561785 583750
+rect 20619 555772 584769 555900
+rect 32921 555641 584769 555772
+rect 32921 540306 216832 555641
+rect 232047 555640 584769 555641
+rect 232047 540435 259862 555640
+rect 275226 555601 584769 555640
+rect 275226 540435 452164 555601
+rect 232047 540306 452164 540435
+rect 32921 540202 452164 540306
+rect 20619 540149 452164 540202
+rect 467493 555256 584769 555601
+rect 467493 554118 582403 555256
+rect 584710 554119 584769 555256
+rect 584710 554118 584801 554119
+rect 467493 551658 582340 554118
+rect 584800 551658 584801 554118
+rect 467493 550629 582403 551658
+rect 584710 551657 584801 551658
+rect 584710 550629 584769 551657
+rect 467493 549950 584769 550629
+rect 467493 546246 582340 549950
+rect 467493 545159 585071 546246
+rect 467493 540677 582466 545159
+rect 584684 540677 585071 545159
+rect 467493 540149 585071 540677
+rect 20619 540029 585071 540149
+rect 102586 432559 557291 432965
+rect 102586 432558 338894 432559
+rect 116402 417742 338894 432558
+rect 354227 432093 557291 432559
+rect 354227 417742 545705 432093
+rect 116402 417422 545705 417742
+rect 102586 417276 545705 417422
+rect 102586 417094 557291 417276
+rect 21612 378918 466454 379014
+rect 21612 378759 452093 378918
+rect 21612 378616 259965 378759
+rect 33021 363349 259965 378616
+rect 21612 363295 259965 363349
+rect 275183 363464 452093 378759
+rect 275183 363295 466454 363464
+rect 21612 363143 466454 363295
+rect 22911 240605 583937 240685
+rect 32695 240590 583937 240605
+rect 32695 240589 584002 240590
+rect 32695 240427 578937 240589
+rect 32695 225260 259755 240427
+rect 275011 240355 578937 240427
+rect 275011 225376 452031 240355
+rect 467535 225376 578937 240355
+rect 275011 225260 578937 225376
+rect 32695 225102 578937 225260
+rect 22911 225078 578937 225102
+rect 563330 224950 578937 225078
+rect 584001 224950 584002 240589
+rect 563330 224949 584002 224950
+rect 563330 224854 583937 224949
+rect 100326 151653 584154 151892
+rect 100326 151638 338641 151653
+rect 100326 136586 100582 151638
+rect 116556 136586 338641 151638
+rect 100326 136461 338641 136586
+rect 354383 151577 584154 151653
+rect 354383 136610 578907 151577
+rect 583774 136610 584154 151577
+rect 354383 136461 584154 136610
+rect 100326 136443 584154 136461
+<< via4 >>
+rect 510306 701790 525573 704716
+rect 510466 697694 525573 701790
+rect 202733 684711 202780 685235
+rect 202780 684711 247109 685235
+rect 202733 684082 202805 684711
+rect 202805 684082 247109 684711
+rect 202733 683217 247109 684082
+rect 31049 673154 46493 677668
+rect 101968 673232 117567 677786
+rect 216750 673124 232071 677761
+rect 260437 671893 274962 678175
+rect 452327 673047 467023 677887
+rect 23042 661269 64017 661882
+rect 23042 660101 64017 661269
+rect 83765 661487 124085 662834
+rect 83765 660179 124071 661487
+rect 124071 660179 124085 661487
+rect 83049 624683 133410 624733
+rect 82973 624630 133488 624683
+rect 14337 621627 14427 624437
+rect 14427 621627 64282 624437
+rect 14337 618001 64282 621627
+rect 82973 622007 83152 624630
+rect 83152 622007 133410 624630
+rect 133410 622007 133488 624630
+rect 82973 617893 133488 622007
+rect 30180 583701 46130 599282
+rect 100668 583221 116512 598859
+rect 338602 583865 353942 598747
+rect 510429 583750 525629 599011
+rect 546176 584066 561217 598800
+rect 17921 540202 32921 555772
+rect 216832 540306 232047 555641
+rect 259862 540435 275226 555640
+rect 452164 540149 467493 555601
+rect 101051 417422 116402 432558
+rect 338894 417742 354227 432559
+rect 545705 417276 561038 432093
+rect 17721 363349 33021 378616
+rect 259965 363295 275183 378759
+rect 452093 363464 467213 378918
+rect 17911 225102 32695 240605
+rect 259755 225260 275011 240427
+rect 452031 225376 467535 240355
+rect 100582 136586 116556 151638
+rect 338641 136461 354383 151653
+<< metal5 >>
+rect 510282 704716 525597 704740
+rect 510282 703705 510306 704716
+rect 510173 701790 510306 703705
+rect 525573 703705 525597 704716
+rect 510173 697694 510466 701790
+rect 525573 697694 525839 703705
+rect 202709 685235 247133 685259
+rect 202709 683217 202733 685235
+rect 247109 683217 247133 685235
+rect 202709 683193 247133 683217
+rect 30960 677668 46833 678350
+rect 30960 673154 31049 677668
+rect 46493 673154 46833 677668
+rect 101944 677786 117591 677810
+rect 101944 677524 101968 677786
+rect 30960 661906 46833 673154
+rect 101864 673232 101968 677524
+rect 117567 677524 117591 677786
+rect 216581 677761 232247 683193
+rect 117567 673232 117672 677524
+rect 101864 662858 117672 673232
+rect 216581 673124 216750 677761
+rect 232071 673124 232247 677761
+rect 83741 662834 124109 662858
+rect 23018 661882 64041 661906
+rect 23018 660101 23042 661882
+rect 64017 660101 64041 661882
+rect 83741 660179 83765 662834
+rect 124085 660179 124109 662834
+rect 83741 660155 124109 660179
+rect 23018 660077 64041 660101
+rect 83025 624733 133434 624757
+rect 83025 624707 83049 624733
+rect 82949 624683 83049 624707
+rect 133410 624707 133434 624733
+rect 133410 624683 133512 624707
+rect 14313 624437 64306 624461
+rect 14313 618001 14337 624437
+rect 64282 618001 64306 624437
+rect 14313 617977 64306 618001
+rect 30017 599282 46173 617977
+rect 82949 617893 82973 624683
+rect 133488 617893 133512 624683
+rect 82949 617869 133512 617893
+rect 30017 586019 30180 599282
+rect 30156 583701 30180 586019
+rect 46130 586019 46173 599282
+rect 100463 598859 116619 617869
+rect 46130 583701 46154 586019
+rect 30156 583677 46154 583701
+rect 100463 583221 100668 598859
+rect 116512 583221 116619 598859
+rect 17569 555772 33235 556317
+rect 17569 540202 17921 555772
+rect 32921 540202 33235 555772
+rect 17569 378616 33235 540202
+rect 17569 363349 17721 378616
+rect 33021 363349 33235 378616
+rect 17569 240605 33235 363349
+rect 17569 225102 17911 240605
+rect 32695 225102 33235 240605
+rect 17569 224794 33235 225102
+rect 100463 432558 116619 583221
+rect 216581 555641 232247 673124
+rect 216581 540306 216832 555641
+rect 232047 540306 232247 555641
+rect 216581 540080 232247 540306
+rect 259666 678175 275332 678276
+rect 259666 671893 260437 678175
+rect 274962 671893 275332 678175
+rect 259666 555640 275332 671893
+rect 451976 677887 467642 678029
+rect 451976 673047 452327 677887
+rect 467023 673047 467642 677887
+rect 338578 598747 353966 598771
+rect 338578 597776 338602 598747
+rect 259666 540435 259862 555640
+rect 275226 540435 275332 555640
+rect 100463 417422 101051 432558
+rect 116402 417422 116619 432558
+rect 100463 151638 116619 417422
+rect 259666 378759 275332 540435
+rect 259666 363295 259965 378759
+rect 275183 363295 275332 378759
+rect 259666 240427 275332 363295
+rect 259666 225941 259755 240427
+rect 259731 225260 259755 225941
+rect 275011 225941 275332 240427
+rect 338385 583865 338602 597776
+rect 353942 597776 353966 598747
+rect 353942 583865 354541 597776
+rect 338385 432559 354541 583865
+rect 338385 417742 338894 432559
+rect 354227 417742 354541 432559
+rect 275011 225260 275035 225941
+rect 259731 225236 275035 225260
+rect 100463 136586 100582 151638
+rect 116556 136586 116619 151638
+rect 338385 151653 354541 417742
+rect 451976 555601 467642 673047
+rect 510173 599011 525839 697694
+rect 510173 584602 510429 599011
+rect 510405 583750 510429 584602
+rect 525629 584602 525839 599011
+rect 546152 598800 561241 598824
+rect 546152 598734 546176 598800
+rect 525629 583750 525653 584602
+rect 510405 583726 525653 583750
+rect 545384 584066 546176 598734
+rect 561217 598734 561241 598800
+rect 561217 584066 561540 598734
+rect 451976 540149 452164 555601
+rect 467493 540149 467642 555601
+rect 451976 378918 467642 540149
+rect 451976 363464 452093 378918
+rect 467213 363464 467642 378918
+rect 451976 240355 467642 363464
+rect 451976 227015 452031 240355
+rect 452007 225376 452031 227015
+rect 467535 227015 467642 240355
+rect 545384 432093 561540 584066
+rect 545384 417276 545705 432093
+rect 561038 417276 561540 432093
+rect 467535 225376 467559 227015
+rect 452007 225352 467559 225376
+rect 338385 136722 338641 151653
+rect 100463 136311 116619 136586
+rect 338617 136461 338641 136722
+rect 354383 136722 354541 151653
+rect 545384 137728 561540 417276
+rect 354383 136461 354407 136722
+rect 338617 136437 354407 136461
+<< comment >>
+rect -100 704000 584100 704100
+rect -100 0 0 704000
+rect 584000 0 584100 704000
+rect -100 -100 584100 0
+use bias  bias_0
+timestamp 1624049879
+transform 1 0 202834 0 -1 687483
+box -54 -412 44317 2238
+use top_pll_v1 *top_pll_v1_0
+timestamp 1624049879
+transform 1 0 14782 0 1 657248
+box -642 -33679 50180 2860
+use top_pll_v2  top_pll_v2_0
+timestamp 1624054096
+transform -1 0 133068 0 1 657248
+box -642 -33679 50180 2860
+<< labels >>
+flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
+port 0 nsew signal bidirectional
+flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
+port 1 nsew signal bidirectional
+flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
+port 2 nsew signal bidirectional
+flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
+port 3 nsew signal bidirectional
+flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
+port 4 nsew signal bidirectional
+flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
+port 5 nsew signal bidirectional
+flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
+port 6 nsew signal bidirectional
+flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
+port 7 nsew signal bidirectional
+flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
+port 8 nsew signal bidirectional
+flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
+port 9 nsew signal bidirectional
+flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
+port 10 nsew signal bidirectional
+flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
+port 11 nsew signal bidirectional
+flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
+port 12 nsew signal bidirectional
+flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
+port 13 nsew signal bidirectional
+flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
+port 14 nsew signal bidirectional
+flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
+port 15 nsew signal bidirectional
+flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
+port 16 nsew signal bidirectional
+flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
+port 17 nsew signal bidirectional
+flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
+port 18 nsew signal bidirectional
+flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
+port 19 nsew signal bidirectional
+flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
+port 20 nsew signal bidirectional
+flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
+port 21 nsew signal bidirectional
+flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
+port 22 nsew signal bidirectional
+flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
+port 23 nsew signal bidirectional
+flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
+port 24 nsew signal bidirectional
+flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
+port 25 nsew signal bidirectional
+flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
+port 26 nsew signal bidirectional
+flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
+port 27 nsew signal bidirectional
+flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
+port 28 nsew signal bidirectional
+flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
+port 29 nsew signal bidirectional
+flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
+port 30 nsew signal bidirectional
+flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
+port 31 nsew signal bidirectional
+flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
+port 32 nsew signal bidirectional
+flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
+port 33 nsew signal bidirectional
+flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
+port 34 nsew signal bidirectional
+flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
+port 35 nsew signal bidirectional
+flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
+port 36 nsew signal bidirectional
+flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
+port 37 nsew signal bidirectional
+flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
+port 38 nsew signal bidirectional
+flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
+port 39 nsew signal bidirectional
+flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
+port 40 nsew signal bidirectional
+flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
+port 44 nsew signal bidirectional
+flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
+port 45 nsew signal bidirectional
+flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
+port 46 nsew signal bidirectional
+flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
+port 50 nsew signal bidirectional
+flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
+port 51 nsew signal bidirectional
+flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
+port 52 nsew signal bidirectional
+flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
+port 53 nsew signal bidirectional
+flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
+port 54 nsew signal bidirectional
+flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
+port 55 nsew signal bidirectional
+flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
+port 56 nsew signal input
+flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
+port 57 nsew signal input
+flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
+port 58 nsew signal input
+flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
+port 59 nsew signal input
+flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
+port 60 nsew signal input
+flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
+port 61 nsew signal input
+flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
+port 62 nsew signal input
+flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
+port 63 nsew signal input
+flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
+port 64 nsew signal input
+flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
+port 65 nsew signal input
+flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
+port 66 nsew signal input
+flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
+port 67 nsew signal input
+flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
+port 68 nsew signal input
+flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
+port 69 nsew signal input
+flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
+port 70 nsew signal input
+flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
+port 71 nsew signal input
+flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
+port 72 nsew signal input
+flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
+port 73 nsew signal input
+flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
+port 74 nsew signal input
+flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
+port 75 nsew signal input
+flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
+port 76 nsew signal input
+flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
+port 77 nsew signal input
+flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
+port 78 nsew signal input
+flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
+port 79 nsew signal input
+flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
+port 80 nsew signal input
+flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
+port 81 nsew signal input
+flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
+port 82 nsew signal input
+flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
+port 83 nsew signal input
+flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
+port 84 nsew signal input
+flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
+port 85 nsew signal input
+flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
+port 86 nsew signal input
+flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
+port 87 nsew signal input
+flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
+port 88 nsew signal input
+flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
+port 89 nsew signal input
+flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
+port 90 nsew signal input
+flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
+port 91 nsew signal input
+flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
+port 92 nsew signal input
+flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
+port 93 nsew signal input
+flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
+port 94 nsew signal input
+flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
+port 95 nsew signal input
+flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
+port 96 nsew signal input
+flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
+port 97 nsew signal input
+flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
+port 98 nsew signal input
+flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
+port 99 nsew signal input
+flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
+port 100 nsew signal input
+flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
+port 101 nsew signal input
+flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
+port 102 nsew signal input
+flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
+port 103 nsew signal input
+flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
+port 104 nsew signal input
+flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
+port 105 nsew signal input
+flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
+port 106 nsew signal input
+flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
+port 107 nsew signal input
+flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
+port 108 nsew signal input
+flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
+port 109 nsew signal input
+flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
+port 110 nsew signal tristate
+flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
+port 111 nsew signal tristate
+flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
+port 112 nsew signal tristate
+flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
+port 113 nsew signal tristate
+flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
+port 114 nsew signal tristate
+flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
+port 115 nsew signal tristate
+flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
+port 116 nsew signal tristate
+flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
+port 117 nsew signal tristate
+flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
+port 118 nsew signal tristate
+flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
+port 119 nsew signal tristate
+flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
+port 120 nsew signal tristate
+flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
+port 121 nsew signal tristate
+flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
+port 122 nsew signal tristate
+flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
+port 123 nsew signal tristate
+flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
+port 124 nsew signal tristate
+flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
+port 125 nsew signal tristate
+flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
+port 126 nsew signal tristate
+flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
+port 127 nsew signal tristate
+flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
+port 128 nsew signal tristate
+flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
+port 129 nsew signal tristate
+flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
+port 130 nsew signal tristate
+flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
+port 131 nsew signal tristate
+flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
+port 132 nsew signal tristate
+flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
+port 133 nsew signal tristate
+flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
+port 134 nsew signal tristate
+flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
+port 135 nsew signal tristate
+flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
+port 136 nsew signal tristate
+flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
+port 137 nsew signal tristate
+flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
+port 138 nsew signal tristate
+flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
+port 139 nsew signal tristate
+flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
+port 140 nsew signal tristate
+flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
+port 141 nsew signal tristate
+flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
+port 142 nsew signal tristate
+flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
+port 143 nsew signal tristate
+flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
+port 144 nsew signal tristate
+flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
+port 145 nsew signal tristate
+flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
+port 146 nsew signal tristate
+flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
+port 147 nsew signal tristate
+flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
+port 148 nsew signal tristate
+flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
+port 149 nsew signal tristate
+flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
+port 150 nsew signal tristate
+flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
+port 151 nsew signal tristate
+flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
+port 152 nsew signal tristate
+flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
+port 153 nsew signal tristate
+flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
+port 154 nsew signal tristate
+flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
+port 155 nsew signal tristate
+flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
+port 156 nsew signal tristate
+flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
+port 157 nsew signal tristate
+flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
+port 158 nsew signal tristate
+flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
+port 159 nsew signal tristate
+flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
+port 160 nsew signal tristate
+flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
+port 161 nsew signal tristate
+flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
+port 162 nsew signal tristate
+flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
+port 163 nsew signal tristate
+flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
+port 164 nsew signal input
+flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
+port 165 nsew signal input
+flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
+port 166 nsew signal input
+flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
+port 167 nsew signal input
+flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
+port 168 nsew signal input
+flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
+port 169 nsew signal input
+flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
+port 170 nsew signal input
+flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
+port 171 nsew signal input
+flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
+port 172 nsew signal input
+flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
+port 173 nsew signal input
+flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
+port 174 nsew signal input
+flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
+port 175 nsew signal input
+flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
+port 176 nsew signal input
+flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
+port 177 nsew signal input
+flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
+port 178 nsew signal input
+flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
+port 179 nsew signal input
+flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
+port 180 nsew signal input
+flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
+port 181 nsew signal input
+flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
+port 182 nsew signal input
+flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
+port 183 nsew signal input
+flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
+port 184 nsew signal input
+flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
+port 185 nsew signal input
+flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
+port 186 nsew signal input
+flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
+port 187 nsew signal input
+flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
+port 188 nsew signal input
+flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
+port 189 nsew signal input
+flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
+port 190 nsew signal input
+flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
+port 191 nsew signal input
+flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
+port 192 nsew signal input
+flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
+port 193 nsew signal input
+flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
+port 194 nsew signal input
+flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
+port 195 nsew signal input
+flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
+port 196 nsew signal input
+flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
+port 197 nsew signal input
+flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
+port 198 nsew signal input
+flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
+port 199 nsew signal input
+flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
+port 200 nsew signal input
+flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
+port 201 nsew signal input
+flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
+port 202 nsew signal input
+flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
+port 203 nsew signal input
+flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
+port 204 nsew signal input
+flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
+port 205 nsew signal input
+flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
+port 206 nsew signal input
+flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
+port 207 nsew signal input
+flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
+port 208 nsew signal input
+flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
+port 209 nsew signal input
+flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
+port 210 nsew signal input
+flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
+port 211 nsew signal input
+flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
+port 212 nsew signal input
+flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
+port 213 nsew signal input
+flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
+port 214 nsew signal input
+flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
+port 215 nsew signal input
+flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
+port 216 nsew signal input
+flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
+port 217 nsew signal input
+flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
+port 218 nsew signal input
+flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
+port 219 nsew signal input
+flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
+port 220 nsew signal input
+flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
+port 221 nsew signal input
+flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
+port 222 nsew signal input
+flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
+port 223 nsew signal input
+flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
+port 224 nsew signal input
+flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
+port 225 nsew signal input
+flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
+port 226 nsew signal input
+flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
+port 227 nsew signal input
+flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
+port 228 nsew signal input
+flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
+port 229 nsew signal input
+flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
+port 230 nsew signal input
+flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
+port 231 nsew signal input
+flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
+port 232 nsew signal input
+flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
+port 233 nsew signal input
+flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
+port 234 nsew signal input
+flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
+port 235 nsew signal input
+flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
+port 236 nsew signal input
+flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
+port 237 nsew signal input
+flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
+port 238 nsew signal input
+flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
+port 239 nsew signal input
+flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
+port 240 nsew signal input
+flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
+port 241 nsew signal input
+flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
+port 242 nsew signal input
+flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
+port 243 nsew signal input
+flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
+port 244 nsew signal input
+flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
+port 245 nsew signal input
+flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
+port 246 nsew signal input
+flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
+port 247 nsew signal input
+flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
+port 248 nsew signal input
+flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
+port 249 nsew signal input
+flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
+port 250 nsew signal input
+flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
+port 251 nsew signal input
+flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
+port 252 nsew signal input
+flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
+port 253 nsew signal input
+flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
+port 254 nsew signal input
+flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
+port 255 nsew signal input
+flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
+port 256 nsew signal input
+flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
+port 257 nsew signal input
+flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
+port 258 nsew signal input
+flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
+port 259 nsew signal input
+flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
+port 260 nsew signal input
+flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
+port 261 nsew signal input
+flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
+port 262 nsew signal input
+flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
+port 263 nsew signal input
+flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
+port 264 nsew signal input
+flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
+port 265 nsew signal input
+flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
+port 266 nsew signal input
+flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
+port 267 nsew signal input
+flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
+port 268 nsew signal input
+flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
+port 269 nsew signal input
+flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
+port 270 nsew signal input
+flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
+port 271 nsew signal input
+flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
+port 272 nsew signal input
+flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
+port 273 nsew signal input
+flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
+port 274 nsew signal input
+flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
+port 275 nsew signal input
+flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
+port 276 nsew signal input
+flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
+port 277 nsew signal input
+flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
+port 278 nsew signal input
+flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
+port 279 nsew signal input
+flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
+port 280 nsew signal input
+flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
+port 281 nsew signal input
+flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
+port 282 nsew signal input
+flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
+port 283 nsew signal input
+flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
+port 284 nsew signal input
+flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
+port 285 nsew signal input
+flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
+port 286 nsew signal input
+flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
+port 287 nsew signal input
+flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
+port 288 nsew signal input
+flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
+port 289 nsew signal input
+flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
+port 290 nsew signal input
+flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
+port 291 nsew signal input
+flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
+port 292 nsew signal tristate
+flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
+port 293 nsew signal tristate
+flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
+port 294 nsew signal tristate
+flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
+port 295 nsew signal tristate
+flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
+port 296 nsew signal tristate
+flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
+port 297 nsew signal tristate
+flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
+port 298 nsew signal tristate
+flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
+port 299 nsew signal tristate
+flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
+port 300 nsew signal tristate
+flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
+port 301 nsew signal tristate
+flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
+port 302 nsew signal tristate
+flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
+port 303 nsew signal tristate
+flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
+port 304 nsew signal tristate
+flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
+port 305 nsew signal tristate
+flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
+port 306 nsew signal tristate
+flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
+port 307 nsew signal tristate
+flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
+port 308 nsew signal tristate
+flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
+port 309 nsew signal tristate
+flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
+port 310 nsew signal tristate
+flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
+port 311 nsew signal tristate
+flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
+port 312 nsew signal tristate
+flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
+port 313 nsew signal tristate
+flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
+port 314 nsew signal tristate
+flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
+port 315 nsew signal tristate
+flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
+port 316 nsew signal tristate
+flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
+port 317 nsew signal tristate
+flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
+port 318 nsew signal tristate
+flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
+port 319 nsew signal tristate
+flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
+port 320 nsew signal tristate
+flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
+port 321 nsew signal tristate
+flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
+port 322 nsew signal tristate
+flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
+port 323 nsew signal tristate
+flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
+port 324 nsew signal tristate
+flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
+port 325 nsew signal tristate
+flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
+port 326 nsew signal tristate
+flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
+port 327 nsew signal tristate
+flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
+port 328 nsew signal tristate
+flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
+port 329 nsew signal tristate
+flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
+port 330 nsew signal tristate
+flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
+port 331 nsew signal tristate
+flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
+port 332 nsew signal tristate
+flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
+port 333 nsew signal tristate
+flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
+port 334 nsew signal tristate
+flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
+port 335 nsew signal tristate
+flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
+port 336 nsew signal tristate
+flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
+port 337 nsew signal tristate
+flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
+port 338 nsew signal tristate
+flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
+port 339 nsew signal tristate
+flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
+port 340 nsew signal tristate
+flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
+port 341 nsew signal tristate
+flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
+port 342 nsew signal tristate
+flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
+port 343 nsew signal tristate
+flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
+port 344 nsew signal tristate
+flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
+port 345 nsew signal tristate
+flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
+port 346 nsew signal tristate
+flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
+port 347 nsew signal tristate
+flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
+port 348 nsew signal tristate
+flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
+port 349 nsew signal tristate
+flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
+port 350 nsew signal tristate
+flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
+port 351 nsew signal tristate
+flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
+port 352 nsew signal tristate
+flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
+port 353 nsew signal tristate
+flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
+port 354 nsew signal tristate
+flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
+port 355 nsew signal tristate
+flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
+port 356 nsew signal tristate
+flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
+port 357 nsew signal tristate
+flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
+port 358 nsew signal tristate
+flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
+port 359 nsew signal tristate
+flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
+port 360 nsew signal tristate
+flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
+port 361 nsew signal tristate
+flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
+port 362 nsew signal tristate
+flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
+port 363 nsew signal tristate
+flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
+port 364 nsew signal tristate
+flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
+port 365 nsew signal tristate
+flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
+port 366 nsew signal tristate
+flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
+port 367 nsew signal tristate
+flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
+port 368 nsew signal tristate
+flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
+port 369 nsew signal tristate
+flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
+port 370 nsew signal tristate
+flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
+port 371 nsew signal tristate
+flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
+port 372 nsew signal tristate
+flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
+port 373 nsew signal tristate
+flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
+port 374 nsew signal tristate
+flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
+port 375 nsew signal tristate
+flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
+port 376 nsew signal tristate
+flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
+port 377 nsew signal tristate
+flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
+port 378 nsew signal tristate
+flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
+port 379 nsew signal tristate
+flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
+port 380 nsew signal tristate
+flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
+port 381 nsew signal tristate
+flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
+port 382 nsew signal tristate
+flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
+port 383 nsew signal tristate
+flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
+port 384 nsew signal tristate
+flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
+port 385 nsew signal tristate
+flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
+port 386 nsew signal tristate
+flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
+port 387 nsew signal tristate
+flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
+port 388 nsew signal tristate
+flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
+port 389 nsew signal tristate
+flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
+port 390 nsew signal tristate
+flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
+port 391 nsew signal tristate
+flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
+port 392 nsew signal tristate
+flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
+port 393 nsew signal tristate
+flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
+port 394 nsew signal tristate
+flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
+port 395 nsew signal tristate
+flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
+port 396 nsew signal tristate
+flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
+port 397 nsew signal tristate
+flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
+port 398 nsew signal tristate
+flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
+port 399 nsew signal tristate
+flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
+port 400 nsew signal tristate
+flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
+port 401 nsew signal tristate
+flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
+port 402 nsew signal tristate
+flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
+port 403 nsew signal tristate
+flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
+port 404 nsew signal tristate
+flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
+port 405 nsew signal tristate
+flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
+port 406 nsew signal tristate
+flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
+port 407 nsew signal tristate
+flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
+port 408 nsew signal tristate
+flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
+port 409 nsew signal tristate
+flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
+port 410 nsew signal tristate
+flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
+port 411 nsew signal tristate
+flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
+port 412 nsew signal tristate
+flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
+port 413 nsew signal tristate
+flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
+port 414 nsew signal tristate
+flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
+port 415 nsew signal tristate
+flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
+port 416 nsew signal tristate
+flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
+port 417 nsew signal tristate
+flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
+port 418 nsew signal tristate
+flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
+port 419 nsew signal tristate
+flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
+port 420 nsew signal input
+flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
+port 421 nsew signal input
+flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
+port 422 nsew signal input
+flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
+port 423 nsew signal input
+flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
+port 424 nsew signal input
+flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
+port 425 nsew signal input
+flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
+port 426 nsew signal input
+flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
+port 427 nsew signal input
+flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
+port 428 nsew signal input
+flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
+port 429 nsew signal input
+flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
+port 430 nsew signal input
+flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
+port 431 nsew signal input
+flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
+port 432 nsew signal input
+flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
+port 433 nsew signal input
+flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
+port 434 nsew signal input
+flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
+port 435 nsew signal input
+flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
+port 436 nsew signal input
+flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
+port 437 nsew signal input
+flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
+port 438 nsew signal input
+flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
+port 439 nsew signal input
+flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
+port 440 nsew signal input
+flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
+port 441 nsew signal input
+flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
+port 442 nsew signal input
+flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
+port 443 nsew signal input
+flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
+port 444 nsew signal input
+flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
+port 445 nsew signal input
+flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
+port 446 nsew signal input
+flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
+port 447 nsew signal input
+flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
+port 448 nsew signal input
+flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
+port 449 nsew signal input
+flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
+port 450 nsew signal input
+flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
+port 451 nsew signal input
+flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
+port 452 nsew signal input
+flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
+port 453 nsew signal input
+flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
+port 454 nsew signal input
+flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
+port 455 nsew signal input
+flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
+port 456 nsew signal input
+flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
+port 457 nsew signal input
+flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
+port 458 nsew signal input
+flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
+port 459 nsew signal input
+flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
+port 460 nsew signal input
+flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
+port 461 nsew signal input
+flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
+port 462 nsew signal input
+flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
+port 463 nsew signal input
+flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
+port 464 nsew signal input
+flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
+port 465 nsew signal input
+flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
+port 466 nsew signal input
+flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
+port 467 nsew signal input
+flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
+port 468 nsew signal input
+flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
+port 469 nsew signal input
+flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
+port 470 nsew signal input
+flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
+port 471 nsew signal input
+flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
+port 472 nsew signal input
+flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
+port 473 nsew signal input
+flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
+port 474 nsew signal input
+flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
+port 475 nsew signal input
+flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
+port 476 nsew signal input
+flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
+port 477 nsew signal input
+flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
+port 478 nsew signal input
+flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
+port 479 nsew signal input
+flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
+port 480 nsew signal input
+flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
+port 481 nsew signal input
+flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
+port 482 nsew signal input
+flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
+port 483 nsew signal input
+flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
+port 484 nsew signal input
+flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
+port 485 nsew signal input
+flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
+port 486 nsew signal input
+flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
+port 487 nsew signal input
+flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
+port 488 nsew signal input
+flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
+port 489 nsew signal input
+flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
+port 490 nsew signal input
+flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
+port 491 nsew signal input
+flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
+port 492 nsew signal input
+flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
+port 493 nsew signal input
+flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
+port 494 nsew signal input
+flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
+port 495 nsew signal input
+flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
+port 496 nsew signal input
+flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
+port 497 nsew signal input
+flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
+port 498 nsew signal input
+flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
+port 499 nsew signal input
+flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
+port 500 nsew signal input
+flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
+port 501 nsew signal input
+flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
+port 502 nsew signal input
+flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
+port 503 nsew signal input
+flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
+port 504 nsew signal input
+flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
+port 505 nsew signal input
+flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
+port 506 nsew signal input
+flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
+port 507 nsew signal input
+flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
+port 508 nsew signal input
+flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
+port 509 nsew signal input
+flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
+port 510 nsew signal input
+flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
+port 511 nsew signal input
+flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
+port 512 nsew signal input
+flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
+port 513 nsew signal input
+flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
+port 514 nsew signal input
+flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
+port 515 nsew signal input
+flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
+port 516 nsew signal input
+flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
+port 517 nsew signal input
+flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
+port 518 nsew signal input
+flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
+port 519 nsew signal input
+flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
+port 520 nsew signal input
+flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
+port 521 nsew signal input
+flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
+port 522 nsew signal input
+flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
+port 523 nsew signal input
+flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
+port 524 nsew signal input
+flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
+port 525 nsew signal input
+flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
+port 526 nsew signal input
+flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
+port 527 nsew signal input
+flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
+port 528 nsew signal input
+flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
+port 529 nsew signal input
+flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
+port 530 nsew signal input
+flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
+port 531 nsew signal input
+flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
+port 532 nsew signal input
+flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
+port 533 nsew signal input
+flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
+port 534 nsew signal input
+flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
+port 535 nsew signal input
+flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
+port 536 nsew signal input
+flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
+port 537 nsew signal input
+flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
+port 538 nsew signal input
+flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
+port 539 nsew signal input
+flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
+port 540 nsew signal input
+flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
+port 541 nsew signal input
+flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
+port 542 nsew signal input
+flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
+port 543 nsew signal input
+flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
+port 544 nsew signal input
+flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
+port 545 nsew signal input
+flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
+port 546 nsew signal input
+flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
+port 547 nsew signal input
+flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
+port 548 nsew signal input
+flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
+port 549 nsew signal tristate
+flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
+port 550 nsew signal tristate
+flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
+port 551 nsew signal tristate
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
+port 552 nsew signal bidirectional
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
+port 553 nsew signal bidirectional
+flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
+port 554 nsew signal bidirectional
+flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
+port 555 nsew signal bidirectional
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
+port 556 nsew signal bidirectional
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
+port 557 nsew signal bidirectional
+flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
+port 558 nsew signal bidirectional
+flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
+port 559 nsew signal bidirectional
+flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
+port 560 nsew signal bidirectional
+flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
+port 561 nsew signal bidirectional
+flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 562 nsew signal bidirectional
+flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 563 nsew signal bidirectional
+flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
+port 564 nsew signal bidirectional
+flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
+port 565 nsew signal bidirectional
+flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
+port 567 nsew signal bidirectional
+flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
+port 568 nsew signal bidirectional
+flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
+port 569 nsew signal bidirectional
+flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
+port 570 nsew signal bidirectional
+flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
+port 571 nsew signal bidirectional
+flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
+port 572 nsew signal input
+flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
+port 573 nsew signal input
+flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
+port 574 nsew signal tristate
+flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
+port 575 nsew signal input
+flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
+port 576 nsew signal input
+flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
+port 577 nsew signal input
+flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
+port 578 nsew signal input
+flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
+port 579 nsew signal input
+flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
+port 580 nsew signal input
+flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
+port 581 nsew signal input
+flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
+port 582 nsew signal input
+flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
+port 583 nsew signal input
+flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
+port 584 nsew signal input
+flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
+port 585 nsew signal input
+flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
+port 586 nsew signal input
+flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
+port 587 nsew signal input
+flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
+port 588 nsew signal input
+flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
+port 589 nsew signal input
+flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
+port 590 nsew signal input
+flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
+port 591 nsew signal input
+flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
+port 592 nsew signal input
+flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
+port 593 nsew signal input
+flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
+port 594 nsew signal input
+flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
+port 595 nsew signal input
+flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
+port 596 nsew signal input
+flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
+port 597 nsew signal input
+flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
+port 598 nsew signal input
+flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
+port 599 nsew signal input
+flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
+port 600 nsew signal input
+flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
+port 601 nsew signal input
+flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
+port 602 nsew signal input
+flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
+port 603 nsew signal input
+flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
+port 604 nsew signal input
+flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
+port 605 nsew signal input
+flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
+port 606 nsew signal input
+flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
+port 607 nsew signal input
+flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
+port 608 nsew signal input
+flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
+port 609 nsew signal input
+flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
+port 610 nsew signal input
+flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
+port 611 nsew signal input
+flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
+port 612 nsew signal input
+flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
+port 613 nsew signal input
+flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
+port 614 nsew signal input
+flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
+port 615 nsew signal input
+flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
+port 616 nsew signal input
+flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
+port 617 nsew signal input
+flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
+port 618 nsew signal input
+flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
+port 619 nsew signal input
+flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
+port 620 nsew signal input
+flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
+port 621 nsew signal input
+flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
+port 622 nsew signal input
+flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
+port 623 nsew signal input
+flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
+port 624 nsew signal input
+flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
+port 625 nsew signal input
+flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
+port 626 nsew signal input
+flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
+port 627 nsew signal input
+flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
+port 628 nsew signal input
+flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
+port 629 nsew signal input
+flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
+port 630 nsew signal input
+flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
+port 631 nsew signal input
+flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
+port 632 nsew signal input
+flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
+port 633 nsew signal input
+flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
+port 634 nsew signal input
+flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
+port 635 nsew signal input
+flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
+port 636 nsew signal input
+flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
+port 637 nsew signal input
+flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
+port 638 nsew signal input
+flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
+port 639 nsew signal input
+flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
+port 640 nsew signal tristate
+flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
+port 641 nsew signal tristate
+flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
+port 642 nsew signal tristate
+flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
+port 643 nsew signal tristate
+flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
+port 644 nsew signal tristate
+flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
+port 645 nsew signal tristate
+flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
+port 646 nsew signal tristate
+flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
+port 647 nsew signal tristate
+flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
+port 648 nsew signal tristate
+flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
+port 649 nsew signal tristate
+flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
+port 650 nsew signal tristate
+flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
+port 651 nsew signal tristate
+flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
+port 652 nsew signal tristate
+flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
+port 653 nsew signal tristate
+flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
+port 654 nsew signal tristate
+flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
+port 655 nsew signal tristate
+flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
+port 656 nsew signal tristate
+flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
+port 657 nsew signal tristate
+flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
+port 658 nsew signal tristate
+flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
+port 659 nsew signal tristate
+flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
+port 660 nsew signal tristate
+flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
+port 661 nsew signal tristate
+flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
+port 662 nsew signal tristate
+flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
+port 663 nsew signal tristate
+flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
+port 664 nsew signal tristate
+flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
+port 665 nsew signal tristate
+flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
+port 666 nsew signal tristate
+flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
+port 667 nsew signal tristate
+flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
+port 668 nsew signal tristate
+flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
+port 669 nsew signal tristate
+flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
+port 670 nsew signal tristate
+flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
+port 671 nsew signal tristate
+flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
+port 672 nsew signal input
+flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
+port 673 nsew signal input
+flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
+port 674 nsew signal input
+flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
+port 675 nsew signal input
+flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
+port 676 nsew signal input
+flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
+port 677 nsew signal input
+flabel metal3 s -800 559442 860 564242 0 FreeSans 1120 180 0 0 vssa2
+port 566 nsew signal bidirectional
+flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+<< properties >>
+string FIXED_BBOX 0 0 584000 704000
+<< end >>
diff --git a/mag/user_analog_project_wrapper_backup_2.mag b/mag/user_analog_project_wrapper_backup_2.mag
new file mode 100644
index 0000000..9437f5b
--- /dev/null
+++ b/mag/user_analog_project_wrapper_backup_2.mag
@@ -0,0 +1,3404 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1624385832
+<< nwell >>
+rect 14730 660108 64962 661110
+rect 14730 660034 64841 660108
+rect 82888 660083 133067 660649
+rect 83408 660052 119178 660083
+rect 14730 659150 14782 660034
+rect 28401 659941 28758 659982
+rect 83408 659846 112858 660052
+rect 124441 660015 133067 660083
+<< pwell >>
+rect 371257 636863 371689 637515
+<< nsubdiff >>
+rect 14777 660157 14801 660354
+rect 21672 660157 21696 660354
+rect 23064 660169 23088 660375
+rect 28678 660169 28702 660375
+rect 28954 660172 28978 660367
+rect 34940 660172 34964 660367
+rect 35514 660153 35538 660369
+rect 63779 660153 63803 660369
+rect 84063 660216 84087 660457
+rect 112239 660216 112263 660457
+rect 112659 660076 112683 660241
+rect 119084 660076 119108 660241
+rect 119365 660188 119389 660416
+rect 124370 660188 124394 660416
+rect 124754 660180 124778 660424
+rect 132540 660180 132564 660424
+<< nsubdiffcont >>
+rect 14801 660157 21672 660354
+rect 23088 660169 28678 660375
+rect 28978 660172 34940 660367
+rect 35538 660153 63779 660369
+rect 84087 660216 112239 660457
+rect 112683 660076 119084 660241
+rect 119389 660188 124370 660416
+rect 124778 660180 132540 660424
+<< locali >>
+rect 14785 660157 14801 660354
+rect 21672 660157 21688 660354
+rect 23072 660169 23088 660375
+rect 28678 660169 28694 660375
+rect 28962 660172 28978 660367
+rect 34940 660172 34956 660367
+rect 35522 660153 35538 660369
+rect 63779 660153 63795 660369
+rect 84071 660216 84087 660457
+rect 112239 660216 112255 660457
+rect 112667 660076 112683 660241
+rect 119084 660076 119100 660241
+rect 119373 660188 119389 660416
+rect 124370 660188 124386 660416
+<< viali >>
+rect 14801 660157 21672 660354
+rect 23088 660169 28678 660375
+rect 28978 660172 34940 660367
+rect 35538 660153 63779 660369
+rect 84087 660216 112239 660457
+rect 112683 660076 119084 660241
+rect 119389 660188 124370 660416
+rect 124762 660180 124778 660424
+rect 124778 660180 132540 660424
+rect 132540 660180 132556 660424
+<< metal1 >>
+rect 207113 687795 207123 688222
+rect 210595 687795 210605 688222
+rect 211166 687819 211176 688246
+rect 214648 687819 214658 688246
+rect 223050 688060 223060 688186
+rect 223016 687894 223060 688060
+rect 223050 687834 223060 687894
+rect 226864 688060 226874 688186
+rect 226864 687894 226915 688060
+rect 226864 687834 226874 687894
+rect 227267 687846 227277 688273
+rect 230749 687846 230759 688273
+rect 231320 687855 231330 688282
+rect 234802 687855 234812 688282
+rect 235302 687865 235312 688292
+rect 238784 687865 238794 688292
+rect 239323 687860 239333 688287
+rect 242805 687860 242815 688287
+rect 243362 687858 243372 688285
+rect 246844 687858 246854 688285
+rect 202763 685044 202773 685354
+rect 247145 685044 247155 685354
+rect 83775 660472 112339 660489
+rect 23004 660431 64063 660453
+rect 23004 660397 23042 660431
+rect 21700 660392 23042 660397
+rect 14751 660388 23042 660392
+rect 14751 660354 14834 660388
+rect 14751 660157 14801 660354
+rect 14751 660121 14834 660157
+rect 14751 660101 23042 660121
+rect 64025 660101 64063 660431
+rect 14751 660070 64063 660101
+rect 14751 660012 21718 660070
+rect 23004 660030 64063 660070
+rect 23037 660018 28912 660030
+rect 14751 659692 14783 660012
+rect 83746 659999 83756 660472
+rect 112324 660409 112339 660472
+rect 119184 660445 133061 660493
+rect 119184 660416 120252 660445
+rect 112324 660408 112754 660409
+rect 119106 660408 119389 660416
+rect 112324 660332 119389 660408
+rect 112324 660010 112657 660332
+rect 119074 660241 119389 660332
+rect 119084 660188 119389 660241
+rect 119084 660106 120252 660188
+rect 133038 660416 133061 660445
+rect 133038 660106 133067 660416
+rect 119084 660076 133067 660106
+rect 112324 659999 112334 660010
+rect 112601 659953 112657 660010
+rect 119074 660062 133067 660076
+rect 119074 659953 119177 660062
+rect 125643 660015 133067 660062
+rect 112601 659944 119177 659953
+rect 12990 659376 14703 659415
+rect 12990 659103 13065 659376
+rect 14624 659270 14703 659376
+rect 133071 659270 133081 659303
+rect 14624 659204 14991 659270
+rect 132917 659204 133081 659270
+rect 14624 659103 14703 659204
+rect 133071 659175 133081 659204
+rect 133354 659175 133364 659303
+rect 12990 659070 14703 659103
+rect 66160 658984 66170 659137
+rect 64423 658604 66170 658984
+rect 63068 658320 66170 658604
+rect 64423 657999 66170 658320
+rect 66160 657870 66170 657999
+rect 68582 658984 68592 659137
+rect 68582 657999 68603 658984
+rect 79846 658972 83253 658973
+rect 68582 657870 68592 657999
+rect 79838 657985 79848 658972
+rect 82308 658604 83253 658972
+rect 82308 658320 84035 658604
+rect 82308 657988 83253 658320
+rect 82308 657985 82318 657988
+rect 21150 657532 21160 657665
+rect 23640 657532 23650 657665
+rect 64013 657266 64962 657593
+rect 34969 657163 34979 657242
+rect 35060 657163 35070 657242
+rect 34989 656786 35045 657163
+rect 34964 656680 34974 656786
+rect 35066 656680 35076 656786
+rect 64135 656771 64962 657266
+rect 64163 656761 64962 656771
+rect 64457 656747 64962 656761
+rect 82894 657275 83827 657583
+rect 124326 657529 124336 657669
+rect 126700 657529 126710 657669
+rect 82894 656573 83702 657275
+rect 112660 657160 112670 657243
+rect 112802 657160 112812 657243
+rect 112685 656533 112741 657160
+rect 112626 656348 112636 656533
+rect 112797 656348 112807 656533
+rect 12125 655528 13406 655533
+rect 12125 655200 14468 655528
+rect 133382 655200 135242 655528
+rect 12125 652870 13406 655200
+rect 134093 653281 135239 655200
+rect 12125 651670 15784 652870
+rect 132088 652146 135239 653281
+rect 132558 652135 135239 652146
+rect 12125 651669 15583 651670
+rect 12125 651650 13406 651669
+rect 124847 637057 124857 637281
+rect 125442 637057 125452 637281
+<< via1 >>
+rect 207123 687795 210595 688222
+rect 211176 687819 214648 688246
+rect 223060 687834 226864 688186
+rect 227277 687846 230749 688273
+rect 231330 687855 234802 688282
+rect 235312 687865 238784 688292
+rect 239333 687860 242805 688287
+rect 243372 687858 246844 688285
+rect 202773 685044 247145 685354
+rect 23042 660388 64025 660431
+rect 14834 660375 64025 660388
+rect 14834 660354 23088 660375
+rect 14834 660157 21672 660354
+rect 21672 660169 23088 660354
+rect 23088 660169 28678 660375
+rect 28678 660369 64025 660375
+rect 28678 660367 35538 660369
+rect 28678 660172 28978 660367
+rect 28978 660172 34940 660367
+rect 34940 660172 35538 660367
+rect 28678 660169 35538 660172
+rect 21672 660157 35538 660169
+rect 14834 660153 35538 660157
+rect 35538 660153 63779 660369
+rect 63779 660153 64025 660369
+rect 14834 660121 64025 660153
+rect 23042 660101 64025 660121
+rect 83756 660457 112324 660472
+rect 83756 660216 84087 660457
+rect 84087 660216 112239 660457
+rect 112239 660216 112324 660457
+rect 120252 660424 133038 660445
+rect 120252 660416 124762 660424
+rect 83756 659999 112324 660216
+rect 112657 660241 119074 660332
+rect 112657 660076 112683 660241
+rect 112683 660076 119074 660241
+rect 120252 660188 124370 660416
+rect 124370 660188 124762 660416
+rect 120252 660180 124762 660188
+rect 124762 660180 132556 660424
+rect 132556 660180 133038 660424
+rect 120252 660106 133038 660180
+rect 112657 659953 119074 660076
+rect 13065 659103 14624 659376
+rect 133081 659175 133354 659303
+rect 66170 657870 68582 659137
+rect 79848 657985 82308 658972
+rect 21160 657532 23640 657665
+rect 34979 657163 35060 657242
+rect 34974 656680 35066 656786
+rect 124336 657529 126700 657669
+rect 112670 657160 112802 657243
+rect 112636 656348 112797 656533
+rect 124857 637057 125442 637281
+<< metal2 >>
+rect 211169 688703 214642 688713
+rect 207123 688623 210596 688633
+rect 235312 688693 238785 688703
+rect 231330 688683 234803 688693
+rect 227277 688664 230750 688674
+rect 214642 688246 214648 688256
+rect 211169 687865 211176 687875
+rect 223060 688186 226864 688196
+rect 223060 687824 226864 687834
+rect 235312 687855 238785 687865
+rect 239333 688688 242806 688698
+rect 231330 687845 234803 687855
+rect 239333 687850 242806 687860
+rect 243372 688686 246845 688696
+rect 243372 687848 246845 687858
+rect 227277 687826 230750 687836
+rect 211176 687809 214648 687819
+rect 207123 687785 210596 687795
+rect 202773 685354 247145 685364
+rect 202773 685034 202780 685044
+rect 247142 685034 247145 685044
+rect 202780 684701 247142 684711
+rect 83765 660846 124085 660856
+rect 23042 660657 64025 660667
+rect 14834 660388 23042 660398
+rect 14834 660111 23042 660121
+rect 23042 660091 64025 660101
+rect 83756 660472 83765 660482
+rect 124085 660445 133038 660455
+rect 112324 659999 112657 660179
+rect 83756 659989 112324 659999
+rect 119074 660106 120252 660179
+rect 119074 660096 133038 660106
+rect 119074 659999 120418 660096
+rect 112657 659943 119074 659953
+rect 2509 659760 14155 659826
+rect 2509 658727 2671 659760
+rect 5073 659386 14155 659760
+rect 133210 659558 140004 659630
+rect 5073 659376 14624 659386
+rect 5073 659103 13065 659376
+rect 133210 659313 137580 659558
+rect 133081 659303 137580 659313
+rect 133354 659175 137580 659303
+rect 133081 659165 137580 659175
+rect 5073 659093 14624 659103
+rect 66170 659137 68582 659147
+rect 5073 658727 14155 659093
+rect 2509 658656 14155 658727
+rect 79848 658972 82308 658982
+rect 133210 658887 137580 659165
+rect 139946 658887 140004 659558
+rect 133210 658850 140004 658887
+rect 79848 657975 82308 657985
+rect 66170 657860 68582 657870
+rect 21160 657690 23631 657700
+rect 23631 657665 23640 657675
+rect 21160 657522 23640 657532
+rect 124336 657669 126700 657679
+rect 124336 657519 126700 657529
+rect 34979 657242 35060 657252
+rect 32682 657177 34979 657233
+rect 34979 657153 35060 657163
+rect 112670 657243 112802 657253
+rect 112802 657177 115172 657233
+rect 112670 657150 112802 657160
+rect 73065 657028 74069 657038
+rect 34974 656786 35066 656796
+rect 35452 656766 73065 656798
+rect 35066 656710 73065 656766
+rect 34974 656670 35066 656680
+rect 35452 656678 73065 656710
+rect 112636 656533 112797 656543
+rect 74069 656363 112636 656488
+rect 112636 656338 112797 656348
+rect 73065 656212 74069 656222
+rect 144160 637403 145498 637413
+rect 125227 637291 144160 637369
+rect 124857 637281 144160 637291
+rect 125442 637057 144160 637281
+rect 124857 637047 144160 637057
+rect 125227 636972 144160 637047
+rect 145498 636972 145544 637369
+rect 144160 636927 145498 636937
+rect 368681 635516 368816 635526
+rect 368681 635411 368816 635421
+rect 368680 633678 368815 633688
+rect 368680 633573 368815 633583
+rect 1323 510540 74118 510561
+rect 1323 510538 73012 510540
+rect 1323 510236 1358 510538
+rect 2171 510260 73012 510538
+rect 74070 510260 74118 510540
+rect 2171 510236 74118 510260
+rect 1323 510212 74118 510236
+rect 1326 467320 145524 467339
+rect 1326 467316 144170 467320
+rect 1326 467014 1361 467316
+rect 2174 467014 144170 467316
+rect 1326 467013 144170 467014
+rect 145472 467013 145524 467320
+rect 1326 466990 145524 467013
+rect 524 -800 636 480
+rect 1706 -800 1818 480
+rect 2888 -800 3000 480
+rect 4070 -800 4182 480
+rect 5252 -800 5364 480
+rect 6434 -800 6546 480
+rect 7616 -800 7728 480
+rect 8798 -800 8910 480
+rect 9980 -800 10092 480
+rect 11162 -800 11274 480
+rect 12344 -800 12456 480
+rect 13526 -800 13638 480
+rect 14708 -800 14820 480
+rect 15890 -800 16002 480
+rect 17072 -800 17184 480
+rect 18254 -800 18366 480
+rect 19436 -800 19548 480
+rect 20618 -800 20730 480
+rect 21800 -800 21912 480
+rect 22982 -800 23094 480
+rect 24164 -800 24276 480
+rect 25346 -800 25458 480
+rect 26528 -800 26640 480
+rect 27710 -800 27822 480
+rect 28892 -800 29004 480
+rect 30074 -800 30186 480
+rect 31256 -800 31368 480
+rect 32438 -800 32550 480
+rect 33620 -800 33732 480
+rect 34802 -800 34914 480
+rect 35984 -800 36096 480
+rect 37166 -800 37278 480
+rect 38348 -800 38460 480
+rect 39530 -800 39642 480
+rect 40712 -800 40824 480
+rect 41894 -800 42006 480
+rect 43076 -800 43188 480
+rect 44258 -800 44370 480
+rect 45440 -800 45552 480
+rect 46622 -800 46734 480
+rect 47804 -800 47916 480
+rect 48986 -800 49098 480
+rect 50168 -800 50280 480
+rect 51350 -800 51462 480
+rect 52532 -800 52644 480
+rect 53714 -800 53826 480
+rect 54896 -800 55008 480
+rect 56078 -800 56190 480
+rect 57260 -800 57372 480
+rect 58442 -800 58554 480
+rect 59624 -800 59736 480
+rect 60806 -800 60918 480
+rect 61988 -800 62100 480
+rect 63170 -800 63282 480
+rect 64352 -800 64464 480
+rect 65534 -800 65646 480
+rect 66716 -800 66828 480
+rect 67898 -800 68010 480
+rect 69080 -800 69192 480
+rect 70262 -800 70374 480
+rect 71444 -800 71556 480
+rect 72626 -800 72738 480
+rect 73808 -800 73920 480
+rect 74990 -800 75102 480
+rect 76172 -800 76284 480
+rect 77354 -800 77466 480
+rect 78536 -800 78648 480
+rect 79718 -800 79830 480
+rect 80900 -800 81012 480
+rect 82082 -800 82194 480
+rect 83264 -800 83376 480
+rect 84446 -800 84558 480
+rect 85628 -800 85740 480
+rect 86810 -800 86922 480
+rect 87992 -800 88104 480
+rect 89174 -800 89286 480
+rect 90356 -800 90468 480
+rect 91538 -800 91650 480
+rect 92720 -800 92832 480
+rect 93902 -800 94014 480
+rect 95084 -800 95196 480
+rect 96266 -800 96378 480
+rect 97448 -800 97560 480
+rect 98630 -800 98742 480
+rect 99812 -800 99924 480
+rect 100994 -800 101106 480
+rect 102176 -800 102288 480
+rect 103358 -800 103470 480
+rect 104540 -800 104652 480
+rect 105722 -800 105834 480
+rect 106904 -800 107016 480
+rect 108086 -800 108198 480
+rect 109268 -800 109380 480
+rect 110450 -800 110562 480
+rect 111632 -800 111744 480
+rect 112814 -800 112926 480
+rect 113996 -800 114108 480
+rect 115178 -800 115290 480
+rect 116360 -800 116472 480
+rect 117542 -800 117654 480
+rect 118724 -800 118836 480
+rect 119906 -800 120018 480
+rect 121088 -800 121200 480
+rect 122270 -800 122382 480
+rect 123452 -800 123564 480
+rect 124634 -800 124746 480
+rect 125816 -800 125928 480
+rect 126998 -800 127110 480
+rect 128180 -800 128292 480
+rect 129362 -800 129474 480
+rect 130544 -800 130656 480
+rect 131726 -800 131838 480
+rect 132908 -800 133020 480
+rect 134090 -800 134202 480
+rect 135272 -800 135384 480
+rect 136454 -800 136566 480
+rect 137636 -800 137748 480
+rect 138818 -800 138930 480
+rect 140000 -800 140112 480
+rect 141182 -800 141294 480
+rect 142364 -800 142476 480
+rect 143546 -800 143658 480
+rect 144728 -800 144840 480
+rect 145910 -800 146022 480
+rect 147092 -800 147204 480
+rect 148274 -800 148386 480
+rect 149456 -800 149568 480
+rect 150638 -800 150750 480
+rect 151820 -800 151932 480
+rect 153002 -800 153114 480
+rect 154184 -800 154296 480
+rect 155366 -800 155478 480
+rect 156548 -800 156660 480
+rect 157730 -800 157842 480
+rect 158912 -800 159024 480
+rect 160094 -800 160206 480
+rect 161276 -800 161388 480
+rect 162458 -800 162570 480
+rect 163640 -800 163752 480
+rect 164822 -800 164934 480
+rect 166004 -800 166116 480
+rect 167186 -800 167298 480
+rect 168368 -800 168480 480
+rect 169550 -800 169662 480
+rect 170732 -800 170844 480
+rect 171914 -800 172026 480
+rect 173096 -800 173208 480
+rect 174278 -800 174390 480
+rect 175460 -800 175572 480
+rect 176642 -800 176754 480
+rect 177824 -800 177936 480
+rect 179006 -800 179118 480
+rect 180188 -800 180300 480
+rect 181370 -800 181482 480
+rect 182552 -800 182664 480
+rect 183734 -800 183846 480
+rect 184916 -800 185028 480
+rect 186098 -800 186210 480
+rect 187280 -800 187392 480
+rect 188462 -800 188574 480
+rect 189644 -800 189756 480
+rect 190826 -800 190938 480
+rect 192008 -800 192120 480
+rect 193190 -800 193302 480
+rect 194372 -800 194484 480
+rect 195554 -800 195666 480
+rect 196736 -800 196848 480
+rect 197918 -800 198030 480
+rect 199100 -800 199212 480
+rect 200282 -800 200394 480
+rect 201464 -800 201576 480
+rect 202646 -800 202758 480
+rect 203828 -800 203940 480
+rect 205010 -800 205122 480
+rect 206192 -800 206304 480
+rect 207374 -800 207486 480
+rect 208556 -800 208668 480
+rect 209738 -800 209850 480
+rect 210920 -800 211032 480
+rect 212102 -800 212214 480
+rect 213284 -800 213396 480
+rect 214466 -800 214578 480
+rect 215648 -800 215760 480
+rect 216830 -800 216942 480
+rect 218012 -800 218124 480
+rect 219194 -800 219306 480
+rect 220376 -800 220488 480
+rect 221558 -800 221670 480
+rect 222740 -800 222852 480
+rect 223922 -800 224034 480
+rect 225104 -800 225216 480
+rect 226286 -800 226398 480
+rect 227468 -800 227580 480
+rect 228650 -800 228762 480
+rect 229832 -800 229944 480
+rect 231014 -800 231126 480
+rect 232196 -800 232308 480
+rect 233378 -800 233490 480
+rect 234560 -800 234672 480
+rect 235742 -800 235854 480
+rect 236924 -800 237036 480
+rect 238106 -800 238218 480
+rect 239288 -800 239400 480
+rect 240470 -800 240582 480
+rect 241652 -800 241764 480
+rect 242834 -800 242946 480
+rect 244016 -800 244128 480
+rect 245198 -800 245310 480
+rect 246380 -800 246492 480
+rect 247562 -800 247674 480
+rect 248744 -800 248856 480
+rect 249926 -800 250038 480
+rect 251108 -800 251220 480
+rect 252290 -800 252402 480
+rect 253472 -800 253584 480
+rect 254654 -800 254766 480
+rect 255836 -800 255948 480
+rect 257018 -800 257130 480
+rect 258200 -800 258312 480
+rect 259382 -800 259494 480
+rect 260564 -800 260676 480
+rect 261746 -800 261858 480
+rect 262928 -800 263040 480
+rect 264110 -800 264222 480
+rect 265292 -800 265404 480
+rect 266474 -800 266586 480
+rect 267656 -800 267768 480
+rect 268838 -800 268950 480
+rect 270020 -800 270132 480
+rect 271202 -800 271314 480
+rect 272384 -800 272496 480
+rect 273566 -800 273678 480
+rect 274748 -800 274860 480
+rect 275930 -800 276042 480
+rect 277112 -800 277224 480
+rect 278294 -800 278406 480
+rect 279476 -800 279588 480
+rect 280658 -800 280770 480
+rect 281840 -800 281952 480
+rect 283022 -800 283134 480
+rect 284204 -800 284316 480
+rect 285386 -800 285498 480
+rect 286568 -800 286680 480
+rect 287750 -800 287862 480
+rect 288932 -800 289044 480
+rect 290114 -800 290226 480
+rect 291296 -800 291408 480
+rect 292478 -800 292590 480
+rect 293660 -800 293772 480
+rect 294842 -800 294954 480
+rect 296024 -800 296136 480
+rect 297206 -800 297318 480
+rect 298388 -800 298500 480
+rect 299570 -800 299682 480
+rect 300752 -800 300864 480
+rect 301934 -800 302046 480
+rect 303116 -800 303228 480
+rect 304298 -800 304410 480
+rect 305480 -800 305592 480
+rect 306662 -800 306774 480
+rect 307844 -800 307956 480
+rect 309026 -800 309138 480
+rect 310208 -800 310320 480
+rect 311390 -800 311502 480
+rect 312572 -800 312684 480
+rect 313754 -800 313866 480
+rect 314936 -800 315048 480
+rect 316118 -800 316230 480
+rect 317300 -800 317412 480
+rect 318482 -800 318594 480
+rect 319664 -800 319776 480
+rect 320846 -800 320958 480
+rect 322028 -800 322140 480
+rect 323210 -800 323322 480
+rect 324392 -800 324504 480
+rect 325574 -800 325686 480
+rect 326756 -800 326868 480
+rect 327938 -800 328050 480
+rect 329120 -800 329232 480
+rect 330302 -800 330414 480
+rect 331484 -800 331596 480
+rect 332666 -800 332778 480
+rect 333848 -800 333960 480
+rect 335030 -800 335142 480
+rect 336212 -800 336324 480
+rect 337394 -800 337506 480
+rect 338576 -800 338688 480
+rect 339758 -800 339870 480
+rect 340940 -800 341052 480
+rect 342122 -800 342234 480
+rect 343304 -800 343416 480
+rect 344486 -800 344598 480
+rect 345668 -800 345780 480
+rect 346850 -800 346962 480
+rect 348032 -800 348144 480
+rect 349214 -800 349326 480
+rect 350396 -800 350508 480
+rect 351578 -800 351690 480
+rect 352760 -800 352872 480
+rect 353942 -800 354054 480
+rect 355124 -800 355236 480
+rect 356306 -800 356418 480
+rect 357488 -800 357600 480
+rect 358670 -800 358782 480
+rect 359852 -800 359964 480
+rect 361034 -800 361146 480
+rect 362216 -800 362328 480
+rect 363398 -800 363510 480
+rect 364580 -800 364692 480
+rect 365762 -800 365874 480
+rect 366944 -800 367056 480
+rect 368126 -800 368238 480
+rect 369308 -800 369420 480
+rect 370490 -800 370602 480
+rect 371672 -800 371784 480
+rect 372854 -800 372966 480
+rect 374036 -800 374148 480
+rect 375218 -800 375330 480
+rect 376400 -800 376512 480
+rect 377582 -800 377694 480
+rect 378764 -800 378876 480
+rect 379946 -800 380058 480
+rect 381128 -800 381240 480
+rect 382310 -800 382422 480
+rect 383492 -800 383604 480
+rect 384674 -800 384786 480
+rect 385856 -800 385968 480
+rect 387038 -800 387150 480
+rect 388220 -800 388332 480
+rect 389402 -800 389514 480
+rect 390584 -800 390696 480
+rect 391766 -800 391878 480
+rect 392948 -800 393060 480
+rect 394130 -800 394242 480
+rect 395312 -800 395424 480
+rect 396494 -800 396606 480
+rect 397676 -800 397788 480
+rect 398858 -800 398970 480
+rect 400040 -800 400152 480
+rect 401222 -800 401334 480
+rect 402404 -800 402516 480
+rect 403586 -800 403698 480
+rect 404768 -800 404880 480
+rect 405950 -800 406062 480
+rect 407132 -800 407244 480
+rect 408314 -800 408426 480
+rect 409496 -800 409608 480
+rect 410678 -800 410790 480
+rect 411860 -800 411972 480
+rect 413042 -800 413154 480
+rect 414224 -800 414336 480
+rect 415406 -800 415518 480
+rect 416588 -800 416700 480
+rect 417770 -800 417882 480
+rect 418952 -800 419064 480
+rect 420134 -800 420246 480
+rect 421316 -800 421428 480
+rect 422498 -800 422610 480
+rect 423680 -800 423792 480
+rect 424862 -800 424974 480
+rect 426044 -800 426156 480
+rect 427226 -800 427338 480
+rect 428408 -800 428520 480
+rect 429590 -800 429702 480
+rect 430772 -800 430884 480
+rect 431954 -800 432066 480
+rect 433136 -800 433248 480
+rect 434318 -800 434430 480
+rect 435500 -800 435612 480
+rect 436682 -800 436794 480
+rect 437864 -800 437976 480
+rect 439046 -800 439158 480
+rect 440228 -800 440340 480
+rect 441410 -800 441522 480
+rect 442592 -800 442704 480
+rect 443774 -800 443886 480
+rect 444956 -800 445068 480
+rect 446138 -800 446250 480
+rect 447320 -800 447432 480
+rect 448502 -800 448614 480
+rect 449684 -800 449796 480
+rect 450866 -800 450978 480
+rect 452048 -800 452160 480
+rect 453230 -800 453342 480
+rect 454412 -800 454524 480
+rect 455594 -800 455706 480
+rect 456776 -800 456888 480
+rect 457958 -800 458070 480
+rect 459140 -800 459252 480
+rect 460322 -800 460434 480
+rect 461504 -800 461616 480
+rect 462686 -800 462798 480
+rect 463868 -800 463980 480
+rect 465050 -800 465162 480
+rect 466232 -800 466344 480
+rect 467414 -800 467526 480
+rect 468596 -800 468708 480
+rect 469778 -800 469890 480
+rect 470960 -800 471072 480
+rect 472142 -800 472254 480
+rect 473324 -800 473436 480
+rect 474506 -800 474618 480
+rect 475688 -800 475800 480
+rect 476870 -800 476982 480
+rect 478052 -800 478164 480
+rect 479234 -800 479346 480
+rect 480416 -800 480528 480
+rect 481598 -800 481710 480
+rect 482780 -800 482892 480
+rect 483962 -800 484074 480
+rect 485144 -800 485256 480
+rect 486326 -800 486438 480
+rect 487508 -800 487620 480
+rect 488690 -800 488802 480
+rect 489872 -800 489984 480
+rect 491054 -800 491166 480
+rect 492236 -800 492348 480
+rect 493418 -800 493530 480
+rect 494600 -800 494712 480
+rect 495782 -800 495894 480
+rect 496964 -800 497076 480
+rect 498146 -800 498258 480
+rect 499328 -800 499440 480
+rect 500510 -800 500622 480
+rect 501692 -800 501804 480
+rect 502874 -800 502986 480
+rect 504056 -800 504168 480
+rect 505238 -800 505350 480
+rect 506420 -800 506532 480
+rect 507602 -800 507714 480
+rect 508784 -800 508896 480
+rect 509966 -800 510078 480
+rect 511148 -800 511260 480
+rect 512330 -800 512442 480
+rect 513512 -800 513624 480
+rect 514694 -800 514806 480
+rect 515876 -800 515988 480
+rect 517058 -800 517170 480
+rect 518240 -800 518352 480
+rect 519422 -800 519534 480
+rect 520604 -800 520716 480
+rect 521786 -800 521898 480
+rect 522968 -800 523080 480
+rect 524150 -800 524262 480
+rect 525332 -800 525444 480
+rect 526514 -800 526626 480
+rect 527696 -800 527808 480
+rect 528878 -800 528990 480
+rect 530060 -800 530172 480
+rect 531242 -800 531354 480
+rect 532424 -800 532536 480
+rect 533606 -800 533718 480
+rect 534788 -800 534900 480
+rect 535970 -800 536082 480
+rect 537152 -800 537264 480
+rect 538334 -800 538446 480
+rect 539516 -800 539628 480
+rect 540698 -800 540810 480
+rect 541880 -800 541992 480
+rect 543062 -800 543174 480
+rect 544244 -800 544356 480
+rect 545426 -800 545538 480
+rect 546608 -800 546720 480
+rect 547790 -800 547902 480
+rect 548972 -800 549084 480
+rect 550154 -800 550266 480
+rect 551336 -800 551448 480
+rect 552518 -800 552630 480
+rect 553700 -800 553812 480
+rect 554882 -800 554994 480
+rect 556064 -800 556176 480
+rect 557246 -800 557358 480
+rect 558428 -800 558540 480
+rect 559610 -800 559722 480
+rect 560792 -800 560904 480
+rect 561974 -800 562086 480
+rect 563156 -800 563268 480
+rect 564338 -800 564450 480
+rect 565520 -800 565632 480
+rect 566702 -800 566814 480
+rect 567884 -800 567996 480
+rect 569066 -800 569178 480
+rect 570248 -800 570360 480
+rect 571430 -800 571542 480
+rect 572612 -800 572724 480
+rect 573794 -800 573906 480
+rect 574976 -800 575088 480
+rect 576158 -800 576270 480
+rect 577340 -800 577452 480
+rect 578522 -800 578634 480
+rect 579704 -800 579816 480
+rect 580886 -800 580998 480
+rect 582068 -800 582180 480
+rect 583250 -800 583362 480
+<< via2 >>
+rect 207123 688222 210596 688623
+rect 207123 687795 210595 688222
+rect 210595 687795 210596 688222
+rect 211169 688246 214642 688703
+rect 227277 688273 230750 688664
+rect 211169 687875 211176 688246
+rect 211176 687875 214642 688246
+rect 223060 687834 226864 688186
+rect 227277 687846 230749 688273
+rect 230749 687846 230750 688273
+rect 227277 687836 230750 687846
+rect 231330 688282 234803 688683
+rect 231330 687855 234802 688282
+rect 234802 687855 234803 688282
+rect 235312 688292 238785 688693
+rect 235312 687865 238784 688292
+rect 238784 687865 238785 688292
+rect 239333 688287 242806 688688
+rect 239333 687860 242805 688287
+rect 242805 687860 242806 688287
+rect 243372 688285 246845 688686
+rect 243372 687858 246844 688285
+rect 246844 687858 246845 688285
+rect 202780 685044 247142 685291
+rect 202780 684711 247142 685044
+rect 23042 660431 64025 660657
+rect 23042 660101 64025 660431
+rect 83765 660472 124085 660846
+rect 83765 660179 112324 660472
+rect 112324 660445 124085 660472
+rect 112324 660332 120252 660445
+rect 112324 660179 112657 660332
+rect 112657 660179 119074 660332
+rect 119074 660179 120252 660332
+rect 120252 660179 124085 660445
+rect 2671 658727 5073 659760
+rect 66170 657870 68582 659137
+rect 79848 657985 82308 658972
+rect 137580 658887 139946 659558
+rect 21160 657665 23631 657690
+rect 21160 657532 23631 657665
+rect 124336 657529 126700 657669
+rect 73065 656222 74069 657028
+rect 144160 636937 145498 637403
+rect 368681 635421 368816 635516
+rect 368680 633583 368815 633678
+rect 1358 510236 2171 510538
+rect 73012 510260 74070 510540
+rect 1361 467014 2174 467316
+rect 144170 467013 145472 467320
+<< metal3 >>
+rect 16194 702300 21194 704800
+rect 68194 702300 73194 704800
+rect 120194 702300 125194 704800
+rect 165594 702300 170594 704800
+rect 170894 702300 173094 704800
+rect 173394 702300 175594 704800
+rect 175894 702300 180894 704800
+rect 217294 702300 222294 704800
+rect 222594 702300 223658 704800
+rect 226242 702300 227294 704800
+rect 227594 702300 232594 704800
+rect 318994 702300 323994 704800
+rect 324294 702300 326494 704800
+rect 326794 702300 328994 704800
+rect 329294 702300 334294 704800
+rect 413394 702300 418394 704800
+rect 465394 702300 470394 704800
+rect 510594 704716 515394 704800
+rect 520594 704716 525394 704800
+rect 17496 693341 19996 702300
+rect 69842 699968 72342 702300
+rect 69842 697468 82326 699968
+rect 17498 693242 19996 693341
+rect 17498 690824 17558 693242
+rect 19943 690824 19996 693242
+rect 17498 690746 19996 690824
+rect 66130 693229 68630 693269
+rect 66130 690828 66188 693229
+rect 68553 690828 68630 693229
+rect -800 683796 1700 685242
+rect -800 681296 5105 683796
+rect 21882 681701 21892 683906
+rect 22854 681701 22864 683906
+rect -800 680242 1700 681296
+rect 2605 659760 5105 681296
+rect 2605 658727 2671 659760
+rect 5073 658727 5105 659760
+rect -800 643842 1660 648642
+rect -800 633842 1660 638642
+rect 2605 611726 5105 658727
+rect 21889 657695 22824 681701
+rect 23032 660101 23042 661269
+rect 64017 660662 64027 661269
+rect 64017 660657 64035 660662
+rect 64025 660101 64035 660657
+rect 23032 660096 64035 660101
+rect 66130 659137 68630 690828
+rect 66130 657870 66170 659137
+rect 68582 657870 68630 659137
+rect 79826 658972 82326 697468
+rect 93805 695867 95820 695904
+rect 93805 693909 93859 695867
+rect 95764 693909 95820 695867
+rect 166763 695391 169263 702300
+rect 177015 695391 179515 702300
+rect 218640 697309 221140 702300
+rect 93805 683893 95820 693909
+rect 166762 692889 179515 695391
+rect 211648 693981 211658 695778
+rect 214091 695500 214101 695778
+rect 214091 693981 214151 695500
+rect 218640 694902 218717 697309
+rect 221066 694902 221140 697309
+rect 218640 694879 221140 694902
+rect 223860 697338 226360 697368
+rect 223860 694931 223921 697338
+rect 226270 694931 226360 697338
+rect 124995 692109 125005 692310
+rect 93805 681853 93843 683893
+rect 93833 681797 93843 681853
+rect 95721 681853 95820 683893
+rect 124989 690355 125005 692109
+rect 125955 690355 125965 692310
+rect 95721 681797 95731 681853
+rect 83755 660179 83765 661487
+rect 124071 660851 124081 661487
+rect 124071 660846 124095 660851
+rect 124085 660179 124095 660846
+rect 83755 660174 124095 660179
+rect 79826 657985 79848 658972
+rect 82308 657985 82326 658972
+rect 79826 657959 82326 657985
+rect 21150 657690 23641 657695
+rect 21150 657532 21160 657690
+rect 23631 657532 23641 657690
+rect 66130 657635 68630 657870
+rect 124989 657674 125924 690355
+rect 137480 659558 139980 659593
+rect 137480 658887 137580 659558
+rect 139946 658887 139980 659558
+rect 124326 657669 126710 657674
+rect 21150 657527 23641 657532
+rect 124326 657529 124336 657669
+rect 126700 657529 126710 657669
+rect 124326 657524 126710 657529
+rect 72999 657028 74122 657160
+rect 72999 656222 73065 657028
+rect 74069 656222 74122 657028
+rect 14417 621627 14427 624619
+rect 64644 621627 64654 624619
+rect 2605 607134 2646 611726
+rect 2636 607093 2646 607134
+rect 5007 607134 5105 611726
+rect 5007 607093 5017 607134
+rect -800 559442 1660 564242
+rect -800 549442 1660 554242
+rect -800 511530 480 511642
+rect 1348 510538 2181 510543
+rect 1348 510460 1358 510538
+rect -800 510348 1358 510460
+rect 1292 510340 1358 510348
+rect 1348 510236 1358 510340
+rect 2171 510236 2181 510538
+rect 1348 510231 2181 510236
+rect 72999 510540 74122 656222
+rect 83142 622007 83152 624630
+rect 133410 622007 133420 624630
+rect 137480 611839 139980 658887
+rect 137480 607168 137755 611839
+rect 139770 607168 139980 611839
+rect 137480 607076 139980 607168
+rect 144145 637403 145521 637418
+rect 144145 636937 144160 637403
+rect 145498 636937 145521 637403
+rect 72999 510260 73012 510540
+rect 74070 510260 74122 510540
+rect 72999 510192 74122 510260
+rect -800 509166 480 509278
+rect -800 507984 490 508096
+rect -800 506802 480 506914
+rect -800 505620 480 505732
+rect -800 468308 480 468420
+rect 1351 467316 2184 467321
+rect -800 467230 480 467238
+rect 1351 467230 1361 467316
+rect -800 467126 1361 467230
+rect 304 467118 1361 467126
+rect 1351 467014 1361 467118
+rect 2174 467014 2184 467316
+rect 1351 467009 2184 467014
+rect 144145 467320 145521 636937
+rect 171983 635570 174483 692889
+rect 207536 692355 210036 692388
+rect 207536 690328 207591 692355
+rect 209950 690328 210036 692355
+rect 207536 688628 210036 690328
+rect 211651 688708 214151 693981
+rect 211159 688703 214652 688708
+rect 207113 688623 210606 688628
+rect 207113 687795 207123 688623
+rect 210596 687795 210606 688623
+rect 211159 687875 211169 688703
+rect 214642 687875 214652 688703
+rect 223860 688191 226360 694931
+rect 228892 697352 231392 702300
+rect 242722 699634 242732 702134
+rect 245232 702114 245242 702134
+rect 245232 699634 315546 702114
+rect 243372 699614 315546 699634
+rect 228892 694945 228965 697352
+rect 231314 694945 231392 697352
+rect 228892 694833 231392 694945
+rect 232116 696758 311894 699258
+rect 232116 688688 234616 696758
+rect 235915 693974 307678 696474
+rect 235915 688698 238415 693974
+rect 239738 691142 303725 693642
+rect 235302 688693 238795 688698
+rect 239738 688693 242238 691142
+rect 231320 688683 234813 688688
+rect 227267 688664 230760 688669
+rect 211159 687870 214652 687875
+rect 223050 688186 226874 688191
+rect 223050 687834 223060 688186
+rect 226864 687834 226874 688186
+rect 223050 687829 226874 687834
+rect 227267 687836 227277 688664
+rect 230750 687836 230760 688664
+rect 231320 687855 231330 688683
+rect 234803 687855 234813 688683
+rect 235302 687865 235312 688693
+rect 238785 687865 238795 688693
+rect 235302 687860 238795 687865
+rect 239323 688688 242816 688693
+rect 239323 687860 239333 688688
+rect 242806 687860 242816 688688
+rect 239323 687855 242816 687860
+rect 243362 688686 299390 690785
+rect 243362 687858 243372 688686
+rect 246845 688285 299390 688686
+rect 246845 687858 246855 688285
+rect 231320 687850 234813 687855
+rect 243362 687853 246855 687858
+rect 227267 687831 230760 687836
+rect 207113 687790 210606 687795
+rect 202770 685291 247152 685296
+rect 202770 684711 202780 685291
+rect 247142 684711 247152 685291
+rect 202770 684706 202805 684711
+rect 202795 684082 202805 684706
+rect 247109 684706 247152 684711
+rect 247109 684082 247119 684706
+rect 296890 658340 299390 688285
+rect 301225 661655 303725 691142
+rect 305178 664670 307678 693974
+rect 309394 668158 311894 696758
+rect 313046 671728 315546 699614
+rect 320335 695123 322835 702300
+rect 330587 695123 333087 702300
+rect 414564 696421 417064 702300
+rect 466720 696421 469220 702300
+rect 510296 701790 510306 704716
+rect 510456 697694 510466 701790
+rect 525573 697694 525583 704716
+rect 566594 702300 571594 704800
+rect 567875 698736 570375 702300
+rect 567865 696717 567875 698736
+rect 570375 696717 570385 698736
+rect 320334 692621 333087 695123
+rect 414554 693921 414564 696421
+rect 417064 693921 417074 696421
+rect 466710 693921 466720 696421
+rect 469220 693921 469230 696421
+rect 313036 669228 313046 671728
+rect 315546 669228 315556 671728
+rect 309384 665658 309394 668158
+rect 311894 665658 311904 668158
+rect 305168 662170 305178 664670
+rect 307678 662170 307688 664670
+rect 301215 659155 301225 661655
+rect 303725 659155 303735 661655
+rect 296880 655840 296890 658340
+rect 299390 655840 299400 658340
+rect 325555 650863 328055 692621
+rect 582300 681668 584800 682984
+rect 576277 679168 576287 681668
+rect 578306 679168 584800 681668
+rect 582300 677984 584800 679168
+rect 373465 669228 373475 671728
+rect 374825 669228 374835 671728
+rect 371778 665658 371788 668158
+rect 373138 665658 373148 668158
+rect 369963 662170 369973 664670
+rect 371323 662170 371333 664670
+rect 368199 661655 369549 661704
+rect 368189 659155 368199 661655
+rect 369549 659155 369559 661655
+rect 366150 655840 366160 658340
+rect 367510 655840 367520 658340
+rect 325545 648363 325555 650863
+rect 328055 648363 328065 650863
+rect 325555 648358 328055 648363
+rect 366160 642905 367510 655840
+rect 368199 642905 369549 659155
+rect 369973 642905 371323 662170
+rect 371788 642905 373138 665658
+rect 373475 642905 374825 669228
+rect 367006 642208 367202 642905
+rect 360862 642012 367202 642208
+rect 347630 636697 347636 637147
+rect 348086 636697 348966 637147
+rect 360862 636883 361058 642012
+rect 368630 641752 368826 642905
+rect 368630 641556 370520 641752
+rect 171973 633070 171983 635570
+rect 174483 633070 174493 635570
+rect 368671 635516 368826 635521
+rect 368671 635421 368681 635516
+rect 368816 635421 368826 635516
+rect 368671 635418 368826 635421
+rect 368652 635049 368848 635418
+rect 370324 635049 370520 641556
+rect 368652 634853 370520 635049
+rect 370918 634243 371114 642905
+rect 372372 642103 372568 642905
+rect 371439 641907 372568 642103
+rect 371439 637006 371635 641907
+rect 374402 641653 374598 642905
+rect 371879 641457 374598 641653
+rect 368651 634047 371114 634243
+rect 368651 633678 368847 634047
+rect 368651 633588 368680 633678
+rect 368670 633583 368680 633588
+rect 368815 633588 368847 633678
+rect 368815 633583 368825 633588
+rect 368670 633578 368825 633583
+rect 171983 633065 174483 633070
+rect 371879 632836 372075 641457
+rect 582340 639784 584800 644584
+rect 371440 632640 372075 632836
+rect 371440 632181 371636 632640
+rect 352226 630044 352236 630281
+rect 352702 630044 352712 630281
+rect 352226 613751 352712 630044
+rect 356365 630025 356375 630262
+rect 356841 630025 356851 630262
+rect 356365 615037 356851 630025
+rect 358816 630047 358826 630284
+rect 359292 630047 359302 630284
+rect 358816 616322 359302 630047
+rect 582340 629784 584800 634584
+rect 361089 628771 361575 628785
+rect 360254 628619 360264 628648
+rect 360218 628346 360264 628619
+rect 360662 628619 360672 628648
+rect 360662 628346 360704 628619
+rect 360218 618008 360704 628346
+rect 361089 628469 361132 628771
+rect 361530 628469 361575 628771
+rect 361089 619534 361575 628469
+rect 362010 628738 362496 628770
+rect 362010 628436 362048 628738
+rect 362446 628436 362496 628738
+rect 362010 620980 362496 628436
+rect 362010 620494 383337 620980
+rect 382851 619658 383337 620494
+rect 361089 619048 379241 619534
+rect 360218 617522 374744 618008
+rect 378755 617971 379241 619048
+rect 374258 616445 374744 617522
+rect 358816 615836 369845 616322
+rect 356365 614551 365990 615037
+rect 369359 614999 369845 615836
+rect 352226 613265 360609 613751
+rect 360123 612028 360609 613265
+rect 365504 613072 365990 614551
+rect 144145 467013 144170 467320
+rect 145472 467013 145521 467320
+rect 144145 466948 145521 467013
+rect -800 465944 480 466056
+rect -800 464872 1188 464874
+rect -800 464762 1508 464872
+rect 253 464760 1508 464762
+rect -800 463580 480 463692
+rect -800 462398 480 462510
+rect -800 425086 480 425198
+rect -800 423904 480 424016
+rect -800 422722 480 422834
+rect -800 421540 480 421652
+rect -800 420358 480 420470
+rect -800 419176 480 419288
+rect -800 381864 480 381976
+rect -800 380682 480 380794
+rect -800 379500 480 379612
+rect -800 378318 480 378430
+rect -800 377136 480 377248
+rect -800 375954 480 376066
+rect -800 338642 480 338754
+rect -800 337460 480 337572
+rect -800 336278 480 336390
+rect -800 335096 480 335208
+rect -800 333914 480 334026
+rect -800 332732 480 332844
+rect 359161 315293 361661 612028
+rect 363819 361386 366319 613072
+rect 368397 407710 370897 614999
+rect 373136 452031 375636 616445
+rect 377553 496436 380053 617971
+rect 381890 581162 384390 619658
+rect 583554 589472 584800 589584
+rect 583554 588290 584800 588402
+rect 583554 587108 584800 587220
+rect 583554 585926 584800 586038
+rect 570571 584856 580767 585210
+rect 570571 584744 584800 584856
+rect 570571 582710 580767 584744
+rect 583554 583562 584800 583674
+rect 570571 581162 573071 582710
+rect 381890 578662 573071 581162
+rect 582340 555256 584800 555362
+rect 582340 554118 582403 555256
+rect 584710 554118 584800 555256
+rect 582330 551658 582340 554118
+rect 584800 551658 584810 554118
+rect 582340 550629 582403 551658
+rect 584710 550629 584800 551658
+rect 582340 550562 584800 550629
+rect 582340 545159 584800 545362
+rect 582340 540677 582466 545159
+rect 584684 540677 584800 545159
+rect 582340 540562 584800 540677
+rect 583520 500050 584800 500162
+rect 583520 498868 584800 498980
+rect 583520 497686 584800 497798
+rect 583520 496504 584800 496616
+rect 377553 495434 581308 496436
+rect 377553 495322 584800 495434
+rect 377553 493936 581308 495322
+rect 583520 494140 584800 494252
+rect 583520 455628 584800 455740
+rect 583520 454446 584800 454558
+rect 583520 453264 584800 453376
+rect 583520 452082 584800 452194
+rect 373136 451012 581762 452031
+rect 373136 450900 584800 451012
+rect 373136 449531 581762 450900
+rect 583520 449718 584800 449830
+rect 583520 411206 584800 411318
+rect 583520 410024 584800 410136
+rect 583520 408842 584800 408954
+rect 368397 406590 581354 407710
+rect 583520 407660 584800 407772
+rect 368397 406478 584800 406590
+rect 368397 405210 581354 406478
+rect 583520 405296 584800 405408
+rect 583520 364784 584800 364896
+rect 583520 363602 584800 363714
+rect 583520 362420 584800 362532
+rect 363819 360168 580825 361386
+rect 583520 361238 584800 361350
+rect 363819 360056 584800 360168
+rect 363819 358886 580825 360056
+rect 583520 358874 584800 358986
+rect 583520 319562 584800 319674
+rect 583520 318380 584800 318492
+rect 583520 317198 584800 317310
+rect 583520 316016 584800 316128
+rect 359161 314946 581320 315293
+rect 359161 314834 584800 314946
+rect 359161 312793 581320 314834
+rect 583520 313652 584800 313764
+rect -800 295420 480 295532
+rect -800 294238 480 294350
+rect -800 293056 480 293168
+rect -800 291874 480 291986
+rect -800 290692 480 290804
+rect -800 289510 480 289622
+rect 583520 275140 584800 275252
+rect 583520 273958 584800 274070
+rect 583520 272776 584800 272888
+rect 583520 271594 584800 271706
+rect 583520 270412 584800 270524
+rect 583520 269230 584800 269342
+rect -800 252398 480 252510
+rect -800 251216 480 251328
+rect -800 250034 480 250146
+rect -800 248852 480 248964
+rect -800 247670 480 247782
+rect -800 246488 480 246600
+rect 578927 224950 578937 240589
+rect 584001 240030 584011 240589
+rect 584001 235230 584800 240030
+rect 584001 230030 584011 235230
+rect 584001 225230 584800 230030
+rect 584001 224950 584011 225230
+rect -800 214888 1660 219688
+rect -800 204888 1660 209688
+rect 582340 191430 584800 196230
+rect 582340 181430 584800 186230
+rect -800 172888 1660 177688
+rect -800 162888 1660 167688
+rect 582340 151577 584800 151630
+rect 578897 136610 578907 151577
+rect 583774 146830 584800 151577
+rect 583774 141630 583784 146830
+rect 583774 136830 584800 141630
+rect 583774 136610 583784 136830
+rect -800 124776 480 124888
+rect -800 123594 480 123706
+rect -800 122412 480 122524
+rect -800 121230 480 121342
+rect -800 120048 480 120160
+rect -800 118866 480 118978
+rect 583520 95118 584800 95230
+rect 583520 93936 584800 94048
+rect 583520 92754 584800 92866
+rect 583520 91572 584800 91684
+rect -800 81554 480 81666
+rect -800 80372 480 80484
+rect -800 79190 480 79302
+rect -800 78008 480 78120
+rect -800 76826 480 76938
+rect -800 75644 480 75756
+rect 583520 50460 584800 50572
+rect 583520 49278 584800 49390
+rect 583520 48096 584800 48208
+rect 583520 46914 584800 47026
+rect -800 38332 480 38444
+rect -800 37150 480 37262
+rect -800 35968 480 36080
+rect -800 34786 480 34898
+rect -800 33604 480 33716
+rect -800 32422 480 32534
+rect 583520 24002 584800 24114
+rect 583520 22820 584800 22932
+rect 583520 21638 584800 21750
+rect 583520 20456 584800 20568
+rect 583520 19274 584800 19386
+rect 583520 18092 584800 18204
+rect -800 16910 480 17022
+rect 583520 16910 584800 17022
+rect -800 15728 480 15840
+rect 583520 15728 584800 15840
+rect -800 14546 480 14658
+rect 583520 14546 584800 14658
+rect -800 13364 480 13476
+rect 583520 13364 584800 13476
+rect -800 12182 480 12294
+rect 583520 12182 584800 12294
+rect -800 11000 480 11112
+rect 583520 11000 584800 11112
+rect -800 9818 480 9930
+rect 583520 9818 584800 9930
+rect -800 8636 480 8748
+rect 583520 8636 584800 8748
+rect -800 7454 480 7566
+rect 583520 7454 584800 7566
+rect -800 6272 480 6384
+rect 583520 6272 584800 6384
+rect -800 5090 480 5202
+rect 583520 5090 584800 5202
+rect -800 3908 480 4020
+rect 583520 3908 584800 4020
+rect -800 2726 480 2838
+rect 583520 2726 584800 2838
+rect -800 1544 480 1656
+rect 583520 1544 584800 1656
+<< via3 >>
+rect 17558 690824 19943 693242
+rect 66188 690828 68553 693229
+rect 21892 681701 22854 683906
+rect 23042 660657 64017 661269
+rect 23042 660101 64017 660657
+rect 93859 693909 95764 695867
+rect 211658 693981 214091 695778
+rect 218717 694902 221066 697309
+rect 223921 694931 226270 697338
+rect 93843 681797 95721 683893
+rect 125005 690355 125955 692310
+rect 83765 660846 124071 661487
+rect 83765 660179 124071 660846
+rect 14427 621627 64644 624619
+rect 2646 607093 5007 611726
+rect 83152 622007 133410 624630
+rect 137755 607168 139770 611839
+rect 207591 690328 209950 692355
+rect 242732 699634 245232 702134
+rect 228965 694945 231314 697352
+rect 227277 688115 230750 688664
+rect 202780 684711 247142 685291
+rect 202805 684082 247109 684711
+rect 510306 701790 525573 704716
+rect 510466 697694 525573 701790
+rect 567875 696717 570375 698736
+rect 414564 693921 417064 696421
+rect 466720 693921 469220 696421
+rect 313046 669228 315546 671728
+rect 309394 665658 311894 668158
+rect 305178 662170 307678 664670
+rect 301225 659155 303725 661655
+rect 296890 655840 299390 658340
+rect 576287 679168 578306 681668
+rect 373475 669228 374825 671728
+rect 371788 665658 373138 668158
+rect 369973 662170 371323 664670
+rect 368199 659155 369549 661655
+rect 366160 655840 367510 658340
+rect 325555 648363 328055 650863
+rect 347636 636697 348086 637147
+rect 171983 633070 174483 635570
+rect 352236 630044 352702 630281
+rect 356375 630025 356841 630262
+rect 358826 630047 359292 630284
+rect 360264 628346 360662 628648
+rect 361132 628469 361530 628771
+rect 362048 628436 362446 628738
+rect 582403 554118 584710 555256
+rect 582340 551658 584800 554118
+rect 582403 550629 584710 551658
+rect 582466 540677 584684 545159
+rect 578937 224950 584001 240589
+rect 578907 136610 583774 151577
+<< metal4 >>
+rect 510305 704716 525574 704717
+rect 242731 702134 245233 702135
+rect 242731 699634 242732 702134
+rect 245232 699634 245233 702134
+rect 510305 701790 510306 704716
+rect 510305 701789 510466 701790
+rect 242731 699633 245233 699634
+rect 510465 697694 510466 701789
+rect 525573 697694 525574 704716
+rect 510465 697693 525574 697694
+rect 567874 698736 570376 698737
+rect 218687 697352 231368 697368
+rect 218687 697338 228965 697352
+rect 218687 697309 223921 697338
+rect 93805 695867 214125 695904
+rect 93805 693909 93859 695867
+rect 95764 695778 214125 695867
+rect 95764 693981 211658 695778
+rect 214091 693981 214125 695778
+rect 218687 694902 218717 697309
+rect 221066 694931 223921 697309
+rect 226270 694945 228965 697338
+rect 231314 694945 231368 697352
+rect 567874 696717 567875 698736
+rect 570375 696717 570376 698736
+rect 567874 696716 570376 696717
+rect 226270 694931 231368 694945
+rect 221066 694902 231368 694931
+rect 218687 694868 231368 694902
+rect 414563 696421 417065 696422
+rect 95764 693909 214125 693981
+rect 414563 693921 414564 696421
+rect 417064 693921 417065 696421
+rect 414563 693920 417065 693921
+rect 466719 696421 469221 696422
+rect 466719 693921 466720 696421
+rect 469220 693921 469221 696421
+rect 466719 693920 469221 693921
+rect 93805 693889 214125 693909
+rect 17498 693242 68630 693269
+rect 17498 690824 17558 693242
+rect 19943 693229 68630 693242
+rect 19943 690828 66188 693229
+rect 68553 690828 68630 693229
+rect 207590 692355 209951 692356
+rect 207590 692349 207591 692355
+rect 19943 690824 68630 690828
+rect 17498 690769 68630 690824
+rect 124977 692310 207591 692349
+rect 124977 690355 125005 692310
+rect 125955 690355 207591 692310
+rect 124977 690334 207591 690355
+rect 207590 690328 207591 690334
+rect 209950 690328 209951 692355
+rect 207590 690327 209951 690328
+rect 567875 690311 570375 696716
+rect 227276 688664 230751 688665
+rect 227276 688115 227277 688664
+rect 230750 688115 230751 688664
+rect 227276 688114 230751 688115
+rect 536686 687811 570375 690311
+rect 202779 685291 247143 685292
+rect 202779 685235 202780 685291
+rect 247142 684711 247143 685291
+rect 247109 684710 247143 684711
+rect 21910 683907 95867 683939
+rect 21891 683906 95867 683907
+rect 21891 681701 21892 683906
+rect 22854 683893 95867 683906
+rect 22854 681797 93843 683893
+rect 95721 681797 95867 683893
+rect 247109 684081 247110 684710
+rect 22854 681710 95867 681797
+rect 22854 681701 22855 681710
+rect 21891 681700 22855 681701
+rect 31160 677786 260437 677902
+rect 31160 677668 101968 677786
+rect 46493 673232 101968 677668
+rect 117567 677761 260437 677786
+rect 117567 673232 216750 677761
+rect 46493 673154 216750 673232
+rect 31160 673124 216750 673154
+rect 232071 673124 260437 677761
+rect 31160 673035 260437 673124
+rect 274962 677887 467817 677902
+rect 274962 673047 452327 677887
+rect 467023 673047 467817 677887
+rect 274962 673035 467817 673047
+rect 313045 671728 315547 671729
+rect 373474 671728 374826 671729
+rect 313045 669228 313046 671728
+rect 315546 669228 373475 671728
+rect 374825 669228 374835 671728
+rect 313045 669227 315547 669228
+rect 373474 669227 374826 669228
+rect 309393 668158 311895 668159
+rect 371787 668158 373139 668159
+rect 309393 665658 309394 668158
+rect 311894 665658 371788 668158
+rect 373138 665658 373148 668158
+rect 309393 665657 311895 665658
+rect 371787 665657 373139 665658
+rect 305177 664670 307679 664671
+rect 369972 664670 371324 664671
+rect 23041 660101 23042 661270
+rect 64017 660101 64018 661270
+rect 83764 660179 83765 661488
+rect 305177 662170 305178 664670
+rect 307678 662170 369973 664670
+rect 371323 662170 371333 664670
+rect 305177 662169 307679 662170
+rect 369972 662169 371324 662170
+rect 301224 661655 303726 661656
+rect 368198 661655 369550 661656
+rect 83764 660178 124072 660179
+rect 23041 660100 64018 660101
+rect 301224 659155 301225 661655
+rect 303725 659155 368199 661655
+rect 369549 659155 369559 661655
+rect 301224 659154 303726 659155
+rect 368198 659154 369550 659155
+rect 296889 658340 299391 658341
+rect 366159 658340 367511 658341
+rect 296889 655840 296890 658340
+rect 299390 655840 366160 658340
+rect 367510 655840 367520 658340
+rect 296889 655839 299391 655840
+rect 366159 655839 367511 655840
+rect 325554 650863 328056 650864
+rect 251036 648363 325555 650863
+rect 328055 648363 328065 650863
+rect 251036 643769 253536 648363
+rect 325554 648362 328056 648363
+rect 251036 641269 338266 643769
+rect 356990 643636 357847 643763
+rect 357720 642897 357847 643636
+rect 358200 643636 359056 643763
+rect 358200 642897 358327 643636
+rect 335766 640142 338266 641269
+rect 335766 639692 348716 640142
+rect 536686 639819 539186 687811
+rect 576286 681668 578307 681669
+rect 383342 637319 539186 639819
+rect 544840 679168 576287 681668
+rect 578306 679168 578307 681668
+rect 347635 637147 348087 637148
+rect 335766 636697 347636 637147
+rect 348086 636697 348087 637147
+rect 171982 635570 174484 635571
+rect 335766 635570 338266 636697
+rect 347635 636696 348087 636697
+rect 383342 635788 383892 637319
+rect 376970 635579 383892 635788
+rect 171973 633070 171983 635570
+rect 174483 633070 338266 635570
+rect 376994 633304 383892 633513
+rect 171982 633069 174484 633070
+rect 352335 630282 352605 632949
+rect 352235 630281 352703 630282
+rect 352235 630044 352236 630281
+rect 352702 630044 352703 630281
+rect 356477 630263 356747 632977
+rect 358930 630285 359200 632879
+rect 383342 631773 383892 633304
+rect 544840 631773 547340 679168
+rect 576286 679167 578307 679168
+rect 358825 630284 359293 630285
+rect 352235 630043 352703 630044
+rect 356374 630262 356842 630263
+rect 356374 630025 356375 630262
+rect 356841 630025 356842 630262
+rect 358825 630047 358826 630284
+rect 359292 630047 359293 630284
+rect 358825 630046 359293 630047
+rect 356374 630024 356842 630025
+rect 383342 629273 547340 631773
+rect 361131 628771 361531 628772
+rect 360263 628648 360663 628649
+rect 360263 628346 360264 628648
+rect 360662 628346 360663 628648
+rect 361131 628469 361132 628771
+rect 361530 628469 361531 628771
+rect 361131 628468 361531 628469
+rect 362047 628738 362447 628739
+rect 362047 628436 362048 628738
+rect 362446 628436 362447 628738
+rect 362047 628435 362447 628436
+rect 360263 628345 360663 628346
+rect 14426 624619 64645 624620
+rect 14426 624437 14427 624619
+rect 64644 621627 64645 624619
+rect 64282 621626 64645 621627
+rect 275302 623908 345528 625028
+rect 275302 621915 344840 623908
+rect 345283 621915 380146 623908
+rect 275302 617272 345528 621915
+rect 2575 611840 139145 611907
+rect 2575 611839 139771 611840
+rect 2575 611726 137755 611839
+rect 2575 607093 2646 611726
+rect 5007 607168 137755 611726
+rect 139770 607168 139771 611839
+rect 5007 607167 139771 607168
+rect 5007 607093 139145 607167
+rect 2575 607040 139145 607093
+rect 30038 599282 561785 599316
+rect 30038 583701 30180 599282
+rect 46130 599011 561785 599282
+rect 46130 598859 510429 599011
+rect 46130 583701 100668 598859
+rect 30038 583445 100668 583701
+rect 116512 598747 510429 598859
+rect 116512 583865 338602 598747
+rect 353942 583865 510429 598747
+rect 116512 583750 510429 583865
+rect 525629 598800 561785 599011
+rect 525629 584066 546176 598800
+rect 561217 584066 561785 598800
+rect 525629 583750 561785 584066
+rect 116512 583445 561785 583750
+rect 20619 555772 584769 555900
+rect 32921 555641 584769 555772
+rect 32921 540306 216832 555641
+rect 232047 555640 584769 555641
+rect 232047 540435 259862 555640
+rect 275226 555601 584769 555640
+rect 275226 540435 452164 555601
+rect 232047 540306 452164 540435
+rect 32921 540202 452164 540306
+rect 20619 540149 452164 540202
+rect 467493 555256 584769 555601
+rect 467493 554118 582403 555256
+rect 584710 554119 584769 555256
+rect 584710 554118 584801 554119
+rect 467493 551658 582340 554118
+rect 584800 551658 584801 554118
+rect 467493 550629 582403 551658
+rect 584710 551657 584801 551658
+rect 584710 550629 584769 551657
+rect 467493 549950 584769 550629
+rect 467493 546246 582340 549950
+rect 467493 545159 585071 546246
+rect 467493 540677 582466 545159
+rect 584684 540677 585071 545159
+rect 467493 540149 585071 540677
+rect 20619 540029 585071 540149
+rect 102586 432559 557291 432965
+rect 102586 432558 338894 432559
+rect 116402 417742 338894 432558
+rect 354227 432093 557291 432559
+rect 354227 417742 545705 432093
+rect 116402 417422 545705 417742
+rect 102586 417276 545705 417422
+rect 102586 417094 557291 417276
+rect 21612 378918 466454 379014
+rect 21612 378759 452093 378918
+rect 21612 378616 259965 378759
+rect 33021 363349 259965 378616
+rect 21612 363295 259965 363349
+rect 275183 363464 452093 378759
+rect 275183 363295 466454 363464
+rect 21612 363143 466454 363295
+rect 22911 240605 583937 240685
+rect 32695 240590 583937 240605
+rect 32695 240589 584002 240590
+rect 32695 240427 578937 240589
+rect 32695 225260 259755 240427
+rect 275011 240355 578937 240427
+rect 275011 225376 452031 240355
+rect 467535 225376 578937 240355
+rect 275011 225260 578937 225376
+rect 32695 225102 578937 225260
+rect 22911 225078 578937 225102
+rect 563330 224950 578937 225078
+rect 584001 224950 584002 240589
+rect 563330 224949 584002 224950
+rect 563330 224854 583937 224949
+rect 100326 151653 584154 151892
+rect 100326 151638 338641 151653
+rect 100326 136586 100582 151638
+rect 116556 136586 338641 151638
+rect 100326 136461 338641 136586
+rect 354383 151577 584154 151653
+rect 354383 136610 578907 151577
+rect 583774 136610 584154 151577
+rect 354383 136461 584154 136610
+rect 100326 136443 584154 136461
+<< via4 >>
+rect 242732 699634 245232 702134
+rect 510306 701790 525573 704716
+rect 510466 697694 525573 701790
+rect 414564 693921 417064 696421
+rect 466720 693921 469220 696421
+rect 227277 688115 230750 688664
+rect 202733 684711 202780 685235
+rect 202780 684711 247109 685235
+rect 202733 684082 202805 684711
+rect 202805 684082 247109 684711
+rect 202733 683217 247109 684082
+rect 31049 673154 46493 677668
+rect 101968 673232 117567 677786
+rect 216750 673124 232071 677761
+rect 260437 671893 274962 678175
+rect 452327 673047 467023 677887
+rect 23042 661269 64017 661882
+rect 23042 660101 64017 661269
+rect 83765 661487 124085 662834
+rect 83765 660179 124071 661487
+rect 124071 660179 124085 661487
+rect 356670 643622 356990 643930
+rect 359056 643622 359376 643930
+rect 360315 637262 360607 637553
+rect 361033 637228 361327 637566
+rect 362102 637245 362396 637583
+rect 360264 628346 360662 628648
+rect 361132 628469 361530 628771
+rect 362048 628436 362446 628738
+rect 83049 624683 133410 624733
+rect 82973 624630 133488 624683
+rect 14337 621627 14427 624437
+rect 14427 621627 64282 624437
+rect 14337 618001 64282 621627
+rect 82973 622007 83152 624630
+rect 83152 622007 133410 624630
+rect 133410 622007 133488 624630
+rect 82973 617893 133488 622007
+rect 259670 617074 275302 625270
+rect 344840 621915 345283 623908
+rect 380146 621915 380589 623908
+rect 30180 583701 46130 599282
+rect 100668 583221 116512 598859
+rect 338602 583865 353942 598747
+rect 510429 583750 525629 599011
+rect 546176 584066 561217 598800
+rect 17921 540202 32921 555772
+rect 216832 540306 232047 555641
+rect 259862 540435 275226 555640
+rect 452164 540149 467493 555601
+rect 101051 417422 116402 432558
+rect 338894 417742 354227 432559
+rect 545705 417276 561038 432093
+rect 17721 363349 33021 378616
+rect 259965 363295 275183 378759
+rect 452093 363464 467213 378918
+rect 17911 225102 32695 240605
+rect 259755 225260 275011 240427
+rect 452031 225376 467535 240355
+rect 100582 136586 116556 151638
+rect 338641 136461 354383 151653
+<< metal5 >>
+rect 510282 704716 525597 704740
+rect 510282 703705 510306 704716
+rect 242708 702134 245256 702158
+rect 242708 699634 242732 702134
+rect 245232 699634 245256 702134
+rect 242708 699610 245256 699634
+rect 510173 701790 510306 703705
+rect 525573 703705 525597 704716
+rect 242732 693377 245232 699610
+rect 510173 697694 510466 701790
+rect 525573 697694 525839 703705
+rect 414540 696421 417088 696445
+rect 466696 696421 469244 696445
+rect 414540 693921 414564 696421
+rect 417064 693921 436162 696421
+rect 414540 693897 417088 693921
+rect 227790 690877 245232 693377
+rect 227790 688688 230290 690877
+rect 433662 690218 436162 693921
+rect 227253 688664 230774 688688
+rect 227253 688115 227277 688664
+rect 230750 688115 230774 688664
+rect 227253 688091 230774 688115
+rect 352750 687718 436162 690218
+rect 441224 693921 466720 696421
+rect 469220 693921 469244 696421
+rect 202709 685235 247133 685259
+rect 202709 683217 202733 685235
+rect 247109 683217 247133 685235
+rect 202709 683193 247133 683217
+rect 30960 677668 46833 678350
+rect 30960 673154 31049 677668
+rect 46493 673154 46833 677668
+rect 101944 677786 117591 677810
+rect 101944 677524 101968 677786
+rect 30960 661906 46833 673154
+rect 101864 673232 101968 677524
+rect 117567 677524 117591 677786
+rect 216581 677761 232247 683193
+rect 117567 673232 117672 677524
+rect 101864 662858 117672 673232
+rect 216581 673124 216750 677761
+rect 232071 673124 232247 677761
+rect 83741 662834 124109 662858
+rect 23018 661882 64041 661906
+rect 23018 660101 23042 661882
+rect 64017 660101 64041 661882
+rect 83741 660179 83765 662834
+rect 124085 660179 124109 662834
+rect 83741 660155 124109 660179
+rect 23018 660077 64041 660101
+rect 83025 624733 133434 624757
+rect 83025 624707 83049 624733
+rect 82949 624683 83049 624707
+rect 133410 624707 133434 624733
+rect 133410 624683 133512 624707
+rect 14313 624437 64306 624461
+rect 14313 618001 14337 624437
+rect 64282 618001 64306 624437
+rect 14313 617977 64306 618001
+rect 30017 599282 46173 617977
+rect 82949 617893 82973 624683
+rect 133488 617893 133512 624683
+rect 82949 617869 133512 617893
+rect 30017 586019 30180 599282
+rect 30156 583701 30180 586019
+rect 46130 586019 46173 599282
+rect 100463 598859 116619 617869
+rect 46130 583701 46154 586019
+rect 30156 583677 46154 583701
+rect 100463 583221 100668 598859
+rect 116512 583221 116619 598859
+rect 17569 555772 33235 556317
+rect 17569 540202 17921 555772
+rect 32921 540202 33235 555772
+rect 17569 378616 33235 540202
+rect 17569 363349 17721 378616
+rect 33021 363349 33235 378616
+rect 17569 240605 33235 363349
+rect 17569 225102 17911 240605
+rect 32695 225102 33235 240605
+rect 17569 224794 33235 225102
+rect 100463 432558 116619 583221
+rect 216581 555641 232247 673124
+rect 259666 678175 275332 678276
+rect 259666 671893 260437 678175
+rect 274962 671893 275332 678175
+rect 259666 625294 275332 671893
+rect 352750 647598 355250 687718
+rect 441224 684427 443724 693921
+rect 466696 693897 469244 693921
+rect 360796 681927 443724 684427
+rect 360796 647598 363296 681927
+rect 352750 647048 356990 647598
+rect 356670 643954 356990 647048
+rect 359056 647048 363296 647598
+rect 451976 677887 467642 678029
+rect 451976 673047 452327 677887
+rect 467023 673047 467642 677887
+rect 359056 643954 359376 647048
+rect 356646 643930 357014 643954
+rect 356646 643622 356670 643930
+rect 356990 643622 357014 643930
+rect 356646 643598 357014 643622
+rect 359032 643930 359400 643954
+rect 359032 643622 359056 643930
+rect 359376 643622 359400 643930
+rect 359032 643598 359400 643622
+rect 360291 637553 360631 637577
+rect 360291 637262 360315 637553
+rect 360607 637427 360631 637553
+rect 361009 637566 361351 637590
+rect 360607 637262 360633 637427
+rect 259646 625270 275332 625294
+rect 259646 617074 259670 625270
+rect 275302 617074 275332 625270
+rect 344840 623932 345283 631382
+rect 346785 627046 347228 631405
+rect 360291 628672 360633 637262
+rect 361009 637228 361033 637566
+rect 361327 637228 361351 637566
+rect 361009 636893 361351 637228
+rect 362078 637583 362420 637607
+rect 362078 637245 362102 637583
+rect 362396 637245 362420 637583
+rect 361009 628795 361344 636893
+rect 361009 628771 361554 628795
+rect 360240 628648 360686 628672
+rect 360240 628346 360264 628648
+rect 360662 628346 360686 628648
+rect 361009 628473 361132 628771
+rect 361108 628469 361132 628473
+rect 361530 628469 361554 628771
+rect 362078 628762 362420 637245
+rect 361108 628445 361554 628469
+rect 362024 628738 362470 628762
+rect 362024 628436 362048 628738
+rect 362446 628436 362470 628738
+rect 362024 628412 362470 628436
+rect 360240 628322 360686 628346
+rect 378201 627046 378644 630294
+rect 346785 625053 378644 627046
+rect 344816 623908 345307 623932
+rect 344816 621915 344840 623908
+rect 345283 621915 345307 623908
+rect 344816 621891 345307 621915
+rect 259646 617050 275332 617074
+rect 216581 540306 216832 555641
+rect 232047 540306 232247 555641
+rect 216581 540080 232247 540306
+rect 259666 555640 275332 617050
+rect 346785 598771 354541 625053
+rect 380146 623932 380589 631171
+rect 380122 623908 380613 623932
+rect 380122 621915 380146 623908
+rect 380589 621915 380613 623908
+rect 380122 621891 380613 621915
+rect 338578 598747 354541 598771
+rect 338578 597776 338602 598747
+rect 259666 540435 259862 555640
+rect 275226 540435 275332 555640
+rect 100463 417422 101051 432558
+rect 116402 417422 116619 432558
+rect 100463 151638 116619 417422
+rect 259666 378759 275332 540435
+rect 259666 363295 259965 378759
+rect 275183 363295 275332 378759
+rect 259666 240427 275332 363295
+rect 259666 225941 259755 240427
+rect 259731 225260 259755 225941
+rect 275011 225941 275332 240427
+rect 338385 583865 338602 597776
+rect 353942 583865 354541 598747
+rect 338385 432559 354541 583865
+rect 338385 417742 338894 432559
+rect 354227 417742 354541 432559
+rect 275011 225260 275035 225941
+rect 259731 225236 275035 225260
+rect 100463 136586 100582 151638
+rect 116556 136586 116619 151638
+rect 338385 151653 354541 417742
+rect 451976 555601 467642 673047
+rect 510173 599011 525839 697694
+rect 510173 584602 510429 599011
+rect 510405 583750 510429 584602
+rect 525629 584602 525839 599011
+rect 546152 598800 561241 598824
+rect 546152 598734 546176 598800
+rect 525629 583750 525653 584602
+rect 510405 583726 525653 583750
+rect 545384 584066 546176 598734
+rect 561217 598734 561241 598800
+rect 561217 584066 561540 598734
+rect 451976 540149 452164 555601
+rect 467493 540149 467642 555601
+rect 451976 378918 467642 540149
+rect 451976 363464 452093 378918
+rect 467213 363464 467642 378918
+rect 451976 240355 467642 363464
+rect 451976 227015 452031 240355
+rect 452007 225376 452031 227015
+rect 467535 227015 467642 240355
+rect 545384 432093 561540 584066
+rect 545384 417276 545705 432093
+rect 561038 417276 561540 432093
+rect 467535 225376 467559 227015
+rect 452007 225352 467559 225376
+rect 338385 136722 338641 151653
+rect 100463 136311 116619 136586
+rect 338617 136461 338641 136722
+rect 354383 136722 354541 151653
+rect 545384 137728 561540 417276
+rect 354383 136461 354407 136722
+rect 338617 136437 354407 136461
+<< comment >>
+rect -100 704000 584100 704100
+rect -100 0 0 704000
+rect 584000 0 584100 704000
+rect -100 -100 584100 0
+use res_amp_top  res_amp_top_0 ~/caravel_analog_fulgor/mag/afernandez_residue_amplifier
+timestamp 1624371743
+transform 1 0 349695 0 1 630386
+box -5005 -972 31038 12726
+use top_pll_v2  top_pll_v2_0
+timestamp 1624316735
+transform -1 0 133068 0 1 657248
+box -642 -33679 50180 2860
+use top_pll_v1 *top_pll_v1_0
+timestamp 1624316735
+transform 1 0 14782 0 1 657248
+box -642 -33679 50180 2860
+use bias  bias_0
+timestamp 1624049879
+transform 1 0 202834 0 -1 687483
+box -54 -412 44317 2238
+<< labels >>
+flabel metal3 s 583520 269230 584800 269342 0 FreeSans 1120 0 0 0 gpio_analog[0]
+port 0 nsew signal bidirectional
+flabel metal3 s -800 381864 480 381976 0 FreeSans 1120 0 0 0 gpio_analog[10]
+port 1 nsew signal bidirectional
+flabel metal3 s -800 338642 480 338754 0 FreeSans 1120 0 0 0 gpio_analog[11]
+port 2 nsew signal bidirectional
+flabel metal3 s -800 295420 480 295532 0 FreeSans 1120 0 0 0 gpio_analog[12]
+port 3 nsew signal bidirectional
+flabel metal3 s -800 252398 480 252510 0 FreeSans 1120 0 0 0 gpio_analog[13]
+port 4 nsew signal bidirectional
+flabel metal3 s -800 124776 480 124888 0 FreeSans 1120 0 0 0 gpio_analog[14]
+port 5 nsew signal bidirectional
+flabel metal3 s -800 81554 480 81666 0 FreeSans 1120 0 0 0 gpio_analog[15]
+port 6 nsew signal bidirectional
+flabel metal3 s -800 38332 480 38444 0 FreeSans 1120 0 0 0 gpio_analog[16]
+port 7 nsew signal bidirectional
+flabel metal3 s -800 16910 480 17022 0 FreeSans 1120 0 0 0 gpio_analog[17]
+port 8 nsew signal bidirectional
+flabel metal3 s 583520 313652 584800 313764 0 FreeSans 1120 0 0 0 gpio_analog[1]
+port 9 nsew signal bidirectional
+flabel metal3 s 583520 358874 584800 358986 0 FreeSans 1120 0 0 0 gpio_analog[2]
+port 10 nsew signal bidirectional
+flabel metal3 s 583520 405296 584800 405408 0 FreeSans 1120 0 0 0 gpio_analog[3]
+port 11 nsew signal bidirectional
+flabel metal3 s 583520 449718 584800 449830 0 FreeSans 1120 0 0 0 gpio_analog[4]
+port 12 nsew signal bidirectional
+flabel metal3 s 583520 494140 584800 494252 0 FreeSans 1120 0 0 0 gpio_analog[5]
+port 13 nsew signal bidirectional
+flabel metal3 s 583520 583562 584800 583674 0 FreeSans 1120 0 0 0 gpio_analog[6]
+port 14 nsew signal bidirectional
+flabel metal3 s -800 511530 480 511642 0 FreeSans 1120 0 0 0 gpio_analog[7]
+port 15 nsew signal bidirectional
+flabel metal3 s -800 468308 480 468420 0 FreeSans 1120 0 0 0 gpio_analog[8]
+port 16 nsew signal bidirectional
+flabel metal3 s -800 425086 480 425198 0 FreeSans 1120 0 0 0 gpio_analog[9]
+port 17 nsew signal bidirectional
+flabel metal3 s 583520 270412 584800 270524 0 FreeSans 1120 0 0 0 gpio_noesd[0]
+port 18 nsew signal bidirectional
+flabel metal3 s -800 380682 480 380794 0 FreeSans 1120 0 0 0 gpio_noesd[10]
+port 19 nsew signal bidirectional
+flabel metal3 s -800 337460 480 337572 0 FreeSans 1120 0 0 0 gpio_noesd[11]
+port 20 nsew signal bidirectional
+flabel metal3 s -800 294238 480 294350 0 FreeSans 1120 0 0 0 gpio_noesd[12]
+port 21 nsew signal bidirectional
+flabel metal3 s -800 251216 480 251328 0 FreeSans 1120 0 0 0 gpio_noesd[13]
+port 22 nsew signal bidirectional
+flabel metal3 s -800 123594 480 123706 0 FreeSans 1120 0 0 0 gpio_noesd[14]
+port 23 nsew signal bidirectional
+flabel metal3 s -800 80372 480 80484 0 FreeSans 1120 0 0 0 gpio_noesd[15]
+port 24 nsew signal bidirectional
+flabel metal3 s -800 37150 480 37262 0 FreeSans 1120 0 0 0 gpio_noesd[16]
+port 25 nsew signal bidirectional
+flabel metal3 s -800 15728 480 15840 0 FreeSans 1120 0 0 0 gpio_noesd[17]
+port 26 nsew signal bidirectional
+flabel metal3 s 583520 314834 584800 314946 0 FreeSans 1120 0 0 0 gpio_noesd[1]
+port 27 nsew signal bidirectional
+flabel metal3 s 583520 360056 584800 360168 0 FreeSans 1120 0 0 0 gpio_noesd[2]
+port 28 nsew signal bidirectional
+flabel metal3 s 583520 406478 584800 406590 0 FreeSans 1120 0 0 0 gpio_noesd[3]
+port 29 nsew signal bidirectional
+flabel metal3 s 583520 450900 584800 451012 0 FreeSans 1120 0 0 0 gpio_noesd[4]
+port 30 nsew signal bidirectional
+flabel metal3 s 583520 495322 584800 495434 0 FreeSans 1120 0 0 0 gpio_noesd[5]
+port 31 nsew signal bidirectional
+flabel metal3 s 583520 584744 584800 584856 0 FreeSans 1120 0 0 0 gpio_noesd[6]
+port 32 nsew signal bidirectional
+flabel metal3 s -800 510348 480 510460 0 FreeSans 1120 0 0 0 gpio_noesd[7]
+port 33 nsew signal bidirectional
+flabel metal3 s -800 467126 480 467238 0 FreeSans 1120 0 0 0 gpio_noesd[8]
+port 34 nsew signal bidirectional
+flabel metal3 s -800 423904 480 424016 0 FreeSans 1120 0 0 0 gpio_noesd[9]
+port 35 nsew signal bidirectional
+flabel metal3 s 582300 677984 584800 682984 0 FreeSans 1120 0 0 0 io_analog[0]
+port 36 nsew signal bidirectional
+flabel metal3 s 0 680242 1700 685242 0 FreeSans 1120 0 0 0 io_analog[10]
+port 37 nsew signal bidirectional
+flabel metal3 s 566594 702300 571594 704800 0 FreeSans 1920 180 0 0 io_analog[1]
+port 38 nsew signal bidirectional
+flabel metal3 s 465394 702300 470394 704800 0 FreeSans 1920 180 0 0 io_analog[2]
+port 39 nsew signal bidirectional
+flabel metal3 s 413394 702300 418394 704800 0 FreeSans 1920 180 0 0 io_analog[3]
+port 40 nsew signal bidirectional
+flabel metal3 s 329294 702300 334294 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 41 nsew signal bidirectional
+flabel metal3 s 175894 702300 180894 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 43 nsew signal bidirectional
+flabel metal3 s 120194 702300 125194 704800 0 FreeSans 1920 180 0 0 io_analog[7]
+port 44 nsew signal bidirectional
+flabel metal3 s 68194 702300 73194 704800 0 FreeSans 1920 180 0 0 io_analog[8]
+port 45 nsew signal bidirectional
+flabel metal3 s 16194 702300 21194 704800 0 FreeSans 1920 180 0 0 io_analog[9]
+port 46 nsew signal bidirectional
+flabel metal3 s 318994 702300 323994 704800 0 FreeSans 1920 180 0 0 io_analog[4]
+port 47 nsew signal bidirectional
+flabel metal3 s 165594 702300 170594 704800 0 FreeSans 1920 180 0 0 io_analog[6]
+port 49 nsew signal bidirectional
+flabel metal3 s 326794 702300 328994 704800 0 FreeSans 1920 180 0 0 io_clamp_high[0]
+port 50 nsew signal bidirectional
+flabel metal3 s 225094 702300 227294 704800 0 FreeSans 1920 180 0 0 io_clamp_high[1]
+port 51 nsew signal bidirectional
+flabel metal3 s 173394 702300 175594 704800 0 FreeSans 1920 180 0 0 io_clamp_high[2]
+port 52 nsew signal bidirectional
+flabel metal3 s 324294 702300 326494 704800 0 FreeSans 1920 180 0 0 io_clamp_low[0]
+port 53 nsew signal bidirectional
+flabel metal3 s 222594 702300 224794 704800 0 FreeSans 1920 180 0 0 io_clamp_low[1]
+port 54 nsew signal bidirectional
+flabel metal3 s 170894 702300 173094 704800 0 FreeSans 1920 180 0 0 io_clamp_low[2]
+port 55 nsew signal bidirectional
+flabel metal3 s 583520 2726 584800 2838 0 FreeSans 1120 0 0 0 io_in[0]
+port 56 nsew signal input
+flabel metal3 s 583520 408842 584800 408954 0 FreeSans 1120 0 0 0 io_in[10]
+port 57 nsew signal input
+flabel metal3 s 583520 453264 584800 453376 0 FreeSans 1120 0 0 0 io_in[11]
+port 58 nsew signal input
+flabel metal3 s 583520 497686 584800 497798 0 FreeSans 1120 0 0 0 io_in[12]
+port 59 nsew signal input
+flabel metal3 s 583520 587108 584800 587220 0 FreeSans 1120 0 0 0 io_in[13]
+port 60 nsew signal input
+flabel metal3 s -800 507984 480 508096 0 FreeSans 1120 0 0 0 io_in[14]
+port 61 nsew signal input
+flabel metal3 s -800 464762 480 464874 0 FreeSans 1120 0 0 0 io_in[15]
+port 62 nsew signal input
+flabel metal3 s -800 421540 480 421652 0 FreeSans 1120 0 0 0 io_in[16]
+port 63 nsew signal input
+flabel metal3 s -800 378318 480 378430 0 FreeSans 1120 0 0 0 io_in[17]
+port 64 nsew signal input
+flabel metal3 s -800 335096 480 335208 0 FreeSans 1120 0 0 0 io_in[18]
+port 65 nsew signal input
+flabel metal3 s -800 291874 480 291986 0 FreeSans 1120 0 0 0 io_in[19]
+port 66 nsew signal input
+flabel metal3 s 583520 7454 584800 7566 0 FreeSans 1120 0 0 0 io_in[1]
+port 67 nsew signal input
+flabel metal3 s -800 248852 480 248964 0 FreeSans 1120 0 0 0 io_in[20]
+port 68 nsew signal input
+flabel metal3 s -800 121230 480 121342 0 FreeSans 1120 0 0 0 io_in[21]
+port 69 nsew signal input
+flabel metal3 s -800 78008 480 78120 0 FreeSans 1120 0 0 0 io_in[22]
+port 70 nsew signal input
+flabel metal3 s -800 34786 480 34898 0 FreeSans 1120 0 0 0 io_in[23]
+port 71 nsew signal input
+flabel metal3 s -800 13364 480 13476 0 FreeSans 1120 0 0 0 io_in[24]
+port 72 nsew signal input
+flabel metal3 s -800 8636 480 8748 0 FreeSans 1120 0 0 0 io_in[25]
+port 73 nsew signal input
+flabel metal3 s -800 3908 480 4020 0 FreeSans 1120 0 0 0 io_in[26]
+port 74 nsew signal input
+flabel metal3 s 583520 12182 584800 12294 0 FreeSans 1120 0 0 0 io_in[2]
+port 75 nsew signal input
+flabel metal3 s 583520 16910 584800 17022 0 FreeSans 1120 0 0 0 io_in[3]
+port 76 nsew signal input
+flabel metal3 s 583520 21638 584800 21750 0 FreeSans 1120 0 0 0 io_in[4]
+port 77 nsew signal input
+flabel metal3 s 583520 48096 584800 48208 0 FreeSans 1120 0 0 0 io_in[5]
+port 78 nsew signal input
+flabel metal3 s 583520 92754 584800 92866 0 FreeSans 1120 0 0 0 io_in[6]
+port 79 nsew signal input
+flabel metal3 s 583520 272776 584800 272888 0 FreeSans 1120 0 0 0 io_in[7]
+port 80 nsew signal input
+flabel metal3 s 583520 317198 584800 317310 0 FreeSans 1120 0 0 0 io_in[8]
+port 81 nsew signal input
+flabel metal3 s 583520 362420 584800 362532 0 FreeSans 1120 0 0 0 io_in[9]
+port 82 nsew signal input
+flabel metal3 s 583520 1544 584800 1656 0 FreeSans 1120 0 0 0 io_in_3v3[0]
+port 83 nsew signal input
+flabel metal3 s 583520 407660 584800 407772 0 FreeSans 1120 0 0 0 io_in_3v3[10]
+port 84 nsew signal input
+flabel metal3 s 583520 452082 584800 452194 0 FreeSans 1120 0 0 0 io_in_3v3[11]
+port 85 nsew signal input
+flabel metal3 s 583520 496504 584800 496616 0 FreeSans 1120 0 0 0 io_in_3v3[12]
+port 86 nsew signal input
+flabel metal3 s 583520 585926 584800 586038 0 FreeSans 1120 0 0 0 io_in_3v3[13]
+port 87 nsew signal input
+flabel metal3 s -800 509166 480 509278 0 FreeSans 1120 0 0 0 io_in_3v3[14]
+port 88 nsew signal input
+flabel metal3 s -800 465944 480 466056 0 FreeSans 1120 0 0 0 io_in_3v3[15]
+port 89 nsew signal input
+flabel metal3 s -800 422722 480 422834 0 FreeSans 1120 0 0 0 io_in_3v3[16]
+port 90 nsew signal input
+flabel metal3 s -800 379500 480 379612 0 FreeSans 1120 0 0 0 io_in_3v3[17]
+port 91 nsew signal input
+flabel metal3 s -800 336278 480 336390 0 FreeSans 1120 0 0 0 io_in_3v3[18]
+port 92 nsew signal input
+flabel metal3 s -800 293056 480 293168 0 FreeSans 1120 0 0 0 io_in_3v3[19]
+port 93 nsew signal input
+flabel metal3 s 583520 6272 584800 6384 0 FreeSans 1120 0 0 0 io_in_3v3[1]
+port 94 nsew signal input
+flabel metal3 s -800 250034 480 250146 0 FreeSans 1120 0 0 0 io_in_3v3[20]
+port 95 nsew signal input
+flabel metal3 s -800 122412 480 122524 0 FreeSans 1120 0 0 0 io_in_3v3[21]
+port 96 nsew signal input
+flabel metal3 s -800 79190 480 79302 0 FreeSans 1120 0 0 0 io_in_3v3[22]
+port 97 nsew signal input
+flabel metal3 s -800 35968 480 36080 0 FreeSans 1120 0 0 0 io_in_3v3[23]
+port 98 nsew signal input
+flabel metal3 s -800 14546 480 14658 0 FreeSans 1120 0 0 0 io_in_3v3[24]
+port 99 nsew signal input
+flabel metal3 s -800 9818 480 9930 0 FreeSans 1120 0 0 0 io_in_3v3[25]
+port 100 nsew signal input
+flabel metal3 s -800 5090 480 5202 0 FreeSans 1120 0 0 0 io_in_3v3[26]
+port 101 nsew signal input
+flabel metal3 s 583520 11000 584800 11112 0 FreeSans 1120 0 0 0 io_in_3v3[2]
+port 102 nsew signal input
+flabel metal3 s 583520 15728 584800 15840 0 FreeSans 1120 0 0 0 io_in_3v3[3]
+port 103 nsew signal input
+flabel metal3 s 583520 20456 584800 20568 0 FreeSans 1120 0 0 0 io_in_3v3[4]
+port 104 nsew signal input
+flabel metal3 s 583520 46914 584800 47026 0 FreeSans 1120 0 0 0 io_in_3v3[5]
+port 105 nsew signal input
+flabel metal3 s 583520 91572 584800 91684 0 FreeSans 1120 0 0 0 io_in_3v3[6]
+port 106 nsew signal input
+flabel metal3 s 583520 271594 584800 271706 0 FreeSans 1120 0 0 0 io_in_3v3[7]
+port 107 nsew signal input
+flabel metal3 s 583520 316016 584800 316128 0 FreeSans 1120 0 0 0 io_in_3v3[8]
+port 108 nsew signal input
+flabel metal3 s 583520 361238 584800 361350 0 FreeSans 1120 0 0 0 io_in_3v3[9]
+port 109 nsew signal input
+flabel metal3 s 583520 5090 584800 5202 0 FreeSans 1120 0 0 0 io_oeb[0]
+port 110 nsew signal tristate
+flabel metal3 s 583520 411206 584800 411318 0 FreeSans 1120 0 0 0 io_oeb[10]
+port 111 nsew signal tristate
+flabel metal3 s 583520 455628 584800 455740 0 FreeSans 1120 0 0 0 io_oeb[11]
+port 112 nsew signal tristate
+flabel metal3 s 583520 500050 584800 500162 0 FreeSans 1120 0 0 0 io_oeb[12]
+port 113 nsew signal tristate
+flabel metal3 s 583520 589472 584800 589584 0 FreeSans 1120 0 0 0 io_oeb[13]
+port 114 nsew signal tristate
+flabel metal3 s -800 505620 480 505732 0 FreeSans 1120 0 0 0 io_oeb[14]
+port 115 nsew signal tristate
+flabel metal3 s -800 462398 480 462510 0 FreeSans 1120 0 0 0 io_oeb[15]
+port 116 nsew signal tristate
+flabel metal3 s -800 419176 480 419288 0 FreeSans 1120 0 0 0 io_oeb[16]
+port 117 nsew signal tristate
+flabel metal3 s -800 375954 480 376066 0 FreeSans 1120 0 0 0 io_oeb[17]
+port 118 nsew signal tristate
+flabel metal3 s -800 332732 480 332844 0 FreeSans 1120 0 0 0 io_oeb[18]
+port 119 nsew signal tristate
+flabel metal3 s -800 289510 480 289622 0 FreeSans 1120 0 0 0 io_oeb[19]
+port 120 nsew signal tristate
+flabel metal3 s 583520 9818 584800 9930 0 FreeSans 1120 0 0 0 io_oeb[1]
+port 121 nsew signal tristate
+flabel metal3 s -800 246488 480 246600 0 FreeSans 1120 0 0 0 io_oeb[20]
+port 122 nsew signal tristate
+flabel metal3 s -800 118866 480 118978 0 FreeSans 1120 0 0 0 io_oeb[21]
+port 123 nsew signal tristate
+flabel metal3 s -800 75644 480 75756 0 FreeSans 1120 0 0 0 io_oeb[22]
+port 124 nsew signal tristate
+flabel metal3 s -800 32422 480 32534 0 FreeSans 1120 0 0 0 io_oeb[23]
+port 125 nsew signal tristate
+flabel metal3 s -800 11000 480 11112 0 FreeSans 1120 0 0 0 io_oeb[24]
+port 126 nsew signal tristate
+flabel metal3 s -800 6272 480 6384 0 FreeSans 1120 0 0 0 io_oeb[25]
+port 127 nsew signal tristate
+flabel metal3 s -800 1544 480 1656 0 FreeSans 1120 0 0 0 io_oeb[26]
+port 128 nsew signal tristate
+flabel metal3 s 583520 14546 584800 14658 0 FreeSans 1120 0 0 0 io_oeb[2]
+port 129 nsew signal tristate
+flabel metal3 s 583520 19274 584800 19386 0 FreeSans 1120 0 0 0 io_oeb[3]
+port 130 nsew signal tristate
+flabel metal3 s 583520 24002 584800 24114 0 FreeSans 1120 0 0 0 io_oeb[4]
+port 131 nsew signal tristate
+flabel metal3 s 583520 50460 584800 50572 0 FreeSans 1120 0 0 0 io_oeb[5]
+port 132 nsew signal tristate
+flabel metal3 s 583520 95118 584800 95230 0 FreeSans 1120 0 0 0 io_oeb[6]
+port 133 nsew signal tristate
+flabel metal3 s 583520 275140 584800 275252 0 FreeSans 1120 0 0 0 io_oeb[7]
+port 134 nsew signal tristate
+flabel metal3 s 583520 319562 584800 319674 0 FreeSans 1120 0 0 0 io_oeb[8]
+port 135 nsew signal tristate
+flabel metal3 s 583520 364784 584800 364896 0 FreeSans 1120 0 0 0 io_oeb[9]
+port 136 nsew signal tristate
+flabel metal3 s 583520 3908 584800 4020 0 FreeSans 1120 0 0 0 io_out[0]
+port 137 nsew signal tristate
+flabel metal3 s 583520 410024 584800 410136 0 FreeSans 1120 0 0 0 io_out[10]
+port 138 nsew signal tristate
+flabel metal3 s 583520 454446 584800 454558 0 FreeSans 1120 0 0 0 io_out[11]
+port 139 nsew signal tristate
+flabel metal3 s 583520 498868 584800 498980 0 FreeSans 1120 0 0 0 io_out[12]
+port 140 nsew signal tristate
+flabel metal3 s 583520 588290 584800 588402 0 FreeSans 1120 0 0 0 io_out[13]
+port 141 nsew signal tristate
+flabel metal3 s -800 506802 480 506914 0 FreeSans 1120 0 0 0 io_out[14]
+port 142 nsew signal tristate
+flabel metal3 s -800 463580 480 463692 0 FreeSans 1120 0 0 0 io_out[15]
+port 143 nsew signal tristate
+flabel metal3 s -800 420358 480 420470 0 FreeSans 1120 0 0 0 io_out[16]
+port 144 nsew signal tristate
+flabel metal3 s -800 377136 480 377248 0 FreeSans 1120 0 0 0 io_out[17]
+port 145 nsew signal tristate
+flabel metal3 s -800 333914 480 334026 0 FreeSans 1120 0 0 0 io_out[18]
+port 146 nsew signal tristate
+flabel metal3 s -800 290692 480 290804 0 FreeSans 1120 0 0 0 io_out[19]
+port 147 nsew signal tristate
+flabel metal3 s 583520 8636 584800 8748 0 FreeSans 1120 0 0 0 io_out[1]
+port 148 nsew signal tristate
+flabel metal3 s -800 247670 480 247782 0 FreeSans 1120 0 0 0 io_out[20]
+port 149 nsew signal tristate
+flabel metal3 s -800 120048 480 120160 0 FreeSans 1120 0 0 0 io_out[21]
+port 150 nsew signal tristate
+flabel metal3 s -800 76826 480 76938 0 FreeSans 1120 0 0 0 io_out[22]
+port 151 nsew signal tristate
+flabel metal3 s -800 33604 480 33716 0 FreeSans 1120 0 0 0 io_out[23]
+port 152 nsew signal tristate
+flabel metal3 s -800 12182 480 12294 0 FreeSans 1120 0 0 0 io_out[24]
+port 153 nsew signal tristate
+flabel metal3 s -800 7454 480 7566 0 FreeSans 1120 0 0 0 io_out[25]
+port 154 nsew signal tristate
+flabel metal3 s -800 2726 480 2838 0 FreeSans 1120 0 0 0 io_out[26]
+port 155 nsew signal tristate
+flabel metal3 s 583520 13364 584800 13476 0 FreeSans 1120 0 0 0 io_out[2]
+port 156 nsew signal tristate
+flabel metal3 s 583520 18092 584800 18204 0 FreeSans 1120 0 0 0 io_out[3]
+port 157 nsew signal tristate
+flabel metal3 s 583520 22820 584800 22932 0 FreeSans 1120 0 0 0 io_out[4]
+port 158 nsew signal tristate
+flabel metal3 s 583520 49278 584800 49390 0 FreeSans 1120 0 0 0 io_out[5]
+port 159 nsew signal tristate
+flabel metal3 s 583520 93936 584800 94048 0 FreeSans 1120 0 0 0 io_out[6]
+port 160 nsew signal tristate
+flabel metal3 s 583520 273958 584800 274070 0 FreeSans 1120 0 0 0 io_out[7]
+port 161 nsew signal tristate
+flabel metal3 s 583520 318380 584800 318492 0 FreeSans 1120 0 0 0 io_out[8]
+port 162 nsew signal tristate
+flabel metal3 s 583520 363602 584800 363714 0 FreeSans 1120 0 0 0 io_out[9]
+port 163 nsew signal tristate
+flabel metal2 s 125816 -800 125928 480 0 FreeSans 1120 90 0 0 la_data_in[0]
+port 164 nsew signal input
+flabel metal2 s 480416 -800 480528 480 0 FreeSans 1120 90 0 0 la_data_in[100]
+port 165 nsew signal input
+flabel metal2 s 483962 -800 484074 480 0 FreeSans 1120 90 0 0 la_data_in[101]
+port 166 nsew signal input
+flabel metal2 s 487508 -800 487620 480 0 FreeSans 1120 90 0 0 la_data_in[102]
+port 167 nsew signal input
+flabel metal2 s 491054 -800 491166 480 0 FreeSans 1120 90 0 0 la_data_in[103]
+port 168 nsew signal input
+flabel metal2 s 494600 -800 494712 480 0 FreeSans 1120 90 0 0 la_data_in[104]
+port 169 nsew signal input
+flabel metal2 s 498146 -800 498258 480 0 FreeSans 1120 90 0 0 la_data_in[105]
+port 170 nsew signal input
+flabel metal2 s 501692 -800 501804 480 0 FreeSans 1120 90 0 0 la_data_in[106]
+port 171 nsew signal input
+flabel metal2 s 505238 -800 505350 480 0 FreeSans 1120 90 0 0 la_data_in[107]
+port 172 nsew signal input
+flabel metal2 s 508784 -800 508896 480 0 FreeSans 1120 90 0 0 la_data_in[108]
+port 173 nsew signal input
+flabel metal2 s 512330 -800 512442 480 0 FreeSans 1120 90 0 0 la_data_in[109]
+port 174 nsew signal input
+flabel metal2 s 161276 -800 161388 480 0 FreeSans 1120 90 0 0 la_data_in[10]
+port 175 nsew signal input
+flabel metal2 s 515876 -800 515988 480 0 FreeSans 1120 90 0 0 la_data_in[110]
+port 176 nsew signal input
+flabel metal2 s 519422 -800 519534 480 0 FreeSans 1120 90 0 0 la_data_in[111]
+port 177 nsew signal input
+flabel metal2 s 522968 -800 523080 480 0 FreeSans 1120 90 0 0 la_data_in[112]
+port 178 nsew signal input
+flabel metal2 s 526514 -800 526626 480 0 FreeSans 1120 90 0 0 la_data_in[113]
+port 179 nsew signal input
+flabel metal2 s 530060 -800 530172 480 0 FreeSans 1120 90 0 0 la_data_in[114]
+port 180 nsew signal input
+flabel metal2 s 533606 -800 533718 480 0 FreeSans 1120 90 0 0 la_data_in[115]
+port 181 nsew signal input
+flabel metal2 s 537152 -800 537264 480 0 FreeSans 1120 90 0 0 la_data_in[116]
+port 182 nsew signal input
+flabel metal2 s 540698 -800 540810 480 0 FreeSans 1120 90 0 0 la_data_in[117]
+port 183 nsew signal input
+flabel metal2 s 544244 -800 544356 480 0 FreeSans 1120 90 0 0 la_data_in[118]
+port 184 nsew signal input
+flabel metal2 s 547790 -800 547902 480 0 FreeSans 1120 90 0 0 la_data_in[119]
+port 185 nsew signal input
+flabel metal2 s 164822 -800 164934 480 0 FreeSans 1120 90 0 0 la_data_in[11]
+port 186 nsew signal input
+flabel metal2 s 551336 -800 551448 480 0 FreeSans 1120 90 0 0 la_data_in[120]
+port 187 nsew signal input
+flabel metal2 s 554882 -800 554994 480 0 FreeSans 1120 90 0 0 la_data_in[121]
+port 188 nsew signal input
+flabel metal2 s 558428 -800 558540 480 0 FreeSans 1120 90 0 0 la_data_in[122]
+port 189 nsew signal input
+flabel metal2 s 561974 -800 562086 480 0 FreeSans 1120 90 0 0 la_data_in[123]
+port 190 nsew signal input
+flabel metal2 s 565520 -800 565632 480 0 FreeSans 1120 90 0 0 la_data_in[124]
+port 191 nsew signal input
+flabel metal2 s 569066 -800 569178 480 0 FreeSans 1120 90 0 0 la_data_in[125]
+port 192 nsew signal input
+flabel metal2 s 572612 -800 572724 480 0 FreeSans 1120 90 0 0 la_data_in[126]
+port 193 nsew signal input
+flabel metal2 s 576158 -800 576270 480 0 FreeSans 1120 90 0 0 la_data_in[127]
+port 194 nsew signal input
+flabel metal2 s 168368 -800 168480 480 0 FreeSans 1120 90 0 0 la_data_in[12]
+port 195 nsew signal input
+flabel metal2 s 171914 -800 172026 480 0 FreeSans 1120 90 0 0 la_data_in[13]
+port 196 nsew signal input
+flabel metal2 s 175460 -800 175572 480 0 FreeSans 1120 90 0 0 la_data_in[14]
+port 197 nsew signal input
+flabel metal2 s 179006 -800 179118 480 0 FreeSans 1120 90 0 0 la_data_in[15]
+port 198 nsew signal input
+flabel metal2 s 182552 -800 182664 480 0 FreeSans 1120 90 0 0 la_data_in[16]
+port 199 nsew signal input
+flabel metal2 s 186098 -800 186210 480 0 FreeSans 1120 90 0 0 la_data_in[17]
+port 200 nsew signal input
+flabel metal2 s 189644 -800 189756 480 0 FreeSans 1120 90 0 0 la_data_in[18]
+port 201 nsew signal input
+flabel metal2 s 193190 -800 193302 480 0 FreeSans 1120 90 0 0 la_data_in[19]
+port 202 nsew signal input
+flabel metal2 s 129362 -800 129474 480 0 FreeSans 1120 90 0 0 la_data_in[1]
+port 203 nsew signal input
+flabel metal2 s 196736 -800 196848 480 0 FreeSans 1120 90 0 0 la_data_in[20]
+port 204 nsew signal input
+flabel metal2 s 200282 -800 200394 480 0 FreeSans 1120 90 0 0 la_data_in[21]
+port 205 nsew signal input
+flabel metal2 s 203828 -800 203940 480 0 FreeSans 1120 90 0 0 la_data_in[22]
+port 206 nsew signal input
+flabel metal2 s 207374 -800 207486 480 0 FreeSans 1120 90 0 0 la_data_in[23]
+port 207 nsew signal input
+flabel metal2 s 210920 -800 211032 480 0 FreeSans 1120 90 0 0 la_data_in[24]
+port 208 nsew signal input
+flabel metal2 s 214466 -800 214578 480 0 FreeSans 1120 90 0 0 la_data_in[25]
+port 209 nsew signal input
+flabel metal2 s 218012 -800 218124 480 0 FreeSans 1120 90 0 0 la_data_in[26]
+port 210 nsew signal input
+flabel metal2 s 221558 -800 221670 480 0 FreeSans 1120 90 0 0 la_data_in[27]
+port 211 nsew signal input
+flabel metal2 s 225104 -800 225216 480 0 FreeSans 1120 90 0 0 la_data_in[28]
+port 212 nsew signal input
+flabel metal2 s 228650 -800 228762 480 0 FreeSans 1120 90 0 0 la_data_in[29]
+port 213 nsew signal input
+flabel metal2 s 132908 -800 133020 480 0 FreeSans 1120 90 0 0 la_data_in[2]
+port 214 nsew signal input
+flabel metal2 s 232196 -800 232308 480 0 FreeSans 1120 90 0 0 la_data_in[30]
+port 215 nsew signal input
+flabel metal2 s 235742 -800 235854 480 0 FreeSans 1120 90 0 0 la_data_in[31]
+port 216 nsew signal input
+flabel metal2 s 239288 -800 239400 480 0 FreeSans 1120 90 0 0 la_data_in[32]
+port 217 nsew signal input
+flabel metal2 s 242834 -800 242946 480 0 FreeSans 1120 90 0 0 la_data_in[33]
+port 218 nsew signal input
+flabel metal2 s 246380 -800 246492 480 0 FreeSans 1120 90 0 0 la_data_in[34]
+port 219 nsew signal input
+flabel metal2 s 249926 -800 250038 480 0 FreeSans 1120 90 0 0 la_data_in[35]
+port 220 nsew signal input
+flabel metal2 s 253472 -800 253584 480 0 FreeSans 1120 90 0 0 la_data_in[36]
+port 221 nsew signal input
+flabel metal2 s 257018 -800 257130 480 0 FreeSans 1120 90 0 0 la_data_in[37]
+port 222 nsew signal input
+flabel metal2 s 260564 -800 260676 480 0 FreeSans 1120 90 0 0 la_data_in[38]
+port 223 nsew signal input
+flabel metal2 s 264110 -800 264222 480 0 FreeSans 1120 90 0 0 la_data_in[39]
+port 224 nsew signal input
+flabel metal2 s 136454 -800 136566 480 0 FreeSans 1120 90 0 0 la_data_in[3]
+port 225 nsew signal input
+flabel metal2 s 267656 -800 267768 480 0 FreeSans 1120 90 0 0 la_data_in[40]
+port 226 nsew signal input
+flabel metal2 s 271202 -800 271314 480 0 FreeSans 1120 90 0 0 la_data_in[41]
+port 227 nsew signal input
+flabel metal2 s 274748 -800 274860 480 0 FreeSans 1120 90 0 0 la_data_in[42]
+port 228 nsew signal input
+flabel metal2 s 278294 -800 278406 480 0 FreeSans 1120 90 0 0 la_data_in[43]
+port 229 nsew signal input
+flabel metal2 s 281840 -800 281952 480 0 FreeSans 1120 90 0 0 la_data_in[44]
+port 230 nsew signal input
+flabel metal2 s 285386 -800 285498 480 0 FreeSans 1120 90 0 0 la_data_in[45]
+port 231 nsew signal input
+flabel metal2 s 288932 -800 289044 480 0 FreeSans 1120 90 0 0 la_data_in[46]
+port 232 nsew signal input
+flabel metal2 s 292478 -800 292590 480 0 FreeSans 1120 90 0 0 la_data_in[47]
+port 233 nsew signal input
+flabel metal2 s 296024 -800 296136 480 0 FreeSans 1120 90 0 0 la_data_in[48]
+port 234 nsew signal input
+flabel metal2 s 299570 -800 299682 480 0 FreeSans 1120 90 0 0 la_data_in[49]
+port 235 nsew signal input
+flabel metal2 s 140000 -800 140112 480 0 FreeSans 1120 90 0 0 la_data_in[4]
+port 236 nsew signal input
+flabel metal2 s 303116 -800 303228 480 0 FreeSans 1120 90 0 0 la_data_in[50]
+port 237 nsew signal input
+flabel metal2 s 306662 -800 306774 480 0 FreeSans 1120 90 0 0 la_data_in[51]
+port 238 nsew signal input
+flabel metal2 s 310208 -800 310320 480 0 FreeSans 1120 90 0 0 la_data_in[52]
+port 239 nsew signal input
+flabel metal2 s 313754 -800 313866 480 0 FreeSans 1120 90 0 0 la_data_in[53]
+port 240 nsew signal input
+flabel metal2 s 317300 -800 317412 480 0 FreeSans 1120 90 0 0 la_data_in[54]
+port 241 nsew signal input
+flabel metal2 s 320846 -800 320958 480 0 FreeSans 1120 90 0 0 la_data_in[55]
+port 242 nsew signal input
+flabel metal2 s 324392 -800 324504 480 0 FreeSans 1120 90 0 0 la_data_in[56]
+port 243 nsew signal input
+flabel metal2 s 327938 -800 328050 480 0 FreeSans 1120 90 0 0 la_data_in[57]
+port 244 nsew signal input
+flabel metal2 s 331484 -800 331596 480 0 FreeSans 1120 90 0 0 la_data_in[58]
+port 245 nsew signal input
+flabel metal2 s 335030 -800 335142 480 0 FreeSans 1120 90 0 0 la_data_in[59]
+port 246 nsew signal input
+flabel metal2 s 143546 -800 143658 480 0 FreeSans 1120 90 0 0 la_data_in[5]
+port 247 nsew signal input
+flabel metal2 s 338576 -800 338688 480 0 FreeSans 1120 90 0 0 la_data_in[60]
+port 248 nsew signal input
+flabel metal2 s 342122 -800 342234 480 0 FreeSans 1120 90 0 0 la_data_in[61]
+port 249 nsew signal input
+flabel metal2 s 345668 -800 345780 480 0 FreeSans 1120 90 0 0 la_data_in[62]
+port 250 nsew signal input
+flabel metal2 s 349214 -800 349326 480 0 FreeSans 1120 90 0 0 la_data_in[63]
+port 251 nsew signal input
+flabel metal2 s 352760 -800 352872 480 0 FreeSans 1120 90 0 0 la_data_in[64]
+port 252 nsew signal input
+flabel metal2 s 356306 -800 356418 480 0 FreeSans 1120 90 0 0 la_data_in[65]
+port 253 nsew signal input
+flabel metal2 s 359852 -800 359964 480 0 FreeSans 1120 90 0 0 la_data_in[66]
+port 254 nsew signal input
+flabel metal2 s 363398 -800 363510 480 0 FreeSans 1120 90 0 0 la_data_in[67]
+port 255 nsew signal input
+flabel metal2 s 366944 -800 367056 480 0 FreeSans 1120 90 0 0 la_data_in[68]
+port 256 nsew signal input
+flabel metal2 s 370490 -800 370602 480 0 FreeSans 1120 90 0 0 la_data_in[69]
+port 257 nsew signal input
+flabel metal2 s 147092 -800 147204 480 0 FreeSans 1120 90 0 0 la_data_in[6]
+port 258 nsew signal input
+flabel metal2 s 374036 -800 374148 480 0 FreeSans 1120 90 0 0 la_data_in[70]
+port 259 nsew signal input
+flabel metal2 s 377582 -800 377694 480 0 FreeSans 1120 90 0 0 la_data_in[71]
+port 260 nsew signal input
+flabel metal2 s 381128 -800 381240 480 0 FreeSans 1120 90 0 0 la_data_in[72]
+port 261 nsew signal input
+flabel metal2 s 384674 -800 384786 480 0 FreeSans 1120 90 0 0 la_data_in[73]
+port 262 nsew signal input
+flabel metal2 s 388220 -800 388332 480 0 FreeSans 1120 90 0 0 la_data_in[74]
+port 263 nsew signal input
+flabel metal2 s 391766 -800 391878 480 0 FreeSans 1120 90 0 0 la_data_in[75]
+port 264 nsew signal input
+flabel metal2 s 395312 -800 395424 480 0 FreeSans 1120 90 0 0 la_data_in[76]
+port 265 nsew signal input
+flabel metal2 s 398858 -800 398970 480 0 FreeSans 1120 90 0 0 la_data_in[77]
+port 266 nsew signal input
+flabel metal2 s 402404 -800 402516 480 0 FreeSans 1120 90 0 0 la_data_in[78]
+port 267 nsew signal input
+flabel metal2 s 405950 -800 406062 480 0 FreeSans 1120 90 0 0 la_data_in[79]
+port 268 nsew signal input
+flabel metal2 s 150638 -800 150750 480 0 FreeSans 1120 90 0 0 la_data_in[7]
+port 269 nsew signal input
+flabel metal2 s 409496 -800 409608 480 0 FreeSans 1120 90 0 0 la_data_in[80]
+port 270 nsew signal input
+flabel metal2 s 413042 -800 413154 480 0 FreeSans 1120 90 0 0 la_data_in[81]
+port 271 nsew signal input
+flabel metal2 s 416588 -800 416700 480 0 FreeSans 1120 90 0 0 la_data_in[82]
+port 272 nsew signal input
+flabel metal2 s 420134 -800 420246 480 0 FreeSans 1120 90 0 0 la_data_in[83]
+port 273 nsew signal input
+flabel metal2 s 423680 -800 423792 480 0 FreeSans 1120 90 0 0 la_data_in[84]
+port 274 nsew signal input
+flabel metal2 s 427226 -800 427338 480 0 FreeSans 1120 90 0 0 la_data_in[85]
+port 275 nsew signal input
+flabel metal2 s 430772 -800 430884 480 0 FreeSans 1120 90 0 0 la_data_in[86]
+port 276 nsew signal input
+flabel metal2 s 434318 -800 434430 480 0 FreeSans 1120 90 0 0 la_data_in[87]
+port 277 nsew signal input
+flabel metal2 s 437864 -800 437976 480 0 FreeSans 1120 90 0 0 la_data_in[88]
+port 278 nsew signal input
+flabel metal2 s 441410 -800 441522 480 0 FreeSans 1120 90 0 0 la_data_in[89]
+port 279 nsew signal input
+flabel metal2 s 154184 -800 154296 480 0 FreeSans 1120 90 0 0 la_data_in[8]
+port 280 nsew signal input
+flabel metal2 s 444956 -800 445068 480 0 FreeSans 1120 90 0 0 la_data_in[90]
+port 281 nsew signal input
+flabel metal2 s 448502 -800 448614 480 0 FreeSans 1120 90 0 0 la_data_in[91]
+port 282 nsew signal input
+flabel metal2 s 452048 -800 452160 480 0 FreeSans 1120 90 0 0 la_data_in[92]
+port 283 nsew signal input
+flabel metal2 s 455594 -800 455706 480 0 FreeSans 1120 90 0 0 la_data_in[93]
+port 284 nsew signal input
+flabel metal2 s 459140 -800 459252 480 0 FreeSans 1120 90 0 0 la_data_in[94]
+port 285 nsew signal input
+flabel metal2 s 462686 -800 462798 480 0 FreeSans 1120 90 0 0 la_data_in[95]
+port 286 nsew signal input
+flabel metal2 s 466232 -800 466344 480 0 FreeSans 1120 90 0 0 la_data_in[96]
+port 287 nsew signal input
+flabel metal2 s 469778 -800 469890 480 0 FreeSans 1120 90 0 0 la_data_in[97]
+port 288 nsew signal input
+flabel metal2 s 473324 -800 473436 480 0 FreeSans 1120 90 0 0 la_data_in[98]
+port 289 nsew signal input
+flabel metal2 s 476870 -800 476982 480 0 FreeSans 1120 90 0 0 la_data_in[99]
+port 290 nsew signal input
+flabel metal2 s 157730 -800 157842 480 0 FreeSans 1120 90 0 0 la_data_in[9]
+port 291 nsew signal input
+flabel metal2 s 126998 -800 127110 480 0 FreeSans 1120 90 0 0 la_data_out[0]
+port 292 nsew signal tristate
+flabel metal2 s 481598 -800 481710 480 0 FreeSans 1120 90 0 0 la_data_out[100]
+port 293 nsew signal tristate
+flabel metal2 s 485144 -800 485256 480 0 FreeSans 1120 90 0 0 la_data_out[101]
+port 294 nsew signal tristate
+flabel metal2 s 488690 -800 488802 480 0 FreeSans 1120 90 0 0 la_data_out[102]
+port 295 nsew signal tristate
+flabel metal2 s 492236 -800 492348 480 0 FreeSans 1120 90 0 0 la_data_out[103]
+port 296 nsew signal tristate
+flabel metal2 s 495782 -800 495894 480 0 FreeSans 1120 90 0 0 la_data_out[104]
+port 297 nsew signal tristate
+flabel metal2 s 499328 -800 499440 480 0 FreeSans 1120 90 0 0 la_data_out[105]
+port 298 nsew signal tristate
+flabel metal2 s 502874 -800 502986 480 0 FreeSans 1120 90 0 0 la_data_out[106]
+port 299 nsew signal tristate
+flabel metal2 s 506420 -800 506532 480 0 FreeSans 1120 90 0 0 la_data_out[107]
+port 300 nsew signal tristate
+flabel metal2 s 509966 -800 510078 480 0 FreeSans 1120 90 0 0 la_data_out[108]
+port 301 nsew signal tristate
+flabel metal2 s 513512 -800 513624 480 0 FreeSans 1120 90 0 0 la_data_out[109]
+port 302 nsew signal tristate
+flabel metal2 s 162458 -800 162570 480 0 FreeSans 1120 90 0 0 la_data_out[10]
+port 303 nsew signal tristate
+flabel metal2 s 517058 -800 517170 480 0 FreeSans 1120 90 0 0 la_data_out[110]
+port 304 nsew signal tristate
+flabel metal2 s 520604 -800 520716 480 0 FreeSans 1120 90 0 0 la_data_out[111]
+port 305 nsew signal tristate
+flabel metal2 s 524150 -800 524262 480 0 FreeSans 1120 90 0 0 la_data_out[112]
+port 306 nsew signal tristate
+flabel metal2 s 527696 -800 527808 480 0 FreeSans 1120 90 0 0 la_data_out[113]
+port 307 nsew signal tristate
+flabel metal2 s 531242 -800 531354 480 0 FreeSans 1120 90 0 0 la_data_out[114]
+port 308 nsew signal tristate
+flabel metal2 s 534788 -800 534900 480 0 FreeSans 1120 90 0 0 la_data_out[115]
+port 309 nsew signal tristate
+flabel metal2 s 538334 -800 538446 480 0 FreeSans 1120 90 0 0 la_data_out[116]
+port 310 nsew signal tristate
+flabel metal2 s 541880 -800 541992 480 0 FreeSans 1120 90 0 0 la_data_out[117]
+port 311 nsew signal tristate
+flabel metal2 s 545426 -800 545538 480 0 FreeSans 1120 90 0 0 la_data_out[118]
+port 312 nsew signal tristate
+flabel metal2 s 548972 -800 549084 480 0 FreeSans 1120 90 0 0 la_data_out[119]
+port 313 nsew signal tristate
+flabel metal2 s 166004 -800 166116 480 0 FreeSans 1120 90 0 0 la_data_out[11]
+port 314 nsew signal tristate
+flabel metal2 s 552518 -800 552630 480 0 FreeSans 1120 90 0 0 la_data_out[120]
+port 315 nsew signal tristate
+flabel metal2 s 556064 -800 556176 480 0 FreeSans 1120 90 0 0 la_data_out[121]
+port 316 nsew signal tristate
+flabel metal2 s 559610 -800 559722 480 0 FreeSans 1120 90 0 0 la_data_out[122]
+port 317 nsew signal tristate
+flabel metal2 s 563156 -800 563268 480 0 FreeSans 1120 90 0 0 la_data_out[123]
+port 318 nsew signal tristate
+flabel metal2 s 566702 -800 566814 480 0 FreeSans 1120 90 0 0 la_data_out[124]
+port 319 nsew signal tristate
+flabel metal2 s 570248 -800 570360 480 0 FreeSans 1120 90 0 0 la_data_out[125]
+port 320 nsew signal tristate
+flabel metal2 s 573794 -800 573906 480 0 FreeSans 1120 90 0 0 la_data_out[126]
+port 321 nsew signal tristate
+flabel metal2 s 577340 -800 577452 480 0 FreeSans 1120 90 0 0 la_data_out[127]
+port 322 nsew signal tristate
+flabel metal2 s 169550 -800 169662 480 0 FreeSans 1120 90 0 0 la_data_out[12]
+port 323 nsew signal tristate
+flabel metal2 s 173096 -800 173208 480 0 FreeSans 1120 90 0 0 la_data_out[13]
+port 324 nsew signal tristate
+flabel metal2 s 176642 -800 176754 480 0 FreeSans 1120 90 0 0 la_data_out[14]
+port 325 nsew signal tristate
+flabel metal2 s 180188 -800 180300 480 0 FreeSans 1120 90 0 0 la_data_out[15]
+port 326 nsew signal tristate
+flabel metal2 s 183734 -800 183846 480 0 FreeSans 1120 90 0 0 la_data_out[16]
+port 327 nsew signal tristate
+flabel metal2 s 187280 -800 187392 480 0 FreeSans 1120 90 0 0 la_data_out[17]
+port 328 nsew signal tristate
+flabel metal2 s 190826 -800 190938 480 0 FreeSans 1120 90 0 0 la_data_out[18]
+port 329 nsew signal tristate
+flabel metal2 s 194372 -800 194484 480 0 FreeSans 1120 90 0 0 la_data_out[19]
+port 330 nsew signal tristate
+flabel metal2 s 130544 -800 130656 480 0 FreeSans 1120 90 0 0 la_data_out[1]
+port 331 nsew signal tristate
+flabel metal2 s 197918 -800 198030 480 0 FreeSans 1120 90 0 0 la_data_out[20]
+port 332 nsew signal tristate
+flabel metal2 s 201464 -800 201576 480 0 FreeSans 1120 90 0 0 la_data_out[21]
+port 333 nsew signal tristate
+flabel metal2 s 205010 -800 205122 480 0 FreeSans 1120 90 0 0 la_data_out[22]
+port 334 nsew signal tristate
+flabel metal2 s 208556 -800 208668 480 0 FreeSans 1120 90 0 0 la_data_out[23]
+port 335 nsew signal tristate
+flabel metal2 s 212102 -800 212214 480 0 FreeSans 1120 90 0 0 la_data_out[24]
+port 336 nsew signal tristate
+flabel metal2 s 215648 -800 215760 480 0 FreeSans 1120 90 0 0 la_data_out[25]
+port 337 nsew signal tristate
+flabel metal2 s 219194 -800 219306 480 0 FreeSans 1120 90 0 0 la_data_out[26]
+port 338 nsew signal tristate
+flabel metal2 s 222740 -800 222852 480 0 FreeSans 1120 90 0 0 la_data_out[27]
+port 339 nsew signal tristate
+flabel metal2 s 226286 -800 226398 480 0 FreeSans 1120 90 0 0 la_data_out[28]
+port 340 nsew signal tristate
+flabel metal2 s 229832 -800 229944 480 0 FreeSans 1120 90 0 0 la_data_out[29]
+port 341 nsew signal tristate
+flabel metal2 s 134090 -800 134202 480 0 FreeSans 1120 90 0 0 la_data_out[2]
+port 342 nsew signal tristate
+flabel metal2 s 233378 -800 233490 480 0 FreeSans 1120 90 0 0 la_data_out[30]
+port 343 nsew signal tristate
+flabel metal2 s 236924 -800 237036 480 0 FreeSans 1120 90 0 0 la_data_out[31]
+port 344 nsew signal tristate
+flabel metal2 s 240470 -800 240582 480 0 FreeSans 1120 90 0 0 la_data_out[32]
+port 345 nsew signal tristate
+flabel metal2 s 244016 -800 244128 480 0 FreeSans 1120 90 0 0 la_data_out[33]
+port 346 nsew signal tristate
+flabel metal2 s 247562 -800 247674 480 0 FreeSans 1120 90 0 0 la_data_out[34]
+port 347 nsew signal tristate
+flabel metal2 s 251108 -800 251220 480 0 FreeSans 1120 90 0 0 la_data_out[35]
+port 348 nsew signal tristate
+flabel metal2 s 254654 -800 254766 480 0 FreeSans 1120 90 0 0 la_data_out[36]
+port 349 nsew signal tristate
+flabel metal2 s 258200 -800 258312 480 0 FreeSans 1120 90 0 0 la_data_out[37]
+port 350 nsew signal tristate
+flabel metal2 s 261746 -800 261858 480 0 FreeSans 1120 90 0 0 la_data_out[38]
+port 351 nsew signal tristate
+flabel metal2 s 265292 -800 265404 480 0 FreeSans 1120 90 0 0 la_data_out[39]
+port 352 nsew signal tristate
+flabel metal2 s 137636 -800 137748 480 0 FreeSans 1120 90 0 0 la_data_out[3]
+port 353 nsew signal tristate
+flabel metal2 s 268838 -800 268950 480 0 FreeSans 1120 90 0 0 la_data_out[40]
+port 354 nsew signal tristate
+flabel metal2 s 272384 -800 272496 480 0 FreeSans 1120 90 0 0 la_data_out[41]
+port 355 nsew signal tristate
+flabel metal2 s 275930 -800 276042 480 0 FreeSans 1120 90 0 0 la_data_out[42]
+port 356 nsew signal tristate
+flabel metal2 s 279476 -800 279588 480 0 FreeSans 1120 90 0 0 la_data_out[43]
+port 357 nsew signal tristate
+flabel metal2 s 283022 -800 283134 480 0 FreeSans 1120 90 0 0 la_data_out[44]
+port 358 nsew signal tristate
+flabel metal2 s 286568 -800 286680 480 0 FreeSans 1120 90 0 0 la_data_out[45]
+port 359 nsew signal tristate
+flabel metal2 s 290114 -800 290226 480 0 FreeSans 1120 90 0 0 la_data_out[46]
+port 360 nsew signal tristate
+flabel metal2 s 293660 -800 293772 480 0 FreeSans 1120 90 0 0 la_data_out[47]
+port 361 nsew signal tristate
+flabel metal2 s 297206 -800 297318 480 0 FreeSans 1120 90 0 0 la_data_out[48]
+port 362 nsew signal tristate
+flabel metal2 s 300752 -800 300864 480 0 FreeSans 1120 90 0 0 la_data_out[49]
+port 363 nsew signal tristate
+flabel metal2 s 141182 -800 141294 480 0 FreeSans 1120 90 0 0 la_data_out[4]
+port 364 nsew signal tristate
+flabel metal2 s 304298 -800 304410 480 0 FreeSans 1120 90 0 0 la_data_out[50]
+port 365 nsew signal tristate
+flabel metal2 s 307844 -800 307956 480 0 FreeSans 1120 90 0 0 la_data_out[51]
+port 366 nsew signal tristate
+flabel metal2 s 311390 -800 311502 480 0 FreeSans 1120 90 0 0 la_data_out[52]
+port 367 nsew signal tristate
+flabel metal2 s 314936 -800 315048 480 0 FreeSans 1120 90 0 0 la_data_out[53]
+port 368 nsew signal tristate
+flabel metal2 s 318482 -800 318594 480 0 FreeSans 1120 90 0 0 la_data_out[54]
+port 369 nsew signal tristate
+flabel metal2 s 322028 -800 322140 480 0 FreeSans 1120 90 0 0 la_data_out[55]
+port 370 nsew signal tristate
+flabel metal2 s 325574 -800 325686 480 0 FreeSans 1120 90 0 0 la_data_out[56]
+port 371 nsew signal tristate
+flabel metal2 s 329120 -800 329232 480 0 FreeSans 1120 90 0 0 la_data_out[57]
+port 372 nsew signal tristate
+flabel metal2 s 332666 -800 332778 480 0 FreeSans 1120 90 0 0 la_data_out[58]
+port 373 nsew signal tristate
+flabel metal2 s 336212 -800 336324 480 0 FreeSans 1120 90 0 0 la_data_out[59]
+port 374 nsew signal tristate
+flabel metal2 s 144728 -800 144840 480 0 FreeSans 1120 90 0 0 la_data_out[5]
+port 375 nsew signal tristate
+flabel metal2 s 339758 -800 339870 480 0 FreeSans 1120 90 0 0 la_data_out[60]
+port 376 nsew signal tristate
+flabel metal2 s 343304 -800 343416 480 0 FreeSans 1120 90 0 0 la_data_out[61]
+port 377 nsew signal tristate
+flabel metal2 s 346850 -800 346962 480 0 FreeSans 1120 90 0 0 la_data_out[62]
+port 378 nsew signal tristate
+flabel metal2 s 350396 -800 350508 480 0 FreeSans 1120 90 0 0 la_data_out[63]
+port 379 nsew signal tristate
+flabel metal2 s 353942 -800 354054 480 0 FreeSans 1120 90 0 0 la_data_out[64]
+port 380 nsew signal tristate
+flabel metal2 s 357488 -800 357600 480 0 FreeSans 1120 90 0 0 la_data_out[65]
+port 381 nsew signal tristate
+flabel metal2 s 361034 -800 361146 480 0 FreeSans 1120 90 0 0 la_data_out[66]
+port 382 nsew signal tristate
+flabel metal2 s 364580 -800 364692 480 0 FreeSans 1120 90 0 0 la_data_out[67]
+port 383 nsew signal tristate
+flabel metal2 s 368126 -800 368238 480 0 FreeSans 1120 90 0 0 la_data_out[68]
+port 384 nsew signal tristate
+flabel metal2 s 371672 -800 371784 480 0 FreeSans 1120 90 0 0 la_data_out[69]
+port 385 nsew signal tristate
+flabel metal2 s 148274 -800 148386 480 0 FreeSans 1120 90 0 0 la_data_out[6]
+port 386 nsew signal tristate
+flabel metal2 s 375218 -800 375330 480 0 FreeSans 1120 90 0 0 la_data_out[70]
+port 387 nsew signal tristate
+flabel metal2 s 378764 -800 378876 480 0 FreeSans 1120 90 0 0 la_data_out[71]
+port 388 nsew signal tristate
+flabel metal2 s 382310 -800 382422 480 0 FreeSans 1120 90 0 0 la_data_out[72]
+port 389 nsew signal tristate
+flabel metal2 s 385856 -800 385968 480 0 FreeSans 1120 90 0 0 la_data_out[73]
+port 390 nsew signal tristate
+flabel metal2 s 389402 -800 389514 480 0 FreeSans 1120 90 0 0 la_data_out[74]
+port 391 nsew signal tristate
+flabel metal2 s 392948 -800 393060 480 0 FreeSans 1120 90 0 0 la_data_out[75]
+port 392 nsew signal tristate
+flabel metal2 s 396494 -800 396606 480 0 FreeSans 1120 90 0 0 la_data_out[76]
+port 393 nsew signal tristate
+flabel metal2 s 400040 -800 400152 480 0 FreeSans 1120 90 0 0 la_data_out[77]
+port 394 nsew signal tristate
+flabel metal2 s 403586 -800 403698 480 0 FreeSans 1120 90 0 0 la_data_out[78]
+port 395 nsew signal tristate
+flabel metal2 s 407132 -800 407244 480 0 FreeSans 1120 90 0 0 la_data_out[79]
+port 396 nsew signal tristate
+flabel metal2 s 151820 -800 151932 480 0 FreeSans 1120 90 0 0 la_data_out[7]
+port 397 nsew signal tristate
+flabel metal2 s 410678 -800 410790 480 0 FreeSans 1120 90 0 0 la_data_out[80]
+port 398 nsew signal tristate
+flabel metal2 s 414224 -800 414336 480 0 FreeSans 1120 90 0 0 la_data_out[81]
+port 399 nsew signal tristate
+flabel metal2 s 417770 -800 417882 480 0 FreeSans 1120 90 0 0 la_data_out[82]
+port 400 nsew signal tristate
+flabel metal2 s 421316 -800 421428 480 0 FreeSans 1120 90 0 0 la_data_out[83]
+port 401 nsew signal tristate
+flabel metal2 s 424862 -800 424974 480 0 FreeSans 1120 90 0 0 la_data_out[84]
+port 402 nsew signal tristate
+flabel metal2 s 428408 -800 428520 480 0 FreeSans 1120 90 0 0 la_data_out[85]
+port 403 nsew signal tristate
+flabel metal2 s 431954 -800 432066 480 0 FreeSans 1120 90 0 0 la_data_out[86]
+port 404 nsew signal tristate
+flabel metal2 s 435500 -800 435612 480 0 FreeSans 1120 90 0 0 la_data_out[87]
+port 405 nsew signal tristate
+flabel metal2 s 439046 -800 439158 480 0 FreeSans 1120 90 0 0 la_data_out[88]
+port 406 nsew signal tristate
+flabel metal2 s 442592 -800 442704 480 0 FreeSans 1120 90 0 0 la_data_out[89]
+port 407 nsew signal tristate
+flabel metal2 s 155366 -800 155478 480 0 FreeSans 1120 90 0 0 la_data_out[8]
+port 408 nsew signal tristate
+flabel metal2 s 446138 -800 446250 480 0 FreeSans 1120 90 0 0 la_data_out[90]
+port 409 nsew signal tristate
+flabel metal2 s 449684 -800 449796 480 0 FreeSans 1120 90 0 0 la_data_out[91]
+port 410 nsew signal tristate
+flabel metal2 s 453230 -800 453342 480 0 FreeSans 1120 90 0 0 la_data_out[92]
+port 411 nsew signal tristate
+flabel metal2 s 456776 -800 456888 480 0 FreeSans 1120 90 0 0 la_data_out[93]
+port 412 nsew signal tristate
+flabel metal2 s 460322 -800 460434 480 0 FreeSans 1120 90 0 0 la_data_out[94]
+port 413 nsew signal tristate
+flabel metal2 s 463868 -800 463980 480 0 FreeSans 1120 90 0 0 la_data_out[95]
+port 414 nsew signal tristate
+flabel metal2 s 467414 -800 467526 480 0 FreeSans 1120 90 0 0 la_data_out[96]
+port 415 nsew signal tristate
+flabel metal2 s 470960 -800 471072 480 0 FreeSans 1120 90 0 0 la_data_out[97]
+port 416 nsew signal tristate
+flabel metal2 s 474506 -800 474618 480 0 FreeSans 1120 90 0 0 la_data_out[98]
+port 417 nsew signal tristate
+flabel metal2 s 478052 -800 478164 480 0 FreeSans 1120 90 0 0 la_data_out[99]
+port 418 nsew signal tristate
+flabel metal2 s 158912 -800 159024 480 0 FreeSans 1120 90 0 0 la_data_out[9]
+port 419 nsew signal tristate
+flabel metal2 s 128180 -800 128292 480 0 FreeSans 1120 90 0 0 la_oenb[0]
+port 420 nsew signal input
+flabel metal2 s 482780 -800 482892 480 0 FreeSans 1120 90 0 0 la_oenb[100]
+port 421 nsew signal input
+flabel metal2 s 486326 -800 486438 480 0 FreeSans 1120 90 0 0 la_oenb[101]
+port 422 nsew signal input
+flabel metal2 s 489872 -800 489984 480 0 FreeSans 1120 90 0 0 la_oenb[102]
+port 423 nsew signal input
+flabel metal2 s 493418 -800 493530 480 0 FreeSans 1120 90 0 0 la_oenb[103]
+port 424 nsew signal input
+flabel metal2 s 496964 -800 497076 480 0 FreeSans 1120 90 0 0 la_oenb[104]
+port 425 nsew signal input
+flabel metal2 s 500510 -800 500622 480 0 FreeSans 1120 90 0 0 la_oenb[105]
+port 426 nsew signal input
+flabel metal2 s 504056 -800 504168 480 0 FreeSans 1120 90 0 0 la_oenb[106]
+port 427 nsew signal input
+flabel metal2 s 507602 -800 507714 480 0 FreeSans 1120 90 0 0 la_oenb[107]
+port 428 nsew signal input
+flabel metal2 s 511148 -800 511260 480 0 FreeSans 1120 90 0 0 la_oenb[108]
+port 429 nsew signal input
+flabel metal2 s 514694 -800 514806 480 0 FreeSans 1120 90 0 0 la_oenb[109]
+port 430 nsew signal input
+flabel metal2 s 163640 -800 163752 480 0 FreeSans 1120 90 0 0 la_oenb[10]
+port 431 nsew signal input
+flabel metal2 s 518240 -800 518352 480 0 FreeSans 1120 90 0 0 la_oenb[110]
+port 432 nsew signal input
+flabel metal2 s 521786 -800 521898 480 0 FreeSans 1120 90 0 0 la_oenb[111]
+port 433 nsew signal input
+flabel metal2 s 525332 -800 525444 480 0 FreeSans 1120 90 0 0 la_oenb[112]
+port 434 nsew signal input
+flabel metal2 s 528878 -800 528990 480 0 FreeSans 1120 90 0 0 la_oenb[113]
+port 435 nsew signal input
+flabel metal2 s 532424 -800 532536 480 0 FreeSans 1120 90 0 0 la_oenb[114]
+port 436 nsew signal input
+flabel metal2 s 535970 -800 536082 480 0 FreeSans 1120 90 0 0 la_oenb[115]
+port 437 nsew signal input
+flabel metal2 s 539516 -800 539628 480 0 FreeSans 1120 90 0 0 la_oenb[116]
+port 438 nsew signal input
+flabel metal2 s 543062 -800 543174 480 0 FreeSans 1120 90 0 0 la_oenb[117]
+port 439 nsew signal input
+flabel metal2 s 546608 -800 546720 480 0 FreeSans 1120 90 0 0 la_oenb[118]
+port 440 nsew signal input
+flabel metal2 s 550154 -800 550266 480 0 FreeSans 1120 90 0 0 la_oenb[119]
+port 441 nsew signal input
+flabel metal2 s 167186 -800 167298 480 0 FreeSans 1120 90 0 0 la_oenb[11]
+port 442 nsew signal input
+flabel metal2 s 553700 -800 553812 480 0 FreeSans 1120 90 0 0 la_oenb[120]
+port 443 nsew signal input
+flabel metal2 s 557246 -800 557358 480 0 FreeSans 1120 90 0 0 la_oenb[121]
+port 444 nsew signal input
+flabel metal2 s 560792 -800 560904 480 0 FreeSans 1120 90 0 0 la_oenb[122]
+port 445 nsew signal input
+flabel metal2 s 564338 -800 564450 480 0 FreeSans 1120 90 0 0 la_oenb[123]
+port 446 nsew signal input
+flabel metal2 s 567884 -800 567996 480 0 FreeSans 1120 90 0 0 la_oenb[124]
+port 447 nsew signal input
+flabel metal2 s 571430 -800 571542 480 0 FreeSans 1120 90 0 0 la_oenb[125]
+port 448 nsew signal input
+flabel metal2 s 574976 -800 575088 480 0 FreeSans 1120 90 0 0 la_oenb[126]
+port 449 nsew signal input
+flabel metal2 s 578522 -800 578634 480 0 FreeSans 1120 90 0 0 la_oenb[127]
+port 450 nsew signal input
+flabel metal2 s 170732 -800 170844 480 0 FreeSans 1120 90 0 0 la_oenb[12]
+port 451 nsew signal input
+flabel metal2 s 174278 -800 174390 480 0 FreeSans 1120 90 0 0 la_oenb[13]
+port 452 nsew signal input
+flabel metal2 s 177824 -800 177936 480 0 FreeSans 1120 90 0 0 la_oenb[14]
+port 453 nsew signal input
+flabel metal2 s 181370 -800 181482 480 0 FreeSans 1120 90 0 0 la_oenb[15]
+port 454 nsew signal input
+flabel metal2 s 184916 -800 185028 480 0 FreeSans 1120 90 0 0 la_oenb[16]
+port 455 nsew signal input
+flabel metal2 s 188462 -800 188574 480 0 FreeSans 1120 90 0 0 la_oenb[17]
+port 456 nsew signal input
+flabel metal2 s 192008 -800 192120 480 0 FreeSans 1120 90 0 0 la_oenb[18]
+port 457 nsew signal input
+flabel metal2 s 195554 -800 195666 480 0 FreeSans 1120 90 0 0 la_oenb[19]
+port 458 nsew signal input
+flabel metal2 s 131726 -800 131838 480 0 FreeSans 1120 90 0 0 la_oenb[1]
+port 459 nsew signal input
+flabel metal2 s 199100 -800 199212 480 0 FreeSans 1120 90 0 0 la_oenb[20]
+port 460 nsew signal input
+flabel metal2 s 202646 -800 202758 480 0 FreeSans 1120 90 0 0 la_oenb[21]
+port 461 nsew signal input
+flabel metal2 s 206192 -800 206304 480 0 FreeSans 1120 90 0 0 la_oenb[22]
+port 462 nsew signal input
+flabel metal2 s 209738 -800 209850 480 0 FreeSans 1120 90 0 0 la_oenb[23]
+port 463 nsew signal input
+flabel metal2 s 213284 -800 213396 480 0 FreeSans 1120 90 0 0 la_oenb[24]
+port 464 nsew signal input
+flabel metal2 s 216830 -800 216942 480 0 FreeSans 1120 90 0 0 la_oenb[25]
+port 465 nsew signal input
+flabel metal2 s 220376 -800 220488 480 0 FreeSans 1120 90 0 0 la_oenb[26]
+port 466 nsew signal input
+flabel metal2 s 223922 -800 224034 480 0 FreeSans 1120 90 0 0 la_oenb[27]
+port 467 nsew signal input
+flabel metal2 s 227468 -800 227580 480 0 FreeSans 1120 90 0 0 la_oenb[28]
+port 468 nsew signal input
+flabel metal2 s 231014 -800 231126 480 0 FreeSans 1120 90 0 0 la_oenb[29]
+port 469 nsew signal input
+flabel metal2 s 135272 -800 135384 480 0 FreeSans 1120 90 0 0 la_oenb[2]
+port 470 nsew signal input
+flabel metal2 s 234560 -800 234672 480 0 FreeSans 1120 90 0 0 la_oenb[30]
+port 471 nsew signal input
+flabel metal2 s 238106 -800 238218 480 0 FreeSans 1120 90 0 0 la_oenb[31]
+port 472 nsew signal input
+flabel metal2 s 241652 -800 241764 480 0 FreeSans 1120 90 0 0 la_oenb[32]
+port 473 nsew signal input
+flabel metal2 s 245198 -800 245310 480 0 FreeSans 1120 90 0 0 la_oenb[33]
+port 474 nsew signal input
+flabel metal2 s 248744 -800 248856 480 0 FreeSans 1120 90 0 0 la_oenb[34]
+port 475 nsew signal input
+flabel metal2 s 252290 -800 252402 480 0 FreeSans 1120 90 0 0 la_oenb[35]
+port 476 nsew signal input
+flabel metal2 s 255836 -800 255948 480 0 FreeSans 1120 90 0 0 la_oenb[36]
+port 477 nsew signal input
+flabel metal2 s 259382 -800 259494 480 0 FreeSans 1120 90 0 0 la_oenb[37]
+port 478 nsew signal input
+flabel metal2 s 262928 -800 263040 480 0 FreeSans 1120 90 0 0 la_oenb[38]
+port 479 nsew signal input
+flabel metal2 s 266474 -800 266586 480 0 FreeSans 1120 90 0 0 la_oenb[39]
+port 480 nsew signal input
+flabel metal2 s 138818 -800 138930 480 0 FreeSans 1120 90 0 0 la_oenb[3]
+port 481 nsew signal input
+flabel metal2 s 270020 -800 270132 480 0 FreeSans 1120 90 0 0 la_oenb[40]
+port 482 nsew signal input
+flabel metal2 s 273566 -800 273678 480 0 FreeSans 1120 90 0 0 la_oenb[41]
+port 483 nsew signal input
+flabel metal2 s 277112 -800 277224 480 0 FreeSans 1120 90 0 0 la_oenb[42]
+port 484 nsew signal input
+flabel metal2 s 280658 -800 280770 480 0 FreeSans 1120 90 0 0 la_oenb[43]
+port 485 nsew signal input
+flabel metal2 s 284204 -800 284316 480 0 FreeSans 1120 90 0 0 la_oenb[44]
+port 486 nsew signal input
+flabel metal2 s 287750 -800 287862 480 0 FreeSans 1120 90 0 0 la_oenb[45]
+port 487 nsew signal input
+flabel metal2 s 291296 -800 291408 480 0 FreeSans 1120 90 0 0 la_oenb[46]
+port 488 nsew signal input
+flabel metal2 s 294842 -800 294954 480 0 FreeSans 1120 90 0 0 la_oenb[47]
+port 489 nsew signal input
+flabel metal2 s 298388 -800 298500 480 0 FreeSans 1120 90 0 0 la_oenb[48]
+port 490 nsew signal input
+flabel metal2 s 301934 -800 302046 480 0 FreeSans 1120 90 0 0 la_oenb[49]
+port 491 nsew signal input
+flabel metal2 s 142364 -800 142476 480 0 FreeSans 1120 90 0 0 la_oenb[4]
+port 492 nsew signal input
+flabel metal2 s 305480 -800 305592 480 0 FreeSans 1120 90 0 0 la_oenb[50]
+port 493 nsew signal input
+flabel metal2 s 309026 -800 309138 480 0 FreeSans 1120 90 0 0 la_oenb[51]
+port 494 nsew signal input
+flabel metal2 s 312572 -800 312684 480 0 FreeSans 1120 90 0 0 la_oenb[52]
+port 495 nsew signal input
+flabel metal2 s 316118 -800 316230 480 0 FreeSans 1120 90 0 0 la_oenb[53]
+port 496 nsew signal input
+flabel metal2 s 319664 -800 319776 480 0 FreeSans 1120 90 0 0 la_oenb[54]
+port 497 nsew signal input
+flabel metal2 s 323210 -800 323322 480 0 FreeSans 1120 90 0 0 la_oenb[55]
+port 498 nsew signal input
+flabel metal2 s 326756 -800 326868 480 0 FreeSans 1120 90 0 0 la_oenb[56]
+port 499 nsew signal input
+flabel metal2 s 330302 -800 330414 480 0 FreeSans 1120 90 0 0 la_oenb[57]
+port 500 nsew signal input
+flabel metal2 s 333848 -800 333960 480 0 FreeSans 1120 90 0 0 la_oenb[58]
+port 501 nsew signal input
+flabel metal2 s 337394 -800 337506 480 0 FreeSans 1120 90 0 0 la_oenb[59]
+port 502 nsew signal input
+flabel metal2 s 145910 -800 146022 480 0 FreeSans 1120 90 0 0 la_oenb[5]
+port 503 nsew signal input
+flabel metal2 s 340940 -800 341052 480 0 FreeSans 1120 90 0 0 la_oenb[60]
+port 504 nsew signal input
+flabel metal2 s 344486 -800 344598 480 0 FreeSans 1120 90 0 0 la_oenb[61]
+port 505 nsew signal input
+flabel metal2 s 348032 -800 348144 480 0 FreeSans 1120 90 0 0 la_oenb[62]
+port 506 nsew signal input
+flabel metal2 s 351578 -800 351690 480 0 FreeSans 1120 90 0 0 la_oenb[63]
+port 507 nsew signal input
+flabel metal2 s 355124 -800 355236 480 0 FreeSans 1120 90 0 0 la_oenb[64]
+port 508 nsew signal input
+flabel metal2 s 358670 -800 358782 480 0 FreeSans 1120 90 0 0 la_oenb[65]
+port 509 nsew signal input
+flabel metal2 s 362216 -800 362328 480 0 FreeSans 1120 90 0 0 la_oenb[66]
+port 510 nsew signal input
+flabel metal2 s 365762 -800 365874 480 0 FreeSans 1120 90 0 0 la_oenb[67]
+port 511 nsew signal input
+flabel metal2 s 369308 -800 369420 480 0 FreeSans 1120 90 0 0 la_oenb[68]
+port 512 nsew signal input
+flabel metal2 s 372854 -800 372966 480 0 FreeSans 1120 90 0 0 la_oenb[69]
+port 513 nsew signal input
+flabel metal2 s 149456 -800 149568 480 0 FreeSans 1120 90 0 0 la_oenb[6]
+port 514 nsew signal input
+flabel metal2 s 376400 -800 376512 480 0 FreeSans 1120 90 0 0 la_oenb[70]
+port 515 nsew signal input
+flabel metal2 s 379946 -800 380058 480 0 FreeSans 1120 90 0 0 la_oenb[71]
+port 516 nsew signal input
+flabel metal2 s 383492 -800 383604 480 0 FreeSans 1120 90 0 0 la_oenb[72]
+port 517 nsew signal input
+flabel metal2 s 387038 -800 387150 480 0 FreeSans 1120 90 0 0 la_oenb[73]
+port 518 nsew signal input
+flabel metal2 s 390584 -800 390696 480 0 FreeSans 1120 90 0 0 la_oenb[74]
+port 519 nsew signal input
+flabel metal2 s 394130 -800 394242 480 0 FreeSans 1120 90 0 0 la_oenb[75]
+port 520 nsew signal input
+flabel metal2 s 397676 -800 397788 480 0 FreeSans 1120 90 0 0 la_oenb[76]
+port 521 nsew signal input
+flabel metal2 s 401222 -800 401334 480 0 FreeSans 1120 90 0 0 la_oenb[77]
+port 522 nsew signal input
+flabel metal2 s 404768 -800 404880 480 0 FreeSans 1120 90 0 0 la_oenb[78]
+port 523 nsew signal input
+flabel metal2 s 408314 -800 408426 480 0 FreeSans 1120 90 0 0 la_oenb[79]
+port 524 nsew signal input
+flabel metal2 s 153002 -800 153114 480 0 FreeSans 1120 90 0 0 la_oenb[7]
+port 525 nsew signal input
+flabel metal2 s 411860 -800 411972 480 0 FreeSans 1120 90 0 0 la_oenb[80]
+port 526 nsew signal input
+flabel metal2 s 415406 -800 415518 480 0 FreeSans 1120 90 0 0 la_oenb[81]
+port 527 nsew signal input
+flabel metal2 s 418952 -800 419064 480 0 FreeSans 1120 90 0 0 la_oenb[82]
+port 528 nsew signal input
+flabel metal2 s 422498 -800 422610 480 0 FreeSans 1120 90 0 0 la_oenb[83]
+port 529 nsew signal input
+flabel metal2 s 426044 -800 426156 480 0 FreeSans 1120 90 0 0 la_oenb[84]
+port 530 nsew signal input
+flabel metal2 s 429590 -800 429702 480 0 FreeSans 1120 90 0 0 la_oenb[85]
+port 531 nsew signal input
+flabel metal2 s 433136 -800 433248 480 0 FreeSans 1120 90 0 0 la_oenb[86]
+port 532 nsew signal input
+flabel metal2 s 436682 -800 436794 480 0 FreeSans 1120 90 0 0 la_oenb[87]
+port 533 nsew signal input
+flabel metal2 s 440228 -800 440340 480 0 FreeSans 1120 90 0 0 la_oenb[88]
+port 534 nsew signal input
+flabel metal2 s 443774 -800 443886 480 0 FreeSans 1120 90 0 0 la_oenb[89]
+port 535 nsew signal input
+flabel metal2 s 156548 -800 156660 480 0 FreeSans 1120 90 0 0 la_oenb[8]
+port 536 nsew signal input
+flabel metal2 s 447320 -800 447432 480 0 FreeSans 1120 90 0 0 la_oenb[90]
+port 537 nsew signal input
+flabel metal2 s 450866 -800 450978 480 0 FreeSans 1120 90 0 0 la_oenb[91]
+port 538 nsew signal input
+flabel metal2 s 454412 -800 454524 480 0 FreeSans 1120 90 0 0 la_oenb[92]
+port 539 nsew signal input
+flabel metal2 s 457958 -800 458070 480 0 FreeSans 1120 90 0 0 la_oenb[93]
+port 540 nsew signal input
+flabel metal2 s 461504 -800 461616 480 0 FreeSans 1120 90 0 0 la_oenb[94]
+port 541 nsew signal input
+flabel metal2 s 465050 -800 465162 480 0 FreeSans 1120 90 0 0 la_oenb[95]
+port 542 nsew signal input
+flabel metal2 s 468596 -800 468708 480 0 FreeSans 1120 90 0 0 la_oenb[96]
+port 543 nsew signal input
+flabel metal2 s 472142 -800 472254 480 0 FreeSans 1120 90 0 0 la_oenb[97]
+port 544 nsew signal input
+flabel metal2 s 475688 -800 475800 480 0 FreeSans 1120 90 0 0 la_oenb[98]
+port 545 nsew signal input
+flabel metal2 s 479234 -800 479346 480 0 FreeSans 1120 90 0 0 la_oenb[99]
+port 546 nsew signal input
+flabel metal2 s 160094 -800 160206 480 0 FreeSans 1120 90 0 0 la_oenb[9]
+port 547 nsew signal input
+flabel metal2 s 579704 -800 579816 480 0 FreeSans 1120 90 0 0 user_clock2
+port 548 nsew signal input
+flabel metal2 s 580886 -800 580998 480 0 FreeSans 1120 90 0 0 user_irq[0]
+port 549 nsew signal tristate
+flabel metal2 s 582068 -800 582180 480 0 FreeSans 1120 90 0 0 user_irq[1]
+port 550 nsew signal tristate
+flabel metal2 s 583250 -800 583362 480 0 FreeSans 1120 90 0 0 user_irq[2]
+port 551 nsew signal tristate
+flabel metal3 s 582340 639784 584800 644584 0 FreeSans 1120 0 0 0 vccd1
+port 552 nsew signal bidirectional
+flabel metal3 s 582340 629784 584800 634584 0 FreeSans 1120 0 0 0 vccd1
+port 553 nsew signal bidirectional
+flabel metal3 s 0 643842 1660 648642 0 FreeSans 1120 0 0 0 vccd2
+port 554 nsew signal bidirectional
+flabel metal3 s 0 633842 1660 638642 0 FreeSans 1120 0 0 0 vccd2
+port 555 nsew signal bidirectional
+flabel metal3 s 582340 540562 584800 545362 0 FreeSans 1120 0 0 0 vdda1
+port 556 nsew signal bidirectional
+flabel metal3 s 582340 550562 584800 555362 0 FreeSans 1120 0 0 0 vdda1
+port 557 nsew signal bidirectional
+flabel metal3 s 582340 235230 584800 240030 0 FreeSans 1120 0 0 0 vdda1
+port 558 nsew signal bidirectional
+flabel metal3 s 582340 225230 584800 230030 0 FreeSans 1120 0 0 0 vdda1
+port 559 nsew signal bidirectional
+flabel metal3 s 0 204888 1660 209688 0 FreeSans 1120 0 0 0 vdda2
+port 560 nsew signal bidirectional
+flabel metal3 s 0 214888 1660 219688 0 FreeSans 1120 0 0 0 vdda2
+port 561 nsew signal bidirectional
+flabel metal3 s 520594 702340 525394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 562 nsew signal bidirectional
+flabel metal3 s 510594 702340 515394 704800 0 FreeSans 1920 180 0 0 vssa1
+port 563 nsew signal bidirectional
+flabel metal3 s 582340 146830 584800 151630 0 FreeSans 1120 0 0 0 vssa1
+port 564 nsew signal bidirectional
+flabel metal3 s 582340 136830 584800 141630 0 FreeSans 1120 0 0 0 vssa1
+port 565 nsew signal bidirectional
+flabel metal3 s 0 549442 1660 554242 0 FreeSans 1120 0 0 0 vssa2
+port 567 nsew signal bidirectional
+flabel metal3 s 582340 191430 584800 196230 0 FreeSans 1120 0 0 0 vssd1
+port 568 nsew signal bidirectional
+flabel metal3 s 582340 181430 584800 186230 0 FreeSans 1120 0 0 0 vssd1
+port 569 nsew signal bidirectional
+flabel metal3 s 0 172888 1660 177688 0 FreeSans 1120 0 0 0 vssd2
+port 570 nsew signal bidirectional
+flabel metal3 s 0 162888 1660 167688 0 FreeSans 1120 0 0 0 vssd2
+port 571 nsew signal bidirectional
+flabel metal2 s 524 -800 636 480 0 FreeSans 1120 90 0 0 wb_clk_i
+port 572 nsew signal input
+flabel metal2 s 1706 -800 1818 480 0 FreeSans 1120 90 0 0 wb_rst_i
+port 573 nsew signal input
+flabel metal2 s 2888 -800 3000 480 0 FreeSans 1120 90 0 0 wbs_ack_o
+port 574 nsew signal tristate
+flabel metal2 s 7616 -800 7728 480 0 FreeSans 1120 90 0 0 wbs_adr_i[0]
+port 575 nsew signal input
+flabel metal2 s 47804 -800 47916 480 0 FreeSans 1120 90 0 0 wbs_adr_i[10]
+port 576 nsew signal input
+flabel metal2 s 51350 -800 51462 480 0 FreeSans 1120 90 0 0 wbs_adr_i[11]
+port 577 nsew signal input
+flabel metal2 s 54896 -800 55008 480 0 FreeSans 1120 90 0 0 wbs_adr_i[12]
+port 578 nsew signal input
+flabel metal2 s 58442 -800 58554 480 0 FreeSans 1120 90 0 0 wbs_adr_i[13]
+port 579 nsew signal input
+flabel metal2 s 61988 -800 62100 480 0 FreeSans 1120 90 0 0 wbs_adr_i[14]
+port 580 nsew signal input
+flabel metal2 s 65534 -800 65646 480 0 FreeSans 1120 90 0 0 wbs_adr_i[15]
+port 581 nsew signal input
+flabel metal2 s 69080 -800 69192 480 0 FreeSans 1120 90 0 0 wbs_adr_i[16]
+port 582 nsew signal input
+flabel metal2 s 72626 -800 72738 480 0 FreeSans 1120 90 0 0 wbs_adr_i[17]
+port 583 nsew signal input
+flabel metal2 s 76172 -800 76284 480 0 FreeSans 1120 90 0 0 wbs_adr_i[18]
+port 584 nsew signal input
+flabel metal2 s 79718 -800 79830 480 0 FreeSans 1120 90 0 0 wbs_adr_i[19]
+port 585 nsew signal input
+flabel metal2 s 12344 -800 12456 480 0 FreeSans 1120 90 0 0 wbs_adr_i[1]
+port 586 nsew signal input
+flabel metal2 s 83264 -800 83376 480 0 FreeSans 1120 90 0 0 wbs_adr_i[20]
+port 587 nsew signal input
+flabel metal2 s 86810 -800 86922 480 0 FreeSans 1120 90 0 0 wbs_adr_i[21]
+port 588 nsew signal input
+flabel metal2 s 90356 -800 90468 480 0 FreeSans 1120 90 0 0 wbs_adr_i[22]
+port 589 nsew signal input
+flabel metal2 s 93902 -800 94014 480 0 FreeSans 1120 90 0 0 wbs_adr_i[23]
+port 590 nsew signal input
+flabel metal2 s 97448 -800 97560 480 0 FreeSans 1120 90 0 0 wbs_adr_i[24]
+port 591 nsew signal input
+flabel metal2 s 100994 -800 101106 480 0 FreeSans 1120 90 0 0 wbs_adr_i[25]
+port 592 nsew signal input
+flabel metal2 s 104540 -800 104652 480 0 FreeSans 1120 90 0 0 wbs_adr_i[26]
+port 593 nsew signal input
+flabel metal2 s 108086 -800 108198 480 0 FreeSans 1120 90 0 0 wbs_adr_i[27]
+port 594 nsew signal input
+flabel metal2 s 111632 -800 111744 480 0 FreeSans 1120 90 0 0 wbs_adr_i[28]
+port 595 nsew signal input
+flabel metal2 s 115178 -800 115290 480 0 FreeSans 1120 90 0 0 wbs_adr_i[29]
+port 596 nsew signal input
+flabel metal2 s 17072 -800 17184 480 0 FreeSans 1120 90 0 0 wbs_adr_i[2]
+port 597 nsew signal input
+flabel metal2 s 118724 -800 118836 480 0 FreeSans 1120 90 0 0 wbs_adr_i[30]
+port 598 nsew signal input
+flabel metal2 s 122270 -800 122382 480 0 FreeSans 1120 90 0 0 wbs_adr_i[31]
+port 599 nsew signal input
+flabel metal2 s 21800 -800 21912 480 0 FreeSans 1120 90 0 0 wbs_adr_i[3]
+port 600 nsew signal input
+flabel metal2 s 26528 -800 26640 480 0 FreeSans 1120 90 0 0 wbs_adr_i[4]
+port 601 nsew signal input
+flabel metal2 s 30074 -800 30186 480 0 FreeSans 1120 90 0 0 wbs_adr_i[5]
+port 602 nsew signal input
+flabel metal2 s 33620 -800 33732 480 0 FreeSans 1120 90 0 0 wbs_adr_i[6]
+port 603 nsew signal input
+flabel metal2 s 37166 -800 37278 480 0 FreeSans 1120 90 0 0 wbs_adr_i[7]
+port 604 nsew signal input
+flabel metal2 s 40712 -800 40824 480 0 FreeSans 1120 90 0 0 wbs_adr_i[8]
+port 605 nsew signal input
+flabel metal2 s 44258 -800 44370 480 0 FreeSans 1120 90 0 0 wbs_adr_i[9]
+port 606 nsew signal input
+flabel metal2 s 4070 -800 4182 480 0 FreeSans 1120 90 0 0 wbs_cyc_i
+port 607 nsew signal input
+flabel metal2 s 8798 -800 8910 480 0 FreeSans 1120 90 0 0 wbs_dat_i[0]
+port 608 nsew signal input
+flabel metal2 s 48986 -800 49098 480 0 FreeSans 1120 90 0 0 wbs_dat_i[10]
+port 609 nsew signal input
+flabel metal2 s 52532 -800 52644 480 0 FreeSans 1120 90 0 0 wbs_dat_i[11]
+port 610 nsew signal input
+flabel metal2 s 56078 -800 56190 480 0 FreeSans 1120 90 0 0 wbs_dat_i[12]
+port 611 nsew signal input
+flabel metal2 s 59624 -800 59736 480 0 FreeSans 1120 90 0 0 wbs_dat_i[13]
+port 612 nsew signal input
+flabel metal2 s 63170 -800 63282 480 0 FreeSans 1120 90 0 0 wbs_dat_i[14]
+port 613 nsew signal input
+flabel metal2 s 66716 -800 66828 480 0 FreeSans 1120 90 0 0 wbs_dat_i[15]
+port 614 nsew signal input
+flabel metal2 s 70262 -800 70374 480 0 FreeSans 1120 90 0 0 wbs_dat_i[16]
+port 615 nsew signal input
+flabel metal2 s 73808 -800 73920 480 0 FreeSans 1120 90 0 0 wbs_dat_i[17]
+port 616 nsew signal input
+flabel metal2 s 77354 -800 77466 480 0 FreeSans 1120 90 0 0 wbs_dat_i[18]
+port 617 nsew signal input
+flabel metal2 s 80900 -800 81012 480 0 FreeSans 1120 90 0 0 wbs_dat_i[19]
+port 618 nsew signal input
+flabel metal2 s 13526 -800 13638 480 0 FreeSans 1120 90 0 0 wbs_dat_i[1]
+port 619 nsew signal input
+flabel metal2 s 84446 -800 84558 480 0 FreeSans 1120 90 0 0 wbs_dat_i[20]
+port 620 nsew signal input
+flabel metal2 s 87992 -800 88104 480 0 FreeSans 1120 90 0 0 wbs_dat_i[21]
+port 621 nsew signal input
+flabel metal2 s 91538 -800 91650 480 0 FreeSans 1120 90 0 0 wbs_dat_i[22]
+port 622 nsew signal input
+flabel metal2 s 95084 -800 95196 480 0 FreeSans 1120 90 0 0 wbs_dat_i[23]
+port 623 nsew signal input
+flabel metal2 s 98630 -800 98742 480 0 FreeSans 1120 90 0 0 wbs_dat_i[24]
+port 624 nsew signal input
+flabel metal2 s 102176 -800 102288 480 0 FreeSans 1120 90 0 0 wbs_dat_i[25]
+port 625 nsew signal input
+flabel metal2 s 105722 -800 105834 480 0 FreeSans 1120 90 0 0 wbs_dat_i[26]
+port 626 nsew signal input
+flabel metal2 s 109268 -800 109380 480 0 FreeSans 1120 90 0 0 wbs_dat_i[27]
+port 627 nsew signal input
+flabel metal2 s 112814 -800 112926 480 0 FreeSans 1120 90 0 0 wbs_dat_i[28]
+port 628 nsew signal input
+flabel metal2 s 116360 -800 116472 480 0 FreeSans 1120 90 0 0 wbs_dat_i[29]
+port 629 nsew signal input
+flabel metal2 s 18254 -800 18366 480 0 FreeSans 1120 90 0 0 wbs_dat_i[2]
+port 630 nsew signal input
+flabel metal2 s 119906 -800 120018 480 0 FreeSans 1120 90 0 0 wbs_dat_i[30]
+port 631 nsew signal input
+flabel metal2 s 123452 -800 123564 480 0 FreeSans 1120 90 0 0 wbs_dat_i[31]
+port 632 nsew signal input
+flabel metal2 s 22982 -800 23094 480 0 FreeSans 1120 90 0 0 wbs_dat_i[3]
+port 633 nsew signal input
+flabel metal2 s 27710 -800 27822 480 0 FreeSans 1120 90 0 0 wbs_dat_i[4]
+port 634 nsew signal input
+flabel metal2 s 31256 -800 31368 480 0 FreeSans 1120 90 0 0 wbs_dat_i[5]
+port 635 nsew signal input
+flabel metal2 s 34802 -800 34914 480 0 FreeSans 1120 90 0 0 wbs_dat_i[6]
+port 636 nsew signal input
+flabel metal2 s 38348 -800 38460 480 0 FreeSans 1120 90 0 0 wbs_dat_i[7]
+port 637 nsew signal input
+flabel metal2 s 41894 -800 42006 480 0 FreeSans 1120 90 0 0 wbs_dat_i[8]
+port 638 nsew signal input
+flabel metal2 s 45440 -800 45552 480 0 FreeSans 1120 90 0 0 wbs_dat_i[9]
+port 639 nsew signal input
+flabel metal2 s 9980 -800 10092 480 0 FreeSans 1120 90 0 0 wbs_dat_o[0]
+port 640 nsew signal tristate
+flabel metal2 s 50168 -800 50280 480 0 FreeSans 1120 90 0 0 wbs_dat_o[10]
+port 641 nsew signal tristate
+flabel metal2 s 53714 -800 53826 480 0 FreeSans 1120 90 0 0 wbs_dat_o[11]
+port 642 nsew signal tristate
+flabel metal2 s 57260 -800 57372 480 0 FreeSans 1120 90 0 0 wbs_dat_o[12]
+port 643 nsew signal tristate
+flabel metal2 s 60806 -800 60918 480 0 FreeSans 1120 90 0 0 wbs_dat_o[13]
+port 644 nsew signal tristate
+flabel metal2 s 64352 -800 64464 480 0 FreeSans 1120 90 0 0 wbs_dat_o[14]
+port 645 nsew signal tristate
+flabel metal2 s 67898 -800 68010 480 0 FreeSans 1120 90 0 0 wbs_dat_o[15]
+port 646 nsew signal tristate
+flabel metal2 s 71444 -800 71556 480 0 FreeSans 1120 90 0 0 wbs_dat_o[16]
+port 647 nsew signal tristate
+flabel metal2 s 74990 -800 75102 480 0 FreeSans 1120 90 0 0 wbs_dat_o[17]
+port 648 nsew signal tristate
+flabel metal2 s 78536 -800 78648 480 0 FreeSans 1120 90 0 0 wbs_dat_o[18]
+port 649 nsew signal tristate
+flabel metal2 s 82082 -800 82194 480 0 FreeSans 1120 90 0 0 wbs_dat_o[19]
+port 650 nsew signal tristate
+flabel metal2 s 14708 -800 14820 480 0 FreeSans 1120 90 0 0 wbs_dat_o[1]
+port 651 nsew signal tristate
+flabel metal2 s 85628 -800 85740 480 0 FreeSans 1120 90 0 0 wbs_dat_o[20]
+port 652 nsew signal tristate
+flabel metal2 s 89174 -800 89286 480 0 FreeSans 1120 90 0 0 wbs_dat_o[21]
+port 653 nsew signal tristate
+flabel metal2 s 92720 -800 92832 480 0 FreeSans 1120 90 0 0 wbs_dat_o[22]
+port 654 nsew signal tristate
+flabel metal2 s 96266 -800 96378 480 0 FreeSans 1120 90 0 0 wbs_dat_o[23]
+port 655 nsew signal tristate
+flabel metal2 s 99812 -800 99924 480 0 FreeSans 1120 90 0 0 wbs_dat_o[24]
+port 656 nsew signal tristate
+flabel metal2 s 103358 -800 103470 480 0 FreeSans 1120 90 0 0 wbs_dat_o[25]
+port 657 nsew signal tristate
+flabel metal2 s 106904 -800 107016 480 0 FreeSans 1120 90 0 0 wbs_dat_o[26]
+port 658 nsew signal tristate
+flabel metal2 s 110450 -800 110562 480 0 FreeSans 1120 90 0 0 wbs_dat_o[27]
+port 659 nsew signal tristate
+flabel metal2 s 113996 -800 114108 480 0 FreeSans 1120 90 0 0 wbs_dat_o[28]
+port 660 nsew signal tristate
+flabel metal2 s 117542 -800 117654 480 0 FreeSans 1120 90 0 0 wbs_dat_o[29]
+port 661 nsew signal tristate
+flabel metal2 s 19436 -800 19548 480 0 FreeSans 1120 90 0 0 wbs_dat_o[2]
+port 662 nsew signal tristate
+flabel metal2 s 121088 -800 121200 480 0 FreeSans 1120 90 0 0 wbs_dat_o[30]
+port 663 nsew signal tristate
+flabel metal2 s 124634 -800 124746 480 0 FreeSans 1120 90 0 0 wbs_dat_o[31]
+port 664 nsew signal tristate
+flabel metal2 s 24164 -800 24276 480 0 FreeSans 1120 90 0 0 wbs_dat_o[3]
+port 665 nsew signal tristate
+flabel metal2 s 28892 -800 29004 480 0 FreeSans 1120 90 0 0 wbs_dat_o[4]
+port 666 nsew signal tristate
+flabel metal2 s 32438 -800 32550 480 0 FreeSans 1120 90 0 0 wbs_dat_o[5]
+port 667 nsew signal tristate
+flabel metal2 s 35984 -800 36096 480 0 FreeSans 1120 90 0 0 wbs_dat_o[6]
+port 668 nsew signal tristate
+flabel metal2 s 39530 -800 39642 480 0 FreeSans 1120 90 0 0 wbs_dat_o[7]
+port 669 nsew signal tristate
+flabel metal2 s 43076 -800 43188 480 0 FreeSans 1120 90 0 0 wbs_dat_o[8]
+port 670 nsew signal tristate
+flabel metal2 s 46622 -800 46734 480 0 FreeSans 1120 90 0 0 wbs_dat_o[9]
+port 671 nsew signal tristate
+flabel metal2 s 11162 -800 11274 480 0 FreeSans 1120 90 0 0 wbs_sel_i[0]
+port 672 nsew signal input
+flabel metal2 s 15890 -800 16002 480 0 FreeSans 1120 90 0 0 wbs_sel_i[1]
+port 673 nsew signal input
+flabel metal2 s 20618 -800 20730 480 0 FreeSans 1120 90 0 0 wbs_sel_i[2]
+port 674 nsew signal input
+flabel metal2 s 25346 -800 25458 480 0 FreeSans 1120 90 0 0 wbs_sel_i[3]
+port 675 nsew signal input
+flabel metal2 s 5252 -800 5364 480 0 FreeSans 1120 90 0 0 wbs_stb_i
+port 676 nsew signal input
+flabel metal2 s 6434 -800 6546 480 0 FreeSans 1120 90 0 0 wbs_we_i
+port 677 nsew signal input
+flabel metal3 s -800 559442 860 564242 0 FreeSans 1120 180 0 0 vssa2
+port 566 nsew signal bidirectional
+flabel metal3 s 227594 702300 232594 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 42 nsew signal bidirectional
+flabel metal3 s 217294 702300 222294 704800 0 FreeSans 1920 180 0 0 io_analog[5]
+port 48 nsew signal bidirectional
+<< properties >>
+string FIXED_BBOX 0 0 584000 704000
+<< end >>
diff --git a/xschem/afernandez_residue_amplifier/DFlipFlop.sch b/xschem/afernandez_residue_amplifier/DFlipFlop.sch
new file mode 100644
index 0000000..f8435cd
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/DFlipFlop.sch
@@ -0,0 +1,50 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 150 -210 210 -210 { lab=D_d}
+N 280 -290 280 -250 { lab=vdd}
+N 300 -90 300 -60 { lab=vss}
+N 570 -210 640 -210 { lab=Q}
+N 640 -210 650 -210 { lab=Q}
+N 150 -130 210 -130 { lab=nD_d}
+N 350 -130 390 -130 { lab=nA}
+N 350 -210 390 -210 { lab=A}
+N 390 -210 430 -210 { lab=A}
+N 390 -130 430 -130 { lab=nA}
+N 520 -90 520 -60 { lab=vss}
+N 500 -90 500 -60 { lab=nCLK}
+N 500 -280 500 -250 { lab=vdd}
+N 280 -90 280 -60 { lab=CLK}
+N 570 -130 640 -130 { lab=nQ}
+N 640 -130 650 -130 { lab=nQ}
+N -130 -170 -100 -170 { lab=D}
+N -100 -170 -60 -170 { lab=D}
+N 0 -260 0 -230 { lab=vdd}
+N 0 -110 0 -80 { lab=vss}
+N 60 -150 90 -150 { lab=nD_d}
+N 90 -150 90 -130 { lab=nD_d}
+N 90 -130 150 -130 { lab=nD_d}
+N 60 -190 90 -190 { lab=D_d}
+N 90 -210 90 -190 { lab=D_d}
+N 90 -210 150 -210 { lab=D_d}
+C {iopin.sym} 280 -290 3 0 {name=p1 lab=vdd}
+C {iopin.sym} 300 -60 1 0 {name=p3 lab=vss}
+C {opin.sym} 650 -210 0 0 {name=p7 lab=Q}
+C {lab_pin.sym} 520 -60 3 0 {name=l7 lab=vss}
+C {lab_pin.sym} 500 -280 1 0 {name=l8 lab=vdd}
+C {lab_wire.sym} 380 -130 0 1 {name=l19 lab=nA}
+C {opin.sym} 650 -130 0 0 {name=p2 lab=nQ}
+C {lab_wire.sym} 380 -210 0 1 {name=l1 lab=A}
+C {ipin.sym} -130 -170 0 0 {name=p6 lab=D}
+C {lab_wire.sym} 150 -210 0 0 {name=l27 lab=D_d}
+C {lab_wire.sym} 150 -130 0 0 {name=l28 lab=nD_d}
+C {ipin.sym} 280 -60 3 0 {name=p4 lab=CLK}
+C {ipin.sym} 500 -60 3 0 {name=p5 lab=nCLK}
+C {lab_pin.sym} 0 -260 1 0 {name=l2 lab=vdd}
+C {lab_pin.sym} 0 -80 3 0 {name=l3 lab=vss}
+C {clock_inverter.sym} 0 -170 0 0 {name=x1}
+C {latch_diff.sym} 280 -170 0 0 {name=x2}
+C {latch_diff.sym} 500 -170 0 0 {name=x3}
diff --git a/xschem/afernandez_residue_amplifier/DFlipFlop.sym b/xschem/afernandez_residue_amplifier/DFlipFlop.sym
new file mode 100644
index 0000000..3498bba
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/DFlipFlop.sym
@@ -0,0 +1,40 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 50 -40 70 -40 {}
+L 4 50 40 70 40 {}
+L 4 -70 -40 -50 -40 {}
+L 4 -70 0 -50 0 {}
+L 4 -50 -60 50 -60 {}
+L 4 -50 60 50 60 {}
+L 4 -50 -10 -40 -0 {}
+L 4 -50 10 -40 -0 {}
+L 4 -50 -60 -50 60 {}
+L 4 50 -60 50 60 {}
+L 4 -70 40 -50 40 {}
+L 4 -50 30 -40 40 {}
+L 4 -50 50 -40 40 {}
+L 7 0 -80 0 -60 {}
+L 7 0 60 0 80 {}
+B 5 -2.5 -82.5 2.5 -77.5 {name=vdd dir=inout }
+B 5 67.5 -42.5 72.5 -37.5 {name=Q dir=out }
+B 5 67.5 37.5 72.5 42.5 {name=nQ dir=out }
+B 5 -2.5 77.5 2.5 82.5 {name=vss dir=inout }
+B 5 -72.5 -42.5 -67.5 -37.5 {name=D dir=in }
+B 5 -72.5 -2.5 -67.5 2.5 {name=CLK dir=in }
+B 5 -72.5 37.5 -67.5 42.5 {name=nCLK dir=in }
+T {@symname} 7 64 0 0 0.3 0.3 {}
+T {@name} -15 -52 0 0 0.2 0.2 {}
+T {vdd} -14 -85 3 1 0.2 0.2 {}
+T {Q} 45 -44 0 1 0.2 0.2 {}
+T {nQ} 45 36 0 1 0.2 0.2 {}
+T {vss} -6 85 1 1 0.2 0.2 {}
+T {D} -45 -44 0 0 0.2 0.2 {}
+T {CLK} -35 -4 0 0 0.2 0.2 {}
+T {nCLK} -35 36 0 0 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/buffer_no_inv_x05.sch b/xschem/afernandez_residue_amplifier/buffer_no_inv_x05.sch
new file mode 100644
index 0000000..246cd4e
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/buffer_no_inv_x05.sch
@@ -0,0 +1,21 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 1440 -410 1520 -410 { lab=in}
+N 1560 -510 1560 -460 { lab=avdd1p8}
+N 1560 -360 1560 -310 { lab=avss1p8}
+N 1650 -410 1760 -410 { lab=#net1}
+N 1800 -510 1800 -460 { lab=avdd1p8}
+N 1800 -360 1800 -310 { lab=avss1p8}
+N 1890 -410 2000 -410 { lab=out}
+C {ipin.sym} 1440 -410 0 0 {name=p4 lab=in}
+C {iopin.sym} 1560 -510 0 0 {name=p15 lab=avdd1p8}
+C {iopin.sym} 1560 -310 0 0 {name=p16 lab=avss1p8}
+C {inverter_min.sym} 1580 -410 0 0 {name=x1}
+C {opin.sym} 2000 -410 0 0 {name=p1 lab=out}
+C {inverter_min.sym} 1820 -410 0 0 {name=x2}
+C {lab_wire.sym} 1800 -500 0 0 {name=l1 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 1800 -310 0 0 {name=l2 sig_type=std_logic lab=avss1p8}
diff --git a/xschem/afernandez_residue_amplifier/buffer_no_inv_x05.sym b/xschem/afernandez_residue_amplifier/buffer_no_inv_x05.sym
new file mode 100644
index 0000000..fc966fb
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/buffer_no_inv_x05.sym
@@ -0,0 +1,26 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -90 -170 -70 -170 {}
+L 4 -70 -210 -70 -130 {}
+L 4 -70 -130 10 -170 {}
+L 4 -70 -210 10 -170 {}
+L 4 10 -170 30 -170 {}
+L 7 -50 -220 -50 -200 {}
+L 7 -50 -140 -50 -120 {}
+B 5 -52.5 -222.5 -47.5 -217.5 {name=avdd1p8 dir=inout }
+B 5 -92.5 -172.5 -87.5 -167.5 {name=in dir=in }
+B 5 -52.5 -122.5 -47.5 -117.5 {name=avss1p8 dir=inout }
+B 5 27.5 -172.5 32.5 -167.5 {name=out dir=out}
+T {@symname} 10 -210 0 0 0.3 0.3 {}
+T {@name} -60 -170 0 0 0.2 0.2 {}
+T {avdd1p8} 0 -230 0 1 0.2 0.2 {}
+T {in} -110 -180 0 0 0.2 0.2 {}
+T {avss1p8} -50 -120 0 1 0.2 0.2 {}
+T {out} 40 -180 0 0 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/clock_inverter.sch b/xschem/afernandez_residue_amplifier/clock_inverter.sch
new file mode 100644
index 0000000..7d62fc3
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/clock_inverter.sch
@@ -0,0 +1,41 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N -430 0 -400 0 { lab=CLK}
+N -280 -200 -280 -170 { lab=vdd}
+N -280 -70 -280 -40 { lab=vss}
+N -280 180 -280 210 { lab=vss}
+N -280 50 -280 80 { lab=vdd}
+N -360 -120 -320 -120 { lab=CLK}
+N -400 0 -360 0 { lab=CLK}
+N -70 -200 -70 -170 { lab=vdd}
+N -70 -70 -70 -40 { lab=vss}
+N -360 130 -320 130 { lab=CLK}
+N -360 10 -360 130 { lab=CLK}
+N -360 -110 -360 10 { lab=CLK}
+N -360 -120 -360 -110 { lab=CLK}
+N -40 20 -40 50 { lab=vdd}
+N -40 230 -40 260 { lab=vss}
+N -190 130 -130 130 { lab=#net1}
+N 50 -120 140 -120 { lab=CLK_d}
+N 50 130 140 130 { lab=nCLK_d}
+N 20 -120 50 -120 { lab=CLK_d}
+N -190 -120 -110 -120 { lab=#net2}
+C {ipin.sym} -430 0 0 0 {name=p4 lab=CLK}
+C {iopin.sym} -280 -200 3 0 {name=p1 lab=vdd}
+C {lab_pin.sym} -280 -40 3 0 {name=l5 lab=vss}
+C {trans_gate.sym} -40 130 0 0 {name=x5}
+C {iopin.sym} -280 210 1 0 {name=p11 lab=vss}
+C {lab_pin.sym} -280 50 1 0 {name=l12 lab=vdd}
+C {lab_pin.sym} -70 -200 1 0 {name=l9 lab=vdd}
+C {lab_pin.sym} -70 -40 3 0 {name=l10 lab=vss}
+C {lab_pin.sym} -40 20 1 0 {name=l13 lab=vdd}
+C {lab_pin.sym} -40 260 3 0 {name=l14 lab=vss}
+C {opin.sym} 140 130 0 0 {name=p16 lab=nCLK_d}
+C {opin.sym} 140 -120 0 0 {name=p17 lab=CLK_d}
+C {inverter_cp_x1.sym} -50 -120 0 0 {name=x1}
+C {inverter_cp_x1.sym} -260 -120 0 0 {name=x2}
+C {inverter_cp_x1.sym} -260 130 0 0 {name=x3}
diff --git a/xschem/afernandez_residue_amplifier/clock_inverter.sym b/xschem/afernandez_residue_amplifier/clock_inverter.sym
new file mode 100644
index 0000000..971d7ed
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/clock_inverter.sym
@@ -0,0 +1,30 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -40 -40 -40 40 {}
+L 4 40 -40 40 40 {}
+L 4 40 -20 60 -20 {}
+L 4 -60 0 -40 0 {}
+L 4 40 20 60 20 {}
+L 4 -40 -40 40 -40 {}
+L 4 -40 40 40 40 {}
+L 7 0 -60 0 -40 {}
+L 7 0 40 0 60 {}
+B 5 -2.5 -62.5 2.5 -57.5 {name=vdd dir=inout }
+B 5 57.5 -22.5 62.5 -17.5 {name=CLK_d dir=out }
+B 5 -62.5 -2.5 -57.5 2.5 {name=CLK dir=in }
+B 5 57.5 17.5 62.5 22.5 {name=nCLK_d dir=out }
+B 5 -2.5 57.5 2.5 62.5 {name=vss dir=inout }
+T {@symname} 9 44 0 0 0.3 0.3 {}
+T {@name} 15 -52 0 0 0.2 0.2 {}
+T {vdd} -14 -65 3 1 0.2 0.2 {}
+T {CLK_d} 35 -24 0 1 0.2 0.2 {}
+T {CLK} -35 -4 0 0 0.2 0.2 {}
+T {nCLK_d} 35 16 0 1 0.2 0.2 {}
+T {vss} -6 65 1 1 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/delay_cell_buff.sch b/xschem/afernandez_residue_amplifier/delay_cell_buff.sch
new file mode 100644
index 0000000..63b9ec6
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/delay_cell_buff.sch
@@ -0,0 +1,126 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 1050 -620 1130 -620 { lab=clk,clk1_int,clk1,clk2_int,clk2,clk3_int,clk3,clk4_int,clk4,clk5_int,clk5,clk6_int,clk6,clk7_int}
+N 1170 -710 1170 -660 { lab=avdd1p8}
+N 1170 -580 1170 -530 { lab=avss1p8}
+N 1240 -620 1350 -620 { lab=clk1_int,clk1,clk2_int,clk2,clk3_int,clk3,clk4_int,clk4,clk5_int,clk5,clk6_int,clk6,clk7_int,clk7}
+N 1040 -710 1070 -710 { lab=clk}
+N 1980 -590 1980 -530 { lab=avss1p8}
+N 1990 -590 1990 -530 { lab=avdd1p8}
+N 1870 -490 1950 -490 { lab=clk3}
+N 1870 -470 1950 -470 { lab=clk2}
+N 1980 -430 1980 -410 { lab=reg2}
+N 1980 -410 2030 -410 { lab=reg2}
+N 2020 -480 2100 -480 { lab=#net1}
+N 1980 -360 1980 -300 { lab=avss1p8}
+N 1990 -360 1990 -300 { lab=avdd1p8}
+N 1870 -260 1950 -260 { lab=clk1}
+N 1870 -240 1950 -240 { lab=clk}
+N 1980 -200 1980 -180 { lab=reg2}
+N 1980 -180 2030 -180 { lab=reg2}
+N 2020 -250 2100 -250 { lab=#net2}
+N 2260 -500 2260 -440 { lab=avss1p8}
+N 2270 -500 2270 -440 { lab=avdd1p8}
+N 2160 -400 2230 -400 { lab=#net1}
+N 2160 -380 2230 -380 { lab=#net2}
+N 2260 -340 2260 -320 { lab=reg1}
+N 2260 -320 2310 -320 { lab=reg1}
+N 2300 -390 2380 -390 { lab=#net3}
+N 2100 -480 2160 -480 { lab=#net1}
+N 2160 -480 2160 -400 { lab=#net1}
+N 2100 -250 2160 -250 { lab=#net2}
+N 2160 -380 2160 -250 { lab=#net2}
+N 2740 -600 2810 -600 { lab=out_mux}
+N 2760 -560 2810 -560 { lab=clk}
+N 2760 -560 2760 -480 { lab=clk}
+N 2850 -690 2850 -630 { lab=avdd1p8}
+N 2850 -530 2850 -470 { lab=avss1p8}
+N 2920 -580 3000 -580 { lab=clk_out}
+N 1980 -1030 1980 -970 { lab=avss1p8}
+N 1990 -1030 1990 -970 { lab=avdd1p8}
+N 1870 -930 1950 -930 { lab=clk7}
+N 1870 -910 1950 -910 { lab=clk6}
+N 1980 -870 1980 -850 { lab=reg2}
+N 1980 -850 2030 -850 { lab=reg2}
+N 2020 -920 2100 -920 { lab=#net4}
+N 1980 -800 1980 -740 { lab=avss1p8}
+N 1990 -800 1990 -740 { lab=avdd1p8}
+N 1870 -700 1950 -700 { lab=clk5}
+N 1870 -680 1950 -680 { lab=clk4}
+N 1980 -640 1980 -620 { lab=reg2}
+N 1980 -620 2030 -620 { lab=reg2}
+N 2020 -690 2100 -690 { lab=#net5}
+N 2260 -940 2260 -880 { lab=avss1p8}
+N 2270 -940 2270 -880 { lab=avdd1p8}
+N 2160 -840 2230 -840 { lab=#net4}
+N 2160 -820 2230 -820 { lab=#net5}
+N 2260 -780 2260 -760 { lab=reg1}
+N 2260 -760 2310 -760 { lab=reg1}
+N 2300 -830 2380 -830 { lab=#net6}
+N 2100 -920 2160 -920 { lab=#net4}
+N 2160 -920 2160 -840 { lab=#net4}
+N 2100 -690 2160 -690 { lab=#net5}
+N 2160 -820 2160 -690 { lab=#net5}
+N 2500 -710 2500 -650 { lab=avss1p8}
+N 2510 -710 2510 -650 { lab=avdd1p8}
+N 2500 -550 2500 -530 { lab=reg0}
+N 2500 -530 2550 -530 { lab=reg0}
+N 2540 -600 2620 -600 { lab=out_mux}
+N 2380 -590 2380 -390 { lab=#net3}
+N 2380 -590 2470 -590 { lab=#net3}
+N 2380 -610 2470 -610 { lab=#net6}
+N 2380 -760 2380 -610 { lab=#net6}
+N 2380 -830 2380 -760 { lab=#net6}
+C {ipin.sym} 1040 -710 0 0 {name=p4 lab=clk}
+C {iopin.sym} 1170 -710 0 0 {name=p15 lab=avdd1p8}
+C {iopin.sym} 1170 -530 0 0 {name=p16 lab=avss1p8}
+C {lab_wire.sym} 1080 -620 0 0 {name=l1 sig_type=std_logic lab=clk,clk1_int,clk1,clk2_int,clk2,clk3_int,clk3,clk4_int,clk4,clk5_int,clk5,clk6_int,clk6,clk7_int}
+C {lab_wire.sym} 1280 -620 2 0 {name=l2 sig_type=std_logic lab=clk1_int,clk1,clk2_int,clk2,clk3_int,clk3,clk4_int,clk4,clk5_int,clk5,clk6_int,clk6,clk7_int,clk7}
+C {mux_2to1_logic.sym} 1730 -290 0 0 {name=x1}
+C {lab_wire.sym} 1980 -580 0 0 {name=l3 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 1990 -590 2 0 {name=l4 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 1990 -410 2 0 {name=l5 sig_type=std_logic lab=reg2}
+C {mux_2to1_logic.sym} 1730 -60 0 0 {name=x2}
+C {lab_wire.sym} 1980 -350 0 0 {name=l6 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 1990 -360 2 0 {name=l7 sig_type=std_logic lab=avdd1p8}
+C {mux_2to1_logic.sym} 2010 -200 0 0 {name=x3}
+C {lab_wire.sym} 2260 -480 0 0 {name=l9 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 2270 -490 2 0 {name=l10 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 1880 -240 2 0 {name=l12 sig_type=std_logic lab=clk}
+C {lab_wire.sym} 1900 -260 0 0 {name=l13 sig_type=std_logic lab=clk1}
+C {lab_wire.sym} 1880 -470 2 0 {name=l14 sig_type=std_logic lab=clk2}
+C {lab_wire.sym} 1910 -490 0 0 {name=l15 sig_type=std_logic lab=clk3}
+C {nand_logic.sym} 2860 -480 0 0 {name=x4}
+C {lab_wire.sym} 2760 -510 2 0 {name=l16 sig_type=std_logic lab=clk}
+C {lab_wire.sym} 2850 -680 2 0 {name=l17 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 2850 -490 2 0 {name=l18 sig_type=std_logic lab=avss1p8}
+C {opin.sym} 3000 -580 0 0 {name=p1 lab=clk_out}
+C {ipin.sym} 2030 -180 2 0 {name=p2 lab=reg2}
+C {ipin.sym} 2310 -320 2 0 {name=p3 lab=reg1}
+C {buffer_no_inv_x05.sym} 1220 -450 0 0 {name=x5[13..0]}
+C {lab_wire.sym} 2770 -600 0 0 {name=l11 sig_type=std_logic lab=out_mux}
+C {mux_2to1_logic.sym} 1730 -730 0 0 {name=x5}
+C {lab_wire.sym} 1980 -1020 0 0 {name=l19 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 1990 -1030 2 0 {name=l20 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 1990 -850 2 0 {name=l21 sig_type=std_logic lab=reg2}
+C {mux_2to1_logic.sym} 1730 -500 0 0 {name=x6}
+C {lab_wire.sym} 1980 -790 0 0 {name=l22 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 1990 -800 2 0 {name=l23 sig_type=std_logic lab=avdd1p8}
+C {mux_2to1_logic.sym} 2010 -640 0 0 {name=x7}
+C {lab_wire.sym} 2260 -920 0 0 {name=l24 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 2270 -930 2 0 {name=l25 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 1880 -680 2 0 {name=l26 sig_type=std_logic lab=clk4}
+C {lab_wire.sym} 1900 -700 0 0 {name=l27 sig_type=std_logic lab=clk5}
+C {lab_wire.sym} 1880 -910 2 0 {name=l28 sig_type=std_logic lab=clk6}
+C {lab_wire.sym} 1910 -930 0 0 {name=l29 sig_type=std_logic lab=clk7}
+C {lab_wire.sym} 2010 -620 2 0 {name=l31 sig_type=std_logic lab=reg2}
+C {lab_wire.sym} 2280 -760 2 0 {name=l32 sig_type=std_logic lab=reg1}
+C {mux_2to1_logic.sym} 2250 -410 0 0 {name=x8}
+C {lab_wire.sym} 2500 -690 0 0 {name=l33 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 2510 -700 2 0 {name=l34 sig_type=std_logic lab=avdd1p8}
+C {ipin.sym} 2550 -530 2 0 {name=p5 lab=reg0}
+C {lab_wire.sym} 2580 -600 2 0 {name=l35 sig_type=std_logic lab=out_mux}
diff --git a/xschem/afernandez_residue_amplifier/delay_cell_buff.sym b/xschem/afernandez_residue_amplifier/delay_cell_buff.sym
new file mode 100644
index 0000000..659ef94
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/delay_cell_buff.sym
@@ -0,0 +1,39 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -90 -170 -70 -170 {}
+L 4 -70 -210 -70 -130 {}
+L 4 -70 -130 10 -170 {}
+L 4 -70 -210 10 -170 {}
+L 4 -60 -130 20 -170 {}
+L 4 -60 -210 20 -170 {}
+L 4 -50 -130 30 -170 {}
+L 4 -50 -210 30 -170 {}
+L 4 30 -170 50 -170 {}
+L 4 -10 -150 -10 -130 {}
+L 4 0 -150 0 -130 {}
+L 4 10 -150 10 -130 {}
+L 7 -50 -220 -50 -200 {}
+L 7 -50 -140 -50 -120 {}
+B 5 -52.5 -222.5 -47.5 -217.5 {name=avdd1p8 dir=inout }
+B 5 -92.5 -172.5 -87.5 -167.5 {name=clk dir=in }
+B 5 -52.5 -122.5 -47.5 -117.5 {name=avss1p8 dir=inout }
+B 5 47.5 -172.5 52.5 -167.5 {name=clk_out dir=out}
+B 5 -12.5 -132.5 -7.5 -127.5 {name=reg0 dir=in }
+B 5 -2.5 -132.5 2.5 -127.5 {name=reg1 dir=in }
+B 5 7.5 -132.5 12.5 -127.5 {name=reg2 dir=in }
+T {@symname} 20 -240 0 0 0.3 0.3 {}
+T {@name} -60 -170 0 0 0.2 0.2 {}
+T {avdd1p8} 0 -230 0 1 0.2 0.2 {}
+T {clk} -110 -180 0 0 0.2 0.2 {}
+T {avss1p8} -50 -120 0 1 0.2 0.2 {}
+T {clk_out} 60 -180 0 0 0.2 0.2 {}
+T {reg0} -20 -100 3 0 0.2 0.2 {}
+T {reg1} -10 -100 3 0 0.2 0.2 {}
+T {reg2} 0 -100 3 0 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/inverter_cp_x1.sch b/xschem/afernandez_residue_amplifier/inverter_cp_x1.sch
new file mode 100644
index 0000000..c9fe522
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/inverter_cp_x1.sch
@@ -0,0 +1,50 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 250 -60 250 60 { lab=out}
+N 250 0 340 -0 { lab=out}
+N 160 90 210 90 { lab=in}
+N 160 -90 160 90 { lab=in}
+N 160 -90 210 -90 { lab=in}
+N 100 -0 160 -0 { lab=in}
+N 250 -180 250 -120 { lab=vdd}
+N 250 120 250 180 { lab=vss}
+N 250 -90 350 -90 { lab=vdd}
+N 250 90 350 90 { lab=vss}
+C {sky130_fd_pr/pfet_01v8.sym} 230 -90 0 0 {name=M2
+L=0.15
+W=1.25
+nf=1
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {iopin.sym} 250 180 1 0 {name=p1 lab=vss}
+C {ipin.sym} 100 0 0 0 {name=p2 lab=in}
+C {opin.sym} 340 0 0 0 {name=p3 lab=out}
+C {sky130_fd_pr/nfet_01v8.sym} 230 90 0 0 {name=M1
+L=0.15
+W=1.25
+nf=1 
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {lab_pin.sym} 350 90 2 0 {name=l1 sig_type=std_logic lab=vss}
+C {lab_pin.sym} 350 -90 2 0 {name=l2 sig_type=std_logic lab=vdd}
+C {iopin.sym} 250 -180 3 0 {name=p4 lab=vdd}
diff --git a/xschem/afernandez_residue_amplifier/inverter_cp_x1.sym b/xschem/afernandez_residue_amplifier/inverter_cp_x1.sym
new file mode 100644
index 0000000..cdda9c9
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/inverter_cp_x1.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 50 0 70 0 {}
+L 4 -60 0 -40 0 {}
+L 4 -40 40 40 0 {}
+L 4 -40 -40 40 0 {}
+L 4 -40 -40 -40 40 {}
+L 7 -20 -50 -20 -30 {}
+L 7 -20 30 -20 50 {}
+B 5 -22.5 -52.5 -17.5 -47.5 {name=vdd dir=inout }
+B 5 67.5 -2.5 72.5 2.5 {name=out dir=out }
+B 5 -62.5 -2.5 -57.5 2.5 {name=in dir=in }
+B 5 -22.5 47.5 -17.5 52.5 {name=vss dir=inout }
+A 4 45 -0.5 5.024937810560445 354.2894068625004 360 {}
+T {@symname} -16 30 0 0 0.3 0.3 {}
+T {@name} -23 -6 0 0 0.2 0.2 {}
+T {vdd} -34 -55 3 1 0.2 0.2 {}
+T {out} 67 -13 0 1 0.2 0.2 {}
+T {in} -56 -14 0 0 0.2 0.2 {}
+T {vss} -22 54 1 1 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/inverter_min.sch b/xschem/afernandez_residue_amplifier/inverter_min.sch
new file mode 100644
index 0000000..40a3506
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/inverter_min.sch
@@ -0,0 +1,50 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 250 -60 250 60 { lab=out}
+N 250 0 340 -0 { lab=out}
+N 160 90 210 90 { lab=in}
+N 160 -90 160 90 { lab=in}
+N 160 -90 210 -90 { lab=in}
+N 100 -0 160 -0 { lab=in}
+N 250 -180 250 -120 { lab=vdd}
+N 250 120 250 180 { lab=vss}
+N 250 -90 350 -90 { lab=vdd}
+N 250 90 350 90 { lab=vss}
+C {sky130_fd_pr/pfet_01v8.sym} 230 -90 0 0 {name=M2
+L=0.15
+W=0.84
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {iopin.sym} 250 180 1 0 {name=p1 lab=vss}
+C {ipin.sym} 100 0 0 0 {name=p2 lab=in}
+C {opin.sym} 340 0 0 0 {name=p3 lab=out}
+C {sky130_fd_pr/nfet_01v8.sym} 230 90 0 0 {name=M1
+L=0.15
+W=0.42
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {lab_pin.sym} 350 90 2 0 {name=l1 sig_type=std_logic lab=vss}
+C {lab_pin.sym} 350 -90 2 0 {name=l2 sig_type=std_logic lab=vdd}
+C {iopin.sym} 250 -180 3 0 {name=p4 lab=vdd}
diff --git a/xschem/afernandez_residue_amplifier/inverter_min.sym b/xschem/afernandez_residue_amplifier/inverter_min.sym
new file mode 100644
index 0000000..cdda9c9
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/inverter_min.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 50 0 70 0 {}
+L 4 -60 0 -40 0 {}
+L 4 -40 40 40 0 {}
+L 4 -40 -40 40 0 {}
+L 4 -40 -40 -40 40 {}
+L 7 -20 -50 -20 -30 {}
+L 7 -20 30 -20 50 {}
+B 5 -22.5 -52.5 -17.5 -47.5 {name=vdd dir=inout }
+B 5 67.5 -2.5 72.5 2.5 {name=out dir=out }
+B 5 -62.5 -2.5 -57.5 2.5 {name=in dir=in }
+B 5 -22.5 47.5 -17.5 52.5 {name=vss dir=inout }
+A 4 45 -0.5 5.024937810560445 354.2894068625004 360 {}
+T {@symname} -16 30 0 0 0.3 0.3 {}
+T {@name} -23 -6 0 0 0.2 0.2 {}
+T {vdd} -34 -55 3 1 0.2 0.2 {}
+T {out} 67 -13 0 1 0.2 0.2 {}
+T {in} -56 -14 0 0 0.2 0.2 {}
+T {vss} -22 54 1 1 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/inverter_min_x16.sch b/xschem/afernandez_residue_amplifier/inverter_min_x16.sch
new file mode 100644
index 0000000..0ab95a7
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/inverter_min_x16.sch
@@ -0,0 +1,50 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 250 -60 250 60 { lab=out}
+N 250 0 340 -0 { lab=out}
+N 160 90 210 90 { lab=in}
+N 160 -90 160 90 { lab=in}
+N 160 -90 210 -90 { lab=in}
+N 100 -0 160 -0 { lab=in}
+N 250 -180 250 -120 { lab=vdd}
+N 250 120 250 180 { lab=vss}
+N 250 -90 350 -90 { lab=vdd}
+N 250 90 350 90 { lab=vss}
+C {sky130_fd_pr/pfet_01v8.sym} 230 -90 0 0 {name=M2
+L=0.15
+W=0.84
+nf=1
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {iopin.sym} 250 180 1 0 {name=p1 lab=vss}
+C {ipin.sym} 100 0 0 0 {name=p2 lab=in}
+C {opin.sym} 340 0 0 0 {name=p3 lab=out}
+C {sky130_fd_pr/nfet_01v8.sym} 230 90 0 0 {name=M1
+L=0.15
+W=0.42
+nf=1 
+mult=16
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {lab_pin.sym} 350 90 2 0 {name=l1 sig_type=std_logic lab=vss}
+C {lab_pin.sym} 350 -90 2 0 {name=l2 sig_type=std_logic lab=vdd}
+C {iopin.sym} 250 -180 3 0 {name=p4 lab=vdd}
diff --git a/xschem/afernandez_residue_amplifier/inverter_min_x16.sym b/xschem/afernandez_residue_amplifier/inverter_min_x16.sym
new file mode 100644
index 0000000..cdda9c9
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/inverter_min_x16.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 50 0 70 0 {}
+L 4 -60 0 -40 0 {}
+L 4 -40 40 40 0 {}
+L 4 -40 -40 40 0 {}
+L 4 -40 -40 -40 40 {}
+L 7 -20 -50 -20 -30 {}
+L 7 -20 30 -20 50 {}
+B 5 -22.5 -52.5 -17.5 -47.5 {name=vdd dir=inout }
+B 5 67.5 -2.5 72.5 2.5 {name=out dir=out }
+B 5 -62.5 -2.5 -57.5 2.5 {name=in dir=in }
+B 5 -22.5 47.5 -17.5 52.5 {name=vss dir=inout }
+A 4 45 -0.5 5.024937810560445 354.2894068625004 360 {}
+T {@symname} -16 30 0 0 0.3 0.3 {}
+T {@name} -23 -6 0 0 0.2 0.2 {}
+T {vdd} -34 -55 3 1 0.2 0.2 {}
+T {out} 67 -13 0 1 0.2 0.2 {}
+T {in} -56 -14 0 0 0.2 0.2 {}
+T {vss} -22 54 1 1 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/inverter_min_x4.sch b/xschem/afernandez_residue_amplifier/inverter_min_x4.sch
new file mode 100644
index 0000000..6f8dda8
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/inverter_min_x4.sch
@@ -0,0 +1,50 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 250 -60 250 60 { lab=out}
+N 250 0 340 -0 { lab=out}
+N 160 90 210 90 { lab=in}
+N 160 -90 160 90 { lab=in}
+N 160 -90 210 -90 { lab=in}
+N 100 -0 160 -0 { lab=in}
+N 250 -180 250 -120 { lab=vdd}
+N 250 120 250 180 { lab=vss}
+N 250 -90 350 -90 { lab=vdd}
+N 250 90 350 90 { lab=vss}
+C {sky130_fd_pr/pfet_01v8.sym} 230 -90 0 0 {name=M2
+L=0.15
+W=0.84
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {iopin.sym} 250 180 1 0 {name=p1 lab=vss}
+C {ipin.sym} 100 0 0 0 {name=p2 lab=in}
+C {opin.sym} 340 0 0 0 {name=p3 lab=out}
+C {sky130_fd_pr/nfet_01v8.sym} 230 90 0 0 {name=M1
+L=0.15
+W=0.42
+nf=1 
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {lab_pin.sym} 350 90 2 0 {name=l1 sig_type=std_logic lab=vss}
+C {lab_pin.sym} 350 -90 2 0 {name=l2 sig_type=std_logic lab=vdd}
+C {iopin.sym} 250 -180 3 0 {name=p4 lab=vdd}
diff --git a/xschem/afernandez_residue_amplifier/inverter_min_x4.sym b/xschem/afernandez_residue_amplifier/inverter_min_x4.sym
new file mode 100644
index 0000000..cdda9c9
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/inverter_min_x4.sym
@@ -0,0 +1,27 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 50 0 70 0 {}
+L 4 -60 0 -40 0 {}
+L 4 -40 40 40 0 {}
+L 4 -40 -40 40 0 {}
+L 4 -40 -40 -40 40 {}
+L 7 -20 -50 -20 -30 {}
+L 7 -20 30 -20 50 {}
+B 5 -22.5 -52.5 -17.5 -47.5 {name=vdd dir=inout }
+B 5 67.5 -2.5 72.5 2.5 {name=out dir=out }
+B 5 -62.5 -2.5 -57.5 2.5 {name=in dir=in }
+B 5 -22.5 47.5 -17.5 52.5 {name=vss dir=inout }
+A 4 45 -0.5 5.024937810560445 354.2894068625004 360 {}
+T {@symname} -16 30 0 0 0.3 0.3 {}
+T {@name} -23 -6 0 0 0.2 0.2 {}
+T {vdd} -34 -55 3 1 0.2 0.2 {}
+T {out} 67 -13 0 1 0.2 0.2 {}
+T {in} -56 -14 0 0 0.2 0.2 {}
+T {vss} -22 54 1 1 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/iref_ctrl_res_amp.sch b/xschem/afernandez_residue_amplifier/iref_ctrl_res_amp.sch
new file mode 100644
index 0000000..dd5cd50
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/iref_ctrl_res_amp.sch
@@ -0,0 +1,283 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 960 -1040 960 -980 { lab=iref}
+N 960 -920 960 -870 { lab=#net1}
+N 950 -950 960 -950 { lab=avss1p8}
+N 950 -950 950 -870 { lab=avss1p8}
+N 950 -770 960 -770 { lab=avss1p8}
+N 1110 -920 1110 -870 { lab=#net2}
+N 1110 -950 1120 -950 { lab=avss1p8}
+N 1120 -950 1120 -870 { lab=avss1p8}
+N 1110 -770 1120 -770 { lab=avss1p8}
+N 960 -1000 1000 -1000 { lab=iref}
+N 1000 -950 1070 -950 { lab=iref}
+N 960 -770 1110 -770 { lab=avss1p8}
+N 1110 -1080 1110 -980 { lab=vctrl}
+N 1100 -1110 1110 -1110 { lab=avdd1p8}
+N 1100 -1260 1110 -1260 { lab=avdd1p8}
+N 1150 -1110 1220 -1110 { lab=vctrl}
+N 1180 -1110 1180 -1050 { lab=vctrl}
+N 1110 -1050 1180 -1050 { lab=vctrl}
+N 1110 -1160 1110 -1140 { lab=#net3}
+N 1110 -1260 1110 -1220 { lab=avdd1p8}
+N 1100 -1260 1100 -1110 { lab=avdd1p8}
+N 1100 -1190 1110 -1190 { lab=avdd1p8}
+N 1150 -1190 1200 -1190 { lab=avss1p8}
+N 1020 -1000 1020 -950 { lab=iref}
+N 1000 -1000 1020 -1000 { lab=iref}
+N 1220 -920 1220 -870 { lab=#net4}
+N 1220 -950 1230 -950 { lab=avss1p8}
+N 1230 -950 1230 -870 { lab=avss1p8}
+N 1340 -920 1340 -870 { lab=#net5}
+N 1340 -950 1350 -950 { lab=avss1p8}
+N 1350 -950 1350 -870 { lab=avss1p8}
+N 1340 -870 1340 -860 { lab=#net5}
+N 1220 -870 1220 -860 { lab=#net4}
+N 1120 -770 1220 -770 { lab=avss1p8}
+N 1220 -800 1220 -770 { lab=avss1p8}
+N 1230 -870 1230 -830 { lab=avss1p8}
+N 1220 -830 1230 -830 { lab=avss1p8}
+N 1230 -830 1230 -770 { lab=avss1p8}
+N 1350 -870 1350 -830 { lab=avss1p8}
+N 1340 -830 1350 -830 { lab=avss1p8}
+N 1220 -770 1350 -770 { lab=avss1p8}
+N 1350 -830 1350 -770 { lab=avss1p8}
+N 1340 -800 1340 -770 { lab=avss1p8}
+N 1140 -950 1180 -950 { lab=iref}
+N 1260 -950 1300 -950 { lab=iref}
+N 1160 -830 1180 -830 { lab=reg0}
+N 1280 -830 1300 -830 { lab=reg1}
+N 1220 -1000 1220 -980 { lab=vctrl}
+N 1110 -1000 1220 -1000 { lab=vctrl}
+N 1220 -1000 1340 -1000 { lab=vctrl}
+N 1340 -1000 1340 -980 { lab=vctrl}
+N 1120 -870 1120 -830 { lab=avss1p8}
+N 1110 -830 1120 -830 { lab=avss1p8}
+N 1110 -800 1110 -770 { lab=avss1p8}
+N 1120 -830 1120 -770 { lab=avss1p8}
+N 1110 -870 1110 -860 { lab=#net2}
+N 960 -870 960 -860 { lab=#net1}
+N 950 -870 950 -830 { lab=avss1p8}
+N 950 -830 960 -830 { lab=avss1p8}
+N 960 -800 960 -770 { lab=avss1p8}
+N 950 -830 950 -770 { lab=avss1p8}
+N 1000 -830 1070 -830 { lab=avdd1p8}
+N 1460 -920 1460 -870 { lab=#net6}
+N 1460 -950 1470 -950 { lab=avss1p8}
+N 1470 -950 1470 -870 { lab=avss1p8}
+N 1460 -870 1460 -860 { lab=#net6}
+N 1470 -870 1470 -830 { lab=avss1p8}
+N 1460 -830 1470 -830 { lab=avss1p8}
+N 1340 -770 1470 -770 { lab=avss1p8}
+N 1470 -830 1470 -770 { lab=avss1p8}
+N 1460 -800 1460 -770 { lab=avss1p8}
+N 1380 -950 1420 -950 { lab=iref}
+N 1400 -830 1420 -830 { lab=reg2}
+N 1340 -1000 1460 -1000 { lab=vctrl}
+N 1460 -1000 1460 -980 { lab=vctrl}
+N 1220 -1110 1260 -1110 { lab=vctrl}
+N 960 -1090 960 -1040 { lab=iref}
+N 1180 -770 1180 -690 { lab=avss1p8}
+N 1110 -1290 1110 -1260 { lab=avdd1p8}
+C {iopin.sym} 1110 -1290 0 0 {name=p15 lab=avdd1p8}
+C {iopin.sym} 1180 -690 0 0 {name=p16 lab=avss1p8}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 980 -950 0 1 {name=M7
+L=0.15
+W=1
+nf=1
+mult=6
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1090 -950 0 0 {name=M8
+L=0.15
+W=1
+nf=1
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 1130 -1110 0 1 {name=M9
+L=0.15
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {ngspice_probe.sym} 1110 -900 2 0 {name=r6}
+C {sky130_fd_pr/pfet_01v8.sym} 1130 -1190 0 1 {name=M10
+L=0.15
+W=1
+nf=1
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {lab_wire.sym} 1180 -1190 2 0 {name=l69 sig_type=std_logic lab=avss1p8}
+C {ngspice_probe.sym} 1110 -1150 0 0 {name=r25}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1200 -950 0 0 {name=M1
+L=0.15
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1320 -950 0 0 {name=M2
+L=0.15
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1200 -830 0 0 {name=M3
+L=0.15
+W=1
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1320 -830 0 0 {name=M4
+L=0.15
+W=1
+nf=1
+mult=4
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_wire.sym} 1140 -950 2 0 {name=l30 sig_type=std_logic lab=iref}
+C {lab_wire.sym} 1260 -950 2 0 {name=l36 sig_type=std_logic lab=iref}
+C {ipin.sym} 1160 -830 3 0 {name=p6 lab=reg0}
+C {ipin.sym} 1280 -830 3 0 {name=p7 lab=reg1}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1090 -830 0 0 {name=M5
+L=0.15
+W=1
+nf=1
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 980 -830 0 1 {name=M6
+L=0.15
+W=1
+nf=1
+mult=6
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_wire.sym} 1010 -830 2 0 {name=l37 sig_type=std_logic lab=avdd1p8
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1440 -950 0 0 {name=M11
+L=0.15
+W=1
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1440 -830 0 0 {name=M12
+L=0.15
+W=1
+nf=1
+mult=8
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_wire.sym} 1380 -950 2 0 {name=l38 sig_type=std_logic lab=iref}
+C {ipin.sym} 1400 -830 3 0 {name=p8 lab=reg2}
+C {opin.sym} 1260 -1110 0 0 {name=p9 lab=vctrl}
+C {ipin.sym} 960 -1090 1 0 {name=p10 lab=iref}
+C {ngspice_get_value.sym} 1350 -1190 0 0 {name=r19 node="v(@M.XM9.msky130_fd_pr__pfet_01v8[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 1350 -1160 0 0 {name=r20 node="v(@M.XM9.msky130_fd_pr__pfet_01v8[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 1280 -1190 0 0 {name=r21 node="i(@M.XM9.msky130_fd_pr__pfet_01v8[id])"
+descr="id="}
+C {ngspice_get_value.sym} 1280 -1130 0 0 {name=r22 node="v(@M.XM9.msky130_fd_pr__pfet_01v8[vth])"
+descr="vth="}
+C {ngspice_get_value.sym} 1280 -1160 0 0 {name=r23 node="@M.XM9.msky130_fd_pr__pfet_01v8[gm]"
+descr="gm="}
+C {ngspice_get_value.sym} 1350 -1130 0 0 {name=r24 node="@M.XM9.msky130_fd_pr__pfet_01v8[gds]"
+descr="gds="}
+C {ngspice_probe.sym} 1220 -900 2 0 {name=r1}
+C {ngspice_probe.sym} 1340 -900 2 0 {name=r2}
+C {ngspice_probe.sym} 1460 -900 2 0 {name=r3}
diff --git a/xschem/afernandez_residue_amplifier/iref_ctrl_res_amp.sym b/xschem/afernandez_residue_amplifier/iref_ctrl_res_amp.sym
new file mode 100644
index 0000000..e1042bb
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/iref_ctrl_res_amp.sym
@@ -0,0 +1,56 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -90 -200 -70 -200 {}
+L 4 -70 -210 -70 -130 {}
+L 4 30 -170 50 -170 {}
+L 4 -20 -130 -20 -110 {}
+L 4 -10 -130 -10 -110 {}
+L 4 0 -130 0 -110 {}
+L 4 -70 -130 30 -130 {}
+L 4 30 -210 30 -130 {}
+L 4 -70 -210 30 -210 {}
+L 4 -70 -200 -50 -200 {}
+L 4 -50 -200 -50 -150 {}
+L 4 -60 -170 -50 -160 {}
+L 4 -60 -170 -40 -170 {}
+L 4 -50 -160 -40 -170 {}
+L 4 -50 -150 -50 -130 {}
+L 4 10 -170 30 -170 {}
+L 4 -50 -180 -40 -180 {}
+L 4 -40 -180 -40 -160 {}
+L 4 -60 -160 -40 -160 {}
+L 4 -60 -180 -60 -160 {}
+L 4 -60 -180 -50 -180 {}
+L 4 -20 -150 -20 -140 {}
+L 4 -10 -150 -10 -140 {}
+L 4 -0 -150 -0 -140 {}
+L 4 -20 -210 -20 -200 {}
+L 4 -20 -200 0 -200 {}
+L 4 0 -200 0 -180 {}
+L 4 -40 -170 -20 -170 {}
+L 7 -20 -230 -20 -210 {}
+L 7 -50 -130 -50 -110 {}
+B 5 -22.5 -232.5 -17.5 -227.5 {name=avdd1p8 dir=inout }
+B 5 -92.5 -202.5 -87.5 -197.5 {name=iref dir=in }
+B 5 -52.5 -112.5 -47.5 -107.5 {name=avss1p8 dir=inout }
+B 5 47.5 -172.5 52.5 -167.5 {name=vctrl dir=out}
+B 5 -22.5 -112.5 -17.5 -107.5 {name=reg0 dir=in }
+B 5 -12.5 -112.5 -7.5 -107.5 {name=reg1 dir=in }
+B 5 -2.5 -112.5 2.5 -107.5 {name=reg2 dir=in }
+A 4 -5 -165 15.8113883008419 198.434948822922 360 {}
+T {@symname} 30 -230 0 0 0.3 0.3 {}
+T {@name} -20 -200 0 0 0.2 0.2 {}
+T {avdd1p8} 30 -240 0 1 0.2 0.2 {}
+T {iref} -110 -210 0 0 0.2 0.2 {}
+T {avss1p8} -50 -110 0 1 0.2 0.2 {}
+T {vctrl} 60 -180 0 0 0.2 0.2 {}
+T {reg0} -30 -80 3 0 0.2 0.2 {}
+T {reg1} -20 -80 3 0 0.2 0.2 {}
+T {reg2} -10 -80 3 0 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/latch_diff.sch b/xschem/afernandez_residue_amplifier/latch_diff.sch
new file mode 100644
index 0000000..3458830
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/latch_diff.sch
@@ -0,0 +1,120 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 60 80 60 100 { lab=#net1}
+N 60 100 180 100 { lab=#net1}
+N 180 100 180 120 { lab=#net1}
+N 180 100 300 100 { lab=#net1}
+N 300 80 300 100 { lab=#net1}
+N 60 -40 60 20 { lab=nQ}
+N 60 -140 60 -100 { lab=vdd}
+N 60 -140 300 -140 { lab=vdd}
+N 300 -140 300 -100 { lab=vdd}
+N 300 -40 300 20 { lab=Q}
+N 60 50 300 50 { lab=vss}
+N 60 -10 130 -10 { lab=nQ}
+N 130 -10 220 -70 { lab=nQ}
+N 220 -70 260 -70 { lab=nQ}
+N 100 -70 130 -70 { lab=Q}
+N 130 -70 230 -10 { lab=Q}
+N 230 -10 300 -10 { lab=Q}
+N -10 -70 60 -70 { lab=vdd}
+N -10 -140 -10 -70 { lab=vdd}
+N -10 -140 60 -140 { lab=vdd}
+N 300 -70 370 -70 { lab=vdd}
+N 370 -140 370 -70 { lab=vdd}
+N 300 -140 370 -140 { lab=vdd}
+N -10 -10 60 -10 { lab=nQ}
+N 300 -10 370 -10 { lab=Q}
+N -10 50 20 50 { lab=D}
+N 340 50 370 50 { lab=nD}
+N -10 150 140 150 { lab=CLK}
+N 180 180 180 210 { lab=vss}
+N 180 150 220 150 { lab=vss}
+N -30 210 180 210 { lab=vss}
+N -30 -140 -10 -140 { lab=vdd}
+N -30 -10 -10 -10 { lab=nQ}
+N -30 50 -10 50 { lab=D}
+N -30 150 -10 150 { lab=CLK}
+C {sky130_fd_pr/nfet_01v8.sym} 160 150 0 0 {name=M3
+L=0.15
+W=1.25
+nf=1 
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {iopin.sym} -30 -140 2 0 {name=p1 lab=vdd}
+C {iopin.sym} -30 210 2 0 {name=p2 lab=vss}
+C {ipin.sym} -30 50 0 0 {name=p4 lab=D}
+C {opin.sym} -30 -10 2 0 {name=p5 lab=nQ}
+C {ipin.sym} -30 150 0 0 {name=p3 lab=CLK}
+C {lab_pin.sym} 220 150 2 0 {name=l6 lab=vss}
+C {ipin.sym} 370 50 2 0 {name=p6 lab=nD}
+C {opin.sym} 370 -10 0 0 {name=p7 lab=Q}
+C {lab_wire.sym} 160 50 0 1 {name=l1 lab=vss}
+C {sky130_fd_pr/pfet_01v8.sym} 80 -70 0 1 {name=M4
+L=0.15
+W=0.95
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 280 -70 0 0 {name=M5
+L=0.15
+W=0.95
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 40 50 0 0 {name=M1
+L=0.15
+W=0.95
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 320 50 0 1 {name=M2
+L=0.15
+W=0.95
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
diff --git a/xschem/afernandez_residue_amplifier/latch_diff.sym b/xschem/afernandez_residue_amplifier/latch_diff.sym
new file mode 100644
index 0000000..34bb3fc
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/latch_diff.sym
@@ -0,0 +1,36 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 50 40 70 40 {}
+L 4 50 -40 70 -40 {}
+L 4 -70 -40 -50 -40 {}
+L 4 -70 40 -50 40 {}
+L 4 0 60 0 80 {}
+L 4 -50 -60 -50 60 {}
+L 4 50 -60 50 60 {}
+L 4 -50 60 50 60 {}
+L 4 -50 -60 50 -60 {}
+L 7 0 -80 0 -60 {}
+L 7 20 60 20 80 {}
+B 5 -2.5 -82.5 2.5 -77.5 {name=vdd dir=inout }
+B 5 67.5 37.5 72.5 42.5 {name=nQ dir=out }
+B 5 67.5 -42.5 72.5 -37.5 {name=Q dir=out }
+B 5 -72.5 -42.5 -67.5 -37.5 {name=D dir=in }
+B 5 -72.5 37.5 -67.5 42.5 {name=nD dir=in }
+B 5 -2.5 77.5 2.5 82.5 {name=CLK dir=in }
+B 5 17.5 77.5 22.5 82.5 {name=vss dir=inout }
+T {@symname} 7 -76 0 0 0.3 0.3 {}
+T {@name} -15 -2 0 0 0.2 0.2 {}
+T {vdd} -14 -85 3 1 0.2 0.2 {}
+T {nQ} 45 36 0 1 0.2 0.2 {}
+T {Q} 45 -44 0 1 0.2 0.2 {}
+T {D} -45 -44 0 0 0.2 0.2 {}
+T {nD} -45 36 0 0 0.2 0.2 {}
+T {CLK} -14 45 0 0 0.2 0.2 {}
+T {vss} 34 85 1 1 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/mux_2to1_logic.sch b/xschem/afernandez_residue_amplifier/mux_2to1_logic.sch
new file mode 100644
index 0000000..62e648c
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/mux_2to1_logic.sch
@@ -0,0 +1,112 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 20 -170 60 -170 { lab=DinB}
+N 20 -270 20 -170 { lab=DinB}
+N 20 -270 60 -270 { lab=DinB}
+N -80 -220 20 -220 { lab=DinB}
+N 120 -170 160 -170 { lab=out}
+N 160 -270 160 -170 { lab=out}
+N 120 -270 160 -270 { lab=out}
+N 160 -220 240 -220 { lab=out}
+N 20 90 60 90 { lab=DinA}
+N 20 -10 20 90 { lab=DinA}
+N 20 -10 60 -10 { lab=DinA}
+N -80 40 20 40 { lab=DinA}
+N 120 90 160 90 { lab=out}
+N 160 -10 160 90 { lab=out}
+N 120 -10 160 -10 { lab=out}
+N 160 40 240 40 { lab=out}
+N 240 -220 240 40 { lab=out}
+N 240 -100 360 -100 { lab=out}
+N 90 -270 90 -250 { lab=avdd1p8}
+N 90 -250 140 -250 { lab=avdd1p8}
+N 90 -190 90 -170 { lab=avss1p8}
+N 40 -190 90 -190 { lab=avss1p8}
+N 90 -10 90 10 { lab=avdd1p8}
+N 90 10 140 10 { lab=avdd1p8}
+N 90 70 90 90 { lab=avss1p8}
+N 40 70 90 70 { lab=avss1p8}
+N 90 -365 90 -310 { lab=sel_b}
+N 90 -125 90 -50 { lab=sel}
+N 90 135 90 190 { lab=sel_b}
+N 90 -130 90 -125 { lab=sel}
+N 90 130 90 135 { lab=sel_b}
+N -145 -490 -90 -490 { lab=sel}
+N 40 -490 105 -490 { lab=sel_b}
+N -50 -575 -50 -540 { lab=avdd1p8}
+N -50 -440 -50 -405 { lab=avss1p8}
+C {lab_wire.sym} 90 -335 0 0 {name=l1 sig_type=std_logic lab=sel_b}
+C {lab_wire.sym} 90 175 0 0 {name=l2 sig_type=std_logic lab=sel_b}
+C {lab_wire.sym} 90 -85 0 0 {name=l3 sig_type=std_logic lab=sel}
+C {lab_wire.sym} 100 -250 2 0 {name=l6 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 95 10 2 0 {name=l7 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 90 -190 0 0 {name=l8 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 90 70 0 0 {name=l9 sig_type=std_logic lab=avss1p8}
+C {ipin.sym} -80 -220 0 0 {name=p3 lab=DinB}
+C {ipin.sym} -80 40 0 0 {name=p4 lab=DinA}
+C {iopin.sym} -50 -575 2 0 {name=p1 lab=avdd1p8}
+C {iopin.sym} -50 -405 2 0 {name=p2 lab=avss1p8}
+C {ipin.sym} -145 -490 0 0 {name=p6 lab=sel}
+C {lab_wire.sym} 90 -490 0 0 {name=l4 sig_type=std_logic lab=sel_b}
+C {opin.sym} 360 -100 0 0 {name=p5 lab=out}
+C {sky130_fd_pr/pfet_01v8.sym} 90 -290 3 1 {name=M5
+L=0.15
+W=2.22
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 90 -150 3 0 {name=M6
+L=0.15
+W=1.11
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 90 -30 3 1 {name=M2
+L=0.15
+W=2.22
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} 90 110 3 0 {name=M7
+L=0.15
+W=1.11
+nf=1 
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {inverter_min.sym} -30 -490 0 0 {name=x1}
diff --git a/xschem/afernandez_residue_amplifier/mux_2to1_logic.sym b/xschem/afernandez_residue_amplifier/mux_2to1_logic.sym
new file mode 100644
index 0000000..f623d3f
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/mux_2to1_logic.sym
@@ -0,0 +1,35 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 240 -220 240 -160 {}
+L 4 250 -160 250 -140 {}
+L 4 220 -200 240 -200 {}
+L 4 270 -190 290 -190 {}
+L 4 220 -180 240 -180 {}
+L 4 270 -210 270 -170 {}
+L 4 240 -220 270 -210 {}
+L 4 240 -160 270 -170 {}
+L 7 260 -240 260 -220 {}
+L 7 250 -240 250 -220 {}
+B 5 257.5 -242.5 262.5 -237.5 {name=avdd1p8 dir=inout }
+B 5 247.5 -142.5 252.5 -137.5 {name=sel dir=in }
+B 5 247.5 -242.5 252.5 -237.5 {name=avss1p8 dir=inout }
+B 5 217.5 -202.5 222.5 -197.5 {name=DinB dir=in }
+B 5 287.5 -192.5 292.5 -187.5 {name=out dir=out }
+B 5 217.5 -182.5 222.5 -177.5 {name=DinA dir=in }
+T {@symname} 279 -216 0 0 0.3 0.3 {}
+T {@name} 265 -162 0 0 0.2 0.2 {}
+T {avdd1p8} 284 -225 1 1 0.2 0.2 {}
+T {sel} 244 -145 1 0 0.2 0.2 {}
+T {avss1p8} 244 -225 1 1 0.2 0.2 {}
+T {DinB} 195 -214 0 0 0.2 0.2 {}
+T {out} 305 -184 0 1 0.2 0.2 {}
+T {DinA} 195 -174 0 0 0.2 0.2 {}
+T {0} 250 -180 0 0 0.2 0.2 {}
+T {1} 250 -210 0 0 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/nand_logic.sch b/xschem/afernandez_residue_amplifier/nand_logic.sch
new file mode 100644
index 0000000..2ff4039
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/nand_logic.sch
@@ -0,0 +1,97 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 0 -210 0 -190 { lab=avdd1p8}
+N 0 -210 150 -210 { lab=avdd1p8}
+N 150 -210 150 -190 { lab=avdd1p8}
+N 0 -130 0 -40 { lab=out}
+N 0 -80 150 -80 { lab=out}
+N 0 20 0 80 { lab=n1}
+N 0 140 0 170 { lab=avss1p8}
+N 60 -240 60 -210 { lab=avdd1p8}
+N -100 -160 -40 -160 { lab=in1}
+N -100 -160 -100 -110 { lab=in1}
+N -170 -110 -100 -110 { lab=in1}
+N -100 -110 -100 110 { lab=in1}
+N -100 110 -40 110 { lab=in1}
+N 80 -160 110 -160 { lab=in2}
+N 80 -160 80 -110 { lab=in2}
+N -70 -110 80 -110 { lab=in2}
+N -70 -110 -70 -10 { lab=in2}
+N -70 -10 -40 -10 { lab=in2}
+N -170 -70 -70 -70 { lab=in2}
+N 0 -10 30 -10 { lab=avss1p8}
+N 0 110 30 110 { lab=avss1p8}
+N 150 -160 180 -160 { lab=avdd1p8}
+N 0 -160 30 -160 { lab=avdd1p8}
+N 150 -80 350 -80 { lab=out}
+N 150 -130 150 -80 { lab=out}
+C {ipin.sym} -170 -110 0 0 {name=p1 lab=in1}
+C {ipin.sym} -170 -70 0 0 {name=p2 lab=in2}
+C {opin.sym} 350 -80 0 0 {name=p3 lab=out}
+C {iopin.sym} 60 -240 0 0 {name=p4 lab=avdd1p8}
+C {iopin.sym} 0 170 0 0 {name=p5 lab=avss1p8}
+C {lab_wire.sym} 20 -160 2 0 {name=l3 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 170 -160 2 0 {name=l4 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 20 110 2 0 {name=l7 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 20 -10 2 0 {name=l8 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 0 50 0 0 {name=l9 sig_type=std_logic lab=n1}
+C {sky130_fd_pr/pfet_01v8.sym} 130 -160 0 0 {name=M4
+L=0.15
+W=1.02
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} -20 110 0 0 {name=M5
+L=0.15
+W=1.02
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8.sym} -20 -10 0 0 {name=M1
+L=0.15
+W=1.02
+nf=1 
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} -20 -160 0 0 {name=M2
+L=0.15
+W=1.02
+nf=1
+mult=2
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
diff --git a/xschem/afernandez_residue_amplifier/nand_logic.sym b/xschem/afernandez_residue_amplifier/nand_logic.sym
new file mode 100644
index 0000000..0ddadda
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/nand_logic.sym
@@ -0,0 +1,32 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -50 -120 -30 -120 {}
+L 4 -30 -130 -30 -70 {}
+L 4 -30 -130 0 -130 {}
+L 4 -30 -70 0 -70 {}
+L 4 -50 -80 -30 -80 {}
+L 4 50 -100 60 -100 {}
+L 4 40 -100 50 -100 {}
+L 7 -10 -150 -10 -130 {}
+L 7 -10 -70 -10 -50 {}
+B 5 -12.5 -152.5 -7.5 -147.5 {name=avdd1p8 dir=inout }
+B 5 -52.5 -122.5 -47.5 -117.5 {name=in1 dir=in }
+B 5 57.5 -102.5 62.5 -97.5 {name=out dir=out }
+B 5 -52.5 -82.5 -47.5 -77.5 {name=in2 dir=in }
+B 5 -12.5 -52.5 -7.5 -47.5 {name=avss1p8 dir=inout }
+A 4 40 -100 5 180 360 {}
+A 4 0 -100 30 270 180 {}
+T {@symname} 19 -156 0 0 0.3 0.3 {}
+T {@name} 25 -132 0 0 0.2 0.2 {}
+T {avdd1p8} -15 -154 0 1 0.2 0.2 {}
+T {in1} -75 -124 0 0 0.2 0.2 {}
+T {out} 75 -94 0 1 0.2 0.2 {}
+T {in2} -75 -84 0 0 0.2 0.2 {}
+T {avss1p8} -15 -54 0 1 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/res_amp_lin.sch b/xschem/afernandez_residue_amplifier/res_amp_lin.sch
new file mode 100644
index 0000000..70a3d08
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/res_amp_lin.sch
@@ -0,0 +1,195 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 790 -60 790 -30 { lab=avss1p8}
+N 1110 -60 1110 -30 { lab=avss1p8}
+N 1110 -260 1110 -190 { lab=outp}
+N 790 -260 790 -190 { lab=outn}
+N 790 -350 790 -320 { lab=vp}
+N 1110 -350 1110 -320 { lab=vp}
+N 950 -400 950 -350 { lab=vp}
+N 950 -510 950 -460 { lab=int}
+N 950 -620 950 -570 { lab=avdd1p8}
+N 950 -30 950 20 { lab=avss1p8}
+N 1110 -220 1220 -220 { lab=outp}
+N 680 -220 790 -220 { lab=outn}
+N 1150 -290 1210 -290 { lab=inn}
+N 690 -290 750 -290 { lab=inp}
+N 870 -540 910 -540 { lab=clk}
+N 800 -540 870 -540 { lab=clk}
+N 1100 -290 1110 -290 { lab=avdd1p8}
+N 1100 -290 1100 -240 { lab=avdd1p8}
+N 790 -290 800 -290 { lab=avdd1p8}
+N 800 -290 800 -240 { lab=avdd1p8}
+N 790 -350 1110 -350 { lab=vp}
+N 790 -30 1110 -30 { lab=avss1p8}
+N 950 -430 1000 -430 { lab=avdd1p8}
+N 950 -540 1000 -540 { lab=avdd1p8}
+N 830 -100 1070 -100 { lab=clk}
+N 790 -190 790 -130 { lab=outn}
+N 790 -70 790 -60 { lab=avss1p8}
+N 1110 -70 1110 -60 { lab=avss1p8}
+N 1110 -190 1110 -130 { lab=outp}
+N 1110 -100 1120 -100 { lab=avss1p8}
+N 1120 -100 1120 -30 { lab=avss1p8}
+N 1110 -30 1120 -30 { lab=avss1p8}
+N 780 -100 790 -100 { lab=avss1p8}
+N 780 -100 780 -30 { lab=avss1p8}
+N 780 -30 790 -30 { lab=avss1p8}
+N 800 -430 910 -430 { lab=vctrl}
+C {iopin.sym} 950 -620 0 0 {name=p5 lab=avdd1p8}
+C {iopin.sym} 950 20 0 0 {name=p6 lab=avss1p8}
+C {ngspice_get_value.sym} 710 -360 0 0 {name=r1 node="v(@M.X3.X1.XM1.msky130_fd_pr__pfet_01v8_lvt[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 710 -330 0 0 {name=r2 node="v(@M.X3.X1.XM1.msky130_fd_pr__pfet_01v8_lvt[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 640 -360 0 0 {name=r11 node="i(@M.X3.X1.XM1.msky130_fd_pr__pfet_01v8_lvt[id])"
+descr="id="}
+C {opin.sym} 1220 -220 0 0 {name=p9 lab=outp}
+C {opin.sym} 680 -220 2 0 {name=p10 lab=outn}
+C {ipin.sym} 1210 -290 2 0 {name=p11 lab=inn}
+C {ipin.sym} 690 -290 0 0 {name=p12 lab=inp}
+C {ipin.sym} 800 -540 0 0 {name=p13 lab=clk}
+C {lab_wire.sym} 1100 -280 3 0 {name=l12 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 800 -280 1 1 {name=l13 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 990 -430 2 0 {name=l14 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 990 -540 2 0 {name=l15 sig_type=std_logic lab=avdd1p8}
+C {ngspice_probe.sym} 1010 -350 0 0 {name=r19}
+C {lab_wire.sym} 930 -350 0 0 {name=l16 sig_type=std_logic lab=vp}
+C {ngspice_probe.sym} 950 -480 0 0 {name=r20}
+C {ngspice_get_value.sym} 1070 -430 0 0 {name=r26 node="v(@M.X3.X1.XM3.msky130_fd_pr__pfet_01v8_lvt[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 1070 -400 0 0 {name=r27 node="v(@M.X3.X1.XM3.msky130_fd_pr__pfet_01v8_lvt[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 1140 -430 0 0 {name=r28 node="v(@M.X3.X1.XM3.msky130_fd_pr__pfet_01v8_lvt[vdsat])"
+descr="vdsat="}
+C {ngspice_get_value.sym} 1140 -400 0 0 {name=r29 node="i(@M.X3.X1.XM3.msky130_fd_pr__pfet_01v8_lvt[id])"
+descr="id="}
+C {ngspice_get_value.sym} 640 -300 0 0 {name=r3 node="v(@M.X3.X1.XM1.msky130_fd_pr__pfet_01v8_lvt[vth])"
+descr="vth="}
+C {lab_wire.sym} 950 -100 2 0 {name=l1 sig_type=std_logic lab=clk}
+C {sky130_fd_pr/pfet_01v8.sym} 930 -540 0 0 {name=M6
+L=0.15
+W=1
+nf=1
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 810 -100 0 1 {name=M8
+L=0.15
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 1090 -100 0 0 {name=M9
+L=0.15
+W=0.5
+nf=1
+mult=1
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {ngspice_get_value.sym} 1190 -110 0 0 {name=r18 node="v(@M.X3.X1.XM9.msky130_fd_pr__nfet_01v8_lvt[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 1190 -80 0 0 {name=r30 node="v(@M.X3.X1.XM9.msky130_fd_pr__nfet_01v8_lvt[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 1240 -110 0 0 {name=r31 node="v(@M.X3.X1.XM9.msky130_fd_pr__nfet_01v8_lvt[vdsat])"
+descr="vdsat="}
+C {ngspice_get_value.sym} 1240 -80 0 0 {name=r32 node="i(@M.X3.X1.XM9.msky130_fd_pr__nfet_01v8_lvt[id])"
+descr="id="}
+C {ngspice_get_value.sym} 640 -330 0 0 {name=r33 node="@M.X3.X1.XM1.msky130_fd_pr__pfet_01v8_lvt[gm]"
+descr="gm="}
+C {ngspice_get_value.sym} 1190 -40 0 0 {name=r34 node="@M.X3.X1.XM9.msky130_fd_pr__nfet_01v8_lvt[gds]"
+descr="gds="}
+C {ngspice_get_value.sym} 710 -300 0 0 {name=r35 node="@M.X3.X1.XM1.msky130_fd_pr__pfet_01v8_lvt[gds]"
+descr="gds="}
+C {ipin.sym} 800 -430 0 0 {name=p1 lab=vctrl}
+C {ngspice_get_value.sym} 1220 -360 0 0 {name=r4 node="v(@M.X3.X1.XM2.msky130_fd_pr__pfet_01v8_lvt[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 1220 -330 0 0 {name=r5 node="v(@M.X3.X1.XM2.msky130_fd_pr__pfet_01v8_lvt[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 1150 -360 0 0 {name=r12 node="i(@M.X3.X1.XM2.msky130_fd_pr__pfet_01v8_lvt[id])"
+descr="id="}
+C {ngspice_get_value.sym} 1150 -300 0 0 {name=r36 node="v(@M.X3.X1.XM2.msky130_fd_pr__pfet_01v8_lvt[vth])"
+descr="vth="}
+C {ngspice_get_value.sym} 1150 -330 0 0 {name=r37 node="@M.X3.X1.XM2.msky130_fd_pr__pfet_01v8_lvt[gm]"
+descr="gm="}
+C {ngspice_get_value.sym} 1220 -300 0 0 {name=r38 node="@M.X3.X1.XM2.msky130_fd_pr__pfet_01v8_lvt[gds]"
+descr="gds="}
+C {ngspice_get_value.sym} 640 -110 0 0 {name=r39 node="v(@M.X3.X1.XM8.msky130_fd_pr__nfet_01v8_lvt[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 640 -80 0 0 {name=r40 node="v(@M.X3.X1.XM8.msky130_fd_pr__nfet_01v8_lvt[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 690 -110 0 0 {name=r41 node="v(@M.X3.X1.XM8.msky130_fd_pr__nfet_01v8_lvt[vdsat])"
+descr="vdsat="}
+C {ngspice_get_value.sym} 690 -80 0 0 {name=r42 node="i(@M.X3.X1.XM8.msky130_fd_pr__nfet_01v8_lvt[id])"
+descr="id="}
+C {ngspice_get_value.sym} 640 -40 0 0 {name=r43 node="@M.X3.X1.XM8.msky130_fd_pr__nfet_01v8_lvt[gds]"
+descr="gds="}
+C {sky130_fd_pr/pfet_01v8.sym} 930 -430 0 0 {name=M3
+L=0.15
+W=1
+nf=1
+mult=5
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 770 -290 0 0 {name=M1
+L=0.15
+W=1
+nf=1
+mult=20
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 1130 -290 0 1 {name=M2
+L=0.15
+W=1
+nf=1
+mult=20
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {lab_wire.sym} 950 -480 0 0 {name=l2 sig_type=std_logic lab=int}
diff --git a/xschem/afernandez_residue_amplifier/res_amp_lin.sym b/xschem/afernandez_residue_amplifier/res_amp_lin.sym
new file mode 100644
index 0000000..a5a190d
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/res_amp_lin.sym
@@ -0,0 +1,38 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -10 -180 10 -180 {}
+L 4 -10 -160 10 -160 {}
+L 4 -90 -160 -70 -160 {}
+L 4 -90 -180 -70 -180 {}
+L 4 -90 -140 -70 -140 {}
+L 4 -70 -210 -70 -130 {}
+L 4 -70 -130 10 -170 {}
+L 4 -70 -210 10 -170 {}
+L 4 -90 -200 -70 -200 {}
+L 7 -40 -220 -40 -200 {}
+L 7 -40 -140 -40 -120 {}
+B 5 -42.5 -222.5 -37.5 -217.5 {name=avdd1p8 dir=inout }
+B 5 -92.5 -142.5 -87.5 -137.5 {name=clk dir=in }
+B 5 -92.5 -182.5 -87.5 -177.5 {name=inp dir=in }
+B 5 -92.5 -162.5 -87.5 -157.5 {name=inn dir=in }
+B 5 7.5 -162.5 12.5 -157.5 {name=outp dir=out }
+B 5 7.5 -182.5 12.5 -177.5 {name=outn dir=out }
+B 5 -42.5 -122.5 -37.5 -117.5 {name=avss1p8 dir=inout }
+B 5 -92.5 -202.5 -87.5 -197.5 {name=vctrl dir=in }
+T {@symname} -19 -216 0 0 0.3 0.3 {}
+T {@name} -65 -172 0 0 0.2 0.2 {}
+T {avdd1p8} -5 -244 0 1 0.2 0.2 {}
+T {clk} -115 -144 0 0 0.2 0.2 {}
+T {inp} -115 -184 0 0 0.2 0.2 {}
+T {inn} -115 -164 0 0 0.2 0.2 {}
+T {outp} 45 -164 0 1 0.2 0.2 {}
+T {outn} 45 -184 0 1 0.2 0.2 {}
+T {avss1p8} -5 -114 0 1 0.2 0.2 {}
+T {vctrl} -115 -204 0 0 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/res_amp_lin_prog.sch b/xschem/afernandez_residue_amplifier/res_amp_lin_prog.sch
new file mode 100644
index 0000000..76975e6
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/res_amp_lin_prog.sch
@@ -0,0 +1,244 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 2550 -430 2600 -430 { lab=clk}
+N 2730 -510 2730 -480 { lab=avdd1p8}
+N 2680 -510 2730 -510 { lab=avdd1p8}
+N 2730 -380 2730 -360 { lab=avss1p8}
+N 2680 -360 2730 -360 { lab=avss1p8}
+N 2770 -390 2770 -320 { lab=delay_reg0}
+N 2780 -390 2780 -320 { lab=delay_reg1}
+N 2830 -430 2920 -430 { lab=clk_out}
+N 2790 -390 2790 -320 { lab=delay_reg2}
+N 3020 -780 3090 -780 { lab=clk_out}
+N 3020 -800 3090 -800 { lab=inn}
+N 3020 -820 3090 -820 { lab=inp}
+N 3200 -800 3270 -800 { lab=outp}
+N 3200 -820 3270 -820 { lab=outn}
+N 3140 -910 3140 -860 { lab=avdd1p8}
+N 3140 -760 3140 -710 { lab=avss1p8}
+N 3670 -670 3670 -650 { lab=outn_cap}
+N 3710 -700 3730 -700 { lab=clk_out_b}
+N 3730 -700 3730 -650 { lab=clk_out_b}
+N 3640 -650 3640 -610 { lab=outn_cap}
+N 3860 -630 3860 -580 { lab=outn_cap}
+N 3860 -520 3860 -470 { lab=avss1p8}
+N 3810 -550 3860 -550 { lab=avss1p8}
+N 3190 -820 3200 -820 { lab=outn}
+N 3190 -800 3200 -800 { lab=outp}
+N 3020 -840 3090 -840 { lab=vctrl}
+N 3610 -760 3610 -730 { lab=outn}
+N 3640 -770 3640 -760 { lab=outn}
+N 3270 -820 3590 -820 { lab=outn}
+N 3610 -670 3610 -650 { lab=outn_cap}
+N 3610 -650 3670 -650 { lab=outn_cap}
+N 3550 -700 3570 -700 { lab=clk_out}
+N 3550 -700 3550 -660 { lab=clk_out}
+N 3670 -760 3670 -730 { lab=outn}
+N 3610 -760 3670 -760 { lab=outn}
+N 3660 -700 3670 -700 { lab=avss1p8}
+N 3610 -700 3620 -700 { lab=avdd1p8}
+N 3660 -730 3660 -700 { lab=avss1p8}
+N 3620 -700 3620 -670 { lab=avdd1p8}
+N 3400 -680 3400 -660 { lab=outp_cap}
+N 3440 -710 3460 -710 { lab=clk_out_b}
+N 3460 -710 3460 -660 { lab=clk_out_b}
+N 3340 -770 3340 -740 { lab=outp}
+N 3370 -780 3370 -770 { lab=outp}
+N 3340 -680 3340 -660 { lab=outp_cap}
+N 3340 -660 3400 -660 { lab=outp_cap}
+N 3280 -710 3300 -710 { lab=clk_out}
+N 3280 -710 3280 -670 { lab=clk_out}
+N 3400 -770 3400 -740 { lab=outp}
+N 3340 -770 3400 -770 { lab=outp}
+N 3390 -710 3400 -710 { lab=avss1p8}
+N 3340 -710 3350 -710 { lab=avdd1p8}
+N 3390 -740 3390 -710 { lab=avss1p8}
+N 3350 -710 3350 -680 { lab=avdd1p8}
+N 3370 -660 3370 -620 { lab=outp_cap}
+N 2920 -430 2970 -430 { lab=clk_out}
+N 3010 -510 3010 -480 { lab=avdd1p8}
+N 2960 -510 3010 -510 { lab=avdd1p8}
+N 3010 -380 3010 -360 { lab=avss1p8}
+N 2960 -360 3010 -360 { lab=avss1p8}
+N 3100 -430 3170 -430 { lab=clk_out_b}
+N 3900 -550 3960 -550 { lab=rst}
+N 2600 -430 2650 -430 { lab=clk}
+N 2650 -430 2690 -430 { lab=clk}
+N 4060 -630 4060 -580 { lab=outp_cap}
+N 4060 -520 4060 -470 { lab=avss1p8}
+N 4060 -550 4110 -550 { lab=avss1p8}
+N 3960 -550 4020 -550 { lab=rst}
+N 2740 -950 2740 -900 { lab=avdd1p8}
+N 2710 -780 2710 -730 { lab=avss1p8}
+N 2810 -840 3020 -840 { lab=vctrl}
+N 2550 -870 2670 -870 { lab=iref}
+N 2740 -780 2740 -730 { lab=iref_reg0}
+N 2750 -780 2750 -730 { lab=iref_reg1}
+N 2760 -780 2760 -730 { lab=iref_reg2}
+N 3640 -790 3640 -770 { lab=outn}
+N 3640 -820 3640 -790 { lab=outn}
+N 3590 -820 3640 -820 { lab=outn}
+N 3270 -800 3370 -800 { lab=outp}
+N 3370 -800 3370 -780 { lab=outp}
+N 3370 -620 3370 -590 { lab=outp_cap}
+N 3640 -610 3640 -580 { lab=outn_cap}
+N 3730 -850 3780 -850 { lab=outn}
+N 3730 -830 3780 -830 { lab=outp}
+N 3730 -810 3780 -810 { lab=outn_cap}
+N 3730 -790 3780 -790 { lab=outp_cap}
+N 3970 -550 3970 -500 { lab=rst}
+N 3370 -530 3370 -490 { lab=avss1p8}
+N 3370 -490 3640 -490 { lab=avss1p8}
+N 3640 -520 3640 -490 { lab=avss1p8}
+C {ipin.sym} 2550 -430 0 0 {name=p4 lab=clk}
+C {opin.sym} 3780 -830 0 0 {name=p7 lab=outp}
+C {opin.sym} 3780 -850 0 0 {name=p8 lab=outn}
+C {iopin.sym} 3140 -910 0 0 {name=p15 lab=avdd1p8}
+C {iopin.sym} 3140 -710 2 0 {name=p16 lab=avss1p8}
+C {delay_cell_buff.sym} 2780 -260 0 0 {name=x3}
+C {lab_wire.sym} 2710 -510 0 0 {name=l4 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 2710 -360 0 0 {name=l9 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 2900 -430 2 0 {name=l14 sig_type=std_logic lab=clk_out}
+C {lab_wire.sym} 3020 -780 2 0 {name=l27 sig_type=std_logic lab=clk_out}
+C {lab_wire.sym} 3370 -800 2 0 {name=l28 sig_type=std_logic lab=outp}
+C {lab_wire.sym} 3430 -820 2 0 {name=l29 sig_type=std_logic lab=outn}
+C {ngspice_probe.sym} 3320 -800 0 0 {name=r2}
+C {ngspice_probe.sym} 3230 -800 0 0 {name=r3}
+C {ngspice_probe.sym} 3040 -780 0 0 {name=r5}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 3880 -550 0 1 {name=M3
+L=0.15
+W=1
+nf=1 
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_wire.sym} 3860 -600 3 1 {name=l34 sig_type=std_logic lab=outn_cap}
+C {lab_wire.sym} 3860 -500 1 1 {name=l35 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 3820 -550 1 1 {name=l37 sig_type=std_logic lab=avss1p8}
+C {ngspice_probe.sym} 3040 -840 0 0 {name=r10}
+C {res_amp_lin.sym} 3180 -640 0 0 {name=x4}
+C {lab_wire.sym} 2950 -840 0 0 {name=l36 sig_type=std_logic lab=vctrl}
+C {lab_wire.sym} 3620 -680 1 0 {name=l39 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 3660 -720 3 0 {name=l47 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 3370 -630 2 0 {name=l48 sig_type=std_logic lab=outp_cap}
+C {lab_wire.sym} 3350 -690 1 0 {name=l50 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 3390 -730 3 0 {name=l51 sig_type=std_logic lab=avss1p8}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 3420 -710 0 1 {name=M1
+L=0.15
+W=1
+nf=1
+mult=5
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 3690 -700 0 1 {name=M2
+L=0.15
+W=1
+nf=1
+mult=5
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 3320 -710 0 0 {name=M5
+L=0.35
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 3590 -700 0 0 {name=M6
+L=0.35
+W=1
+nf=1
+mult=10
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_wire.sym} 3640 -620 2 0 {name=l42 sig_type=std_logic lab=outn_cap}
+C {inverter_min_x4.sym} 3030 -430 0 0 {name=x5}
+C {lab_wire.sym} 2990 -510 0 0 {name=l15 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 2990 -360 0 0 {name=l32 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 3140 -430 2 0 {name=l33 sig_type=std_logic lab=clk_out_b}
+C {lab_wire.sym} 3280 -680 0 0 {name=l38 sig_type=std_logic lab=clk_out}
+C {lab_wire.sym} 3460 -680 2 0 {name=l40 sig_type=std_logic lab=clk_out_b}
+C {lab_wire.sym} 3550 -690 0 0 {name=l44 sig_type=std_logic lab=clk_out}
+C {lab_wire.sym} 3730 -680 2 0 {name=l45 sig_type=std_logic lab=clk_out_b}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 4040 -550 0 0 {name=M4
+L=0.15
+W=1
+nf=1 
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {lab_wire.sym} 4060 -600 1 0 {name=l61 sig_type=std_logic lab=outp_cap}
+C {lab_wire.sym} 4060 -500 3 0 {name=l62 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 4100 -550 3 0 {name=l63 sig_type=std_logic lab=avss1p8}
+C {iref_ctrl_res_amp.sym} 2760 -670 0 0 {name=x7}
+C {lab_wire.sym} 2740 -930 0 0 {name=l1 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 2710 -740 0 0 {name=l2 sig_type=std_logic lab=avss1p8}
+C {ipin.sym} 2550 -870 0 0 {name=p1 lab=iref}
+C {ipin.sym} 3020 -820 0 0 {name=p5 lab=inp}
+C {ipin.sym} 3020 -800 0 0 {name=p6 lab=inn}
+C {ipin.sym} 2740 -730 3 0 {name=p2 lab=iref_reg0}
+C {ipin.sym} 2750 -730 3 0 {name=p3 lab=iref_reg1}
+C {ipin.sym} 2760 -730 3 0 {name=p9 lab=iref_reg2}
+C {opin.sym} 3780 -790 0 0 {name=p10 lab=outp_cap}
+C {opin.sym} 3780 -810 0 0 {name=p11 lab=outn_cap}
+C {ipin.sym} 2770 -320 3 0 {name=p12 lab=delay_reg0}
+C {ipin.sym} 2780 -320 3 0 {name=p13 lab=delay_reg1}
+C {ipin.sym} 2790 -320 3 0 {name=p14 lab=delay_reg2}
+C {ipin.sym} 3970 -500 3 0 {name=p17 lab=rst}
+C {capa.sym} 3370 -560 0 0 {name=C1
+m=1
+value=\{C\}
+footprint=1206
+device="ceramic capacitor"}
+C {capa.sym} 3640 -550 0 0 {name=C2
+m=1
+value=\{C\}
+footprint=1206
+device="ceramic capacitor"}
+C {lab_wire.sym} 3540 -490 0 0 {name=l3 sig_type=std_logic lab=avss1p8}
diff --git a/xschem/afernandez_residue_amplifier/res_amp_lin_prog.sym b/xschem/afernandez_residue_amplifier/res_amp_lin_prog.sym
new file mode 100644
index 0000000..bcaeb60
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/res_amp_lin_prog.sym
@@ -0,0 +1,94 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 100 -200 120 -200 {}
+L 4 100 -180 120 -180 {}
+L 4 -170 -160 -150 -160 {}
+L 4 -170 -180 -150 -180 {}
+L 4 -170 -220 -150 -220 {}
+L 4 -70 -210 -70 -130 {}
+L 4 -70 -130 10 -170 {}
+L 4 -70 -210 10 -170 {}
+L 4 100 -180 120 -180 {}
+L 4 -150 -250 -150 -90 {}
+L 4 100 -250 100 -90 {}
+L 4 -50 -250 -50 -200 {}
+L 4 -50 -140 -50 -90 {}
+L 4 100 -150 120 -150 {}
+L 4 100 -130 120 -130 {}
+L 4 100 -130 120 -130 {}
+L 4 30 -130 30 -120 {}
+L 4 20 -120 40 -120 {}
+L 4 20 -110 40 -110 {}
+L 4 60 -130 60 -120 {}
+L 4 50 -120 70 -120 {}
+L 4 50 -110 70 -110 {}
+L 4 -150 -250 100 -250 {}
+L 4 -150 -90 100 -90 {}
+L 4 -150 -180 -70 -180 {}
+L 4 -150 -160 -70 -160 {}
+L 4 -170 -240 -150 -240 {}
+L 4 -130 -90 -130 -70 {}
+L 4 -140 -90 -140 -70 {}
+L 4 -110 -90 -110 -70 {}
+L 4 -120 -90 -120 -70 {}
+L 4 -90 -90 -90 -70 {}
+L 4 -100 -90 -100 -70 {}
+L 4 -170 -110 -150 -110 {}
+L 4 -50 -100 60 -100 {}
+L 4 30 -110 30 -100 {}
+L 4 60 -110 60 -100 {}
+L 4 -0 -180 10 -180 {}
+L 4 10 -180 20 -190 {}
+L 4 0 -160 10 -160 {}
+L 4 10 -160 20 -170 {}
+L 4 20 -160 30 -160 {}
+L 4 30 -160 30 -130 {}
+L 4 20 -180 60 -180 {}
+L 4 60 -180 60 -130 {}
+L 4 30 -150 100 -150 {}
+L 4 60 -130 100 -130 {}
+L 7 -50 -270 -50 -250 {}
+L 7 -50 -90 -50 -70 {}
+B 5 -52.5 -272.5 -47.5 -267.5 {name=avdd1p8 dir=inout }
+B 5 -172.5 -222.5 -167.5 -217.5 {name=rst dir=in }
+B 5 -172.5 -182.5 -167.5 -177.5 {name=inp dir=in }
+B 5 -172.5 -162.5 -167.5 -157.5 {name=inn dir=in }
+B 5 117.5 -182.5 122.5 -177.5 {name=outp dir=out }
+B 5 117.5 -202.5 122.5 -197.5 {name=outn dir=out }
+B 5 -52.5 -72.5 -47.5 -67.5 {name=avss1p8 dir=inout }
+B 5 117.5 -132.5 122.5 -127.5 {name=outp_cap dir=out }
+B 5 117.5 -152.5 122.5 -147.5 {name=outn_cap dir=out }
+B 5 -172.5 -242.5 -167.5 -237.5 {name=clk dir=in }
+B 5 -142.5 -72.5 -137.5 -67.5 {name=iref_reg0 dir=in }
+B 5 -132.5 -72.5 -127.5 -67.5 {name=iref_reg1 dir=in }
+B 5 -122.5 -72.5 -117.5 -67.5 {name=iref_reg2 dir=in }
+B 5 -112.5 -72.5 -107.5 -67.5 {name=delay_reg0 dir=in }
+B 5 -92.5 -72.5 -87.5 -67.5 {name=delay_reg2 dir=in }
+B 5 -102.5 -72.5 -97.5 -67.5 {name=delay_reg1 dir=in }
+B 5 -172.5 -112.5 -167.5 -107.5 {name=iref dir=in }
+T {@symname} 0 -240 0 0 0.3 0.3 {}
+T {@name} -60 -170 0 0 0.2 0.2 {}
+T {avdd1p8} 0 -270 0 1 0.2 0.2 {}
+T {rst} -190 -230 0 0 0.2 0.2 {}
+T {inp} -190 -180 0 0 0.2 0.2 {}
+T {inn} -190 -160 0 0 0.2 0.2 {}
+T {outp} 150 -180 0 1 0.2 0.2 {}
+T {outn} 150 -200 0 1 0.2 0.2 {}
+T {avss1p8} 0 -80 0 1 0.2 0.2 {}
+T {outp_cap} 170 -130 0 1 0.2 0.2 {}
+T {outn_cap} 170 -150 0 1 0.2 0.2 {}
+T {clk} -190 -250 0 0 0.2 0.2 {}
+T {iref_reg0} -140 -20 3 0 0.2 0.2 {}
+T {iref_reg1} -130 -20 3 0 0.2 0.2 {}
+T {iref_reg2} -120 -20 3 0 0.2 0.2 {}
+T {delay_reg0} -110 -10 3 0 0.2 0.2 {}
+T {delay_reg1} -100 -10 3 0 0.2 0.2 {}
+T {delay_reg2} -90 -10 3 0 0.2 0.2 {}
+T {iref} -190 -110 0 0 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/res_amp_sync_v2.sch b/xschem/afernandez_residue_amplifier/res_amp_sync_v2.sch
new file mode 100644
index 0000000..867d063
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/res_amp_sync_v2.sch
@@ -0,0 +1,164 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 220 160 340 160 { lab=clkp}
+N 220 160 340 160 { lab=clkp}
+N 220 200 340 200 { lab=clkn}
+N 220 200 340 200 { lab=clkn}
+N 410 10 410 80 { lab=avdd1p8}
+N 410 240 410 310 { lab=avss1p8}
+N 410 -220 410 -190 { lab=avdd1p8}
+N 410 -220 430 -220 { lab=avdd1p8}
+N 410 -70 410 -40 { lab=avss1p8}
+N 390 -40 410 -40 { lab=avss1p8}
+N 480 120 540 120 { lab=q1}
+N 540 -120 540 120 { lab=q1}
+N 290 -120 290 120 { lab=d1}
+N 290 120 340 120 { lab=d1}
+N 580 200 700 200 { lab=q1}
+N 580 200 700 200 { lab=q1}
+N 770 10 770 80 { lab=avdd1p8}
+N 770 240 770 310 { lab=avss1p8}
+N 840 120 900 120 { lab=q2}
+N 900 -120 900 120 { lab=q2}
+N 650 -120 650 120 { lab=d2}
+N 650 120 700 120 { lab=d2}
+N 580 160 700 160 { lab=d1}
+N -290 550 -290 620 { lab=avdd1p8}
+N -290 780 -290 850 { lab=avss1p8}
+N -20 420 -20 490 { lab=avdd1p8}
+N -150 570 -90 570 { lab=clkp}
+N -150 610 -90 610 { lab=clkn}
+N -420 700 -360 700 { lab=clkp}
+N -420 740 -360 740 { lab=clkn}
+N -420 660 -360 660 { lab=d1}
+N -150 530 -90 530 { lab=q2}
+N 50 530 210 530 { lab=#net1}
+N -220 660 -100 660 { lab=#net2}
+N -100 660 -100 720 { lab=#net2}
+N -100 720 210 720 { lab=#net2}
+N 210 640 210 720 { lab=#net2}
+N 210 640 240 640 { lab=#net2}
+N 210 600 240 600 { lab=#net1}
+N 210 530 210 600 { lab=#net1}
+N 280 500 280 570 { lab=avdd1p8}
+N 280 670 280 740 { lab=avss1p8}
+N 350 620 410 620 { lab=#net3}
+N 450 500 450 570 { lab=avdd1p8}
+N 450 670 450 740 { lab=avss1p8}
+N 600 620 680 620 { lab=pulse}
+N 940 510 940 580 { lab=avdd1p8}
+N 940 740 940 810 { lab=avss1p8}
+N 810 660 870 660 { lab=clkp}
+N 810 700 870 700 { lab=clkn}
+N 810 620 870 620 { lab=pulse}
+N 680 620 810 620 { lab=pulse}
+N 1010 620 1110 620 { lab=#net4}
+N 1510 620 1590 620 { lab=clk_amp}
+N 1150 500 1150 570 { lab=avdd1p8}
+N 1150 670 1150 740 { lab=avss1p8}
+N 1420 500 1420 570 { lab=avdd1p8}
+N 1420 670 1420 740 { lab=avss1p8}
+N 1240 620 1290 620 { lab=#net5}
+N 1110 850 1110 920 { lab=avdd1p8}
+N 1110 1020 1110 1090 { lab=avss1p8}
+N 940 850 940 920 { lab=avdd1p8}
+N 940 1020 940 1090 { lab=avss1p8}
+N 1010 970 1070 970 { lab=#net6}
+N 1200 970 1360 970 { lab=rst}
+N 810 950 900 950 { lab=pulse}
+N 810 990 900 990 { lab=clkp}
+N -220 740 -200 740 { lab=#net7}
+N 50 610 70 610 { lab=#net8}
+N 480 200 500 200 { lab=#net9}
+N 840 200 860 200 { lab=#net10}
+N 1010 700 1030 700 { lab=#net11}
+N -20 650 -20 680 { lab=avss1p8}
+N 450 -130 540 -130 { lab=q1}
+N 540 -130 540 -120 { lab=q1}
+N 290 -130 290 -120 { lab=d1}
+N 320 -130 340 -130 { lab=d1}
+N 410 -190 410 -180 { lab=avdd1p8}
+N 410 -80 410 -70 { lab=avss1p8}
+N 540 620 600 620 { lab=pulse}
+N 290 -130 320 -130 { lab=d1}
+N 770 -220 770 -190 { lab=avdd1p8}
+N 770 -220 790 -220 { lab=avdd1p8}
+N 770 -70 770 -40 { lab=avss1p8}
+N 750 -40 770 -40 { lab=avss1p8}
+N 810 -130 900 -130 { lab=q2}
+N 900 -130 900 -120 { lab=q2}
+N 650 -130 650 -120 { lab=d2}
+N 680 -130 700 -130 { lab=d2}
+N 770 -190 770 -180 { lab=avdd1p8}
+N 770 -80 770 -70 { lab=avss1p8}
+N 650 -130 680 -130 { lab=d2}
+N 1290 620 1380 620 { lab=#net5}
+C {ipin.sym} 220 200 0 0 {name=p3 lab=clkn}
+C {ipin.sym} 220 160 0 0 {name=p4 lab=clkp}
+C {iopin.sym} 410 10 0 0 {name=p1 lab=avdd1p8}
+C {iopin.sym} 410 310 0 0 {name=p8 lab=avss1p8}
+C {lab_wire.sym} 420 -220 0 0 {name=l1 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 400 -40 2 0 {name=l2 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 770 40 0 0 {name=l7 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 770 290 2 0 {name=l8 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 290 -10 2 0 {name=l11 sig_type=std_logic lab=d1}
+C {lab_wire.sym} 540 0 2 0 {name=l12 sig_type=std_logic lab=q1}
+C {lab_wire.sym} 640 200 2 0 {name=l13 sig_type=std_logic lab=q1}
+C {lab_wire.sym} 640 160 2 0 {name=l14 sig_type=std_logic lab=d1}
+C {lab_wire.sym} 650 -10 2 0 {name=l17 sig_type=std_logic lab=d2}
+C {lab_wire.sym} 900 0 2 0 {name=l18 sig_type=std_logic lab=q2}
+C {lab_wire.sym} -290 570 0 0 {name=l19 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} -290 830 2 0 {name=l20 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} -20 440 0 0 {name=l21 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} -20 680 2 0 {name=l22 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} -140 570 2 0 {name=l23 sig_type=std_logic lab=clkp}
+C {lab_wire.sym} -140 610 2 0 {name=l24 sig_type=std_logic lab=clkn}
+C {lab_wire.sym} -410 700 2 0 {name=l25 sig_type=std_logic lab=clkp}
+C {lab_wire.sym} -410 740 2 0 {name=l26 sig_type=std_logic lab=clkn}
+C {lab_wire.sym} -400 660 2 0 {name=l27 sig_type=std_logic lab=d1}
+C {lab_wire.sym} -130 530 2 0 {name=l28 sig_type=std_logic lab=q2}
+C {nand_logic.sym} 290 720 0 0 {name=x4}
+C {lab_wire.sym} 280 520 0 0 {name=l29 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 280 720 2 0 {name=l30 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 450 520 0 0 {name=l33 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 450 720 2 0 {name=l34 sig_type=std_logic lab=avss1p8}
+C {inverter_min_x4.sym} 390 -130 0 1 {name=x1}
+C {inverter_min_x4.sym} 470 620 0 0 {name=x5}
+C {lab_wire.sym} 940 530 0 0 {name=l52 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 940 790 2 0 {name=l53 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 820 660 2 0 {name=l54 sig_type=std_logic lab=clkp}
+C {lab_wire.sym} 820 700 2 0 {name=l55 sig_type=std_logic lab=clkn}
+C {lab_wire.sym} 770 620 0 0 {name=l56 sig_type=std_logic lab=pulse}
+C {lab_wire.sym} 1150 520 0 0 {name=l61 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 1150 720 2 0 {name=l62 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 1420 520 2 0 {name=l63 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 1420 720 2 0 {name=l64 sig_type=std_logic lab=avss1p8}
+C {nand_logic.sym} 950 1070 0 0 {name=x21}
+C {lab_wire.sym} 1110 870 0 0 {name=l65 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 1110 1070 2 0 {name=l66 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 940 870 0 0 {name=l67 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 940 1070 2 0 {name=l68 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 820 950 0 0 {name=l69 sig_type=std_logic lab=pulse}
+C {lab_wire.sym} 820 990 0 0 {name=l70 sig_type=std_logic lab=clkp}
+C {opin.sym} 1360 970 0 0 {name=p6 lab=rst}
+C {opin.sym} 1590 620 0 0 {name=p5 lab=clk_amp}
+C {DFlipFlop.sym} -290 700 0 0 {name=x3}
+C {noconn.sym} -200 740 2 0 {name=l5}
+C {DFlipFlop.sym} -20 570 0 0 {name=x6}
+C {noconn.sym} 70 610 2 0 {name=l6}
+C {DFlipFlop.sym} 410 160 0 0 {name=x7}
+C {noconn.sym} 500 200 2 0 {name=l9}
+C {DFlipFlop.sym} 770 160 0 0 {name=x8}
+C {noconn.sym} 860 200 2 0 {name=l10}
+C {DFlipFlop.sym} 940 660 0 0 {name=x9}
+C {noconn.sym} 1030 700 2 0 {name=l15}
+C {lab_wire.sym} 780 -220 0 0 {name=l3 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 760 -40 2 0 {name=l4 sig_type=std_logic lab=avss1p8}
+C {inverter_min_x4.sym} 750 -130 0 1 {name=x2}
+C {inverter_min_x4.sym} 1130 970 0 0 {name=x10}
+C {inverter_min_x4.sym} 1170 620 0 0 {name=x11}
+C {inverter_min_x16.sym} 1440 620 0 0 {name=x12}
diff --git a/xschem/afernandez_residue_amplifier/res_amp_sync_v2.sym b/xschem/afernandez_residue_amplifier/res_amp_sync_v2.sym
new file mode 100644
index 0000000..4d3a008
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/res_amp_sync_v2.sym
@@ -0,0 +1,37 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 -130 30 130 30 {}
+L 4 -130 -30 -130 30 {}
+L 4 130 -30 130 30 {}
+L 4 -150 -30 -130 -30 {}
+L 4 -150 0 -130 0 {}
+L 4 130 -40 150 -40 {}
+L 4 -130 -50 -130 -30 {}
+L 4 -130 -60 130 -60 {}
+L 4 130 -50 130 -30 {}
+L 4 -130 -60 -130 -50 {}
+L 4 130 -60 130 -50 {}
+L 4 130 0 150 0 {}
+L 7 0 -80 0 -60 {}
+L 7 0 30 0 50 {}
+B 5 -2.5 -82.5 2.5 -77.5 {name=avdd1p8 dir=inout }
+B 5 -152.5 -32.5 -147.5 -27.5 {name=clkp dir=in }
+B 5 -152.5 -2.5 -147.5 2.5 {name=clkn dir=in }
+B 5 -2.5 47.5 2.5 52.5 {name=avss1p8 dir=inout }
+B 5 147.5 -42.5 152.5 -37.5 {name=clk_amp dir=out }
+B 5 147.5 -2.5 152.5 2.5 {name=rst dir=out }
+T {@symname} 46 -86 0 0 0.3 0.3 {}
+T {@name} -15 -22 0 0 0.2 0.2 {}
+T {avdd1p8} 16 -55 0 1 0.2 0.2 {}
+T {clkp} -125 -34 0 0 0.2 0.2 {}
+T {clkn} -125 -4 0 0 0.2 0.2 {}
+T {avss1p8} -26 25 2 1 0.2 0.2 {}
+T {clk_amp} 125 -44 0 1 0.2 0.2 {}
+T {rst} 125 -4 0 1 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/res_amp_top.sch b/xschem/afernandez_residue_amplifier/res_amp_top.sch
new file mode 100644
index 0000000..3b98c1a
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/res_amp_top.sch
@@ -0,0 +1,77 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 600 70 600 150 { lab=iref_reg0}
+N 610 70 610 150 { lab=iref_reg1}
+N 620 70 620 150 { lab=iref_reg2}
+N 630 70 630 150 { lab=delay_reg0}
+N 640 70 640 150 { lab=delay_reg1}
+N 650 70 650 150 { lab=delay_reg2}
+N 500 -40 570 -40 { lab=inp}
+N 500 -20 570 -20 { lab=inn}
+N 500 -100 570 -100 { lab=clk_amp}
+N 500 -80 570 -80 { lab=rst}
+N 860 -60 940 -60 { lab=outn_amp}
+N 860 -40 940 -40 { lab=outp_amp}
+N 860 -10 940 -10 { lab=outn_cap}
+N 860 10 940 10 { lab=outp_cap}
+N 690 -180 690 -130 { lab=avdd1p8}
+N 690 70 690 120 { lab=avss1p8}
+N 500 30 570 30 { lab=iref0}
+N 1440 -20 1530 -20 { lab=outp}
+N 1440 90 1530 90 { lab=outn}
+N 1310 -160 1310 -110 { lab=avdd1p8}
+N 1310 180 1310 230 { lab=avss1p8}
+N 1070 90 1150 90 { lab=outn_cap}
+N 1070 -20 1150 -20 { lab=outp_cap}
+N 240 80 240 130 { lab=avdd1p8}
+N 240 260 240 310 { lab=avss1p8}
+N 30 180 90 180 { lab=clkp}
+N 30 210 90 210 { lab=clkn}
+N 390 170 440 170 { lab=clk_amp}
+N 390 210 440 210 { lab=rst}
+N 1200 180 1200 250 { lab=iref1}
+N 1220 180 1220 250 { lab=iref2}
+N 1240 180 1240 250 { lab=iref3}
+N 1260 180 1260 250 { lab=iref4}
+C {res_amp_lin_prog.sym} 740 140 0 0 {name=x2}
+C {lab_wire.sym} 530 -80 0 0 {name=l31 sig_type=std_logic lab=rst}
+C {lab_wire.sym} 930 -60 0 0 {name=l32 sig_type=std_logic lab=outn_amp}
+C {lab_wire.sym} 930 -40 0 0 {name=l33 sig_type=std_logic lab=outp_amp}
+C {lab_wire.sym} 930 -10 0 0 {name=l34 sig_type=std_logic lab=outn_cap}
+C {lab_wire.sym} 930 10 0 0 {name=l35 sig_type=std_logic lab=outp_cap}
+C {res_amp_sync_v2.sym} 240 210 0 0 {name=x1}
+C {source_follower_buff_diff.sym} 1310 150 0 0 {name=x3}
+C {lab_wire.sym} 1310 -150 2 0 {name=l1 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 1310 210 2 0 {name=l2 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 1120 -20 0 0 {name=l3 sig_type=std_logic lab=outp_cap}
+C {lab_wire.sym} 1120 90 0 0 {name=l4 sig_type=std_logic lab=outn_cap}
+C {ipin.sym} 500 -40 0 0 {name=p12 lab=inp}
+C {ipin.sym} 500 -20 0 0 {name=p1 lab=inn}
+C {noconn.sym} 940 -60 2 0 {name=l5}
+C {noconn.sym} 940 -40 2 0 {name=l6}
+C {lab_wire.sym} 240 90 2 0 {name=l7 sig_type=std_logic lab=avdd1p8}
+C {lab_wire.sym} 240 290 2 0 {name=l8 sig_type=std_logic lab=avss1p8}
+C {ipin.sym} 30 180 0 0 {name=p2 lab=clkp}
+C {ipin.sym} 30 210 0 0 {name=p3 lab=clkn}
+C {lab_wire.sym} 430 170 0 0 {name=l9 sig_type=std_logic lab=clk_amp}
+C {lab_wire.sym} 540 -100 0 0 {name=l10 sig_type=std_logic lab=clk_amp}
+C {lab_wire.sym} 430 210 0 0 {name=l11 sig_type=std_logic lab=rst}
+C {iopin.sym} 690 -180 0 0 {name=p5 lab=avdd1p8}
+C {iopin.sym} 690 120 0 0 {name=p4 lab=avss1p8}
+C {ipin.sym} 500 30 0 0 {name=p14 lab=iref0}
+C {ipin.sym} 1200 250 3 0 {name=p6 lab=iref1}
+C {ipin.sym} 1220 250 3 0 {name=p7 lab=iref2}
+C {ipin.sym} 1240 250 3 0 {name=p8 lab=iref3}
+C {ipin.sym} 1260 250 3 0 {name=p9 lab=iref4}
+C {opin.sym} 1530 90 0 0 {name=p10 lab=outn}
+C {opin.sym} 1530 -20 0 0 {name=p11 lab=outp}
+C {ipin.sym} 600 150 3 0 {name=p13 lab=iref_reg0}
+C {ipin.sym} 610 150 3 0 {name=p15 lab=iref_reg1}
+C {ipin.sym} 620 150 3 0 {name=p16 lab=iref_reg2}
+C {ipin.sym} 630 150 3 0 {name=p17 lab=delay_reg0}
+C {ipin.sym} 640 150 3 0 {name=p18 lab=delay_reg1}
+C {ipin.sym} 650 150 3 0 {name=p19 lab=delay_reg2}
diff --git a/xschem/afernandez_residue_amplifier/res_amp_top.sym b/xschem/afernandez_residue_amplifier/res_amp_top.sym
new file mode 100644
index 0000000..f44918a
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/res_amp_top.sym
@@ -0,0 +1,122 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 100 -180 120 -180 {}
+L 4 100 -160 120 -160 {}
+L 4 -170 -160 -150 -160 {}
+L 4 -170 -180 -150 -180 {}
+L 4 -70 -210 -70 -130 {}
+L 4 -70 -130 10 -170 {}
+L 4 -70 -210 10 -170 {}
+L 4 100 -160 120 -160 {}
+L 4 -150 -250 -150 -90 {}
+L 4 100 -250 100 -90 {}
+L 4 -50 -250 -50 -200 {}
+L 4 -50 -140 -50 -90 {}
+L 4 30 -130 30 -120 {}
+L 4 20 -120 40 -120 {}
+L 4 20 -110 40 -110 {}
+L 4 60 -130 60 -120 {}
+L 4 50 -120 70 -120 {}
+L 4 50 -110 70 -110 {}
+L 4 60 -160 60 -140 {}
+L 4 -150 -250 100 -250 {}
+L 4 -150 -60 100 -60 {}
+L 4 -150 -180 -70 -180 {}
+L 4 -150 -160 -70 -160 {}
+L 4 -170 -240 -150 -240 {}
+L 4 -130 -60 -130 -40 {}
+L 4 -140 -60 -140 -40 {}
+L 4 -110 -60 -110 -40 {}
+L 4 -120 -60 -120 -40 {}
+L 4 -90 -60 -90 -40 {}
+L 4 -100 -60 -100 -40 {}
+L 4 -170 -110 -150 -110 {}
+L 4 -50 -80 60 -80 {}
+L 4 30 -110 30 -100 {}
+L 4 60 -110 60 -100 {}
+L 4 -170 -220 -150 -220 {}
+L 4 30 -100 30 -80 {}
+L 4 60 -100 60 -80 {}
+L 4 -50 -90 -50 -80 {}
+L 4 -150 -90 -150 -60 {}
+L 4 100 -90 100 -60 {}
+L 4 0 -180 10 -180 {}
+L 4 10 -180 20 -190 {}
+L 4 20 -180 30 -180 {}
+L 4 30 -180 30 -130 {}
+L 4 -0 -160 10 -160 {}
+L 4 10 -160 20 -170 {}
+L 4 20 -160 60 -160 {}
+L 4 60 -140 60 -130 {}
+L 4 30 -180 60 -180 {}
+L 4 60 -190 60 -180 {}
+L 4 60 -190 70 -180 {}
+L 4 60 -170 70 -180 {}
+L 4 60 -180 60 -170 {}
+L 4 70 -190 80 -180 {}
+L 4 70 -170 80 -180 {}
+L 4 80 -180 100 -180 {}
+L 4 60 -160 70 -160 {}
+L 4 70 -170 70 -160 {}
+L 4 70 -170 80 -160 {}
+L 4 70 -150 80 -160 {}
+L 4 70 -160 70 -150 {}
+L 4 80 -170 90 -160 {}
+L 4 80 -150 90 -160 {}
+L 4 90 -160 100 -160 {}
+L 4 80 -170 80 -150 {}
+L 4 70 -190 70 -170 {}
+L 4 -170 -100 -150 -100 {}
+L 4 -170 -90 -150 -90 {}
+L 4 -170 -80 -150 -80 {}
+L 4 -170 -70 -150 -70 {}
+L 4 -10 -80 -10 -60 {}
+L 7 -50 -270 -50 -250 {}
+L 7 -10 -60 -10 -40 {}
+B 5 -52.5 -272.5 -47.5 -267.5 {name=avdd1p8 dir=inout }
+B 5 -172.5 -182.5 -167.5 -177.5 {name=inp dir=in }
+B 5 -172.5 -162.5 -167.5 -157.5 {name=inn dir=in }
+B 5 117.5 -162.5 122.5 -157.5 {name=outp dir=out }
+B 5 117.5 -182.5 122.5 -177.5 {name=outn dir=out }
+B 5 -12.5 -42.5 -7.5 -37.5 {name=avss1p8 dir=inout }
+B 5 -172.5 -242.5 -167.5 -237.5 {name=clkp dir=in }
+B 5 -142.5 -42.5 -137.5 -37.5 {name=iref_reg0 dir=in }
+B 5 -132.5 -42.5 -127.5 -37.5 {name=iref_reg1 dir=in }
+B 5 -122.5 -42.5 -117.5 -37.5 {name=iref_reg2 dir=in }
+B 5 -112.5 -42.5 -107.5 -37.5 {name=delay_reg0 dir=in }
+B 5 -92.5 -42.5 -87.5 -37.5 {name=delay_reg2 dir=in }
+B 5 -102.5 -42.5 -97.5 -37.5 {name=delay_reg1 dir=in }
+B 5 -172.5 -112.5 -167.5 -107.5 {name=iref0 dir=in }
+B 5 -172.5 -222.5 -167.5 -217.5 {name=clkn dir=in }
+B 5 -172.5 -102.5 -167.5 -97.5 {name=iref1 dir=in }
+B 5 -172.5 -92.5 -167.5 -87.5 {name=iref2 dir=in }
+B 5 -172.5 -82.5 -167.5 -77.5 {name=iref3 dir=in }
+B 5 -172.5 -72.5 -167.5 -67.5 {name=iref4 dir=in }
+T {@symname} 0 -240 0 0 0.3 0.3 {}
+T {@name} -60 -170 0 0 0.2 0.2 {}
+T {avdd1p8} 0 -270 0 1 0.2 0.2 {}
+T {inp} -190 -180 0 0 0.2 0.2 {}
+T {inn} -190 -160 0 0 0.2 0.2 {}
+T {outp} 150 -160 0 1 0.2 0.2 {}
+T {outn} 150 -180 0 1 0.2 0.2 {}
+T {avss1p8} 0 -50 0 1 0.2 0.2 {}
+T {clkp} -200 -250 0 0 0.2 0.2 {}
+T {iref_reg0} -140 10 3 0 0.2 0.2 {}
+T {iref_reg1} -130 10 3 0 0.2 0.2 {}
+T {iref_reg2} -120 10 3 0 0.2 0.2 {}
+T {delay_reg0} -110 20 3 0 0.2 0.2 {}
+T {delay_reg1} -100 20 3 0 0.2 0.2 {}
+T {delay_reg2} -90 20 3 0 0.2 0.2 {}
+T {iref0} -200 -120 0 0 0.2 0.2 {}
+T {clkn} -200 -230 0 0 0.2 0.2 {}
+T {iref1} -200 -110 0 0 0.2 0.2 {}
+T {iref2} -200 -100 0 0 0.2 0.2 {}
+T {iref3} -200 -90 0 0 0.2 0.2 {}
+T {iref4} -200 -80 0 0 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/source_follower_buff_diff.sch b/xschem/afernandez_residue_amplifier/source_follower_buff_diff.sch
new file mode 100644
index 0000000..0324ad0
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/source_follower_buff_diff.sch
@@ -0,0 +1,58 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 1140 -470 1210 -470 { lab=inp}
+N 1340 -470 1410 -470 { lab=outp_int}
+N 1260 -570 1260 -520 { lab=avdd1p8}
+N 1330 -470 1340 -470 { lab=outp_int}
+N 1410 -470 1490 -470 { lab=outp_int}
+N 1260 -420 1260 -370 { lab=avss1p8}
+N 1240 -420 1240 -370 { lab=iref1}
+N 1490 -470 1560 -470 { lab=outp_int}
+N 1690 -470 1760 -470 { lab=outp}
+N 1610 -570 1610 -520 { lab=avdd1p8}
+N 1680 -470 1690 -470 { lab=outp}
+N 1760 -470 1840 -470 { lab=outp}
+N 1610 -420 1610 -370 { lab=avss1p8}
+N 1590 -420 1590 -370 { lab=iref2}
+N 1140 -200 1210 -200 { lab=inn}
+N 1340 -200 1410 -200 { lab=outn_int}
+N 1260 -300 1260 -250 { lab=avdd1p8}
+N 1330 -200 1340 -200 { lab=outn_int}
+N 1410 -200 1490 -200 { lab=outn_int}
+N 1260 -150 1260 -100 { lab=avss1p8}
+N 1240 -150 1240 -100 { lab=iref3}
+N 1490 -200 1560 -200 { lab=outn_int}
+N 1690 -200 1760 -200 { lab=outn}
+N 1610 -300 1610 -250 { lab=avdd1p8}
+N 1680 -200 1690 -200 { lab=outn}
+N 1760 -200 1840 -200 { lab=outn}
+N 1610 -150 1610 -100 { lab=avss1p8}
+N 1590 -150 1590 -100 { lab=iref4}
+C {iopin.sym} 1260 -570 0 0 {name=p5 lab=avdd1p8}
+C {iopin.sym} 1260 -370 0 0 {name=p6 lab=avss1p8}
+C {opin.sym} 1840 -470 2 1 {name=p10 lab=outp}
+C {source_follower_buff_pmos.sym} 1300 -300 0 0 {name=x1}
+C {lab_wire.sym} 1430 -470 0 0 {name=l12 sig_type=std_logic lab=outp_int}
+C {ngspice_probe.sym} 1450 -470 0 0 {name=r12}
+C {lab_wire.sym} 1610 -560 2 0 {name=l11 sig_type=std_logic lab=avdd1p8}
+C {source_follower_buff_nmos.sym} 1650 -300 0 0 {name=x2}
+C {lab_wire.sym} 1610 -380 2 0 {name=l14 sig_type=std_logic lab=avss1p8}
+C {lab_wire.sym} 1260 -290 2 0 {name=l21 sig_type=std_logic lab=avdd1p8}
+C {source_follower_buff_pmos.sym} 1300 -30 0 0 {name=x3}
+C {lab_wire.sym} 1430 -200 0 0 {name=l22 sig_type=std_logic lab=outn_int}
+C {lab_wire.sym} 1260 -110 2 0 {name=l23 sig_type=std_logic lab=avss1p8}
+C {ngspice_probe.sym} 1450 -200 0 0 {name=r17}
+C {lab_wire.sym} 1610 -290 2 0 {name=l26 sig_type=std_logic lab=avdd1p8}
+C {source_follower_buff_nmos.sym} 1650 -30 0 0 {name=x4}
+C {lab_wire.sym} 1610 -110 2 0 {name=l28 sig_type=std_logic lab=avss1p8}
+C {opin.sym} 1840 -200 2 1 {name=p2 lab=outn}
+C {ipin.sym} 1140 -470 0 0 {name=p3 lab=inp}
+C {ipin.sym} 1140 -200 0 0 {name=p4 lab=inn}
+C {ipin.sym} 1240 -370 3 0 {name=p7 lab=iref1}
+C {ipin.sym} 1590 -370 3 0 {name=p8 lab=iref2}
+C {ipin.sym} 1240 -100 3 0 {name=p9 lab=iref3}
+C {ipin.sym} 1590 -100 3 0 {name=p11 lab=iref4}
diff --git a/xschem/afernandez_residue_amplifier/source_follower_buff_diff.sym b/xschem/afernandez_residue_amplifier/source_follower_buff_diff.sym
new file mode 100644
index 0000000..676890a
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/source_follower_buff_diff.sym
@@ -0,0 +1,64 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 110 -170 130 -170 {}
+L 4 -160 -170 -140 -170 {}
+L 4 -70 -210 -70 -130 {}
+L 4 -70 -130 10 -170 {}
+L 4 -70 -210 10 -170 {}
+L 4 -110 10 -110 30 {}
+L 4 -140 -240 110 -240 {}
+L 4 -30 -130 50 -170 {}
+L 4 -30 -210 50 -170 {}
+L 4 50 -170 110 -170 {}
+L 4 -140 -170 -70 -170 {}
+L 4 -70 -100 -70 -20 {}
+L 4 -70 -20 10 -60 {}
+L 4 -70 -100 10 -60 {}
+L 4 -30 -20 50 -60 {}
+L 4 -30 -100 50 -60 {}
+L 4 50 -60 110 -60 {}
+L 4 -140 -60 -70 -60 {}
+L 4 -140 10 110 10 {}
+L 4 110 -240 110 10 {}
+L 4 -140 -240 -140 10 {}
+L 4 -160 -60 -140 -60 {}
+L 4 110 -60 130 -60 {}
+L 4 -30 -100 -30 -80 {}
+L 4 -30 -40 -30 -20 {}
+L 4 -30 -150 -30 -130 {}
+L 4 -30 -210 -30 -190 {}
+L 4 -90 10 -90 30 {}
+L 4 -70 10 -70 30 {}
+L 4 -50 10 -50 30 {}
+L 7 0 -260 0 -240 {}
+L 7 0 10 0 30 {}
+B 5 -2.5 -262.5 2.5 -257.5 {name=avdd1p8 dir=inout }
+B 5 -112.5 27.5 -107.5 32.5 {name=iref1 dir=in}
+B 5 -162.5 -172.5 -157.5 -167.5 {name=inp dir=in }
+B 5 127.5 -172.5 132.5 -167.5 {name=outp dir=out }
+B 5 -2.5 27.5 2.5 32.5 {name=avss1p8 dir=inout }
+B 5 -162.5 -62.5 -157.5 -57.5 {name=inn dir=in }
+B 5 127.5 -62.5 132.5 -57.5 {name=outn dir=out }
+B 5 -92.5 27.5 -87.5 32.5 {name=iref2 dir=in}
+B 5 -72.5 27.5 -67.5 32.5 {name=iref3 dir=in}
+B 5 -52.5 27.5 -47.5 32.5 {name=iref4 dir=in}
+T {@symname} 11 -226 0 0 0.3 0.3 {}
+T {@name} -65 -172 0 0 0.2 0.2 {}
+T {avdd1p8} 35 -284 0 1 0.2 0.2 {}
+T {iref1} -105 56 1 1 0.2 0.2 {}
+T {inp} -175 -164 0 0 0.2 0.2 {}
+T {outp} 155 -164 0 1 0.2 0.2 {}
+T {avss1p8} 35 36 0 1 0.2 0.2 {}
+T {@name} -65 -62 0 0 0.2 0.2 {}
+T {inn} -175 -54 0 0 0.2 0.2 {}
+T {outn} 155 -54 0 1 0.2 0.2 {}
+T {iref2} -85 56 1 1 0.2 0.2 {}
+T {iref3} -65 56 1 1 0.2 0.2 {}
+T {iref4} -45 56 1 1 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/source_follower_buff_nmos.sch b/xschem/afernandez_residue_amplifier/source_follower_buff_nmos.sch
new file mode 100644
index 0000000..f91bb38
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/source_follower_buff_nmos.sch
@@ -0,0 +1,130 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 790 -260 790 -190 { lab=avss1p8}
+N 790 -350 790 -320 { lab=out}
+N 790 -420 790 -370 { lab=out}
+N 790 -530 790 -480 { lab=avdd1p8}
+N 690 -290 750 -290 { lab=iref}
+N 790 -290 800 -290 { lab=avss1p8}
+N 800 -290 800 -240 { lab=avss1p8}
+N 790 -370 790 -350 { lab=out}
+N 790 -360 860 -360 { lab=out}
+N 520 -350 520 -320 { lab=#net1}
+N 690 -450 750 -450 { lab=in}
+N 560 -290 690 -290 { lab=iref}
+N 510 -290 520 -290 { lab=avss1p8}
+N 510 -290 510 -240 { lab=avss1p8}
+N 520 -190 790 -190 { lab=avss1p8}
+N 670 -190 670 -170 { lab=avss1p8}
+N 510 -240 510 -190 { lab=avss1p8}
+N 510 -190 520 -190 { lab=avss1p8}
+N 520 -260 520 -190 { lab=avss1p8}
+N 800 -240 800 -190 { lab=avss1p8}
+N 790 -190 800 -190 { lab=avss1p8}
+N 790 -450 800 -450 { lab=avss1p8}
+N 800 -450 840 -450 { lab=avss1p8}
+N 520 -370 520 -350 { lab=#net1}
+N 580 -400 580 -290 { lab=iref}
+N 520 -460 520 -430 { lab=iref}
+N 520 -450 580 -450 { lab=iref}
+N 580 -450 580 -400 { lab=iref}
+N 510 -400 520 -400 { lab=avss1p8}
+N 510 -400 510 -290 { lab=avss1p8}
+N 560 -400 580 -400 { lab=iref}
+N 520 -510 520 -460 { lab=iref}
+C {iopin.sym} 790 -530 0 0 {name=p5 lab=avdd1p8}
+C {iopin.sym} 670 -170 0 0 {name=p6 lab=avss1p8}
+C {opin.sym} 860 -360 2 1 {name=p10 lab=out}
+C {ipin.sym} 690 -450 0 0 {name=p12 lab=in}
+C {ngspice_get_value.sym} 325 -255 0 0 {name=r21 node="v(@M.X2.XM2.msky130_fd_pr__nfet_01v8_lvt[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 325 -225 0 0 {name=r22 node="v(@M.X2.XM2.msky130_fd_pr__nfet_01v8_lvt[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 395 -255 0 0 {name=r23 node="v(@M.X2.XM2.msky130_fd_pr__nfet_01v8_lvt[vdsat])"
+descr="vdsat="}
+C {ngspice_get_value.sym} 395 -225 0 0 {name=r24 node="i(@M.X2.XM2.msky130_fd_pr__nfet_01v8_lvt[id])"
+descr="id="}
+C {ngspice_get_value.sym} 325 -195 0 0 {name=r25 node="v(@M.X2.XM2.msky130_fd_pr__nfet_01v8_lvt[vth])"
+descr="vth="}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 540 -290 0 1 {name=M2
+L=0.15
+W=1
+nf=1
+mult=6
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 770 -290 0 0 {name=M1
+L=0.15
+W=1
+nf=1
+mult=160
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 770 -450 0 0 {name=M3
+L=0.15
+W=1
+nf=1
+mult=80
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {ngspice_get_value.sym} 885 -285 0 0 {name=r1 node="v(@M.X2.XM1.msky130_fd_pr__nfet_01v8_lvt[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 885 -255 0 0 {name=r2 node="v(@M.X2.XM1.msky130_fd_pr__nfet_01v8_lvt[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 955 -285 0 0 {name=r3 node="v(@M.X2.XM1.msky130_fd_pr__nfet_01v8_lvt[vdsat])"
+descr="vdsat="}
+C {ngspice_get_value.sym} 955 -255 0 0 {name=r9 node="i(@M.X2.XM1.msky130_fd_pr__nfet_01v8_lvt[id])"
+descr="id="}
+C {ngspice_get_value.sym} 885 -225 0 0 {name=r10 node="v(@M.X2.XM1.msky130_fd_pr__nfet_01v8_lvt[vth])"
+descr="vth="}
+C {ngspice_get_value.sym} 905 -475 0 0 {name=r4 node="v(@M.X2.XM3.msky130_fd_pr__nfet_01v8_lvt[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 905 -445 0 0 {name=r5 node="v(@M.X2.XM3.msky130_fd_pr__nfet_01v8_lvt[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 975 -475 0 0 {name=r6 node="v(@M.X2.XM3.msky130_fd_pr__nfet_01v8_lvt[vdsat])"
+descr="vdsat="}
+C {ngspice_get_value.sym} 975 -445 0 0 {name=r7 node="i(@M.X2.XM3.msky130_fd_pr__nfet_01v8_lvt[id])"
+descr="id="}
+C {ngspice_get_value.sym} 905 -415 0 0 {name=r8 node="v(@M.X2.XM3.msky130_fd_pr__nfet_01v8_lvt[vth])"
+descr="vth="}
+C {lab_wire.sym} 825 -450 2 0 {name=l1 sig_type=std_logic lab=avss1p8}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 540 -400 0 1 {name=M4
+L=0.15
+W=1
+nf=1
+mult=6
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {ipin.sym} 520 -510 1 0 {name=p1 lab=iref}
diff --git a/xschem/afernandez_residue_amplifier/source_follower_buff_nmos.sym b/xschem/afernandez_residue_amplifier/source_follower_buff_nmos.sym
new file mode 100644
index 0000000..a9c72c6
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/source_follower_buff_nmos.sym
@@ -0,0 +1,29 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 10 -170 30 -170 {}
+L 4 -90 -170 -70 -170 {}
+L 4 -70 -210 -70 -130 {}
+L 4 -70 -130 10 -170 {}
+L 4 -70 -210 10 -170 {}
+L 4 -60 -140 -60 -120 {}
+L 7 -40 -220 -40 -200 {}
+L 7 -40 -140 -40 -120 {}
+B 5 -42.5 -222.5 -37.5 -217.5 {name=avdd1p8 dir=inout }
+B 5 -62.5 -122.5 -57.5 -117.5 {name=iref dir=in}
+B 5 -92.5 -172.5 -87.5 -167.5 {name=in dir=in }
+B 5 27.5 -172.5 32.5 -167.5 {name=out dir=out }
+B 5 -42.5 -122.5 -37.5 -117.5 {name=avss1p8 dir=inout }
+T {@symname} -19 -216 0 0 0.3 0.3 {}
+T {@name} -65 -172 0 0 0.2 0.2 {}
+T {avdd1p8} -5 -244 0 1 0.2 0.2 {}
+T {iref} -65 -114 0 1 0.2 0.2 {}
+T {in} -105 -164 0 0 0.2 0.2 {}
+T {out} 55 -164 0 1 0.2 0.2 {}
+T {avss1p8} -5 -114 0 1 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/source_follower_buff_pmos.sch b/xschem/afernandez_residue_amplifier/source_follower_buff_pmos.sch
new file mode 100644
index 0000000..2767164
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/source_follower_buff_pmos.sch
@@ -0,0 +1,182 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 790 -260 790 -190 { lab=avss1p8}
+N 790 -350 790 -320 { lab=out}
+N 790 -420 790 -370 { lab=out}
+N 790 -530 790 -480 { lab=avdd1p8}
+N 690 -290 750 -290 { lab=in}
+N 520 -420 520 -390 { lab=#net1}
+N 790 -290 800 -290 { lab=avdd1p8}
+N 800 -290 800 -240 { lab=avdd1p8}
+N 510 -450 520 -450 { lab=avdd1p8}
+N 510 -500 510 -450 { lab=avdd1p8}
+N 790 -190 790 -130 { lab=avss1p8}
+N 790 -370 790 -350 { lab=out}
+N 790 -360 860 -360 { lab=out}
+N 560 -450 750 -450 { lab=#net1}
+N 520 -410 580 -410 { lab=#net1}
+N 580 -450 580 -410 { lab=#net1}
+N 520 -200 520 -130 { lab=avss1p8}
+N 520 -290 520 -260 { lab=#net1}
+N 420 -230 480 -230 { lab=iref}
+N 520 -230 530 -230 { lab=avss1p8}
+N 530 -230 530 -180 { lab=avss1p8}
+N 250 -290 250 -260 { lab=iref}
+N 250 -280 290 -280 { lab=iref}
+N 290 -230 420 -230 { lab=iref}
+N 290 -280 310 -280 { lab=iref}
+N 310 -280 310 -230 { lab=iref}
+N 240 -230 250 -230 { lab=avss1p8}
+N 240 -230 240 -180 { lab=avss1p8}
+N 250 -130 520 -130 { lab=avss1p8}
+N 240 -180 240 -130 { lab=avss1p8}
+N 240 -130 250 -130 { lab=avss1p8}
+N 250 -200 250 -130 { lab=avss1p8}
+N 530 -180 530 -130 { lab=avss1p8}
+N 520 -130 530 -130 { lab=avss1p8}
+N 520 -390 520 -290 { lab=#net1}
+N 250 -355 250 -290 { lab=iref}
+N 535 -130 790 -130 { lab=avss1p8}
+N 530 -130 535 -130 { lab=avss1p8}
+N 585 -130 585 -80 { lab=avss1p8}
+N 520 -530 790 -530 { lab=avdd1p8}
+N 520 -530 520 -480 { lab=avdd1p8}
+N 510 -530 510 -500 { lab=avdd1p8}
+N 510 -530 520 -530 { lab=avdd1p8}
+N 620 -560 620 -530 { lab=avdd1p8}
+N 795 -450 800 -450 { lab=avdd1p8}
+N 800 -530 800 -450 { lab=avdd1p8}
+N 790 -530 800 -530 { lab=avdd1p8}
+N 790 -450 795 -450 { lab=avdd1p8}
+C {iopin.sym} 620 -560 0 0 {name=p5 lab=avdd1p8}
+C {iopin.sym} 585 -80 0 0 {name=p6 lab=avss1p8}
+C {ngspice_get_value.sym} 710 -360 0 0 {name=r1 node="v(@M.X1.XM1.msky130_fd_pr__pfet_01v8_lvt[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 710 -330 0 0 {name=r2 node="v(@M.X1.XM1.msky130_fd_pr__pfet_01v8_lvt[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 640 -360 0 0 {name=r11 node="i(@M.X1.XM1.msky130_fd_pr__pfet_01v8_lvt[id])"
+descr="id="}
+C {opin.sym} 860 -360 2 1 {name=p10 lab=out}
+C {ipin.sym} 690 -290 0 0 {name=p12 lab=in}
+C {ipin.sym} 250 -355 1 0 {name=p14 lab=iref}
+C {lab_wire.sym} 800 -280 1 1 {name=l13 sig_type=std_logic lab=avdd1p8}
+C {ngspice_get_value.sym} 350 -460 0 0 {name=r21 node="v(@M.X1.XM5.msky130_fd_pr__pfet_01v8[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 350 -430 0 0 {name=r22 node="v(@M.X1.XM5.msky130_fd_pr__pfet_01v8[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 420 -460 0 0 {name=r23 node="v(@M.X1.XM5.msky130_fd_pr__pfet_01v8[vdsat])"
+descr="vdsat="}
+C {ngspice_get_value.sym} 420 -430 0 0 {name=r24 node="i(@M.X1.XM5.msky130_fd_pr__pfet_01v8[id])"
+descr="id="}
+C {ngspice_get_value.sym} 350 -400 0 0 {name=r25 node="v(@M.X1.XM5.msky130_fd_pr__pfet_01v8[vth])"
+descr="vth="}
+C {ngspice_get_value.sym} 640 -300 0 0 {name=r3 node="v(@M.X1.XM1.msky130_fd_pr__pfet_01v8_lvt[vth])"
+descr="vth="}
+C {sky130_fd_pr/pfet_01v8.sym} 540 -450 0 1 {name=M5
+L=0.15
+W=1
+nf=1
+mult=15
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {sky130_fd_pr/pfet_01v8.sym} 770 -450 0 0 {name=M6
+L=0.15
+W=1
+nf=1
+mult=15
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {ngspice_get_value.sym} 640 -330 0 0 {name=r33 node="@M.X1.XM1.msky130_fd_pr__pfet_01v8_lvt[gm]"
+descr="gm="}
+C {ngspice_get_value.sym} 710 -300 0 0 {name=r35 node="@M.X1.XM1.msky130_fd_pr__pfet_01v8_lvt[gds]"
+descr="gds="}
+C {sky130_fd_pr/pfet_01v8_lvt.sym} 770 -290 0 0 {name=M1
+L=0.35
+W=1
+nf=1
+mult=20
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8_lvt
+spiceprefix=X
+}
+C {ngspice_get_value.sym} 900 -460 0 0 {name=r4 node="v(@M.X1.XM6.msky130_fd_pr__pfet_01v8[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 900 -430 0 0 {name=r5 node="v(@M.X1.XM6.msky130_fd_pr__pfet_01v8[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 970 -460 0 0 {name=r6 node="v(@M.X1.XM6.msky130_fd_pr__pfet_01v8[vdsat])"
+descr="vdsat="}
+C {ngspice_get_value.sym} 970 -430 0 0 {name=r7 node="i(@M.X1.XM6.msky130_fd_pr__pfet_01v8[id])"
+descr="id="}
+C {ngspice_get_value.sym} 900 -400 0 0 {name=r8 node="v(@M.X1.XM6.msky130_fd_pr__pfet_01v8[vth])"
+descr="vth="}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 270 -230 0 1 {name=M2
+L=0.15
+W=1
+nf=1
+mult=6
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {sky130_fd_pr/nfet_01v8_lvt.sym} 500 -230 0 0 {name=M3
+L=0.15
+W=1
+nf=1
+mult=6
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8_lvt
+spiceprefix=X
+}
+C {ngspice_get_value.sym} 115 -290 0 0 {name=r9 node="v(@M.X1.XM2.msky130_fd_pr__nfet_01v8_lvt[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 115 -260 0 0 {name=r10 node="v(@M.X1.XM2.msky130_fd_pr__nfet_01v8_lvt[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 185 -290 0 0 {name=r12 node="v(@M.X1.XM2.msky130_fd_pr__nfet_01v8_lvt[vdsat])"
+descr="vdsat="}
+C {ngspice_get_value.sym} 185 -260 0 0 {name=r13 node="i(@M.X1.XM2.msky130_fd_pr__nfet_01v8_lvt[id])"
+descr="id="}
+C {ngspice_get_value.sym} 115 -230 0 0 {name=r14 node="v(@M.X1.XM2.msky130_fd_pr__nfet_01v8_lvt[vth])"
+descr="vth="}
+C {ngspice_get_value.sym} 605 -205 0 0 {name=r15 node="v(@M.X1.XM3.msky130_fd_pr__nfet_01v8_lvt[vgs])"
+descr="vgs="}
+C {ngspice_get_value.sym} 605 -175 0 0 {name=r16 node="v(@M.X1.XM3.msky130_fd_pr__nfet_01v8_lvt[vds])"
+descr="vds="}
+C {ngspice_get_value.sym} 675 -205 0 0 {name=r17 node="v(@M.X1.XM3.msky130_fd_pr__nfet_01v8_lvt[vdsat])"
+descr="vdsat="}
+C {ngspice_get_value.sym} 675 -175 0 0 {name=r18 node="i(@M.X1.XM3.msky130_fd_pr__nfet_01v8_lvt[id])"
+descr="id="}
+C {ngspice_get_value.sym} 605 -145 0 0 {name=r19 node="v(@M.X1.XM3.msky130_fd_pr__nfet_01v8_lvt[vth])"
+descr="vth="}
diff --git a/xschem/afernandez_residue_amplifier/source_follower_buff_pmos.sym b/xschem/afernandez_residue_amplifier/source_follower_buff_pmos.sym
new file mode 100644
index 0000000..e61acf1
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/source_follower_buff_pmos.sym
@@ -0,0 +1,29 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 10 -170 30 -170 {}
+L 4 -90 -170 -70 -170 {}
+L 4 -70 -210 -70 -130 {}
+L 4 -70 -130 10 -170 {}
+L 4 -70 -210 10 -170 {}
+L 4 -60 -140 -60 -120 {}
+L 7 -40 -220 -40 -200 {}
+L 7 -40 -140 -40 -120 {}
+B 5 -42.5 -222.5 -37.5 -217.5 {name=avdd1p8 dir=inout }
+B 5 -62.5 -122.5 -57.5 -117.5 {name=iref dir=out }
+B 5 -92.5 -172.5 -87.5 -167.5 {name=in dir=in }
+B 5 27.5 -172.5 32.5 -167.5 {name=out dir=out }
+B 5 -42.5 -122.5 -37.5 -117.5 {name=avss1p8 dir=inout }
+T {@symname} -19 -216 0 0 0.3 0.3 {}
+T {@name} -65 -172 0 0 0.2 0.2 {}
+T {avdd1p8} -5 -244 0 1 0.2 0.2 {}
+T {iref} -65 -114 0 1 0.2 0.2 {}
+T {in} -105 -164 0 0 0.2 0.2 {}
+T {out} 55 -164 0 1 0.2 0.2 {}
+T {avss1p8} -5 -114 0 1 0.2 0.2 {}
diff --git a/xschem/afernandez_residue_amplifier/trans_gate.sch b/xschem/afernandez_residue_amplifier/trans_gate.sch
new file mode 100644
index 0000000..138ab52
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/trans_gate.sch
@@ -0,0 +1,62 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {}
+V {}
+S {}
+E {}
+N 380 -100 380 -70 { lab=vss}
+N 380 70 380 100 { lab=vdd}
+N 310 -100 350 -100 { lab=in}
+N 310 -100 310 -40 { lab=in}
+N 280 -40 310 -40 { lab=in}
+N 280 -40 280 0 { lab=in}
+N 310 100 350 100 { lab=in}
+N 310 40 310 100 { lab=in}
+N 280 40 310 40 { lab=in}
+N 280 0 280 40 { lab=in}
+N 410 100 450 100 { lab=out}
+N 450 40 450 100 { lab=out}
+N 450 40 480 40 { lab=out}
+N 480 0 480 40 { lab=out}
+N 410 -100 450 -100 { lab=out}
+N 450 -100 450 -40 { lab=out}
+N 450 -40 480 -40 { lab=out}
+N 480 -40 480 0 { lab=out}
+N 480 -0 540 0 { lab=out}
+N 220 -0 280 0 { lab=in}
+N 380 140 380 170 { lab=vss}
+N 380 -170 380 -140 { lab=vdd}
+C {sky130_fd_pr/pfet_01v8.sym} 380 120 3 0 {name=M2
+L=0.15
+W=1.25
+nf=1
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=pfet_01v8
+spiceprefix=X
+}
+C {iopin.sym} 380 170 1 0 {name=p1 lab=vss}
+C {ipin.sym} 220 0 0 0 {name=p2 lab=in}
+C {opin.sym} 540 0 0 0 {name=p3 lab=out}
+C {sky130_fd_pr/nfet_01v8.sym} 380 -120 1 0 {name=M1
+L=0.15
+W=1.25
+nf=1 
+mult=3
+ad="'int((nf+1)/2) * W/nf * 0.29'" 
+pd="'2*int((nf+1)/2) * (W/nf + 0.29)'"
+as="'int((nf+2)/2) * W/nf * 0.29'" 
+ps="'2*int((nf+2)/2) * (W/nf + 0.29)'"
+nrd="'0.29 / W'" nrs="'0.29 / W'"
+sa=0 sb=0 sd=0
+model=nfet_01v8
+spiceprefix=X
+}
+C {lab_pin.sym} 380 -70 3 0 {name=l1 sig_type=std_logic lab=vss}
+C {lab_pin.sym} 380 70 1 0 {name=l2 sig_type=std_logic lab=vdd}
+C {iopin.sym} 380 -170 3 0 {name=p4 lab=vdd}
diff --git a/xschem/afernandez_residue_amplifier/trans_gate.sym b/xschem/afernandez_residue_amplifier/trans_gate.sym
new file mode 100644
index 0000000..54b0866
--- /dev/null
+++ b/xschem/afernandez_residue_amplifier/trans_gate.sym
@@ -0,0 +1,49 @@
+v {xschem version=2.9.9 file_version=1.2 }
+G {}
+K {type=subcircuit
+format="@name @pinlist @symname"
+template="name=x1"
+}
+V {}
+S {}
+E {}
+L 4 70 0 90 0 {}
+L 4 -90 0 -70 0 {}
+L 4 -20 -50 20 -50 {}
+L 4 -20 -40 20 -40 {}
+L 4 20 -40 20 -20 {}
+L 4 20 -20 40 -20 {}
+L 4 40 -20 40 0 {}
+L 4 40 0 60 0 {}
+L 4 -20 -40 -20 -20 {}
+L 4 -40 -20 -20 -20 {}
+L 4 -40 -20 -40 0 {}
+L 4 -60 0 -40 0 {}
+L 4 -20 50 20 50 {}
+L 4 -20 40 20 40 {}
+L 4 -20 20 -20 40 {}
+L 4 -40 20 -20 20 {}
+L 4 -40 0 -40 20 {}
+L 4 20 20 20 40 {}
+L 4 20 20 40 20 {}
+L 4 40 0 40 20 {}
+L 4 0 -60 -0 -50 {}
+L 4 0 60 0 70 {}
+L 4 -70 80 -0 80 {}
+L 4 -70 -70 -70 80 {}
+L 4 -70 -70 70 -70 {}
+L 4 70 -70 70 80 {}
+L 4 0 80 70 80 {}
+L 7 0 -90 0 -70 {}
+L 7 0 80 0 100 {}
+B 5 -2.5 -92.5 2.5 -87.5 {name=vdd dir=inout }
+B 5 87.5 -2.5 92.5 2.5 {name=out dir=out }
+B 5 -92.5 -2.5 -87.5 2.5 {name=in dir=in }
+B 5 -2.5 97.5 2.5 102.5 {name=vss dir=inout }
+A 4 0 55.5 5.024937810560445 354.2894068625004 360 {}
+T {@symname} 14 90 0 0 0.3 0.3 {}
+T {@name} -23 -6 0 0 0.2 0.2 {}
+T {vdd} -14 -95 3 1 0.2 0.2 {}
+T {out} 87 -13 0 1 0.2 0.2 {}
+T {in} -86 -14 0 0 0.2 0.2 {}
+T {vss} -2 104 1 1 0.2 0.2 {}