1. d708441 Updating the shuttle_url value in `info.yaml` file. by Tim 'mithro' Ansell · 1 year, 4 months ago main
  2. 62e35a1 final gds & signoff results by Jeff DiCorpo · 2 years, 4 months ago
  3. ac46a23 final gds oasis by Jeff DiCorpo · 2 years, 4 months ago
  4. 9e550ee final gds & signoff results by Jeff DiCorpo · 2 years, 4 months ago
  5. 5e1a375 final gds oasis by Jeff DiCorpo · 2 years, 4 months ago
  6. 001df65 final gds & signoff results by Jeff DiCorpo · 2 years, 4 months ago
  7. 1e1ba05 final gds oasis by Jeff DiCorpo · 2 years, 4 months ago
  8. 8c7141c final gds & signoff results by Jeff DiCorpo · 2 years, 4 months ago
  9. 3e84fb7 final gds oasis by Jeff DiCorpo · 2 years, 4 months ago
  10. 2624d4b tapeout.log by Jeff DiCorpo · 2 years, 4 months ago
  11. 5f95631 final gds & signoff results by Jeff DiCorpo · 2 years, 4 months ago
  12. cca5ac0 final gds oasis by Jeff DiCorpo · 2 years, 4 months ago
  13. 1a1c66f final gds & signoff results by Jeff DiCorpo · 2 years, 4 months ago
  14. 2c67055 final gds oasis by Jeff DiCorpo · 2 years, 4 months ago
  15. c319062 final gds & signoff results by Jeff DiCorpo · 2 years, 5 months ago
  16. 7249dcc final gds oasis by Jeff DiCorpo · 2 years, 5 months ago
  17. 9d587a4 final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  18. 503d69c final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  19. a6dfd3b final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  20. 602718a final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  21. 9ca0b1f final gds oasis by Jeff DiCorpo · 2 years, 9 months ago
  22. b581faf final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  23. 8d24062 tapeout.log by Jeff DiCorpo · 2 years, 9 months ago
  24. 32da965 updating ./signoff by Jeff DiCorpo · 2 years, 9 months ago
  25. 580a12a adding ./signoff/tapeout.log by Jeff DiCorpo · 2 years, 9 months ago
  26. 260439a final gds & signoff results by Jeff DiCorpo · 2 years, 9 months ago
  27. fe7077e final gds oasis by Jeff DiCorpo · 2 years, 9 months ago
  28. a1e55c5 Fixes to testbench by Klas Nordmark · 2 years, 10 months ago
  29. 77bf36e Removed example from uprj_netlists by Klas Nordmark · 2 years, 10 months ago
  30. 833bd7f Update README.md by Klas Nordmark · 2 years, 10 months ago
  31. b4302d0 Update README.md by Klas Nordmark · 2 years, 10 months ago
  32. 928fc06 Fixed typo in info.yaml by Klas Nordmark · 2 years, 11 months ago
  33. 2920d57 Added cover picture, changed info.yaml, removed some stuff pertaining by Klas Nordmark · 2 years, 11 months ago
  34. 14141c5 Added blink test for subservient_wrapped by Klas Nordmark · 2 years, 11 months ago
  35. b680329 Added files for building user_project_wrapper with subservient_wrapped by Klas Nordmark · 2 years, 11 months ago
  36. 7c3c72c Added files needed to build user_project_wrapper with subservient_wrapped by Klas Nordmark · 2 years, 11 months ago
  37. d3e0505 Auto updated submodule references by Git bot · 3 years ago
  38. ffaf984 Update index.rst by Manar · 3 years ago
  39. f2a5671 [CI] silence gl sim for now till caravel's gl is ready by manarabdelaty · 3 years ago
  40. 98fdcd8 Merge branch 'main' of https://github.com/efabless/caravel_user_project into main by manarabdelaty · 3 years ago
  41. d8dd010 Makefile updates by manarabdelaty · 3 years ago
  42. a2ff3b4 [DATA] Update views by manarabdelaty · 3 years ago
  43. a71cd9f Auto updated submodule references by Git bot · 3 years ago
  44. 9fdf8d2 Update README.md by Mohamed Kassem · 3 years ago
  45. 0218c0f Update index.rst by manarabdelaty · 3 years ago
  46. dd6386e Merge pull request #11 from russellfriesenhahn/fixup_makefile_merge by Manar · 3 years ago
  47. 42af8dc Fixup for Makefile merge 9466e57ce8 by Russell L Friesenhahn · 3 years ago
  48. f2f80db Merge pull request #10 from mattvenn/patch-1 by Manar · 3 years ago
  49. 4acd8b7 typo by matt venn · 3 years ago
  50. 9466e57 Merge pull request #8 from Manarabdelaty/makefile_fixes by Manar · 3 years ago
  51. 7c040f5 Merge branch 'main' of https://github.com/efabless/caravel_user_project into makefile_fixes by manarabdelaty · 3 years ago
  52. 4e4ba19 Merge pull request #9 from russellfriesenhahn/update_submodule_management by Manar · 3 years ago
  53. 771a1fb Merge branch 'main' of https://github.com/efabless/caravel_user_project into makefile_fixes by manarabdelaty · 3 years ago
  54. 9fc8f48 Auto updated submodule references by Git bot · 3 years ago
  55. 3c8cb85 Fixup for commit 2b4bd6b564 by Russell L Friesenhahn · 3 years ago
  56. ef957a6 Updated the documentation to reflect the changes made to the source by Tim Edwards · 3 years ago
  57. 9ae1f07 Update index.rst by Mohamed Kassem · 3 years ago
  58. c89cfac Update to coincide with the most recent commit to the caravel by Tim Edwards · 3 years ago
  59. 9a624e3 Changed the URL to point to the index.rst by Mohamed Kassem · 3 years ago
  60. 470e5e6 Add pre-check dir to .gitignore by manarabdelaty · 3 years ago
  61. d059260 Merge branch 'main' of https://github.com/efabless/caravel_user_project into makefile_fixes by manarabdelaty · 3 years ago
  62. 38f0301 Merge pull request #6 from Manarabdelaty/auto_update_submodules by Manar · 3 years ago
  63. 401a14d Fix syntax error by manarabdelaty · 3 years ago
  64. 78c1b01 Update Makefile by manarabdelaty · 3 years ago
  65. 694bfd3 Added the 3 user IRQ lines to the project wrapper and zeroed them by Tim Edwards · 3 years ago
  66. e3270c8 Add workflow for auto-updating submodules by manarabdelaty · 3 years ago
  67. 599c0a7 Merge branch pull request #5 into main by manarabdelaty · 3 years ago
  68. e7fe97d Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  69. 5088e75 Update submodule reference by manarabdelaty · 3 years ago
  70. 01020b3 Update run-precheck.sh by Manar · 3 years ago
  71. 2f3eac9 Update README.md by Manar · 3 years ago
  72. 8b3c516 Update README.md by Manar · 3 years ago
  73. b3d8491 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  74. 7e9c958 [DATA] Update views by manarabdelaty · 3 years ago
  75. a4fd728 Update README.md by Manar · 3 years ago
  76. 5fc3f75 Update Readme by manarabdelaty · 3 years ago
  77. 68ad170 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  78. d0e7afb Update docs by manarabdelaty · 3 years ago
  79. 5ac961e Remove submodule from .gitmodules on uninstall by Russell L Friesenhahn · 3 years ago
  80. 54d8215 Repo caravel-lite uses main and not master by Russell L Friesenhahn · 3 years ago
  81. 2b4bd6b Enable checking out caravel or caravel-lite to specific commit by Russell L Friesenhahn · 3 years ago
  82. f4b5ac6 Update README.rst by Manar · 3 years ago
  83. 0d1a706 Remove caravel-lite submodule by Russell L Friesenhahn · 3 years ago
  84. 7177a7c Update CARAVEL_USER.md by Jeff DiCorpo · 3 years ago
  85. f7e47a6 Update CARAVEL_USER.md by Jeff DiCorpo · 3 years ago
  86. 609ec98 [DATA] Update views by manarabdelaty · 3 years ago
  87. 65049f1 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  88. 16848c0 [CI] Fail if xor didn't pass by manarabdelaty · 3 years ago
  89. 0d3b175 Merge pull request #1 from mattvenn/main by Manar · 3 years ago
  90. f5524b1 Update CARAVEL_USER.md by Manar · 3 years ago
  91. d4860ee fix link by Matt Venn · 3 years ago
  92. 1c43d08 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  93. b4fd21d UPRJ -> USER by manarabdelaty · 3 years ago
  94. 52bb6bd Update README.md by Manar · 3 years ago
  95. f2b6ea2 Add a template README by manarabdelaty · 3 years ago
  96. b61477e Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  97. 7379d28 Fix submodule reference by manarabdelaty · 3 years ago
  98. bb6363f Update README.md by Manar · 3 years ago
  99. bbc5af5 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  100. 340cc4a Update full chip simulation to run from root by manarabdelaty · 3 years ago