Update caravel-lite references
diff --git a/.github/scripts/build/run-xor.sh b/.github/scripts/build/run-xor.sh
index c224d5b..ff92358 100644
--- a/.github/scripts/build/run-xor.sh
+++ b/.github/scripts/build/run-xor.sh
@@ -15,7 +15,7 @@
 # SPDX-License-Identifier: Apache-2.0
 
 export UPRJ_ROOT=$(pwd)
-export CARAVEL_ROOT=$(pwd)/caravel-lite
+export CARAVEL_ROOT=$(pwd)/caravel
 cd ..
 export PDK_ROOT=$(pwd)/pdks
 export IMAGE_NAME=efabless/openlane:$OPENLANE_TAG
diff --git a/.github/scripts/dv/run-dv-wrapper.sh b/.github/scripts/dv/run-dv-wrapper.sh
index 1160bbc..f431eca 100644
--- a/.github/scripts/dv/run-dv-wrapper.sh
+++ b/.github/scripts/dv/run-dv-wrapper.sh
@@ -22,7 +22,7 @@
 DV_TEST_IDS=(${IDS//,/ })
 
 export TARGET_PATH=$(pwd)
-export CARAVEL_ROOT=$(pwd)/caravel-lite
+export CARAVEL_ROOT=$(pwd)/caravel
 
 if [ ! -d $TARGET_PATH ] 
 then
diff --git a/.github/scripts/precheck/run-precheck-drc.sh b/.github/scripts/precheck/run-precheck-drc.sh
index c9808db..489b314 100644
--- a/.github/scripts/precheck/run-precheck-drc.sh
+++ b/.github/scripts/precheck/run-precheck-drc.sh
@@ -14,7 +14,7 @@
 # limitations under the License.
 # SPDX-License-Identifier: Apache-2.0
 export TARGET_PATH=$(pwd)
-export CARAVEL_ROOT=$(pwd)/caravel-lite
+export CARAVEL_ROOT=$(pwd)/caravel
 cd ..
 export PDK_ROOT=$(pwd)/precheck_pdks
 cd $TARGET_PATH/open_mpw_precheck/
diff --git a/.github/scripts/precheck/run-precheck.sh b/.github/scripts/precheck/run-precheck.sh
index 6633afd..ee31f7c 100644
--- a/.github/scripts/precheck/run-precheck.sh
+++ b/.github/scripts/precheck/run-precheck.sh
@@ -14,7 +14,7 @@
 # limitations under the License.
 # SPDX-License-Identifier: Apache-2.0
 export TARGET_PATH=$(pwd)
-export CARAVEL_ROOT=$(pwd)/caravel-lite
+export CARAVEL_ROOT=$(pwd)/caravel
 cd ..
 export PDK_ROOT=$(pwd)/precheck_pdks
 cd $TARGET_PATH/open_mpw_precheck/
diff --git a/Makefile b/Makefile
index 888c9d1..b569691 100644
--- a/Makefile
+++ b/Makefile
@@ -65,8 +65,12 @@
 # Create symbolic links to caravel's main files
 .PHONY: simlink
 simlink: check-caravel
-	@ln -f $(CARAVEL_ROOT)/openlane/Makefile openlane/Makefile
-	@ln -f $(CARAVEL_ROOT)/openlane/user_project_wrapper_empty/pin_order.cfg openlane/user_project_wrapper/pin_order.cfg
+	mkdir -p openlane
+	mkdir -p openlane/user_project_wrapper
+	cd openlane &&\
+	ln -sf ../$(CARAVEL_ROOT)/openlane/Makefile Makefile
+	cd openlane/user_project_wrapper &&\
+	ln -sf ../../$(CARAVEL_ROOT)/openlane/user_project_wrapper_empty/pin_order.cfg pin_order.cfg
 
 # Update Caravel
 .PHONY: update_caravel
diff --git a/openlane/Makefile b/openlane/Makefile
deleted file mode 100644
index e406d3b..0000000
--- a/openlane/Makefile
+++ /dev/null
@@ -1,85 +0,0 @@
-# SPDX-FileCopyrightText: 2020 Efabless Corporation
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#      http://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-BLOCKS = $(shell find * -maxdepth 0 -type d)
-CONFIG = $(foreach block,$(BLOCKS), ./$(block)/config.tcl)
-CLEAN = $(foreach block,$(BLOCKS), clean-$(block))
-
-OPENLANE_TAG ?= rc7
-OPENLANE_IMAGE_NAME ?= efabless/openlane:$(OPENLANE_TAG)
-OPENLANE_BASIC_COMMAND = "cd /project/openlane && flow.tcl -design ./$* -save_path .. -save -tag $* -overwrite"
-OPENLANE_INTERACTIVE_COMMAND = "cd /project/openlane && flow.tcl -it -file ./$*/interactive.tcl"
-
-all: $(BLOCKS)
-
-$(CONFIG) :
-	@echo "Missing $@. Please create a configuration for that design"
-	@exit 1
-
-$(BLOCKS) : % : ./%/config.tcl FORCE
-ifeq ($(OPENLANE_ROOT),)
-	@echo "Please export OPENLANE_ROOT"
-	@exit 1
-endif
-ifeq ($(PDK_ROOT),)
-	@echo "Please export PDK_ROOT"
-	@exit 1
-endif
-	@echo "###############################################"
-	@sleep 1
-
-	@if [ -f ./$*/interactive.tcl ]; then\
-		docker run -it -v $(OPENLANE_ROOT):/openLANE_flow \
-		-v $(PDK_ROOT):$(PDK_ROOT) \
-		-v $(PWD)/..:/project \
-		-e PDK_ROOT=$(PDK_ROOT) \
-		-u $(shell id -u $(USER)):$(shell id -g $(USER)) \
-		$(OPENLANE_IMAGE_NAME) sh -c $(OPENLANE_INTERACTIVE_COMMAND);\
-	else\
-		docker run -it -v $(OPENLANE_ROOT):/openLANE_flow \
-		-v $(PDK_ROOT):$(PDK_ROOT) \
-		-v $(PWD)/..:/project \
-		-e PDK_ROOT=$(PDK_ROOT) \
-		-u $(shell id -u $(USER)):$(shell id -g $(USER)) \
-		$(OPENLANE_IMAGE_NAME) sh -c $(OPENLANE_BASIC_COMMAND);\
-	fi
-	mkdir -p ../signoff/$*/
-	cp $*/runs/$*/OPENLANE_VERSION ../signoff/$*/
-	cp $*/runs/$*/PDK_SOURCES ../signoff/$*/
-	cp $*/runs/$*/reports/final_summary_report.csv ../signoff/$*/
-
-.PHONY: openlane
-openlane:
-ifeq ($(OPENLANE_ROOT),)
-	@echo "Please export OPENLANE_ROOT"
-	@exit 1
-endif
-	git clone https://github.com/efabless/openlane.git --branch=$(OPENLANE_TAG) --depth=1 $(OPENLANE_ROOT) && \
-		cd $(OPENLANE_ROOT) && \
-		make openlane
-
-FORCE:
-
-clean:
-	@echo "Use clean_all to clean everything :)"
-
-clean_all: $(CLEAN)
-
-$(CLEAN): clean-% :
-	rm -rf runs/$*
-	rm -rf ../gds/$**
-	rm -rf ../mag/$**
-	rm -rf ../lef/$**
diff --git a/openlane/Makefile b/openlane/Makefile
new file mode 120000
index 0000000..48e5b4a
--- /dev/null
+++ b/openlane/Makefile
@@ -0,0 +1 @@
+../caravel/openlane/Makefile
\ No newline at end of file
diff --git a/openlane/user_proj_example/config.tcl b/openlane/user_proj_example/config.tcl
index 0de7a65..4ea25c6 100755
--- a/openlane/user_proj_example/config.tcl
+++ b/openlane/user_proj_example/config.tcl
@@ -18,7 +18,7 @@
 set ::env(DESIGN_NAME) user_proj_example
 
 set ::env(VERILOG_FILES) "\
-	$script_dir/../../caravel-lite/verilog/rtl/defines.v \
+	$script_dir/../../caravel/verilog/rtl/defines.v \
 	$script_dir/../../verilog/rtl/user_proj_example.v"
 
 set ::env(CLOCK_PORT) ""
diff --git a/openlane/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/config.tcl
index cf90dec..82814d4 100755
--- a/openlane/user_project_wrapper/config.tcl
+++ b/openlane/user_project_wrapper/config.tcl
@@ -26,7 +26,7 @@
 
 ## Source Verilog Files
 set ::env(VERILOG_FILES) "\
-	$script_dir/../../caravel-lite/verilog/rtl/defines.v \
+	$script_dir/../../caravel/verilog/rtl/defines.v \
 	$script_dir/../../verilog/rtl/user_project_wrapper.v"
 
 ## Clock configurations
diff --git a/openlane/user_project_wrapper/pin_order.cfg b/openlane/user_project_wrapper/pin_order.cfg
deleted file mode 100644
index 6de1406..0000000
--- a/openlane/user_project_wrapper/pin_order.cfg
+++ /dev/null
@@ -1,157 +0,0 @@
-#BUS_SORT
-#NR
-analog_io\[15\]
-io_in\[15\]
-io_out\[15\]
-io_oeb\[15\]
-analog_io\[16\]
-io_in\[16\]
-io_out\[16\]
-io_oeb\[16\]
-analog_io\[17\]
-io_in\[17\]
-io_out\[17\]
-io_oeb\[17\]
-analog_io\[18\]
-io_in\[18\]
-io_out\[18\]
-io_oeb\[18\]
-analog_io\[19\]
-io_in\[19\]
-io_out\[19\]
-io_oeb\[19\]
-analog_io\[20\]
-io_in\[20\]
-io_out\[20\]
-io_oeb\[20\]
-analog_io\[21\]
-io_in\[21\]
-io_out\[21\]
-io_oeb\[21\]
-analog_io\[22\]
-io_in\[22\]
-io_out\[22\]
-io_oeb\[22\]
-analog_io\[23\]
-io_in\[23\]
-io_out\[23\]
-io_oeb\[23\]
-
-#S
-wb_.*
-wbs_.*
-la_.*
-user_clock2
-
-#E
-analog_io\[0\]
-io_in\[0\]
-io_out\[0\]
-io_oeb\[0\]
-analog_io\[1\]
-io_in\[1\]
-io_out\[1\]
-io_oeb\[1\]
-analog_io\[2\]
-io_in\[2\]
-io_out\[2\]
-io_oeb\[2\]
-analog_io\[3\]
-io_in\[3\]
-io_out\[3\]
-io_oeb\[3\]
-analog_io\[4\]
-io_in\[4\]
-io_out\[4\]
-io_oeb\[4\]
-analog_io\[5\]
-io_in\[5\]
-io_out\[5\]
-io_oeb\[5\]
-analog_io\[6\]
-io_in\[6\]
-io_out\[6\]
-io_oeb\[6\]
-analog_io\[7\]
-io_in\[7\]
-io_out\[7\]
-io_oeb\[7\]
-analog_io\[8\]
-io_in\[8\]
-io_out\[8\]
-io_oeb\[8\]
-analog_io\[9\]
-io_in\[9\]
-io_out\[9\]
-io_oeb\[9\]
-analog_io\[10\]
-io_in\[10\]
-io_out\[10\]
-io_oeb\[10\]
-analog_io\[11\]
-io_in\[11\]
-io_out\[11\]
-io_oeb\[11\]
-analog_io\[12\]
-io_in\[12\]
-io_out\[12\]
-io_oeb\[12\]
-analog_io\[13\]
-io_in\[13\]
-io_out\[13\]
-io_oeb\[13\]
-analog_io\[14\]
-io_in\[14\]
-io_out\[14\]
-io_oeb\[14\]
-
-#WR
-analog_io\[24\]
-io_in\[24\]
-io_out\[24\]
-io_oeb\[24\]
-analog_io\[25\]
-io_in\[25\]
-io_out\[25\]
-io_oeb\[25\]
-analog_io\[26\]
-io_in\[26\]
-io_out\[26\]
-io_oeb\[26\]
-analog_io\[27\]
-io_in\[27\]
-io_out\[27\]
-io_oeb\[27\]
-analog_io\[28\]
-io_in\[28\]
-io_out\[28\]
-io_oeb\[28\]
-analog_io\[29\]
-io_in\[29\]
-io_out\[29\]
-io_oeb\[29\]
-analog_io\[30\]
-io_in\[30\]
-io_out\[30\]
-io_oeb\[30\]
-io_in\[31\]
-io_out\[31\]
-io_oeb\[31\]
-io_in\[32\]
-io_out\[32\]
-io_oeb\[32\]
-io_in\[33\]
-io_out\[33\]
-io_oeb\[33\]
-io_in\[34\]
-io_out\[34\]
-io_oeb\[34\]
-io_in\[35\]
-io_out\[35\]
-io_oeb\[35\]
-io_in\[36\]
-io_out\[36\]
-io_oeb\[36\]
-io_in\[37\]
-io_out\[37\]
-io_oeb\[37\]
diff --git a/openlane/user_project_wrapper/pin_order.cfg b/openlane/user_project_wrapper/pin_order.cfg
new file mode 120000
index 0000000..267d91c
--- /dev/null
+++ b/openlane/user_project_wrapper/pin_order.cfg
@@ -0,0 +1 @@
+../../caravel/openlane/user_project_wrapper_empty/pin_order.cfg
\ No newline at end of file