Initial changes to amsat designs
diff --git a/xschem/analog_wrapper_tb.spice b/xschem/analog_wrapper_tb.spice
index e28a311..55a48fa 100644
--- a/xschem/analog_wrapper_tb.spice
+++ b/xschem/analog_wrapper_tb.spice
@@ -77,8 +77,8 @@
 **.ends
 
 * expanding   symbol:  user_analog_project_wrapper.sym # of pins=32
-* sym_path: /home/tim/gits/caravel_user_project_analog/xschem/user_analog_project_wrapper.sym
-* sch_path: /home/tim/gits/caravel_user_project_analog/xschem/user_analog_project_wrapper.sch
+* sym_path: /home/tom/repositories/mpw2/xschem/user_analog_project_wrapper.sym
+* sch_path: /home/tom/repositories/mpw2/xschem/user_analog_project_wrapper.sch
 .subckt user_analog_project_wrapper  vdda1 vdda2 vssa1 vssa2 vccd1 vccd2 vssd1 vssd2 wb_clk_i
 + wb_rst_i wbs_stb_i wbs_cyc_i wbs_we_i wbs_sel_i[3] wbs_sel_i[2] wbs_sel_i[1] wbs_sel_i[0] wbs_dat_i[31]
 + wbs_dat_i[30] wbs_dat_i[29] wbs_dat_i[28] wbs_dat_i[27] wbs_dat_i[26] wbs_dat_i[25] wbs_dat_i[24] wbs_dat_i[23]
@@ -215,8 +215,8 @@
 
 
 * expanding   symbol:  example_por.sym # of pins=6
-* sym_path: /home/tim/gits/caravel_user_project_analog/xschem/example_por.sym
-* sch_path: /home/tim/gits/caravel_user_project_analog/xschem/example_por.sch
+* sym_path: /home/tom/repositories/mpw2/xschem/example_por.sym
+* sch_path: /home/tom/repositories/mpw2/xschem/example_por.sch
 .subckt example_por  vdd3v3 vdd1v8 porb_h porb_l por_l vss
 *.iopin vdd3v3
 *.iopin vss
diff --git a/xschem/user_analog_project_wrapper.sch b/xschem/user_analog_project_wrapper.sch
index e0da610..038829c 100644
--- a/xschem/user_analog_project_wrapper.sch
+++ b/xschem/user_analog_project_wrapper.sch
@@ -4,67 +4,42 @@
 V {}
 S {}
 E {}
-N 3830 -460 3830 -390 { lab=vdda1}
-N 3730 -460 3830 -460 { lab=vdda1}
-N 3860 -230 3860 -180 { lab=vssa1}
-N 3770 -180 3860 -180 { lab=vssa1}
-N 3890 -460 3890 -390 { lab=vccd1}
-N 3890 -460 3960 -460 { lab=vccd1}
-N 3890 -130 3890 -60 { lab=vccd1}
-N 3890 -130 3950 -130 { lab=vccd1}
-N 3830 -130 3830 -60 { lab=io_analog[4]}
-N 3790 -130 3830 -130 { lab=io_analog[4]}
-N 3860 100 3860 150 { lab=vssa1}
-N 3800 150 3860 150 { lab=vssa1}
-N 4010 -10 4110 -10 { lab=gpio_analog[7]}
-N 4010 20 4110 20 { lab=io_out[15]}
-N 4010 50 4110 50 { lab=io_out[16]}
-N 4010 -340 4130 -340 { lab=gpio_analog[3]}
-N 4010 -310 4130 -310 { lab=io_out[11]}
-N 4010 -280 4130 -280 { lab=io_out[12]}
-C {example_por.sym} 3860 -310 0 0 {name=x1}
-C {example_por.sym} 3860 20 0 0 {name=x2}
-C {devices/iopin.sym} 3240 -470 0 0 {name=p1 lab=vdda1}
-C {devices/iopin.sym} 3240 -440 0 0 {name=p2 lab=vdda2}
-C {devices/iopin.sym} 3240 -410 0 0 {name=p3 lab=vssa1}
-C {devices/iopin.sym} 3240 -380 0 0 {name=p4 lab=vssa2}
-C {devices/iopin.sym} 3240 -350 0 0 {name=p5 lab=vccd1}
-C {devices/iopin.sym} 3240 -320 0 0 {name=p6 lab=vccd2}
-C {devices/iopin.sym} 3240 -290 0 0 {name=p7 lab=vssd1}
-C {devices/iopin.sym} 3240 -260 0 0 {name=p8 lab=vssd2}
-C {devices/ipin.sym} 3290 -190 0 0 {name=p9 lab=wb_clk_i}
-C {devices/ipin.sym} 3290 -160 0 0 {name=p10 lab=wb_rst_i}
-C {devices/ipin.sym} 3290 -130 0 0 {name=p11 lab=wbs_stb_i}
-C {devices/ipin.sym} 3290 -100 0 0 {name=p12 lab=wbs_cyc_i}
-C {devices/ipin.sym} 3290 -70 0 0 {name=p13 lab=wbs_we_i}
-C {devices/ipin.sym} 3290 -40 0 0 {name=p14 lab=wbs_sel_i[3:0]}
-C {devices/ipin.sym} 3290 -10 0 0 {name=p15 lab=wbs_dat_i[31:0]}
-C {devices/ipin.sym} 3290 20 0 0 {name=p16 lab=wbs_adr_i[31:0]}
-C {devices/opin.sym} 3280 80 0 0 {name=p17 lab=wbs_ack_o}
-C {devices/opin.sym} 3280 110 0 0 {name=p18 lab=wbs_dat_o[31:0]}
-C {devices/ipin.sym} 3290 150 0 0 {name=p19 lab=la_data_in[127:0]}
-C {devices/opin.sym} 3280 180 0 0 {name=p20 lab=la_data_out[127:0]}
-C {devices/ipin.sym} 3290 260 0 0 {name=p21 lab=io_in[26:0]}
-C {devices/ipin.sym} 3290 290 0 0 {name=p22 lab=io_in_3v3[26:0]}
-C {devices/ipin.sym} 3280 570 0 0 {name=p23 lab=user_clock2}
-C {devices/opin.sym} 3280 320 0 0 {name=p24 lab=io_out[26:0]}
-C {devices/opin.sym} 3280 350 0 0 {name=p25 lab=io_oeb[26:0]}
-C {devices/iopin.sym} 3250 410 0 0 {name=p26 lab=gpio_analog[17:0]}
-C {devices/iopin.sym} 3250 440 0 0 {name=p27 lab=gpio_noesd[17:0]}
-C {devices/iopin.sym} 3250 470 0 0 {name=p29 lab=io_analog[10:0]}
-C {devices/iopin.sym} 3250 500 0 0 {name=p30 lab=io_clamp_high[2:0]}
-C {devices/iopin.sym} 3250 530 0 0 {name=p31 lab=io_clamp_low[2:0]}
-C {devices/opin.sym} 3270 600 0 0 {name=p32 lab=user_irq[2:0]}
-C {devices/ipin.sym} 3290 210 0 0 {name=p28 lab=la_oenb[127:0]}
-C {devices/lab_pin.sym} 3730 -460 0 0 {name=l1 sig_type=std_logic lab=vdda1}
-C {devices/lab_pin.sym} 3770 -180 0 0 {name=l2 sig_type=std_logic lab=vssa1}
-C {devices/lab_pin.sym} 3960 -460 0 1 {name=l3 sig_type=std_logic lab=vccd1}
-C {devices/lab_pin.sym} 3950 -130 0 1 {name=l4 sig_type=std_logic lab=vccd1}
-C {devices/lab_pin.sym} 3790 -130 0 0 {name=l5 sig_type=std_logic lab=io_analog[4]}
-C {devices/lab_pin.sym} 3800 150 0 0 {name=l6 sig_type=std_logic lab=vssa1}
-C {devices/lab_pin.sym} 4130 -340 0 1 {name=l7 sig_type=std_logic lab=gpio_analog[3]}
-C {devices/lab_pin.sym} 4130 -310 0 1 {name=l8 sig_type=std_logic lab=io_out[11]}
-C {devices/lab_pin.sym} 4130 -280 0 1 {name=l9 sig_type=std_logic lab=io_out[12]}
-C {devices/lab_pin.sym} 4110 -10 0 1 {name=l10 sig_type=std_logic lab=gpio_analog[7]}
-C {devices/lab_pin.sym} 4110 20 0 1 {name=l11 sig_type=std_logic lab=io_out[15]}
-C {devices/lab_pin.sym} 4110 50 0 1 {name=l12 sig_type=std_logic lab=io_out[16]}
+C {devices/iopin.sym} 0 -940 0 1 {name=p1 lab=vdda1}
+C {devices/iopin.sym} 0 -920 0 1 {name=p2 lab=vdda2}
+C {devices/iopin.sym} 0 -80 0 1 {name=p3 lab=vssa1}
+C {devices/iopin.sym} 0 -60 0 1 {name=p4 lab=vssa2}
+C {devices/iopin.sym} 0 -880 0 1 {name=p5 lab=vccd1}
+C {devices/iopin.sym} 0 -860 0 1 {name=p6 lab=vccd2}
+C {devices/iopin.sym} 0 -20 0 1 {name=p7 lab=vssd1}
+C {devices/iopin.sym} 0 0 0 1 {name=p8 lab=vssd2}
+C {devices/ipin.sym} 0 -640 0 0 {name=p9 lab=wb_clk_i}
+C {devices/ipin.sym} 0 -610 0 0 {name=p10 lab=wb_rst_i}
+C {devices/ipin.sym} 0 -580 0 0 {name=p11 lab=wbs_stb_i}
+C {devices/ipin.sym} 0 -550 0 0 {name=p12 lab=wbs_cyc_i}
+C {devices/ipin.sym} 0 -520 0 0 {name=p13 lab=wbs_we_i}
+C {devices/ipin.sym} 0 -490 0 0 {name=p14 lab=wbs_sel_i[3:0]}
+C {devices/ipin.sym} 0 -460 0 0 {name=p15 lab=wbs_dat_i[31:0]}
+C {devices/ipin.sym} 0 -430 0 0 {name=p16 lab=wbs_adr_i[31:0]}
+C {devices/opin.sym} 1520 -620 0 0 {name=p17 lab=wbs_ack_o}
+C {devices/opin.sym} 1520 -600 0 0 {name=p18 lab=wbs_dat_o[31:0]}
+C {devices/ipin.sym} 0 -320 0 0 {name=p19 lab=la_data_in[127:0]}
+C {devices/opin.sym} 1520 -580 0 0 {name=p20 lab=la_data_out[127:0]}
+C {devices/ipin.sym} 0 -200 0 0 {name=p21 lab=io_in[26:0]}
+C {devices/ipin.sym} 0 -180 0 0 {name=p22 lab=io_in_3v3[26:0]}
+C {devices/ipin.sym} 0 -340 0 0 {name=p23 lab=user_clock2}
+C {devices/opin.sym} 1520 -560 0 0 {name=p24 lab=io_out[26:0]}
+C {devices/opin.sym} 1520 -540 0 0 {name=p25 lab=io_oeb[26:0]}
+C {devices/iopin.sym} 1520 -480 0 0 {name=p26 lab=gpio_analog[17:0]}
+C {devices/iopin.sym} 1520 -460 0 0 {name=p27 lab=gpio_noesd[17:0]}
+C {devices/iopin.sym} 1520 -440 0 0 {name=p29 lab=io_analog[10:0]}
+C {devices/iopin.sym} 1520 -420 0 0 {name=p30 lab=io_clamp_high[2:0]}
+C {devices/iopin.sym} 1520 -400 0 0 {name=p31 lab=io_clamp_low[2:0]}
+C {devices/opin.sym} 1520 -360 0 0 {name=p32 lab=user_irq[2:0]}
+C {devices/ipin.sym} 0 -300 0 0 {name=p28 lab=la_oenb[127:0]}
+C {dac/dac.sym} 420 -380 0 0 {name=x3}
+C {lab_pin.sym} 270 -430 0 0 {name=l1 sig_type=std_logic lab=gpio_analog[5]}
+C {lab_pin.sym} 270 -410 0 0 {name=l2 sig_type=std_logic lab=io_in[0]}
+C {lab_pin.sym} 270 -330 0 0 {name=l3 sig_type=std_logic lab=io_in[10:1]}
+C {lab_pin.sym} 270 -390 0 0 {name=l4 sig_type=std_logic lab=la_data_in[0]}
+C {lab_pin.sym} 270 -370 0 0 {name=l5 sig_type=std_logic lab=la_data_in[1]}
+C {lab_pin.sym} 270 -350 0 0 {name=l6 sig_type=std_logic lab=la_data_in[2]}
diff --git a/xschem/xschemrc b/xschem/xschemrc
index 98fead5..c9403fd 100644
--- a/xschem/xschemrc
+++ b/xschem/xschemrc
@@ -1,46 +1,35 @@
-#### xschemrc system configuration file
+# xschemrc configuration file
 
-#### values may be overridden by user's ~/.xschem/xschemrc configuration file
-#### or by project-local ./xschemrc
+set XSCHEM_SKYDIR $env(HOME)/.xschem/xschem_library/xschem_sky130
 
 ###########################################################################
-#### XSCHEM INSTALLATION DIRECTORY: XSCHEM_SHAREDIR
+## xschem installation directory XSCHEM_SHAREDIR
 ###########################################################################
-#### Normally there is no reason to set this variable if using standard
-#### installation. Location of files is set at compile time but may be overridden
-#### with following line:
+
+# normally there is no reason to set this variable if using standard
+# installation. Location of files is set at compile time but may be overridden
+# with following line:
+#
 # set XSCHEM_SHAREDIR $env(HOME)/share/xschem
 
 ###########################################################################
-#### XSCHEM SYSTEM-WIDE DESIGN LIBRARY PATHS: XSCHEM_LIBRARY_PATH
+## xschem system-wide design library paths XSCHEM_LIBRARY_PATH
 ###########################################################################
-#### If unset xschem starts with XSCHEM_LIBRARY_PATH set to the default, typically:
-# /home/schippes/.xschem/xschem_library
-# /home/schippes/share/xschem/xschem_library/devices
-# /home/schippes/share/doc/xschem/examples
-# /home/schippes/share/doc/xschem/ngspice
-# /home/schippes/share/doc/xschem/logic
-# /home/schippes/share/doc/xschem/xschem_simulator
-# /home/schippes/share/doc/xschem/binto7seg
-# /home/schippes/share/doc/xschem/pcb
-# /home/schippes/share/doc/xschem/rom8k
 
-#### Flush any previous definition
+#### get library paths in a filesystem-independent way
+
 set XSCHEM_LIBRARY_PATH {}
-#### include devices/*.sym
-append XSCHEM_LIBRARY_PATH ${XSCHEM_SHAREDIR}/xschem_library
-#### include skywater libraries. Here i use [pwd]. This works if i start xschem from here.
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SHAREDIR}/xschem_library
+append XSCHEM_LIBRARY_PATH :${XSCHEM_SKYDIR}
 append XSCHEM_LIBRARY_PATH :$env(PWD)
-append XSCHEM_LIBRARY_PATH :/usr/share/pdk/sky130A/libs.tech/xschem
-#### add ~/.xschem/xschem_library (USER_CONF_DIR is normally ~/.xschem)
-append XSCHEM_LIBRARY_PATH :$USER_CONF_DIR/xschem_library 
+append XSCHEM_LIBRARY_PATH :$env(PWD)/../amsat_txrx_ic/design
 
 ###########################################################################
-#### SET CUSTOM COLORS FOR XSCHEM LIBRARIES MATCHING CERTAIN PATTERNS
+## set custom colors for xschem libraries matching certain patterns
+## each line contains a dircolor(pattern) followed by a color
+## color can be an ordinary name (grey, brown, blue) or a hex code {#77aaff}
+## hex code must be enclosed in braces
 ###########################################################################
-#### each line contains a dircolor(pattern) followed by a color
-#### color can be an ordinary name (grey, brown, blue) or a hex code {#77aaff}
-#### hex code must be enclosed in braces
 array unset dircolor
 set dircolor(sky130_fd_pr$) blue
 set dircolor(sky130_tests$) blue
@@ -48,105 +37,79 @@
 set dircolor(xschem_library$) red
 set dircolor(devices$) red
 
-###########################################################################
-#### WINDOW TO OPEN ON STARTUP: XSCHEM_START_WINDOW
-###########################################################################
-#### Start without a design if no filename given on command line:
-#### To avoid absolute paths, use a path that is relative to one of the
-#### XSCHEM_LIBRARY_PATH directories. Default: empty
-set XSCHEM_START_WINDOW {sky130_tests/top.sch}
 
 ###########################################################################
-#### DIRECTORY WHERE SIMULATIONS, NETLIST AND SIMULATOR OUTPUTS ARE PLACED
+## Window to open on startup XSCHEM_START_WINDOW
 ###########################################################################
-#### If unset $USER_CONF_DIR/simulations is assumed (normally ~/.xschem/simulations) 
+
+# Start with a design if no filename given:
+#
+# sset XSCHEM_START_WINDOW {sky130_tests/top.sch}
+
+# Start with a design
+# To avoid absolute paths, use a path that is relative to one of the
+# XSCHEM_LIBRARY_PATH directories.
+#
+set XSCHEM_START_WINDOW {user_analog_project_wrapper.sch}
+
+
+###########################################################################
+## Directory where simulations, netlist and simulator outputs are placed
+###########################################################################
+# If unset $USER_CONF_DIR/simulations is assumed (normally ~/.xschem/simulations) 
+#
 # set netlist_dir $env(HOME)/.xschem/simulations
-set netlist_dir .
+
 
 ###########################################################################
-#### CHANGE DEFAULT [] WITH SOME OTHER CHARACTERS FOR BUSSED SIGNALS 
-#### IN SPICE NETLISTS (EXAMPLE: DATA[7] --> DATA<7>) 
+## change default [] with some other characters for bussed signals 
+## in spice netlists (example: DATA[7] --> DATA<7>) 
 ###########################################################################
-#### default: empty (use xschem default, [ ])
-# set bus_replacement_char {<>}
-#### for XSPICE: replace square brackets as the are used for XSPICE vector nodes.
+
+set bus_replacement_char {<>}
+
+## for XSPICE
 # set bus_replacement_char {__} 
 
+
 ###########################################################################
-#### SOME DEFAULT BEHAVIOR
+## some default behavior
 ###########################################################################
-#### Allowed values:  spice, verilog, vhdl, tedax, default: spice
-# set netlist_type spice
 
-#### Some netlisting options (these are the defaults)
-# set hspice_netlist 1
-# set verilog_2001 1
+set netlist_type spice ;# allowed : spice, verilog, vhdl, tedax
+set hspice_netlist 1
+set verilog_2001 1
+# set line_width 2
+set change_lw 1
+set color_ps 1
+set initial_geometry {900x600}
+set unzoom_nodrift 0
 
-#### to use a fixed line with set change_lw to 0 and set some value to line_width
-#### these are the defaults
-# set line_width 0
-# set change_lw 1
+## if set to 1 allow to place multiple components with same name.
+## Warning: this is normally not allowed in any simulation netlist.
+set disable_unique_names 0
 
-#### allow color postscript and svg exports. Default: 1, enable color
-# set color_ps 1
-
-#### initial size of xschem window you can specify also position with (wxh+x+y)
-#### this is the default:
-# set initial_geometry {900x600}
-
-#### if set to 0, when zooming out allow the viewport do drift toward the mouse position,
-#### allowing to move away by zooming / unzooming with mouse wheel
-#### default setting: 0
-# set unzoom_nodrift 0
-
-#### if set to 1 allow to place multiple components with same name.
-#### Warning: this is normally not allowed in any simulation netlist.
-#### default: 0, do not allow place multiple elements with same name (refdes)
-# set disable_unique_names 0
-
-#### if set to 1 continue drawing lines / wires after click
-#### default: 0
+## if set to 1 continue drawing lines / wires after click
 # set persistent_command 1
 
-#### if set to 1 automatically join/trim wires while editing
-#### this may slow down on rally big designs. Can be disabled via menu 
-#### default: 0
-# set autotrim_wires 0
 
-#### set widget scaling (mainly for font display), this is useful on 4K displays
-#### default: unset (tk uses its default) > 1.0 ==> bigger 
+## set widget scaling (mainly for font display) 
 # set tk_scaling 1.7
 
-#### disable some symbol layers. Default: none, all layers are visible.
+## disable some symbol layers
 # set enable_layer(5) 0 ;# example to disable pin red boxes
 
-#### enable to scale grid point size as done with lines at close zoom, default: 0
-# set big_grid_points 0
+###########################################################################
+## custom grid / snap value settings
+###########################################################################
+
+# set grid 100
+# set snap 50
 
 ###########################################################################
-#### EXPORT FORMAT TRANSLATORS, PNG AND PDF
+## Custom colors  may be defined here
 ###########################################################################
-#### command to translate xpm to png; (assumes command takes source 
-#### and dest file as arguments, example: gm convert plot.xpm plot.png)
-#### default: {gm convert}
-# set to_png {gm convert}
 
-#### command to translate ps to pdf; (assumes command takes source
-#### and dest file as arguments, example: ps2pdf plot.ps plot.pdf)
-#### default: ps2pdf
-# set to_pdf ps2pdf
-
-###########################################################################
-#### CUSTOM GRID / SNAP VALUE SETTINGS
-###########################################################################
-#### Warning: changing these values will likely break compatibility
-#### with existing symbol libraries. Defaults: grid 20, snap 10.
-# set grid 20
-# set snap 10
-
-###########################################################################
-#### CUSTOM COLORS  MAY BE DEFINED HERE
-###########################################################################
 #  set cadlayers 22
 #  set light_colors {
 #   "#ffffff" "#0044ee" "#aaaaaa" "#222222" "#229900"
@@ -163,22 +126,22 @@
 #   "#ef6158" "#fdb200" }
 
 ###########################################################################
-#### CAIRO STUFF
+## CAIRO STUFF
 ###########################################################################
+
 #### Scale all fonts by this number
 # set cairo_font_scale 1.0
 
 #### default for following two is 0.85 (xscale) and 0.88 (yscale) to 
 #### match cairo font spacing
 # set nocairo_font_xscale 1.0
-#### set nocairo_font_yscale 1.0
+# set nocairo_font_yscale 1.0
 
 #### Scale line spacing by this number
 # set cairo_font_line_spacing 1.0
 
 #### Specify a font
-# set cairo_font_name {Sans-Serif}
-# set svg_font_name {Sans-Serif}
+# set cairo_font_name {Arial}
 
 #### Lift up text by some zoom-corrected pixels for
 #### better compatibility wrt no cairo version.
@@ -187,8 +150,9 @@
 # set nocairo_vert_correct 0
 
 ###########################################################################
-#### KEYBINDINGS
+## KEYBINDINGS
 ###########################################################################
+
 #### General format for specifying a replacement for a keybind
 #### Replace Ctrl-d with Escape (so you wont kill the program)
 # set replace_key(Control-d) Escape
@@ -197,77 +161,46 @@
 # set replace_key(Shift-W) w
 # set replace_key(w) Shift-W
 
-###########################################################################
-#### TERMINAL
-###########################################################################
-#### default for linux: xterm
-# set terminal {xterm -geometry 100x35 -fn 9x15 -bg black -fg white -cr white -ms white }
-#### lxterminal is not OK since it will not inherit env vars: 
-#### In order to reduce memory usage and increase the performance, all instances
-#### of the lxterminal are sharing a single process. LXTerminal is part of LXDE
+set terminal {xterm -geometry 100x35 -fn 9x15 -bg black -fg white -cr white -ms white }
+## lxterminal is not OK since it will not inherit env vars: 
+## In order to reduce memory usage and increase the performance, all instances
+## of the terminal are sharing a single process. LXTerminal is part of LXDE
 
-###########################################################################
-#### EDITOR
-###########################################################################
 #### editor must not detach from launching shell (-f mandatory for gvim)
-#### default for linux: gvim -f
-# set editor {gvim -f -geometry 90x28}
 # set editor { xterm -geometry 100x40 -e nano }
 # set editor { xterm -geometry 100x40 -e pico }
+# set editor {gvim -f -geometry 90x28}
+set editor {gedit}
 
-#### For Windows
-# set editor {notepad.exe}
+#### show info window (ERC rules errors warnings etc) 
+# set show_infowindow 1
 
-###########################################################################
-#### SHOW ERC INFO WINDOW (erc errors, warnings etc)
-###########################################################################
-#### default: 0 (can be enabled by menu)
-# set show_infowindow 0
+#### command to translate xpm to png (assumes command takes source 
+#### and dest file as arguments, example: gm convert plot.xpm plot.png)
+set to_png {gm convert}
 
-###########################################################################
-#### CONFIGURE COMPUTER FARM JOB REDIRECTORS FOR SIMULATIONS
-###########################################################################
+#### computer farm redirector
 #### RTDA NC
 # set computerfarm {nc run -Il}
 #### LSF BSUB
 # set computerfarm {bsub -Is}
 
-###########################################################################
-#### TCP CONNECTION WITH GAW
-###########################################################################
+#### set xschem listening port
+set xschem_listen_port 2021
+
 #### set gaw address for socket connection: {host port}
-#### default: set to localhost, port 2020
-# set gaw_tcp_address {localhost 2020}
+set gaw_tcp_address {localhost 2020}
 
-###########################################################################
-#### XSCHEM LISTEN TO TCP PORT
-###########################################################################
-#### set xschem listening port; default: not enabled
-# set xschem_listen_port 2021
+#### utile (stefan's spice stimuli editor)
+set utile_gui_path ${XSCHEM_SHAREDIR}/utile/utile3
+set utile_cmd_path ${XSCHEM_SHAREDIR}/utile/utile
 
-###########################################################################
-#### UTILE SPICE STIMULI DESCRIPTION LANGUAGE AND TRANSLATOR
-###########################################################################
-#### default paths are set as shown here: 
-# set utile_gui_path ${XSCHEM_SHAREDIR}/utile/utile3
-# set utile_cmd_path ${XSCHEM_SHAREDIR}/utile/utile
-
-###########################################################################
-#### TCL FILES TO LOAD AT STARTUP
-###########################################################################
 #### list of tcl files to preload.
-# lappend tcl_files ${XSCHEM_SHAREDIR}/change_index.tcl
+# lappend tcl_files /home/schippes/x/Stefan_decoder/anddec.tcl
 lappend tcl_files ${XSCHEM_SHAREDIR}/ngspice_backannotate.tcl
-lappend tcl_files /usr/share/pdk/sky130A/libs.tech/xschem/scripts/sky130_models.tcl
-###########################################################################
-#### XSCHEM TOOLBAR
-###########################################################################
-#### default: not enabled.
-# set toolbar_visible 1
-# set toolbar_horiz   1
+lappend tcl_files ${XSCHEM_SKYDIR}/scripts/sky130_models.tcl
+#### toolbar
+set toolbar_visible 0
 
-###########################################################################
-#### SKYWATER PDK SPECIFIC VARIABLES
-###########################################################################
-set SKYWATER_MODELS ~/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest
-set SKYWATER_STDCELLS ~/skywater-pdk/libraries/sky130_fd_sc_hd/latest
+set SKYWATER_MODELS $env(HOME)/skywater/skywater-pdk/libraries/sky130_fd_pr_ngspice/latest
+set SKYWATER_STDCELLS $env(HOME)/skywater/skywater-pdk/libraries/sky130_fd_sc_hs/latest