1. eb2b903 export CARAVEL_ROOT in CI by manarabdelaty · 3 years ago
  2. ecb8155 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years ago
  3. 61f8ec4 Update caravel by manarabdelaty · 3 years ago
  4. 84e4f8e Update README.md by Manar · 3 years ago
  5. 10d5277 Update README.md by Manar · 3 years, 1 month ago
  6. d868235 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years, 1 month ago
  7. eac56e8 Rename CARAVEL_MASTER -> CARAVEL_ROOT by manarabdelaty · 3 years, 1 month ago
  8. de45164 Update README.md by Manar · 3 years, 1 month ago
  9. 191408b Add SPDX header by manarabdelaty · 3 years, 1 month ago
  10. 8dbabc1 Update DV Makefiles by manarabdelaty · 3 years, 1 month ago
  11. 8e8bf63 Update la_test2 Makefile by manarabdelaty · 3 years, 1 month ago
  12. 01016a5 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years, 1 month ago
  13. 496112a Add CARAVEL_PATH for the testbenches by manarabdelaty · 3 years, 1 month ago
  14. c7bcaf9 Update README.md by Manar · 3 years, 1 month ago
  15. 6f6dd07 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years, 1 month ago
  16. e768abd Add doc by manarabdelaty · 3 years, 1 month ago
  17. f989c64 Corrected the user_project_wrapper verilog to have the correct by Tim Edwards · 3 years, 1 month ago
  18. 7ad767e [CI] Add check for running xor-wrapper target by manarabdelaty · 3 years, 1 month ago
  19. b5548c4 [CI] Run make ship and make set user id by manarabdelaty · 3 years, 1 month ago
  20. a7929f3 Added mprj_stimulus test by manarabdelaty · 3 years, 1 month ago
  21. d184bf6 Update wb_port dv makefile by manarabdelaty · 3 years, 1 month ago
  22. 6ace7bf [CI] add job for running GL simulation by manarabdelaty · 3 years, 1 month ago
  23. 3e3151b [DATA] update views to reflect rtl change by manarabdelaty · 3 years, 1 month ago
  24. a63e2e6 Makefile and RTL updates to run GL sim by manarabdelaty · 3 years, 1 month ago
  25. 47e7201 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years, 1 month ago
  26. 71c0e0a [CI] Added job for running dv and splitted precheck to two jobs by manarabdelaty · 3 years, 1 month ago
  27. 10b3a10 Update README.md by Manar · 3 years, 1 month ago
  28. fa36b99 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years, 1 month ago
  29. 69bd326 Updated DV tests by manarabdelaty · 3 years, 1 month ago
  30. a8b4607 Update caravel commit by manarabdelaty · 3 years, 1 month ago
  31. 548e5a7 [DATA] Adjust user_proj_example/config.tcl by Ahmed Ghazy · 3 years, 1 month ago
  32. a464881 Point at the efabless/caravel repo by Ahmed Ghazy · 3 years, 1 month ago
  33. 12208af Update README.md by Amr A. Gouhar · 3 years, 1 month ago
  34. 562499c Remove simulation CI by agorararmard · 3 years, 1 month ago
  35. e49431b Add info.yaml by agorararmard · 3 years, 1 month ago
  36. 4a26e1e Create LICENSE by Amr A. Gouhar · 3 years, 1 month ago
  37. b5367e2 Add Github Actions CI by agorararmard · 3 years, 1 month ago
  38. d4ec2f0 Example of a full run of user_project_wrapper by Ahmed Ghazy · 3 years, 1 month ago
  39. 2f8702e Update caravel by Ahmed Ghazy · 3 years, 1 month ago
  40. 6d389c6 Initial commit, submoduling the Caravel repo by Ahmed Ghazy · 3 years, 1 month ago
  41. 7060959 first commit by Ahmed Ghazy · 3 years, 1 month ago