1. fa36b99 Merge branch 'main' of https://github.com/efabless/caravel_project_example into main by manarabdelaty · 3 years, 2 months ago
  2. 69bd326 Updated DV tests by manarabdelaty · 3 years, 2 months ago
  3. a8b4607 Update caravel commit by manarabdelaty · 3 years, 2 months ago
  4. 548e5a7 [DATA] Adjust user_proj_example/config.tcl by Ahmed Ghazy · 3 years, 2 months ago
  5. a464881 Point at the efabless/caravel repo by Ahmed Ghazy · 3 years, 2 months ago
  6. 12208af Update README.md by Amr A. Gouhar · 3 years, 2 months ago
  7. 562499c Remove simulation CI by agorararmard · 3 years, 2 months ago
  8. e49431b Add info.yaml by agorararmard · 3 years, 2 months ago
  9. 4a26e1e Create LICENSE by Amr A. Gouhar · 3 years, 2 months ago
  10. b5367e2 Add Github Actions CI by agorararmard · 3 years, 2 months ago
  11. d4ec2f0 Example of a full run of user_project_wrapper by Ahmed Ghazy · 3 years, 2 months ago
  12. 2f8702e Update caravel by Ahmed Ghazy · 3 years, 2 months ago
  13. 6d389c6 Initial commit, submoduling the Caravel repo by Ahmed Ghazy · 3 years, 2 months ago
  14. 7060959 first commit by Ahmed Ghazy · 3 years, 2 months ago