Compiles without error
diff --git a/verilog/rtl/user_project_wrapper.v b/verilog/rtl/user_project_wrapper.v
index 90c187a..8af964a 100644
--- a/verilog/rtl/user_project_wrapper.v
+++ b/verilog/rtl/user_project_wrapper.v
@@ -504,18 +504,12 @@
       end
    end
 
-   wire [`DATA_SIZE-1:0] sram8_data1 = 0;
-   wire [`DATA_SIZE-1:0] sram9_data1 = 0;
-   wire [`DATA_SIZE-1:0] sram10_data1 = 0;
-   wire [`DATA_SIZE-1:0] sram11_data1 = 0;
-
    wire [`DATA_SIZE-1:0] sram5_data0 = 0;
    wire [`DATA_SIZE-1:0] sram5_data1 = 0;
    wire [`DATA_SIZE-1:0] sram6_data0 = 0;
    wire [`DATA_SIZE-1:0] sram6_data1 = 0;
    wire [`DATA_SIZE-1:0] sram7_data0 = 0;
    wire [`DATA_SIZE-1:0] sram7_data1 = 0;
-   wire [`DATA_SIZE-1:0] sram7_data1 = 0;
    wire [`DATA_SIZE-1:0] sram8_data1 = 0;
    wire [`DATA_SIZE-1:0] sram9_data1 = 0;
    wire [`DATA_SIZE-1:0] sram10_data1 = 0;